From cb4c3f0951a1b1381debef468fe2b2ef2336d258 Mon Sep 17 00:00:00 2001 From: Yann SALMON Date: Tue, 4 Jan 2022 12:24:57 +0100 Subject: [PATCH] snake partiel --- .../ip/2018.3/adeea2ece8e77c4b/stats.txt | 4 +- projet-vga.cache/wt/gui_handlers.wdf | 91 +- projet-vga.cache/wt/java_command_handlers.wdf | 38 +- projet-vga.cache/wt/project.wpc | 4 +- projet-vga.cache/wt/synthesis.wdf | 8 +- projet-vga.cache/wt/webtalk_pa.xml | 134 +- projet-vga.runs/impl_1/.init_design.begin.rst | 2 +- projet-vga.runs/impl_1/.opt_design.begin.rst | 2 +- .../impl_1/.place_design.begin.rst | 2 +- .../impl_1/.place_design.error.rst | 0 .../impl_1/.route_design.begin.rst | 2 +- projet-vga.runs/impl_1/.vivado.begin.rst | 7 +- projet-vga.runs/impl_1/.vivado.error.rst | 0 .../impl_1/.write_bitstream.begin.rst | 2 +- projet-vga.runs/impl_1/VGA_top.bit | Bin 2083843 -> 2083843 bytes projet-vga.runs/impl_1/VGA_top.tcl | 96 +- projet-vga.runs/impl_1/VGA_top.vdi | 566 +- .../impl_1/VGA_top_11872.backup.vdi | 534 - .../impl_1/VGA_top_12280.backup.vdi | 523 - .../impl_1/VGA_top_12864.backup.vdi | 523 - .../impl_1/VGA_top_12968.backup.vdi | 523 - .../impl_1/VGA_top_13936.backup.vdi | 530 - .../impl_1/VGA_top_14844.backup.vdi | 545 - .../impl_1/VGA_top_15112.backup.vdi | 523 - .../impl_1/VGA_top_1568.backup.vdi | 523 - .../impl_1/VGA_top_4688.backup.vdi | 545 - .../impl_1/VGA_top_4708.backup.vdi | 523 - .../impl_1/VGA_top_4856.backup.vdi | 523 - .../impl_1/VGA_top_6484.backup.vdi | 523 - .../impl_1/VGA_top_8972.backup.vdi | 529 - .../impl_1/VGA_top_9384.backup.vdi | 523 - .../impl_1/VGA_top_9960.backup.vdi | 545 - .../impl_1/VGA_top_bus_skew_routed.rpt | 4 +- .../impl_1/VGA_top_bus_skew_routed.rpx | Bin 1025 -> 1025 bytes .../VGA_top_clock_utilization_routed.rpt | 188 +- .../impl_1/VGA_top_control_sets_placed.rpt | 71 +- projet-vga.runs/impl_1/VGA_top_drc_opted.rpt | 4 +- projet-vga.runs/impl_1/VGA_top_drc_routed.pb | Bin 37 -> 37 bytes projet-vga.runs/impl_1/VGA_top_drc_routed.rpt | 47 +- projet-vga.runs/impl_1/VGA_top_drc_routed.rpx | Bin 350 -> 5084 bytes projet-vga.runs/impl_1/VGA_top_io_placed.rpt | 20 +- .../impl_1/VGA_top_methodology_drc_routed.pb | Bin 52 -> 52 bytes .../impl_1/VGA_top_methodology_drc_routed.rpt | 493 +- .../impl_1/VGA_top_methodology_drc_routed.rpx | Bin 1588 -> 55734 bytes projet-vga.runs/impl_1/VGA_top_opt.dcp | Bin 268367 -> 694930 bytes projet-vga.runs/impl_1/VGA_top_placed.dcp | Bin 317657 -> 1096305 bytes .../impl_1/VGA_top_power_routed.rpt | 104 +- .../impl_1/VGA_top_power_routed.rpx | Bin 69792 -> 662440 bytes .../impl_1/VGA_top_power_summary_routed.pb | Bin 711 -> 745 bytes .../impl_1/VGA_top_route_status.pb | Bin 44 -> 44 bytes .../impl_1/VGA_top_route_status.rpt | 10 +- projet-vga.runs/impl_1/VGA_top_routed.dcp | Bin 341328 -> 1380207 bytes .../impl_1/VGA_top_timing_summary_routed.pb | Bin 106 -> 109 bytes .../impl_1/VGA_top_timing_summary_routed.rpt | 11134 ++++++++++------ .../impl_1/VGA_top_timing_summary_routed.rpx | Bin 357323 -> 530443 bytes .../impl_1/VGA_top_utilization_placed.pb | Bin 224 -> 224 bytes .../impl_1/VGA_top_utilization_placed.rpt | 105 +- projet-vga.runs/impl_1/gen_run.xml | 53 +- projet-vga.runs/impl_1/init_design.pb | Bin 4356 -> 4986 bytes projet-vga.runs/impl_1/opt_design.pb | Bin 11651 -> 14322 bytes projet-vga.runs/impl_1/place_design.pb | Bin 17359 -> 20566 bytes projet-vga.runs/impl_1/project.wdf | 4 +- projet-vga.runs/impl_1/route_design.pb | Bin 14930 -> 16984 bytes projet-vga.runs/impl_1/rundef.js | 2 +- projet-vga.runs/impl_1/runme.log | 555 +- projet-vga.runs/impl_1/runme.sh | 4 +- .../impl_1/usage_statistics_webtalk.html | 595 +- .../impl_1/usage_statistics_webtalk.xml | 554 +- projet-vga.runs/impl_1/vivado.jou | 10 +- projet-vga.runs/impl_1/vivado.pb | Bin 149 -> 149 bytes .../impl_1/vivado_11872.backup.jou | 12 - .../impl_1/vivado_12280.backup.jou | 12 - .../impl_1/vivado_12864.backup.jou | 12 - .../impl_1/vivado_12968.backup.jou | 12 - .../impl_1/vivado_13936.backup.jou | 12 - .../impl_1/vivado_14844.backup.jou | 12 - .../impl_1/vivado_15112.backup.jou | 12 - projet-vga.runs/impl_1/vivado_1568.backup.jou | 12 - projet-vga.runs/impl_1/vivado_4688.backup.jou | 12 - projet-vga.runs/impl_1/vivado_4708.backup.jou | 12 - projet-vga.runs/impl_1/vivado_4856.backup.jou | 12 - projet-vga.runs/impl_1/vivado_6484.backup.jou | 12 - projet-vga.runs/impl_1/vivado_8972.backup.jou | 12 - projet-vga.runs/impl_1/vivado_9384.backup.jou | 12 - projet-vga.runs/impl_1/vivado_9960.backup.jou | 12 - projet-vga.runs/impl_1/write_bitstream.pb | Bin 5916 -> 6545 bytes .../synth_1/.Xil/VGA_top_propImpl.xdc | 56 +- projet-vga.runs/synth_1/.vivado.begin.rst | 2 +- projet-vga.runs/synth_1/VGA_top.dcp | Bin 46703 -> 473494 bytes projet-vga.runs/synth_1/VGA_top.tcl | 39 +- projet-vga.runs/synth_1/VGA_top.vds | 979 +- .../synth_1/VGA_top_utilization_synth.pb | Bin 224 -> 224 bytes .../synth_1/VGA_top_utilization_synth.rpt | 72 +- projet-vga.runs/synth_1/dont_touch.xdc | 4 +- projet-vga.runs/synth_1/gen_run.xml | 53 +- projet-vga.runs/synth_1/project.wdf | 32 - projet-vga.runs/synth_1/runme.log | 969 +- projet-vga.runs/synth_1/runme.sh | 2 +- projet-vga.runs/synth_1/vivado.jou | 10 +- projet-vga.runs/synth_1/vivado.pb | Bin 108837 -> 118760 bytes projet-vga.srcs/sources_1/new/Diviseur.vhd | 46 +- projet-vga.srcs/sources_1/new/Gene_Snake.vhd | 181 +- projet-vga.xpr | 53 +- sources_snake/Gene_Snake.vhd | 2 +- sources_snake/RAMController.vhd | 13 +- sources_snake/VGA_top.vhd | 48 +- sources_snake/snakeRam.vhd | 8 +- sources_snake/updateSnake.vhd | 204 +- 108 files changed, 10892 insertions(+), 14911 deletions(-) delete mode 100644 projet-vga.runs/impl_1/.place_design.error.rst delete mode 100644 projet-vga.runs/impl_1/.vivado.error.rst delete mode 100644 projet-vga.runs/impl_1/VGA_top_11872.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_12280.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_12864.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_12968.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_13936.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_14844.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_15112.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_1568.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_4688.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_4708.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_4856.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_6484.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_8972.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_9384.backup.vdi delete mode 100644 projet-vga.runs/impl_1/VGA_top_9960.backup.vdi delete mode 100644 projet-vga.runs/impl_1/vivado_11872.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_12280.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_12864.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_12968.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_13936.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_14844.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_15112.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_1568.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_4688.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_4708.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_4856.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_6484.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_8972.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_9384.backup.jou delete mode 100644 projet-vga.runs/impl_1/vivado_9960.backup.jou delete mode 100644 projet-vga.runs/synth_1/project.wdf diff --git a/projet-vga.cache/ip/2018.3/adeea2ece8e77c4b/stats.txt b/projet-vga.cache/ip/2018.3/adeea2ece8e77c4b/stats.txt index dca137a..1631ecc 100644 --- a/projet-vga.cache/ip/2018.3/adeea2ece8e77c4b/stats.txt +++ b/projet-vga.cache/ip/2018.3/adeea2ece8e77c4b/stats.txt @@ -1,2 +1,2 @@ -NumberHits:1 -Timestamp: Tue Nov 16 09:42:22 UTC 2021 +NumberHits:2 +Timestamp: Tue Jan 04 09:12:04 UTC 2022 diff --git a/projet-vga.cache/wt/gui_handlers.wdf b/projet-vga.cache/wt/gui_handlers.wdf index b99f66b..2c61d72 100644 --- a/projet-vga.cache/wt/gui_handlers.wdf +++ b/projet-vga.cache/wt/gui_handlers.wdf @@ -2,70 +2,87 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6162737472616374636f6d62696e656470616e656c5f6164645f656c656d656e74:39:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6162737472616374636f6d62696e656470616e656c5f72656d6f76655f73656c65637465645f656c656d656e7473:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:616273747261637466696c65766965775f636c6f7365:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:3435:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:616273747261637466696c65766965775f72656c6f6164:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:3539:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f636c6f7365:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6e6f:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:333937:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f796573:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f7265747265657461626c6570616e656c5f636f72655f747265655f7461626c65:3138:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6e6f:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:343734:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f796573:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e6669726d736176657465787465646974736469616c6f675f6e6f:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f7265747265657461626c6570616e656c5f636f72655f747265655f7461626c65:3234:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6372656174656e65776469616772616d6469616c6f675f64657369676e5f6e616d65:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:35:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:646566696e656d6f64756c65736469616c6f675f646566696e655f6d6f64756c65735f616e645f737065636966795f696f5f706f727473:3935:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6670676163686f6f7365725f667067615f7461626c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:313537:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:323037:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:323039:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:323631:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6f70656e5f70726f6a656374:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f626c616e6b5f6f7065726174696f6e73:3137:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f636c6f7365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f636c6f7365:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f636f6d6d616e64735f746f5f666f6c645f74657874:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f646966665f77697468:38:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:3135:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:3230:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68696e70757468616e646c65725f696e64656e745f73656c656374696f6e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68696e70757468616e646c65725f746f67676c655f6c696e655f636f6d6d656e7473:3337:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68696e70757468616e646c65725f746f67676c655f6c696e655f636f6d6d656e7473:3430:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68696e70757468616e646c65725f756e696e64656e745f73656c656374696f6e:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68706f7075707469746c655f636c6f7365:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6c6f676d6f6e69746f725f6d6f6e69746f72:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d616e6167655f7375707072657373696f6e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:3739:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f636c6561725f6d657373616765735f726573756c74696e675f66726f6d5f757365725f6578656375746564:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:313337:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f636c6561725f6d657373616765735f726573756c74696e675f66726f6d5f757365725f6578656375746564:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f637269746963616c5f7761726e696e6773:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f6572726f725f6d65737361676573:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f696e666f726d6174696f6e5f6d65737361676573:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f7761726e696e675f6d65737361676573:39:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e756d6a6f627363686f6f7365725f6e756d6265725f6f665f6a6f6273:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:3136:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:3131:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f676f746f5f696d706c656d656e7465645f64657369676e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f7761726e696e675f6d65737361676573:3131:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e65746c69737474726565766965775f6e65746c6973745f74726565:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e756d6a6f627363686f6f7365725f6e756d6265725f6f665f6a6f6273:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:3138:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:3135:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f676f746f5f696d706c656d656e7465645f64657369676e:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f676f746f5f6e65746c6973745f64657369676e:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6c6f675f77696e646f77:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6d6573736167655f77696e646f77:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f68617264776172655f6d616e61676572:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7265637573746f6d697a655f636f7265:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f62697467656e:3432:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f62697467656e:3435:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f696d706c656d656e746174696f6e:38:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7372635f64697361626c65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:37:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f646576696365:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f69705f636174616c6f67:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:3231:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f736368656d61746963:39:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d64656275677461625f726566726573685f646576696365:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f70726f6772616d:3436:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f69705f636174616c6f67:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:3236:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f736368656d61746963:3130:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d64656275677461625f70726f6772616d5f646576696365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d64656275677461625f726566726573685f646576696365:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f70726f6772616d:3531:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:35:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f63616e63656c:35:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563747461625f72656c6f6164:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f64656c657465:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563747461625f72656c6f6164:39:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f636f7079:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f64656c657465:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72656d6f7665736f75726365736469616c6f675f616c736f5f64656c657465:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72756e6761646765745f73686f775f7761726e696e675f616e645f6572726f725f6d657373616765735f696e5f6d65737361676573:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f646f6e745f73617665:38:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f73617665:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f73617665:36:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:736368656d61746963766965775f70726576696f7573:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d706c656f757470757470726f647563746469616c6f675f67656e65726174655f6f75747075745f70726f64756374735f696d6d6564696174656c79:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d706c656f757470757470726f647563746469616c6f675f67656e65726174655f6f75747075745f70726f64756374735f696d6d6564696174656c79:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:737065636966796c6962726172796469616c6f675f6c6962726172795f6e616d65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f6469726563746f72696573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f6f725f6372656174655f736f757263655f66696c65:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f646f63756d656e746174696f6e:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726366696c6570726f7070616e656c735f74797065:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726366696c6574797065636f6d626f626f785f736f757263655f66696c655f74797065:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f646f63756d656e746174696f6e:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:3130:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f7365745f6c696272617279:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7374616c6572756e6469616c6f675f6e6f:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:3136:00:00 -eof:1716158420 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:3139:00:00 +eof:2086603918 diff --git a/projet-vga.cache/wt/java_command_handlers.wdf b/projet-vga.cache/wt/java_command_handlers.wdf index 489f953..4d84210 100644 --- a/projet-vga.cache/wt/java_command_handlers.wdf +++ b/projet-vga.cache/wt/java_command_handlers.wdf @@ -1,24 +1,28 @@ version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:36:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:3136:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636f726576696577:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:637573746f6d697a65636f7265:34:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:34:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:656469747061737465:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:3131:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:3138:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636f726576696577:34:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:637265617465626c6f636b64657369676e:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:637573746f6d697a65636f7265:35:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:39:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:656469747061737465:33:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:65646974756e646f:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:3436:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:3638:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:3231:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:3436:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:666c6970746f766965777461736b72746c616e616c79736973:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:3531:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:3734:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:3234:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:3530:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7265637573746f6d697a65636f7265:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:3435:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:3539:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:3534:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:3638:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e736368656d61746963:37:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:3932:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:313134:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766566696c6570726f787968616e646c6572:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:3235:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:736574736f75726365656e61626c6564:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:3335:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b696d706c656d656e746174696f6e:38:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b72746c616e616c79736973:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b72746c616e616c79736973:37:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b73796e746865736973:32:00:00 -eof:2668022594 +eof:2810968177 diff --git a/projet-vga.cache/wt/project.wpc b/projet-vga.cache/wt/project.wpc index f728c24..9734ac0 100644 --- a/projet-vga.cache/wt/project.wpc +++ b/projet-vga.cache/wt/project.wpc @@ -1,4 +1,4 @@ version:1 -57656254616c6b5472616e736d697373696f6e417474656d70746564:43 -6d6f64655f636f756e7465727c4755494d6f6465:5 +57656254616c6b5472616e736d697373696f6e417474656d70746564:49 +6d6f64655f636f756e7465727c4755494d6f6465:6 eof: diff --git a/projet-vga.cache/wt/synthesis.wdf b/projet-vga.cache/wt/synthesis.wdf index 09e996f..08fb104 100644 --- a/projet-vga.cache/wt/synthesis.wdf +++ b/projet-vga.cache/wt/synthesis.wdf @@ -33,7 +33,7 @@ version:1 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30313a333673:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313331302e3531324d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3934382e3432364d42:00:00 -eof:1833541424 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a343673:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:3937362e3134354d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3631332e3539304d42:00:00 +eof:1302994828 diff --git a/projet-vga.cache/wt/webtalk_pa.xml b/projet-vga.cache/wt/webtalk_pa.xml index c52bf9c..38f7f7e 100644 --- a/projet-vga.cache/wt/webtalk_pa.xml +++ b/projet-vga.cache/wt/webtalk_pa.xml @@ -3,10 +3,10 @@ - +
- +
@@ -17,104 +17,120 @@ This means code written to parse this file will need to be revisited each subseq - - - - - - + + + + + + + - - - - - + + + + + + + - - + + - + - + + - + - + + + - - - - - + + + + + + + + - - - + + + + - + - + - + - - + + - - - - - + + + + + + + - + - + + - - - - - - + + + + + + + - - + + + + - + - - + + + + - - + + + + + - - - - - - - + +
diff --git a/projet-vga.runs/impl_1/.init_design.begin.rst b/projet-vga.runs/impl_1/.init_design.begin.rst index ffef227..e3f30fe 100644 --- a/projet-vga.runs/impl_1/.init_design.begin.rst +++ b/projet-vga.runs/impl_1/.init_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/projet-vga.runs/impl_1/.opt_design.begin.rst b/projet-vga.runs/impl_1/.opt_design.begin.rst index ffef227..e3f30fe 100644 --- a/projet-vga.runs/impl_1/.opt_design.begin.rst +++ b/projet-vga.runs/impl_1/.opt_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/projet-vga.runs/impl_1/.place_design.begin.rst b/projet-vga.runs/impl_1/.place_design.begin.rst index ffef227..e3f30fe 100644 --- a/projet-vga.runs/impl_1/.place_design.begin.rst +++ b/projet-vga.runs/impl_1/.place_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/projet-vga.runs/impl_1/.place_design.error.rst b/projet-vga.runs/impl_1/.place_design.error.rst deleted file mode 100644 index e69de29..0000000 diff --git a/projet-vga.runs/impl_1/.route_design.begin.rst b/projet-vga.runs/impl_1/.route_design.begin.rst index ffef227..e3f30fe 100644 --- a/projet-vga.runs/impl_1/.route_design.begin.rst +++ b/projet-vga.runs/impl_1/.route_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/projet-vga.runs/impl_1/.vivado.begin.rst b/projet-vga.runs/impl_1/.vivado.begin.rst index ea9ae64..c4c21b1 100644 --- a/projet-vga.runs/impl_1/.vivado.begin.rst +++ b/projet-vga.runs/impl_1/.vivado.begin.rst @@ -1,10 +1,5 @@ - - - - - - + diff --git a/projet-vga.runs/impl_1/.vivado.error.rst b/projet-vga.runs/impl_1/.vivado.error.rst deleted file mode 100644 index e69de29..0000000 diff --git a/projet-vga.runs/impl_1/.write_bitstream.begin.rst b/projet-vga.runs/impl_1/.write_bitstream.begin.rst index 9014d1f..e3f30fe 100644 --- a/projet-vga.runs/impl_1/.write_bitstream.begin.rst +++ b/projet-vga.runs/impl_1/.write_bitstream.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/projet-vga.runs/impl_1/VGA_top.bit b/projet-vga.runs/impl_1/VGA_top.bit index bffedf8792168e69cef320baeaa4b894e8ded637..6aeb2d5169991ba44ec6dfa422064783a37e16d4 100644 GIT binary patch literal 2083843 zcmeF)3zS_~edqaoPaT!6%7yAmF3ZA}?Jc30LLsEE93aFoTZ&*5ph@9KG~-2w;u+(i z6Nk8iR~8*_6~a**hgQ;tfpjKQ5Fm~_la=nFCrQ)O2ux^_Rsx-nteGrcy;hPTSs6z& z5a>y}srmi)KIgvb-g-z?QkCkvrF-`C>~qd%pVz&0A8yCQh5XOC#_Qa(UiIG{dF}l_ zdf)e){P8!v?fZ{?@ARu*Qibn%)tlb-<8S%VANk(frl0$Q=NxvgcjM3h$?4}#zu~Pv zeEamYdxN{?w&~k$`_Ad-e&_Vwr5} zH+%g3C*SNRLBE>GpE~Yru<@9z-r+hJ++cbQma4-6c>BhM#9~&cY^Z$H0x8ITH zwYst~?dBD4Q(UzjacQ2q&tdVj^SSTbXCwC++|2e1^NxT30tg_000IagfB*srAb6#P*n^~Z_ z8GC`{g#PF6(&hmvDJ{Y=z6S9G0>*1)46V4Nq~{yav~fZr*ig%@IHV z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q59L0?k72wbMuB>-fsA z(Z#MoD_-oJ8*RQ@-E3N=I^JmOxAOJjeUK}+q9pZ5a4Xz^>e z*cM-Iw|1EHv{;~s`J7d3?sM13mlto^W-rn8WK)N0@7>g^-@WpieJI-7GICoxNdkd2 z7Kl}Ub-c#iU&ijPqSsbTtyoj-IF`lmD-db{3Lcli~(G`ut zH(YEKBb)e08Tp+n;kO>k4BM>NMiI8z4cKNL6Zb3Y;d3SW^iIc#{&M1-USrwRe?htS zt5?ErJ(fB9u2a#SI#tZR>vU|KdgmrSceVa_J$$Z2pReE6m~$hIhhD#}RJ@tLzwg?g zy%K)wv&^@@J@@CSV{&<%SqcIOAbC}Sts>CaWE*nhMio1YOFinmk^{mupB+$0(OL_v>Rh?^#9A+&{t!R_ft3q1tER)^VLjdAht*Jy zz||+vbTMsIvxwdj?R-_9DhEw?elkdfvMnW0UtNZ8bn|1w>!?8h0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1U801v#@tTls?CL2T`%3D?eJ5*Rj?2ziZ;v zzq&EDM2NY6tDFRJ>wAY>nPPy(e zsCsO=BBdJbfm*z%)(y@@p&*(zQP1Udt79-ul7{8g0fV;fk+xzHsDEG3G0a&!1_uqo|m- z<79By$M;AKhPk9tsT+9Ixl1RgDaFmg=@ns}yS&N|ROhe*g|}u!-{9>09u*SSP9W;8 z%l_$UNxJWf8U>%bk11+Y)3dp7z;y553@D`N5(IoTZyh@q`i_I;I&b~JaxJL81c636 zhv_MNI#yOVHhZtu=O>o{xi+)Ls+;Iqb|x!NxAV?UYJ02ffav-^7*I&j8Vcm=hf_4A z>wWIHI-3RM(^HwcJlF0zxQ2_TD+z=s_;{*xF)EJjIL^;2KYuz}`PmrHDOTDhD%YbO zTKBY*B-Tct;i4TCdPM8c+e8^}`OqkQS?Wyow8E#CgGV%g=}79j*6evA&BA2O1VSDU z@#ii>da0#x>+y!3t7uGu#$hId{W*JxI8b$F!aR$<}hr*h16tpvg;jmfD~E}V*Xtteg}zcQxl%X7Z^fsek^ zec}UiUpal+&A;d8KJt}QYH;eE52?<%Q+5%m!^F$#6!F>!%r-P8jYc_}uPdXoZdQL$ zX*}ni{kOl<9oauRYv-=(o_4FQCu%p6&t3KNn6wHLZ(5r*p_gF-htI$L%*n^y$!*(S zbk0rWR=W;3x3I78deVa2g86WS!BM>ArhRbeL#NCNAtcFfqY# zvJBihR(GGf@~Mk4Sx4@HT_4r!TY81-z)?57WPDdu?-ciTv}bp3p4_Dh==H^>kc& zwe_8cFVwdlR5{-jucZn2Js_T7Bm&?+j{NMN?=r#~Lr{m9Uoty~dSx$!G)zoK?6 z-?Q`;&C@dnnbioa$hZ04)pWUK+QuunrPuw|m0UW@M&L>a_$3$G_p(ZAyNmm-CCye? z8HcnBsXV{NnA4qxeXl%rDt6Cw(n`gi9GP_xu(v}r{I2SqMuQeGa(Gbbip%_pTW-0A zdR_P;!}V9|XM!%$*R>fE3U{Y~Fq*IrcI?)#$VzS?Kfd+ux#)5H=f*h`S<4fbcMf20cRrqtJ} zgxq2KGueat-^=G22g9scsaH?MR7m5YuV5*wj+eOe+WRloY~ge?P~c#kYZZ^3n>jW& z=T5}4le(@HZZ0nMexWxH?1;iO6FAr$({si!b8M_|CtT~8I<|Ay62}S8Pu2{7#U1fM z#uZatwuXlQ0-E!H|n!mR8 z%cRF{0eh+I%$f5l+NIwP*k&&hFZ21uR{k4UcPFIRRG=P{#~G6~b-k3%V$|n=>yW>+ zfa9e0xI(cVCl(&J31eak1OyNmED%&2_2Rh2T_IR{QE4i(^88TL69%=n2BCUbx;re@ zzPnwW9?<^A2dm1E;ykU2hLo(lw!39chs8xpmaMk@N;~rd8V)KO&}{vScK*kUT*ijk zf{R@L4LsXe?{CY-4ZNzWTeYyd<{PQ?7X9s}0dIC+(OVQR%h0u6x$I*6z!rnHYGA`F zP#DuPqptHkp|sqh(o|;U`Jt#M3~Fx;V)d|ecUY=@ce^@0pndWCRb{Lj^~J-=bF-yu z`?^wgz1uHdqDsnvr5m@fOiwQ_V*UJ(d4`n_X-+W$Ya$RXvX>Rk*SNILXJ)a-m$Mq+M*Htx3-}Nrh_p&|HV9N_!_Twpw55Ar(F&g81c5VqBst`Z`0R#|0 z009ILKmY**5I_KdVFJHk6~6VI!|Ex&0s>LX^zOhFSTc)50D&zdaH+5T51s#i*m#6P z*L8!fYPiJp89M)uTw=%TF#FJTU4B`^XB}KKxYU+2xMpyvwI3Y9;NqdBsr^oV*I_6S z6&p%m(0dRDm95K4U;CAH>2_;(dWmm_-9ESV`1~q2IfPZtaEZ+)-*t%{Y^>Si(A61S zGq}{=Yu;58bK0g|rOFKpog2qEtjUn_)PD4(LuyxF99BQeRWnEE{8B@En=aa8{EO{A zmPst}CB@6kNi_nSU10om>$C;MI`z8+y1j9guhqvbU~&_9PpNy8?1YcFx@zSkVU%Tf0PRy=; zB{tX`Yi@F-w{PEj)@=>0I;%=*sau3WCzHB;9WM(${)}atbovU*wzUSG_A6?>`(sX6wB#ta!E7Y?&)w;^t^r zyI8!E7i#PFYCbsZ$Ujs!xH6aezREgZ$9>i9>~i((hU=WD*Q1Wtd2x%nU-fdY-@T}h z;RSJcRacGgN?(rTa?7jh>-bXFsqSY`Jm@$X)XvtSF1K_~xaNQC$qvf+s?b+|W$WYz zweBpdZa28q@Y2=Jw_N+WzK-1*hq_;jml~6Lv32`8zbL->`b9xC_aVqSZ z)a7;DRkbe3%e9kh?VuN;E@kEW z`|Hp5_uXILpYLw}^w6Cfp6>6XKi@y+a`pY~`}3=ve+BJB|1vHy-``(o z{q{TB$>kFLee~!1=U=YAzkOGJO$9_{q_C%-uC*5rxgU>ZWB|g zKi{sd63f;1x9`t)=I^f=oL_DG!M#w500IagfWQ(0$K1A{YCRgk9b2N|u&N`vU+eml zMt^_(`TlPD>-+Ql?cGhky{M=v2tf&Z^!L%9SCgKwTzyaD0hwz40X2h*mTP~&714gP zU61*T`ih1$t4(s~@2@{!x2xm+`u=>~t{q?V>UOd}F|U{1=Tz9=M}NM5zUAus+xO=? z^Y_;b&abxp;9e+2009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~f#~9j5bAcpg%!28MyqMN;!bIZz!eZ^xz>u7YVB6q);e5G z+ZC+wJB!U#^SQv|X+*>MugzrZ-;1OlvXA%C3cLu_XFHU_AxwIm$|& zr>wNydaf&dBe1RlPkYn4c0asxKfH>Xp#m#CC#|&I&;?O}00IagfB*srAbPzlm*(GeH2G`!FtsVYZkl{633F$I#>y6)9iv@&9~GQ z{Vn?Qbvxqq78w2RK}$&ca`$P+_VK)*S9|-Qxt6$p>u=6?RrHH=<;VYPSH|_8S9){Z z?Uqho?0if2x^-%ITw~kXubA`wrCUek@@#2i$YQShle(h6MWg%NRX57z-M~&ZWGo^L2e4*X5Vm&NWB=WUc$` z?oV$ndfig%sP`ldpQ|<%^KhxhmvH zHP(N$+acc}BZ>+YJAp4QY`o6_FX*eYAy?SgviY{|AX!;7tLT8d5U-cwb35|m1-o>tLv zS2+0fSpML*CdTSrjYVjfZ5_N4HpAJvU~$|GMJlvuPFXhEq<*JlPPeCatZdql`0Do! z*6|9rW~Cdhc0E0x-TA03p;tIBvzF}bx_AFh^Fa2m(sJxPX)WvC z^RhO_v_A6t);(yGLf;m2@|McE+^SQfimnhk>7DlbQ*md&x?B06TxZoScjZFfbLzdv_Qi%Xj*&FYsl0Zl2Cig7?K`0>t$jF&S!KJ} z&h{y&Wa2kmC{n)EzOFNVbPX*}HP+{-n%U9|t%=qs7O6x97Sv{Bms43$pX;I(r)_Du zX1Po4Tg8mE>x71Kb+19i*1Ls}`pe6z%TmRaoM@#6hd8*nP2F1^Z0pkY*@Zr8^J30f z(n1yGjB~qm=}mV@p)Rp2aVHZy#b(=TS9O~7ciEXEwb7(iHHlUg($rGwE2hoRsjkYW zXn2RY`Z7&pT27w!EV5M2_TQqrv<&G}JnjA6HPs@AX7%|V&8Po$yHsag<%Fe7I68Op zSJlYwokISnt`(Y^rrkS_K`KjEe_M}9TbstD`Y)|4AEWKgZT6f=XSQuQ9b9W$>ah$v za?jB&I6G1&TIKpitUeoBFIPU8iA#@aU1Ak47uD_RxN6^n)ZIsJSGOLyvAfQdb$M55 z*}gB(fYhOVK?qh7ZQ^2DX4iu2_QRy>`gA{@y4V<#m^LW(u1wyp&eoNx*SXv_TB%OC zotu^GE=Vl~^=4%WL9J^HomT#tGWA|+2V|K$Qz`{z?SLuVHW&2oCGP0>(X@!8^+FY= zL#mpgH;Nj&Q!aLvn8vc6wNqTp)mg9JZZ2~!+8XO&TkO(9k*#b@u|40?CP%yG8(CHC z%2aDpD;!NZo0RT!R8(zT%yE@dc@AY&ps4keRavw+O;mb1r>rQ2X5FEk8B1eUle9ay zpavo8p*RIqcS2NhELD} zFLiy6)mOuLSJ>&P5!0txW7h_#M4`S1IGb|qJ*vuGsVJlc=V`j+S-#$CSCw~_Sak~N zw9{@_m-fc})$M%HRrW*HwyC1Nvr6hB9Tn~7X;kzYiiJE3g-@A0P02JVH43hIKxw~i zUeoTNBO&#wve+pvyV9M~4Vh~1l!?PuF_om7qu3d$R35E5ZD%!?@Iorf)A|G#Ro1kV zVG4VH(Vf$YsD0n{NJzy;EA@QerJR;LqwbEnbIrRfm#0pt6gAPD zI?ybPhEvseYW0dnQAWjc^=cQX%?6s(=h8aXG3|rbzSgylxlYqyM|@qQc6L{q9$k$s z8OEJ9x(X7WYPqthyV*M2@Gt9B6{|kakUuW1Zu97MdKq-MrX#JZr?j52=?*qiN;SUa z_NGIm-AXl4|19Xnx^AcCX#Ebh^QrzyPJ#>Ch?aL#ORq)Hil>cew^OdwQgMHXZf{Gc zo7^s@+E%^!mfQ1*Tl*4JdKX+x&anjE%!rRpm*>5yq~yvfWBT$AqOBp)N4IlE`D?GLWd zRQ31Ragttl%uTNS*{Z2`?wqx3HOh2qbggLJwW21S;GK(AJJqEI`FPsfQC6FF=sixN zT}t|bw|viW5_O#1nvRpjt@~zP*Q`&y+jP-!VwhH*BTaou^&clO5mk*-n>3)#-OxEs zY(~8Xm4>eBZ~O07ZLO~9y=dz`oSRR_Np2ac<$7zVR>u_uODkyEIng_fT1T&<>Ntto z2Jh#spn9~orSCX7r}0*kD;tc@{vDb+q+8R%9nz!u_~T0GWTqGLnmSGB(OjaPYwG4z zP0H?e_on_vTUCFflZSMas4{#9#e>!=QF9Y@>i zShwq0$Fyt>@RXiBsXwRwT4~uD68l##_ui>{`?uNpdx^HATE{ChHg^2mzsVDm!G#b` z=uw_L>4LJQ&dH^&yL#w=UaFni4s3UPw(75W&t%Yfz0*!wZ|LpQhe_=QTDB6lY)F$Y~vua!X;Qj_}v(};7mMb$N)lzl11|@C(sjhdf6;$YzuR}hh zQ%jZlPCGTQx`qJjklM<_Zg|e*!e-EEW3OFq>u}wvI;EXKJ*xG#>c-3Z&yTM5skNPZ z6s8`_acXz4O4p|yE&ZJHd-r;mZ{)nL-CirBd>Z7soy}JI)>Qp`bML{R17ymIyS61| zuh?YV_D5H3p?5y$I?))gerl(N?REn7X-IokJZQUic(tljwZ{kDXPRkM(!Li~cd@Cn zi+9JHpQ$>TQLKA{DwiW`XC=NWtz+x6KQvnh52}6hj4E1F!O!T5HPh3dYAv+5W4h>vl)Hix+3N=0JDr2aZ#!^X>(HI)Tzz2r-s#Z1ZF;1Aok(|LzIABoUbQQx zt9HAm@Ab3I+x+XBJF4r6wQKp7&ei2DFTC}RL%*E*yY<=k{?Z$pcRu?^>i%?K{aISJ zTHibM*W(AKZ=Rm|f${S?|9gM0zpr_~zb#!&%4W3n%YA-we6%PYJ5(HcOzjT%Lt6HM zgU?A<33Z&>spX-mzi!@k$4^Zkdg=HhYIpk`_rBnP=5wa&{;V%IpVskE`NHSjx$n>m z(>mUH$Gy+~Li5hOcX!tD$_z|P_d35$T~Au~owzS-o4)Ue&aEl* zwhQ-F?fiWwW@gH1J#^l&kk0+;^TdhIzx+GyfAu>~{7pEbbNc&UbK+nA3HN*T9^S`Et;txGBulbZprJ8iYueFm(Rm=W0*C}mRZ_LEP!osJ&^rbI- z`O}~N^ndvDXP^9%b4q>Mxo`aKUs~eR-}uHizWE>2NMTLenZ{c8xz_z{gb|CEVI_{I zWt85z>t8n(EHnCVMlDh(f+j83c&WwugZ<7FmA=ZqCuKD$f+lYCxi-DpLv<^%uA7-o zSj}Qw-*b2WGrcWph3#HZv$!Ycw!*Z~M%ItD z-QG}l*R;egJzKAXPl@WxZ|QTXpl@Pp6|`<2vFAF$a_tb>shp_txs9g2uYQwdZ9D46 zdanBJe_X#9?}C=2M`uhL?FG+cB zGUPvt`a9^kl&)*5?&|D-xU9-_lv(Oxw{Squ zmssSx=daeTyJ%oKZ%w^TeNB8%x$ikmjoxF8=WSxA(MHt79y&hsr{s{*Mao-Ioyc)} zZ|}wHEUmhLwErNg8G6@6{n$b_QSfWNt{uFTlF@bl$rfU}u-<=irj-V!NG}|>N5UGI zb2S8d1y^k|ydSjh$JCw83k>6N*v2|0=c$P3#$>K4Y3@PD6Jj`i6Il#wG;U+0`4>;iaNn z=u>LZqxZ;jG~4AYuyvAF-fwfp+=w@-geHOCazWlQK&{f2afQB$_cpA#2 z(@5HfmCM?y%EyJ#pbZ)1Hut2^IDGX<${u_X_`s7ybq`}hf^-r$&DNPm9Lsa zd^%I7UAtr5-Gkb6!gNYzA{j-!hM3;Nz2C~m^iWBj#KIr2OpH1<=Ax4G=@_x&Bx)#Z zR8;G=0{uaMf3Je7>!6WP@D1PemdK$|CluS>XFEbFYu^=gHMm-mSt9-IxNnnmGD@Sl z_YY!U%?^FSs0-7z&ZY;CTmyV21p&fK7bYG3?vQD$Dmh$}@96JS# zFXwS{?wuxo;IwvTJK0!i=PGWWbts|>?HM(q`b+H*J9$MrTKYr%*L9WWcMYWmJ?GHw zS$xi}5j#VU+Uyh0FA@#j4Xz{|{P6`!>7L@YOH{ zUsZ)?>AA^NUpt>_hYw7yY1i9r6=5X;<%_Em(zgEA(N0v2#wnFYyOJyP?&7I|6)y^$ zn--C*reN%c)%tYz9A24*`-Da!U0Pf`m}*trxgSm?u8cWmx^@C~F1hIo{**mKccW3G zpohYk2ICVNsPs9NQ*-I~C}X3gF|pU^>u|O_tplfXfvL;uScjQHp4MfGwJgGt0yjOk zVXtI$LY#frP3pNwOP^Hn=^|gAj_!mG9ep*TnzaXIbbxo&jj>xh_$!c=N5*#D7xtf; zJ1xBJ(b;j*(3p%(ZjYa6y(^A=RIdP>nmhRD!;KT$KG2wKJ*?xTJto`F9(-uXagxU* zf5y9goT$*s87M;-8jSarx7nCX=5yDnsByVpV=}5SIc;O&8mH#U(`VwVPM>N_PCo2L zM%rUC`U`soj!9)dQtD<}3uuo?l`N|IuJWNPNW~C=7cER2o}YIYMn=x{w3wLq!5?() z{P~_jGHW5A%fixOVPWh*9+Td7c}#lC$Xyw2Dgx&8UNocJOI zs@F+&yj=6!^A2~9i@Wtn&ll^7$qgC+doEa&KeX4%>%6tCLaMJb#A_vR%_+BVc*400 zT^%mC$CVo&|LkW~-d)kvCMDbV#r+jS^249=51CN!flROsIL(xhN zr)}D3_ZIqIUJv4~f+aMM%{StXDr3uPRIwtjN1o=CGWE99u{B7+SMjEbR{ANsIDD&& z%Yx#N5_&oP={}d%b*a2}81hi5ELN3ufwJ}q(sl?oD)vty<`J@Fp@A#nTq;-bib7Yf zD{8;78-*oTuXFnH)-LWk)zv%>I_>)oZaOt7Uk6J@4NJ5FZxgpaO{+;BJAIp>9Zew> z=4n;GsiJrhYir+8Gbq>r;Pr@l*ddZ*BMN%#_^EuhQB?{*<9x{DR`=$snjCq}A5zk% zvGV%DXInz<# zn%8l=;_68xA3tz=45Sw&JA~AF=j-!K_Qh*f?)CPR%BjdTwb~K;g2;w_QPoq_hm4{%Ty7n6 zy$-ODT5sgZz%|r{_lJS)`Wr3EPkx{%$5g6a-AmL`QR#GDu)=)8vf1oPbT(S5J3P%A zSqRb1)u*HZeOVi97e-gBceg&&(?Ycwg&UB@N>(o>!pU*#Pw4lp}SFBJ*H4I9O zYdT*X-!Y?8nJfKK`GZOd(jQPp<5c|+jd#af`Y2XN zV`FEiSOoppT+-dG?`xj78({0aumwb~WC&K0LeSJ6bqIB0QJiWTi;6c@LE46Djl0wR z8xfrC+x;zyP^h$dPVYOl5RAUmuQTZkWwx4}QSrOlT zb}@>2sIxi6g-?f+W!u45&Y@khB@$_$UHO)l+LL3#oBUM%QV)GPG0k4Y0~UKdj*bLP z^B?7PLVIU9*@#gmEi1Hf(D}+PJ<-_+>i9T)Ea=u)HPI>>bDHE}+0_NVy5?6!>mrug zk@eq|_qjx7X`RiL)^SpWc7^@UStx$nt5VM?HF(y#NY!42IcSJRQ;}L#aa~2cDuG)* zmYYX6mM*YaQ|8;;X%|(e9lx+~(Dl6O9@du?s?0(|EmPwW8%xb#sj;#5iN~@?umW+Rr#X*bt%d#=t+CN?kMf8pxoXmNC%aUFda};t+gPmP@vx1 z-NXwzb5w&x-&s90BBUJQ<#P}Wpq5v`Sd4yt4bl4*E!-(<84Y}IJ!Cq6h zIE{%0;NhrDna9D+6sMKT&kmKa5UuG*N@`+bk&hc2yq@HZWc4AZg8j5 z$h7R4hVA}EOcxeco@wd%VQB-C=^t_B!|s?h(Gb`$ju)ersJ;m--NmKG)j;Jm(_sR| z>C;j)$bB!%d-$9in{4U&Fsd<`Q_ausX+#|-jk(bn=Z?8E?!@E2^zX;!e(MqE&O{AV zbno`3AD(*2cYOOu8k2?_)tK1tY?WgVPwsA}_u^NJx8)F)6G-Q-_)Z-P<3F5FIyMga zrpM9I#`Kv&>0Q@1%6Dr_+;;IFD;w_Q!yk=f%{}k>?@OoGyL5N;$cfLt+r7k%G|GIO zlp2##V>0RHZr5wbU1vGQWV!Lk^|5N!oUPweAKmlQ>8h$wbfJ?}>bjGM-)_Im(OszA z!i5WZTRve81Y*_4ZvECuZT~%eJ9J&1o1Q#*SmV(dlanVW4nLU3q#7q(TnK9*aLvI^ zC;90|C*PYo`KCA6n0%`)J^8Ae-@LGJ;ENY7++7zDuem_|Tw`#@{l|&5%40I|+QD;B zx*CCFdj8-M~d3{Mls#&<>4*R}{_rL%A`NN0Z z!s91<+jnyLQm?xJ&EI-cr<~7gcPv(v`mX*~3nv$P#$>_WamT`fjmcpJ88|29>DE|2J>a#% zbewd?#Eui4yEG<;59cwdd+i+)d%j{d3y-_!)h%+&nDly(LjZwG7tm{vO9b7}daLfb zu`ToaRq_pTe*JQZ4wkN}p64vxXyeuT6|PcGyP@0KWn1;T=U)t1KuBec{9Mq|t@;^= z(w#o?pR+po#f!DiXm*F*TzAb?pYD5ocd6~Y>Dva>wo+Nf_5%o*S|^E{zZRDcfNiCex|#yN-sVt1~gi-sDBLm^GnWrHB@@rh{aod z(_f`mPEu`3wSOfe7wNhYHDeuoo#@1MpY`~4YPNc6#m9*ncJJYh=a^jR=2IrONYk2J zWhvh*$GaNat7iRS*s8?-hQ04N>eLSFpwnW5rUqavwWij5(Jb2d@hN*zQ8o6z;i5ZO z_o5dUtDw)KR_{pl^*d8_*{^GJLf?AZK2X$E4!LJbw_8^=L=xy+KYj8Dn%W_7)6cgL zgjB9c)T8BQH4S>$-SDh^6xhmBFCovVC$ z_s?o`TQ2CszP{dY`PaL;;?y+3rovWrsB}uIkSjO8#A*5-0(wA+Q4@>yE^I;7(Zn@!f1>cn( zn9QdTYo^^ji`sP859&h;s%u(*)zP8yN&U63YFo~@ne>+XUb$*H1w+DBLMps4Ej%a(ew0$0&V4LopyIGH>-cpxJ54fnKLoF>#HJd?^ z*Q2&h$!g+5Ct;)I7X6M;RX3VO|$I!4xF!~veERXc;}v}PtPg* zRVrL!a?0n*U+s-!F5N^^`yL#ZzW?1b2pT(sQu-5%{Wm0GPm6s%2lNZHFf>D>+UvInp9;`KVaxTvS3yKnpHksM-An<`Vd>wl}-?}J+Q zen7}~=IHLSJ8i4t-Pw>^)<;l%m|AVjRcTspV0#0)E4$h)PR44q7T2s_iTy3L5w!=N z)gy)c*HukbU}bfAu5E8s&f2uM!Q#AkE?B$X5F59s;5Tz&?n2*WCtUq+^)}U4teov$ zKb_ysrtyjGwTZoR*x&z;=wsaW7h_wY{#DGSX*t!hti{lF*8FmZ&U*~kZpoRU-nG3t zwnxhA+iMT#d$_+kqO88&c}C?q#P74c(v;>4?dN)PWb=b@F72u99|p)R=Pio*XOi}% zQuUdqJ|e7S?ZTijUAx}brl+`)ZbDC^^j4Hyq9Z|J^aClfcctnmO*?5TT%)wNZy^04MYq>P0Xp2rNH$BVH$E)ie_8+Vq7%WqnPq!fe`I+uId$%1%TzRelGNvN!yS zCe^vEaZgh%bbhkg8_s@~ss0wOMjESV$BA8S_Ns+7%I7P4PuPL#}e9Rp*YM)${djrM^X5W1=#t8>l0 z^zPEmaiN`g{NF|G$)Aa7m)BWI-%+c1qFwjHF|Vd)a~HPXN4f8c8qTsAn#b~cyT(J| zc7D>@*fT~|`Z;T=ky$2$W$Lf?Y6B|WE{#SjeNnxA^LfQ~%bS#%v@aggK7GHQS;$*c z*K9QJE9cy=95-RjKN4(DFWuR>R?rN3=*diQA%@_Nea_BgO4!lhZ93++r4wT5ZFUPb zw=L8{eh!(_SKBmnZBTdGgcNKf@(EseRTwFX=4l-YQ8&2m`Z?MEYaJ_bOvj1JbbeBW zKh@GFgU+~8DV^a;_fmJ{gU8g@$hlX0&GAq?sNZ2O&-iBRA=jKPy}s;u_Ed2|{&r#7 z=Iz%V>aoaoVk+(rp}%0u<#h)ouTLg-d-1|@AB667U`E@c?cwx% zPZN8MQor#RHFe%<(=|dh>2(&bS{F65E4QU#u;158U04NSut2n89UFGsw9><-I{l1j zK=i))zp|52EZnwe_hR!R6?b%}^rCxlM6cdQ<=yU}udtnuYG+>otzU|D#nD_<77r^I zb%bb?=c8BY(b8ritVF;|)A)4fWW!Rl#)P-`PEB@-S?Eo@rQQD4aRN!?DouVwu*9h+0=8IF*VT0IYope1)^SilANN+5Z&bX zZe7=d*DRAicP9P9yG~xCQC~=C;xRTGs`C1Jp>jugmu6I^aj(I+WFlFO6|*X+xwf1#=L55XHUT_?KARa z^L0w8Q5khT*{jR;bR3Vi z+_bab{;h{EIBTdQB=t~O)*UZx+76bRJoG_LW@5XL#6SUWrLlVG)NdKDtdjd|ddMag z>=-$aQc8wkgOHw}r=s1}JeprMJwZL%*Q{DJHn~qf%#m&udYX{w6__?`opFMS?K*y@ z<;Szy#Q)?<{dPbQ{u#GhFFCf{=m%|g*9?yyFJ9on&g1sWC;Hm1R$2O)11)!Z(a;@M z;eWF{pkc6X)m(?h*v(@_IZ~99`+T#hXQ6~;1ma}N3;tniW@r4fiVx^9dAMv9Wz-KZ zwOljk%5qed)t3tE*ByeMp%nY{yEVF|I5!(c$HO5vKI&Wg@k|$6elor7#h!(_vFrS# z?uW}Uox84clh&TFgun%NW^(eebLEMLn`euuvvLD{##*C0H73Q)FS_&QoqHyBzT(b*f9N0W`=R$Qu{u;qU^yS=5H$T1H^QwI@JT^M{$isKtwg0ZWMn^w#y4a;p z_ulr6mtXt94KKg>wKxBA={~gm<*kEn{>fK8XXeoTH&1+M-+|kvfAjQh{)b+8`?#Jc z+OK8ae9N6TkM7w%dgq-VzyGGOTRs8&>I9y=d16ApQhq_t!sj*BzlpEuH#hX1wH7|E z@B6s$wLkdV3;M#~FY4DcPNr|(e(fy}#_0up>+^-_>9GR~6ZXx|3+d~h-w@JlUv^*C z`79-!Q~!ij3D}rCHEm;Z<6qi{EZi}n7wEgka*vc(3m{-3jq`^O|5JRB#h z9TgiBDNB0KAKI9>3**XnkIDc0|NQMAT#&B53|*s=$0R;DGT|ET!pMk?Nj`U3Kh?Rb zI!^MsZ0Fpy+@RR4ti9^t_oujJvA5?HdmdA1l~z1rnSZ7GVW+>P>{aa3t+VD<(7o~! z;fQ9i>LW9IU#%!VX<4E@Rf_~-_8-@8G3j-XG#0IxTaBhCn9j}F?`djmbj#chs!D^{ zE^tTe)p~^^)%E?A`K8XjR-N{wvcMfrOk8;4iE}S%e)Gb_dHr5SzF)GsYo-9Ow?nt|8!&byLD2l$7Dj+jNkqJ*N(ma za2}Jx!hVrvP1o;wO!T|j_R$7~YE0g4V=}*>duzf{0yZXZdE!OqzS(@>r=Pe(w@(XS z_PPD_ud0ue^2mqXp;Mz8lO6B1Ivpo>_Z=s}Mx#1ToT;aq-`tUN-_$>ag_Ga>)WX6w z94EEQkl4;$c}y-$JaNZ^abdxKIXB&@XMf*H=PnzQ=N}(C-8oL~){p%C?(g6D3Oy%D z=dQy#Le6O%E?lsAt1;o`>`b1a_V4G^&m35?>|i@~>3+SjDqd9ICjEX6wY&8MpV<MiQZ zb@jUYiz@eeM0*Q_DoXdMu9jV&jm+;wwYK#bRX4wN^Y&RRRwd)@5-aG+Sh6cyHQ(@& zv86|KLKwKhx{mtguBBB+)zgJg_0xX#u=~H|<*2;1KP}N(XrXGMSvAe+^v*`>E4P`p z&nfnXP-i<8meM2tudA1dJ9977@UmCeYnJ|6w34OA?nY-jyK-0YS*7#S!oq#7F2C_F zs#L2PPRF{mj*E~|-liHGi|sKuq{Al+u2X6HCf%X68>+&j1_TM1LV66SUbd)xeyKW% z)f}O3&QVp^8&53+pQ1d8^|EtMCYnz-jkC7H=)*x>jqOkfb-vL_sYuQA|L5zCN(KWQ?lt>5QY-QRdw?I|C^+^;V;XJFSNu zANC>NC|1p-N9mp4rVsb3uxyQ`Zk&6To;9`}RqK!nf>Q0*^UGPy6;)u5phpg&PHf%S zr&Ec~dux5-Ddl006!!j(cKSvd0XtgCXr)#gO!AB#t~ira?bAJa^Qo>ZPRCJn`;`pV z^i%1>6G87wQi%m?R1KS&*1<}*c!)!`-^vU5=)7fN{O9#vJ39?&7mv|-=X|h(s2$2v zmelutcpEZH1pj+6HXhPE9dM15hP=wh_RM{WQ042mullObM%zMfyRuC!9MK1_>Jy*< zMXWG7t~ba9CFTUa&25XNj+sK2jAIA%=?5Jc<@C{0$6Xn3aFeGe>^4_txYk+Cus`f_ z;iwBy%Qzd=hw7|0YBt3)8lsR|E0==TG^Es3Gts`i`jFghHg72}rGCib+Sn)barDl-YMHm##W_pDsRA?aNjc)Zkn~_U_1a zb9zmz*c-iW$h>Ase|)zW1`gOA!w1!gy&FHK!BE^8ft(7Oh0rC?*;}p97teNaF7LwI zA4``3JvsDVhsI;B-oei*72`QUTLYbmbTsKg6b~0M=uhwO`GnPYuPe;ymM;C1ZZhga z!s*(sITYJ8^_^lS%L?dsvDBv2adNb3TbAx&k$N{1Tzq1GsolR7)L=xHjhE_^i#Duf zFzjY?+i^E$pN1UO$BA6Dzx*Em*SmL}j$U7J(AsUEb|kD?Am%xAOzirt_RL=2@L`z` z?F}|!ryK69bH^rIqt7lIdb;7p)7$OcFFsW0p3SS_ts14WVF$$C(j9B+Q!G9`qwhT` z)sV29K(JS*>M-#EuVl!NptyPbVD!y1MTo~rH?Kj`6=de@qwdUpJwvq5$v3@5WY+1J ziki!n^Zrx%0kzUz4cPGkrx9v=pKB;>m4sCYqyxg*@B6GK-cl}3xkk}A;@m5Bn4H>n zFrBV62xav9y}z#+)ULFP_+GD}i_NHceECY9&U|aP#%jMxj}~K1o#!}GWV8C9>xYH0 zKdWcW7Xd3xH7OBozpp}6GUdI#Fs+>NPESf))Wh0n=ID;SuQ93kv^y0Qtb(vQ0bNWK zM*dTKuIib6&U>#|FVsgxx&hPYxRmhvmuEYqqUy8PIwtIt7QGtitoT7qtWCpmgp~`F zxv8S-zEI6ox91Tm=QYQU*~m73rd5Vws?nTr?%?j}v){kB7}1lJ>IA5mutI@J zjfqY$LfvzN2B#I3e)Qg7eze?m>qDRarLTYf|2px^cYo`q?)DR7;jUY5f8H$*{K8K?u=B)A+*GUiFW8Gk}ojqTrlTJ6#?^{O|2OJlNs|Ahx{{QjuSUAw%@sLZyzTM3mOym@sB?KxW?p+ zj+Q6JM; z2<7mF*^k?~>v4VV_q@(s6AN#??HLP)7j(X3Ols5B#k!qMVf&BUbBw-l&hoAL&t7%C z*VDjjl<^L&+gj&&p54s=#R! z6!fRz^qQEfJ`Xw5_qoWrHStOWbeB|x8=kapi%8+|FYEo>3XdN?f8)Q>&6K`)KNCN9j^P5)^9$9)! z(x*=AQLdLkyaIs`E_?e z&qb>5IJo;Q6Bi!%>9@S)i96o<&;M@ho6WB+e6zk-tzb=PQVVSVFR!ilAbZEVX*N^k>P1W{-?Fli-%d5brg9KUTv*kTcdhyz0GHRsK*gSIddSz-R)Ar zfQeU`J+5TPYhABFZ>}yK7W=BgS4ed`DJz_Nams4^|e2Ra{cJZA0F&I$G47?SJ+d z5<+)*YiKXst(0#5@9lzCBi6d@b#Xh&X2XsOJ$6;=r}428JBJ4?=7KNyxp200 zji`zo^4hlY_%vL@^0||S+m)N7?4YeWTlJB*c~FaXgC$wITCG{KhFDpLgVx=4>p7df zkcb|($LF2cJGcFxT5+7SgP~}tV65RZRgpjIisDQ>JMX-Y-d3a8vZjSUWAmr(G>tjw z<6NP1wBEXcuhWB8tggGtD_?wr!wA5^2Lht6Kk9e1r%Us|MNt#oBG&k!bC zZc;mWDi39_YCB3Sl1RV^o-mCkr-OXp|8sWVfTF^3VP<@rQDD{vNSK&16)XQ&I-7KhSolYm0YSvQ9 zI>A`A_%s5oA9by0x3#eu!swAtHd@VmpWJZQg?*`Aqv2ebYBa5tZq)KM>68nz8k?BP zTH4vF{jH`7MV;u4L(W!_Ws2juC{2%hMPAP}6l+v8 zwYaIyZn#@{-3&)fqm?yVK}iM8KkK5mi;BvF)^C@aitls2)E$(Ynu)Dh-8&srof>KC z)kLvP^@xfrZU;;IcCNRst9bJyLwlz$mR{+u>gwWbY+1@5pKWSfG!kA{=b+TI&RZXI zt-?1$^FuRXrf8JU+BQ|TcBt~M=5bdZ`HY*I)`h(h4jeh6<8FtB>38ie_CEEo-v!+U z1Y4n9Ztv}`;oOwBC8!`zyN^|CreJ%(CJ907Sw9x9vehgdj^%10)uC&2)b*5@RA+m* zhBrM?XzJ4K+%;cnHuS7yDz;p4=4of0dx7d*^8wd5);ivLj?Q(#H*^<#q&Yc$Pbgfo zY?h7tcAWWcJwN$?jx=>_$H^Pj|BS9X!5vY-jLwIO(iT~4A?V@ts6(g|TPD^PcWrc; zpP^|ybYG>KnaZKmDreP%8xjdfJ2ErD`X?gpDK<+Af>5rA$6QK zI4MojM?WC2OG(}qoRm=7k`Vg%wM~=2wvay%$9ms0_e$DbuWd+3Vz2k?YW|!#Gk4~k z`G3#cVgBkYgu`e!XJDM@1n2XZ3&;W$3NyllSu;l7dBPcKD#sW&&r+ z0&ZM56nQ4jjY*|K&yt-Q25h+BExCY{mz{fl4ul zX`os(&De)XE7IR27-I)RmC!!^p!CL&A2(hzrliHdzb>$+EFr1~?xDag2JdWP9~PS7 zYY#!ylyyD`Z_*!v{MbS3@w0l^N@wu8&jhcR49Ay#B9hX+gB0w0m?H95DFct2YQOiY zqm_II;Sd(MYOIS}PSXVha~nTZ2?E<~jS+-qI+6rJR|GIvM z&V@@+&V~nuQGr9F1>lEp2PV`id|(f|+4f;HBcni$#xPZzdUU%QkJeB1*fLo+YXmgA zg%PN>lLHO(fB@2(%wt9Y+~0DQQS1T}d#w#aB-$plyj|tl#m;7siYPq=nGiYnZsGm%$kiO(x z+DD{_E@KYQ?l9dHqkp$CTS8>k^!-yh=QJ7vx-A` z6*BB$>2w=LD;LLqkUsN%B45=P-mm z0K?c}`7x@{phedGS`CgO1y%=hDZ;XW)_nm4R;iU_51d&TMDVm3`Bp+EptE<;iYq-I#OsypoPgg~)&q$5qilm{cs_$Za2YnN1zBy323$o2pNo$O5l^U1*Jx704pS1{9{WfiMFEHi9d*-b2-eab}^Bi>3ME}sSq)Q zlO-PINrk(haA(L!*Q^Gk0>TfL`&_X0cz-p%ENoUAKlf4u;yvHStNe=`NPtEI+?2-`9kKMK?+-^B7*DF`h0MgrGx^k9N^;O9e% zEkoEfFes3gr?*ev)-BIOAQIBkn^q3^KW)p$je zEu_zuvhZSXd6s`1##3NG?2o(+(pyn1@{r$E^`tSHxs4H9P`^(yE!+uWUf`2g!m`j} z(P9+3K#F9%LF!L;vn=?qHZ~`bEWjujoQ+~emhnSSq7@^;fDO@UwF@{$s0$W;^k=Rd>hEMSlEt5Kz^3p}BNz;Ra*|oj) zixN3b9SONxME5e%HJt%%l7t}A2|)p^4KDm9E+{UdK4ke&RqcO`t0(~b zBkVHPLv9ub5+&yN3Ga8vkn&UH?4#apa6nZ`aITbLTuoCuz<0*(m{sCAYwuuw;= z4DokTOf7AUjXdkWr7x;M1(tI(v?#khk7lL}{GOLtgxEczB6{!ns^p23yS;`pkQJ^K zSjCs4Xd;MN;{?-$-*D?-=VnI$N2mKB(dC=C5D5*Cfw2CAw^L$5kt9^_7v|xF;SHky7sJE}ebf7H}v0r=Pk0>dbpDd-^(=`s0ND%Uv7_Tp@7*pw`tTRlMy~$z z*v=KFo%ZY{f4%Yii&5BIvaj?Js@lRL*PJfvU)YUhw%rQY+ileL-+6BUnmSGh7%29)Bn5k&S55?IBgnm zFft&J_5jmJP~y#35!H#lNCQ}*zetTnBbyy2_wnHb$4Ie3q5Ta?3^!Bbkp02r!3Iw} zW6fU&JU>*?2FA+dTR!E`V??VUlZAmyPC8}vefs_b`@0S)lRRW1oOyk3voaAZlPQ5r zo@bf#HCQGCNN==E;x8RvGHEoH9ziB+e(1}f9tf@B%OuqIc5Wxb*ypv4fLz-+_V0i2 zRj$$0XxHmI?@ZWQ-@s1S!qfZuHZ>YyZaLgeZhhKr!hA8dCi^`al0O}8uyo}6{dV#{ ziXR$}gB0y#&*H@Jb93%RJqYa&GBY8qM#JaVp$j+n5He}})RzhOT?{AvVWQC(-oO9V z!^-6J)4A_zgvQDwb#3PZ-ZLx{{sc}_CU3KIj!>vA6D#itE|Wvc;!q(f2`UD^nr7X>+*06*G=)11F6ma-cnJ z_FX4^o-&a!u{`4=nszfz)8^$40ODza^*22S*-gSOb5PKPLNxoXO%ENeSm9UE%b~O1 zAKJ5+=TsOCTI5go&i6Iu5Dnaq08GRZ!!(3m&5k4Jr$CYKq;RA0LcTF#1_d(shA_A@ zEM81Q4GMRm3q;&aK;HjQ>mkRb*mHB%$n}! zvAdCoz1o(ETE7eYEfna@jmGz~jhEY_|5%ySu3+gj8jJgmZ<#ch4VDwWJ-!)>aXX;~ z%fvabXE94?4CMt6tZq>L-dFD%+OzB4SoqcVL2i4PCUtG25vOpNZhffnK<8+gBrr!C z>hl{Nx09ty2L^`Q?L?1x#&P2H*K=Gu4_9Dtl%>$%zKiF%pl#9nF}-yUtfmHJQm}nj zqAe4IgBE?)eYWq~KeC;Sl*t*8NxdHKnv_W(34y&s2I&8cvSWI*-}iw|H(fyleL4lS zLD1;4MocZTew@}bwC_L2494;%-9h2U3!)elv}vyk{Avt7@U|>}rsTM@&%)%^Vh4RI zXFa2gKzvjjg+16~0#xzfpoxbuM=DZ4U?{HaYjw~w%2&#R1MnDr6)LJaY-5)mWiotn;mMk*$ctT zSLiga(LrJG$6bv;h33!^Ae8U%t9mma@C9Mb2?Q%jkn-rgSXn6Ms#H)Y`amly z%x6Ek4j#|hvBBt-G)_n`o z0A>K7@#$fy0LNC~_8&e1|I2ooaUa0P5Js-fn%xM>iT_q6@g|Ase8gxqJjM7HDAHU) z5NDyzKzPe_L$k#6aPzE6fZ^0Z%b*pTvN>SH!cY*x)0B(k{MQA_Kbr^aASjv8;OB!0 zY{%NAz=u>v(6o@R(ZQMXfn9B16Z>=6e)ifCtH>ZR(huO>4Buz{)ixoMaEUGW#7!Te z{;HM-`oLCzBeUCYC6|MS3G}%fPxexE_Sr!q9226_%>?{-el~oZ3z<1og)xIgihX_s zq0pdHhr3|#PSWNRK5JVIBBaMjcxXpXkT%B*0NN9HdaF48d765cg`8bP^OPq=QQ|kOql6uueIT4C~M1G$SCz2IPg|#u&UV=4qOO3K3Mr z5d;^yNG4z{RR(|PVppq)vuA?9$cp0^2^ce~a8B6B0O63VuoD__0Z0YMW2mgqo@4P? z#?rJ-ZSoG~8KYg#NX^gTp$FY2Qqz`JvQ`*|6R309TI)v9d1bLrsnOshh*??InMw2{ z?_6>m_$>sM&1fqte$WInZAmfEn$4bM?L>^9gC(U2?0{heeG;3soj`w_{pdCX^h%@X za(vF7NJ-73k-#MFFR6qxDrhz89=yd5YtXubl@*1g_Aq+mB$ntYx=^N{f#;M{5TNE` zM=sRCq;edY1woQ}pc4#5J@iG9Z4MGGvpCVb@PL+dSW9*Um=W%Y<_rqz&uRqpx}j^| zDzD`UGoMeMun16#QL8ODR5PgLDTF9Ut$-Vxk^(1tT;nJw>qt}M5HjF3qI8D~ge$e6 zK7=DF+j}_$4Ec_u%IM;N6Cib?F_A0{*i_;qJohbtj-%Mk|9+BuA5HOvpK@dZ3I;>Lv@L02qkHH;Q;F} zUVIr%4wI`K33P8EkLC@K``(`IIODr}Tej?#wM0i)k!G5?fgFQ4tT%>cqDd!nNDAvC z$!Rf+?YJzDjSV`A4Lu@nW`x^!>Vq&qKw}4gK5(IpFg$=5)K^D?9sNWrnb(xnY}F}* zo<|y|zg#q5po)Rc-8Z1cD?1_>lXKCXx~_4Lmgv_IAqgBm713~o99cHx-C~4xrwT>R zpb%j_Q&Xr?Cql1D<~?+a?zIikSmr0G$fJv6QLs%@B0?j^F$(73e5(;C6xM=9KVbp< zhYVz;dP~@qCJz>9;3E|!SHhOG(vb!Z9n$QRI;A|-rMociy3LJfeM`2o-YZ1ApQ%uO z5a&+ro_>Q&iQgu%(z!HsnRXSv`!RS&>4UMIbJXco>2!rJ>n_jwt~&_jy~jkxmC-Qu zbV`Bzq)tMktU6sW;h275Qp6KFJR7|ya%C$BGJ-b6hGFAq27TDfjSO`ZlcLIUb*`}+ z#fvHuA;GizaFyq+6$NaGg@fK*xF!|ZYS2=Qa?y-Dnpz4mOXfsiZd1FoJULY|j(E(R z;!VBXeIDJ;;EqzX=F)5#%HQ!|&Io$oz?DZ08)+iN{dU>Knm-|WRm9iI%kPgBz6ZU$;Xl`NWt4N}Y z3>ph`Et7E^rU)C>#+QiMYa1b=J7hq{G3^um1dk6>Y(rnrtU$~KfPsKSsb@!rMi}%P zOfpgfi*(F&d4SM-rDH&p4xLeIDrnN_@f1x{*GUm``GiIs$LcaqR( zmPikCH4s9^F)T;%Ac)d_SncyWD(iTuO6gsoj9@AzvP4K>B#icr3^}&k%-6#~|9pe; zYYNKG)!k)ZSNaZ`9f6Q|?kbZ~dA$Y`*%hepae*2=pp`apgE9U^H(A0lT@fZXsWVi@ zkw(y9`5}6=#+ME}^nWg8q8jap3YgKIM``G5=Q@iTk~Ta_K*dsFUK}dn!CXbO5XlI; zj{ryzGClU-@OfV8dH4ti%C?S6)`hAJegg{M;TlY+@#tV+2yA>{jhDn07={-`If{4` z=kO{2SCPy~iR(y#G1xK*Khh0B;C4XmvPg@`ZkgK}(@0sa;B* zKvs!V8r)VjG8p5#*O3B2KiCKXDo8=N0;H}RUzhGyi_Wc*S=epRdNh!oJE98HY&-~O z<&!nJ+X*XvXCru2%DlB!tqnRELQ>5h6^R}i5NASyVveFl!vs%JO7!yhf{k(|*r*1< z93VYIawhCNzc~TJay)ojSxjGQD!|lf5Q6YR{TRJ_9VJe2dTYw-q~%E4WMC*&3Wu@W zhcvot<&$sFTAgcfIpA)J)~J}e$t$Ptf<^_xArLJMrej9}WO_mZ7;21>H3N%iyU}b* zIJs668SIYxN* zuU~poNNd|iYLNKPWFngzSjQt62lbvSFF*t~yCv5_fn7tzKJuB!juLtp*lH_2&n4g? zs-cl7c4(eA!G$IP2M16Ej7CZ^X0}qmu@7Ft8DY5ije${)vSA7#lK>8QtFRO3QeAPy zXMSA{acDw^pDf_pPJ`gFV!ZOaQ?}|h+T1kUH-4*yF}CR(UfJ3%lA_*9g&RGcSrps5lb$zD7>nZP25qIcPDLhYk z$zq&Dt#{qvLP)x&*4yR8?{*iScs_Q;(AF@DxkZRiU~>1G;0OhLO=D9MilmEVa`xWQC&aM{SW@; zj7=M_3%%>Xk6iS@^H;>4Uv^7u+n=Y8#3{^0P_9E3?MTsoec`C}hl72WELnuU>(So- zMBR6{MclPBBU5!p=-WS7a>>>|pL5e9B^D*4Gn4ZRXPol+3+B)I*^ieUn6vu+FaF=p zUovOb&|Q#8a^CkZxqVeZ-~N$vE)rM${?7VSA8X8d`|Qi-Y`JFT_0N3&)j#;M{`8U` zELn2a_1AVp)~{HwJbC$nwU7Sj+AD880L64dXMH$D&M*P%u7v2<2;>uk!y2ILOJW@qI&#gC=i)r~1&)(ne?F z&LO)0r>{2lCjex%=fgi@4b)+rqDmx&h8lgWk=nNusp2(n=tBSTzgwD;i1c7E8DxLH zk^UG|Tz~v5ZQU9Qg{iZX8h`nh`Oe|SCg=>;kq$LB3_sh_fjx8)%%Ovh;SgUY_Edu9 zep4pY*t2JpOy~;8qyd@SfB&nHNnhUse6|5Ft*~pCFOx`^W%9%mzD$15v)kkIaM)+r zBeO2W%Ba(}KMFTa-QcSo$F_!J5C{%K^gVzxs*O!+pu%ruGJG7%#BU=bWdi;(BO7~e zgiJQH+6iO=ku-){GRZSx2>ZfM-g_@)xScd*azUf7FEQNngWbFPu3ftpryNLTrzCDe zJNbMUCmn8lt3q{DfySC=eVH^MlW=&raV^>jWHQG&kVq&dJwCzqTci3eE0Z(6nt1o< zc7iql*1@#x#L6W0_!0hwsSa-3Z$XlTmB)Vg&%dU9>duj4SX0 zV}wm7ycNDWqX5`DQPyD%n+Rjsr>yO;6O#%H&2Zo6n~*Zm-9_JXyqo@B2yFlple!is65skKeCKZF zz^<#mLJjX3$mZKm-x}Xfi6@>2(GS<~gEN_d0ebw2#O~ch@4C4!Gw{Kw9r^qe;m_Hq zF);A9O-Q%Vs3a1_QYm4K|N8Lo)nD1O=NVS-OznTJ>;C0nYl-Q5&J=9{) zLV}sh?|zFOuhVXCs7`cx#>#}Ixx@?F3I~d@GTVS?raS!${-wM>!7@7ha1@ zcn_C(&(PS!<|gjE&`yY64%*4mr3j1vzMwSyY&w3L;JMEY-g8;%7dsfXr5CdEg4xbNy4 z;yfI$U`JA(42csh3;4U{7`&l95_rndBgdsDn@w95LT7pCKw**L>eL^Zkut;PV#W3~x_+YXLs`{y|l= zO#L%unf~3DG7%|D4ku(WVJZ6cYArE@P?kQJce-?oNCF1<1ibo|pMFe0We~Zl#jV^bdKsnGq+8D-G5FH`tw=MG=IL>zgR4OcJtRx2^gpCV-LJ~B!=D4 z19DjN$AX`T#%nC$Q)-QPjP;?YIR#LMvUua0>%j>~51dvA6&ard&tR_wxya8}5X2E} zp15`0VHPk58pqjRKNUN8`f3-+!PxY)uRkf`(NmbcPV0&-DTnBm~Rg$I0cJX&Yidc{1v^FbL&(4vWPU1zuL+VStVW03Mv4K&X9}Uqd#G&~WqfbU?{u8b66A zTZI=OUIVmZ>(@a1DRt_w6F3}3rJZ~BNK1Xc`T{D8)(7H~C(2^WN*F*D3U;9@k@Wq%f_hz0S`AaIJW z3YZBRXk<8Hyl}>!9h4Y_KnpGl7{E1%@e>(XY9en-h~oMMU(Pyrom9%MB!{+lb0=kV zaBQuINeA(cg*0ljJ)lCpx)K(l=gn~n52brSdpho9~ zgDz`^=oWgb6tXrcVJE84- z$*X|~94vJ9;4(m{{6?hXs+fXu5ty39`9z#@lv^RdUi54!d;+|OZ*UaQl7xk&!RiAeIcB?}@+LfG%GbPY zINVU8lozIjDR6Ubr%Rukb<1GbnIFw`Clk{sHe=sxN%OPIO`%LGiypFs&WvVcOeBjO z^eA^L?ZTWpC2~-3F{lMtnx?riG8jzGkVUMU#)2A)IL7_j&%fl;q=3g3V}Ms+bxjqi zR_=BF{&Nx)v&x4~x%Zc`tk>gd8C{Rz28;YD8l4_bl;5=1$rq=a&Z|7PTY=U~5f1zE zkb~nZU}dz5ZxxtuC`*Hq&o!>>_QJB$ixvn<#vmy05JMQKB&NRxO%)HzQ7`(e2-*mP zMOhacNO}T;Lfr#^wSc7Q>gx1x32%;)RWFJ86Kb=mV8vnPV~$k@UpM#vJ(eWps29s) zpRs&a?QG3le)nZIfkC-fce^H@#&DCG4`Jj?#*`{`gG&Om5>S2BYC+n}a?zKGTXc$Q zHP@(Gt&;9BQKQf(PUUv711%_Bj+rL!RRuvvV}b)DVTHlPMB{)d(eZ=5K)WHRUlR`K z=1Qoi0A+T1v$wDdP$C=_k|yb1Dsk}5)lkf)&*oQPsmc_yW} z&I9s}*PlOAqZ>StLS!_#=SYOOX>2U#(IPkl((O!=zPf9cckVj&V?BCAXqDxNlt(WC zm$d~bkiZ}H=TYZYLlpE)&X~q1_l*iLSu8HN%PM|NOj?4k^u4=*(3SH^g!C zI6Z10A%*ee%~Uf8BCn=RZRTSaVI-M0=xraYIh~#1s@G+lZt7pp3^p#EN7RF~yY1Aj zr!!17Xpo`pL_I?pBYP<9IuiRV#4`#!z_u_>eH=Jk*f``zEee}iqw|(V!3j7u=zs1$W?VYEyMRwnh~Fj0Tyzd( zT>g$qVhc+c6IK3G=P9GYRctY8l4%t}g4!nPDqOvc8DA&*u&&+c56P&g4S{iJ){iYq zGsZ-*%f{fvp)3=@;s5GHj|2>X+<-G-Ls^5ighrvkPb;R5A3Dt##AJXnIxtj!)N`EI z^2)&Eu);t*!=c<5c{DdjQQ~K@1`GCJqZ2r8Axt)+9w5%~11P-@&H6Y}43r{;&@@bA zo0IigX4wsOgvDq1oO5u%v6%$W#-tF#@Rw`+h)|hOXpm`62%xOlw2%b$v{WEwPDUM1 z0 zyGFYyv^Skcj@%?xpkbxN9j76BO&_k?LK=WpF?{c_YL40RwxtrN%iWUqVk5I8Ru5RL zd+BOES0rbfHx2KiGTnFE>W#s_oYooyh;gwdQN&mh4y`Ykv{V=(WDH*hi7V{MS7Mjo zz-jk6MUU_qE~=Kgy?Ujf3U~DPz`IomwTf=?GR#GH{$M1Dfxhbuovdd1=bX?@c#+(V ziMP-5Loyx}2iZ9G0oo5-j#fEiv>l6xh|~L-$8S0Bn>*h2nZ#%A*b)hC-u7RgIq>Lp zxBvZtGd?u`ZJ+tdUC$Z7;Eko}KYZxG-Ya7to%ylzuHSH7WIfWlcgpa8-?H;lpIfl; zD_btTl8)=%wP4Ad@NKgrk#Km`DR*6P;ks=n-Tv)weR}S< zA3F27+91k#VsM?|zpGcgegDP_R&V)>Y3qyE zl)kchZ{@1#uL!K#-XhtOpo44eFJ^C_lM8C z$xSd5!>Jb%QyNPXOB?P-J~B@YCpw4whK3WbhVNa?x1Q`8z|AKB5q(dUHn4s$Ui$(z z87QfiPU?KNF|Ti!5yvSE^_igLLw$XHG+@t;j+BYx;NEK>0-3;`UIW6)$sDyYhLRb-gaF^dsPFl<|d2YB;Nxb`~xF_(5 zCla_B5HcCSd0v1w9WqI9I|;vf@9O8~>{)#0T3<)|ZPk2~5`4Dd{n%dyH2!PXXa9qB z$GQFahaoKfI5V0u8Q6t(vi90TIjKqkjCcykK{bV1ZD zNP(lupu5MiT!C#aHm#In?_wmri*YK)nsO?(urhD}Tzml4cY$gQs8(EikE4x?Gy`x5 z;ef!d+ikJd!ABc(JQ>Z!x52s(;8lWkH{K}%6dM*yV1sWXA^AS?1~ZJilm;__NPGfc z+;DH<9Y~N^$s^6cCLKI#r9pTA&Fon3C{L4O;@S7!J6@Ucc)!8-To(#+ZAZS~o7n!M zO`Fhb;q1?p)^{cv3Ea7hSyac%d>12+3v)0B@b3p)&zCL^%b!vxzqhd_@rO&}^e!wf z0h?%8VYmn-7UK~^3!lM(uRR015D#sPcy`BQ7U1*$n@t{3o^1@^*1Am4{un!v;oi@V zS31ZTAAz`V5&v~^Ha#AeSY4TNoGIGB)&g`Gjdb^xh<2SKAeDKndBQMC7wO) zG`iYgcoO!z^|F*_i7k>_~Sc=5n-CX?ZpxZGpGmkH5*e-hN# zl}rJ5qjL@p`$8`MU*B+O|InUHsAK92r^Z7W^ma4r;O!o!9v%*4@&vwJa6#e^UwDDO zlE68*2fMftOz(SXII(zW&+wjw^M?08CYY7^?F7}KA-{nBEX3^u4a?(EWndGU=#kpV z=x&rVaZCdEI}WsDg1ZzsxYeu}f??HX4XSO^@7uB5xjZgIe*)KHSSb8=lP0Et;PZ?l_w2bQa{$|%maW;$|G;nU z=KCu|wSNCu&2)X_@ocUO3aU!;{}7``J6psI?_A zQrFl4yJ&4pC)frQt=YB@g%8M%ZwnjmqiQ=|QTYtPJ1%^WS;gXpG?a$W`_KIY;$2M! ziBphvabx(^dxRA5%Dxs$(J9#KBzXlygCj>k+bD`-0SsP4BAB%G`G$dIAY_9iv_<(Q zJDaYi&A1lQdX5f*?`Ave(cR3oIoJOHn4Pb9R5KNbbRzADrw z{3e`H1zj!x<25_@U2Xt5*aem^)GsupAy$woD{tkKKoyDE45@)AH0>}vX&HV2G!Tf#TU2y%a@)V!b=mKURmp!e2B_*L^^W`^{! zy=*CioNMwSt0ciK!Z54TZ}Ax?nH)Oz&=Qi0i&^!FE!H|Q#U&Hg?B>%%0VDWYs5;|p zCXhYHR5jchD9*ITk2Nwl<7kb(-ln$?kHO6~z*Z5q&xRswEO10Wdp6`yJUSG9Y;wOk zzX2P9rzvpF)>>S#Au4!98&$o8rUV|{%{*g1x-ot`j7XHO!9RVTWp7MX5R(~@L>iWC!DcY4X2S=5eWx8l*-|G=6R}u)q^ax=|A%KCDREH6Gzys9t`qqnXO@Svt>V zcR|5$BND6xXk0i1IjVrQz(8mZtDYfuhj6hodL%Rk$2G&=xR zy1rBS7C)a^e;D>R36Fl;mbaNrlnBLDO%qcijIgM~23qj=_%gm1QPs*&rw(t!s9~tm zf2oVlGDF|A=os0BWMa3eh?6H>TN%OOZwIV5Gi)>I?ljoX%+_d77tt)Bd0M94*ybFb z?`^qsmKV94+a&el-V{%UZ|d>-U16XPW}N&Arxf*YTb&~uujDhm2vIgO-L}U;wF8f0 zml>5e-S(y0&ZetUM7_iOb1Net8p~WpD6$+nMz(Co-B6qW zkYWY73+s){R0Kh$CB!k|%fahB{A3COT_mCzjkJ_z6n=QJHCZ4PM+WY|(j)zv^ytuI z#MjQX3399gyWRf51rv<1T{xnEUPqt9q1miuk28y$Fpk4x^u;MFl2nvge1f2Wqw$yx zQ^#3d=gs7G_}&$`sT7XsCCG1wLp{lO%Dt?lDx#v?2(55-n4G%`(=vm9IS+?QWtqzM z5oX@vhgmApphK$=)d}E&FW4UtK-H(3Cr5!PH-~)(%yX<5#^@?6VLg#2R z^oT$E{0&~e+)@v%9PDz+T{v8aPk2e(rh*33;O4c_=d+DCnuv&U>k!0hZNe1er%t~8jVUS zQ2cFjYi>uSbkmMqo~-FA^=h1TxSF^{If${@%FoMb;YGz0Y(xdJT=b7h3*VY_7m zbNy`G3>DLyK35H?aEsRp=Psyvb)%ou1d&lMzeb@5mEdjzGBFW>+eHf$Txs7Y*iBAR zpmJg^l$#>sHD{3*a(kWmJ23_rFQf4t>K#VAu&y7<`X21NLK*ToIyx z5eVs&?1@NOfq;}KSyp09y4#4Jm_iFcL?@LNrG;oaHFQN-g`!2)on8myRYk_akQeqB zLIz3M8p5JJPC*V<&_x(e8ws|dZW~gV3Pn@_%J*1@>-ZzgnS6c=OfV9x{Vfu>fQEUt z7;`h88#vc_%OTc_JfmhV+pVbRUW6|eX;-|d3gMPb4ef=GT03YA(#sRi!>rV*Sn#kw zBlrodD19G1$2Am061Vbs*y%N38I=_VUdtx1jWpr(E=4j}XL#KZh+$0k@|nz4{MSW) zx)O5b^FsDgHB;*mvhc8yT8F&QGEJ)N=HgPO2xqe^kfVcdfM`eM(8p;ALMhl(&}Kd% zVFol@7V;z*eAXk2?_^h)6{xRHSf#X327JOXx)7MRsQxs91f7CYDsKV7-v}IgE8N7# zM|u>*Ril?FOisT&Jr7DZeG0Z&kgO7#93>ss)lps2TDkFT4FbnZ4kBTtVO}|stRgCD z?ZCv3t>YWIFl}<~VIC++JyEa_ToGw-7SiAiWJVBO=Ft@;Pvfve*9OMPSHVZWPw)H~_@@z~Ga%fpHst3cIei(~<&`2^& zS=LzPbm76rHyHF+Rg$m>3q1Uqmi3frDo*FR-3uTj%zth0%!QWM2*+pBaKfu@=UG_i zV1dIkCk@gpKC=d18#wERL;+G^Cw|7q8h%)ck@%II;L!-QE?CDiaFbxDHTw)@-~%5O zYBB$#Duc)DQg8smuNdCV2ay072MEbtUh1Q988izYnBz@=tniH%V-vJEP8`TV_P`Fn zCe-vCxAw|fX+B;&O;=^`mt0fyWg;$<=tFQd<6sv~SsTanc9vrl?*yNse~HzHsNn^0 zGFE_kM2{mWpIRQN(%Q0k*sI>7y|{zn9&=?U#zK|!onALx-+Cu=AEP=@m2Nn26nqiJ zboUfBh|L5exDUkp$P~=u8y3W*7dfvR{R&s>u#j3{UWA&v9un#TS1Gfkf90<0`#Zdo zb9OGA*i1TMun?D<^?VN>eU2CIbiGJbEpvpo@KVa%Lp_mwi!Kv&Nv2#YEGTIltKWyl zlp8c?OHLREO))oCt}biWtJknn5qP$NK={2^Q!D)VmCJ!I-2UM0kI((ocgmkE4Q@+p zd464Kd+DalTP}Nfd(3_6wkiMTu4^Cs$^Os(?E1OeUwq`Y>0q4m_@}=1>}Ph=Kl|B# zx^m;9#3iTxfBXOWlIt#f>eWZz|InP5e*Dyn|KWXT&Ckx*xbV67_r87C&L?->xZ>se zXT9>sZFfHM$xlB1b@@*>#?8~8t~^^>0 z3gg1}Y2}(yZQ1~!8U9sSl^R~ZyR{=6fZSEj#N;7eL zKqS3l!ErAW?(kWcJN^=G_#2_Sy%e`^KtUCkoUL9>t5{zZf2>bTC*H>WjIYr;fP2f_ zG;Wk>%$+;6qwzo@Vd{;BGYzM->W#$W4c?^(R3fs>0b#UDKj=dqyMid6X2-tfSk zP|x3EA0FuGBLrj4B<#f{0f9^&7%3Boz{+6l+SRKYp9}wxJ^06uE~XICCqBVGmPtBI ztHX`N8b>zz)>xTLbD&17A(Pt^I1I6P&(eB>UWyNa)|bhBSK!P5f zcUhUN9wifS9E=U2@9K9hZ2Y%#0B3`2J8^!$*h#SnSenGzcjRTR1fSf*zCu4E&E-@ zcShmApmn~H#B>`5GAzQ+bgb}T(Y zXSLI{WXH9^tAjRprtNlV9Ts@$DXci(#CKfX4j(+zx;-BQ%f+f63ml0>2EWJmcQ)H` zn`vN@V7sV7V7KsueYAG+$EmAuANMWovvN2agyB8WIurCt1fPsZ(7i)_!-)pDKZbtS z<+$_gMrIdgPYvqK^gaK@P^ho(F??lW@$hrQu^$dSI`F~8!vi0<@y7RjiRt(ZKDw~P zoon|j=BIu249w*K+^_N9P#>pGja~SH0^^T&DBSnoxEHQHw(q=EeCzAOHzzt9jSEf+hx-;*Ad}(YJ-Af4@2r8fdoY}AxbaKxVY-nrd2UYl)k&E! zR}Kx`|FQlLN467cfX^e^N#vEj#D*K`1CO4y;l|;_kI}J2pJ{9w-oPo|=TE=T3-O$+ zQaNk!si^j`ANHNK>yvvDjXh17;CL|b!^67iaiJ=7D2)@Lo7(*BJp8CDdS4Y#JCOeaV$BVR&{$LkFX_M@E1f58lwU#gQr zf`*ClZ=}Kr7CZNitG&d)iQ0#%e$Yo4l_vvJ)nV9hZ5`GKCgi4#ISyPwp>0V+{_>z* zYMg>ANent8rs<4T9Z3f zJdH;TX#d#f`m(~SrqEkJlft*s{|tD>;zeS>VW@(^ukhm+kqUUrcvALNJ>CZc>skW= z3LZ-=@M+JWeIoj}e6@Nlmi~1b>UGllxqsb}e$fah_o2Xy6VU9-{4hx&#Am%DitI9Z zpWd(>4G1KkP^?RB(Z-4ZPM1zJh~zng+-1@}Xj5423I{Q`%>^(B8<;@6n(KrwMK}p;x?lG;e6F8l&*Ng8GbuiabP&DO}5$x7N@g8p%Egh{IXLwLmj}HJ$k?IoEC~#>_nop##iC-2bph}zV5d>!&ImPAF3)D&1mb6lu zFBi@m7*PK?j}+8hpq(-ZvDB!j?vCvog#J9J4UWa>8UFdkd#LIZX&bsR4-Z23hjT=b zf!GeZD(4HyAs0eZk&vuyu`Ct=-%$tS)bBSUkF8|ESOCUxOm@Mkg5*gRw2G*kM{$CP zfLV_pLDPQDa>)}}Ly?b6CvQm0DZo3-IADQC{nz-58_ciK=uq=JwbBSdlCo&Eg|z>` zw1*q(e1NSGiN)ZD@r96w0TqOqz}A6%bXv;K)~FH%xKSCl1UA53r{KYV9B)e)gK4XQ zARO)1nNN$g(^=KH=_ZGqF<@u`}H>!Je^i1lMg$J%i*Qhf6@#M(`oh`2Khl(Y2o~-N$ zh7)?~bhH!P%8Wb^WgTs^%S#<@kjSLUC!vNah0^yu!RpXf-G8R zIoN?hb`ix#Y4OGu9nf1ejy&Wl>rhQ|ZU+5-jg-ROP4_@+bx@;I%2ho#JE&&w&ghVG z@fz5@=&@eH85T$KWLadQkZH1x%b8*V$K~A2BRD;86geqpeMW&IvrcJKz{rD{HByeI zIX^uA24G}|pa9)=A!?n+mEk}n8r9U(d2mH@*?Cl;{BrBUOc~z^8YnpYO~*GG2+eb{ z8a))IxdDAaqS=Kpo>Qme@vZ0ig6(p$7*#-W4h9v($``bj-sN{u?pAWj#n6$+J)&b z30td$Nb_lVeCNibTsNlN^iDLl8riV}DtS9WpT-ZHDO6&OV7LLcL8Qq(0)dKAicLJx zx2*g18bRu>H`*lqBnKurFv)>Q4oq@jk^_?*nB>4D2PQc%$$?1@Ombk71Ctzh12}*e zBmi;fluYsgyOCun+O9)K`1OVGR@<)?+S)*GKsLtmy1UX&wDTX`mapw;5VQ&r z4gAY-2NPbSFo4E?@8o;^U4FPD+DEj~2*P89z!6xj@aD4uyM-T{m(kJ3`eJopUfUp| z#>PE5{q-PQmB20rwi)A3{t3|toDPDm^;qC}KCp{{-Lz^=M_?BNyB^q&26i#9o3d*9 z1N0#*N7;a)H4kqnej)b@gFy=Xd#!N3A%1ZefNVMrN<*glgD?SlW8DUd^m$(?zJx1h zfaeAQojI74{2Q23S72(=TGIIlW#;iq_9iGekO))yD8u28L9N2~TC=z1&Ic|K*IUTI zp{?zk>|~b-O!kij-9)q;O~lb+hqyK4-FTZ{qLnq@H6MKqr~v*vxD~AIR1&Hw454Ls zWeZ&+LDL_>4MM%Z_yO}ZClm5cC!yi6;DGhqhX_>1P!{1{DC1;$s3+sUY)sNTbbpQ_ z7Lns<(yU?cDE9i9YROJfQ&={t1GZ}A5ke)z%oUl~S2 zfv^qi#;3A;glglNr~2U1`UGGhI6f*=*btUWYqX`WTN*_sI@9(q%y}O6`OCxH4xy{3 z;k6Kh!lW>qE|{$yxF7|ZRrNFtI$pOk2)$Ng1>qsYMKV?>9u->k1p!+5svK(lNrS&HN~e%9LL}cgJ*h8S=CNV4p_f$H(I#kCNfKH)t!Mi>sATa;QGSlto0D zlPmP6QFfMK^m3jSC~(_=8JD61Ld1j5@f-$%*o_40MQfc&Dtn5rxZz z@YQF|N5tl(1~-F0$@Z%Syhg$p`D=wRF_)lKx5k9{;YYX01=Fo8N~+nSTG4>*J@^Pw zynmL~d=nW6!E8q;ZZfB!y5ks%=CK@njeS%mb?Fg%O9s>N2hTH8YEFv#uO9BD@Q}E6 zSTaZhhU!k0T%i=j4D0rFQw&oM*MOfrIB8_ZXOKB$IyKMR7@&R(Ft$fT$RR-;GGzRK z;`rz{2&<6}7vHiVaJQ4@P#>D%>{IxGEd~~VWyFQ%CZW097NNMN){8>&wKXP~<7N>k z5LbaL{yxPYk>XK;bsu?TkYIG#cr_qNa!|=jmW3%HL4`*wlZ21h?+x$O=o_Ul70t4R zrZ6bcyKy5k{A`Ropa5vYwm}2TvkyOb1X}>1nYIP&(LPNJ=ZmuKZTW+iq>&zezd{)M zw7`$KjzTVix<}}8@Pn!;+QL1Uw3cx?q!R}f@(%8UV@gd<1mQS0f>s3TJlJtIyono> zGD2e-rATW++kvKbj84`x7}Ekt_E6zFQl#Vfe(ifnPro{RPu2Y-tt)yfbupQV>u-7; zD>+5FXuBX$=A(G=YLG2pHT*;;vmvk-pbZ@SLw*rSqNigp)@0n_pw*ihR1GF9vcX`i z`HLFP=ma#p{*O&|`?mEo-Fz5?A+T(WsGpyr)6XG}6T(>%9J=Dm8~U@-p%SolnuT_O z`K`dPE_1Vt7FCjFGq-^g!@4>vjAcTElyjzQo+BzOP{tTaLO7Hui1nB@cMjsIWmU$= z{y`nlsxl??FwGKj2x#uM2D*BQ7Q^LG$9%X&**pu^l&ClP9-^rW-Zws&L-GYme#k~i-2b1yW9fWi=i1;@O z5I72&eqQ7rjeuOKk@*s%d?Q0pU*W~iFH#77POnFgDLrWHSb;V|#S9F*Omsqq1kP=x z!9uaGBDC2;{WyJ{HY_OJ<2h&IG>LM=$^AB25$zZM^I2%yqrY@{5sG8hx5yo6Oqgj1mHh_wreET59D$j0`TY-sFthU&_CVb%L0Y&h(mUuf5F_Ca_lt{9jZW^ii7zXP$=N?m>uW28xjI%cCgC?lL+RH@dW18HJRReSCTNdfFa2csIh4+efVx2ZAUXL}6tsPi7GX@j%OT$k+lQX{SSm{o@| zS}`8I76xFAy^tEacr{pKCdmGhIvL?5!Qo6vohv)1SG+rr5ETVlh%|8Wh4l8(;?D-+#Km$)0n347U}TZn?zU?T`BCC+ z<}a`<>qceGo{|ElNzhFO3>WBCJZaF4uowVoY<-b)Dn=8}^)b#Fr;yoc6iAwJjX8x+ zA1Y?wZp^D~Os@S5A#nA?83?)4RrMY`7T3i~wJ7Y|=~S5E(@+^yo@%*J1jn_pV`(Ub zj6mAGj`to%(YZFkgG<2jJV;*Sk=bkoQ55epu z8{j(q<#med|HA&lIMCK#w-^o z@yO!-*}0h*PjLTyw^3SSU|GNWvSl$0I*!ED;>3R1=ax$|7Af&`j4tZvq@TTo|$WzVaNrl2<2q zr}ZR0C6Oajus#;6%>SFGHe|eNT~Kmxg`t=pM0Kx2z?Ns2Sr_Aa8K%zt-O|H-PMb9k z;1E$6=jT&o6zz8P??FOXXBITX(S>9=e-YjeyA8iF(9HX286c0NYT1}bzjklHQsi;` zb|NHJ0k#BOK0zmR|LuXFT-EVMC(Zfk#to~lTW88QL@*SXPe1mp}5c#g}~i;oH2YzPsuh+ZQbRyM5U;`!<)V zx0pe5!+&kOVB?h+UhwFm{Kc~uZ#(VW{%;og*Z<_oRUJ=m?+D%gS#ifJPw)Q8x)=ZP zQyZ`R-%qbz_uIF9V*3_z!iEB4*_|FZ?BU1$KKP9^6`X60)?Z$;0KK`AgS@k!o9_d=})Hhc~-8ydP zx&`wt@$Kuczkba%Yv-?6^vtZ;Pwwi!=C8i}jtvj~+mAY~zNaHP^R(zE&pQ8apZ@I^ zA9>S~%q36%&a$UFPM8sKWJG*gUbAh%iqLI-Uh$wNSed}%u}rw6KCnzic$?^B$d0|! z&+M?Z3>hrG`}$5O?kPpf(|d`geS-sB7vJB8-I$YDz3`dDqgc`B2sg^$GxK|h{5xiF ztp@JtXn%j61BdbL=RJGy6?z6X@uhqFiRe1+h4{hn@EXw1!!0!k9FDx=-zW5qQ$K(h zKXw~y8k?B)N^=dSEr$$vl=x$H}k0?}bz2A>wv&r{zE&r1UJ+Z2Pb`HGt)~r=cwqON9qKWWS^i znLLN^(9qELhxj|}uS8fTOuc816ap!4NG}xFfpzT5B=-2|60uC~ zVwq%bP5xGu`xFl6cEYwV6YjgFzn5hKrnhA>Zr?RRCY;iXoC-H4SUtnT&*CzuzP_P@ zWWx7(G}}pML^v~Y^RNRN`2fd02*$|7-u`hA(J_orSO-k?h}7M_jeW=#pzI#qt$F{1 z{vUhq17=xO9r&(u>fFnz%cbj7QQb7qw!11NDH_z0Vi;ojlU+rD6+!2M)u_ZV7es=D zH`6iXNqk0jT`x_+FifE>4~#EgNoc3-WFqmIJSTo{ENKQcUypW(qnW(Kfy9~5FE2yt z1=OU6Sns#?KIi_as{V&aw@|CspTUY^nvF zLt#NL{G18f(eu0wi)6X2TnHEZg%7o>EJdor`R*jQNwEg$yX0^+=%oM8jeULN`}>|5 ze`37&X5w~@FV(&(y-h|msq6{-(a*)b{Ca;|d4k96yOp*qV`JX;-(4JkV#mI|PxUSB zfIp0TPM&+_htDoa&vW|i8=ZCXF9YdV2K+5~Z}_|M{X6!If4pyxj&9%62g4wh^VC0f zDC&IdDPCO8@q7((JT|E=1)Ds51sd@-;U500m7(+I+cwF5)!XFGYJ>XtPQ(YCKr>n1 zuHRk6COi5*RXNnQiO8Wtx+3c7S!t7Cv)m?F5u5DmvdJ3v@JG^OQRgG^oXEJMd@M?j z0siM-GpFyb_6_eJerjKzw~3$IcU0u@^P0n~!-{@#)+=5+|Ft3MyO(})UfxfJ$F-wq z^MCq^;r+Ezbx)-<^%S!fjx5+OoJTCuwK>JB+?ei9IlchI~ ziu{G|Cx6>9c6pl|s_yCQ>$FK2yQlpoGmHXoH<+^TVSkJMWa(^-R|9kG&gP)%DSAOl}Lr(@;%PrE@ zbBfFTf}RJZo+Fp%ei6=tt@t8*Z2o;x&ns2PJDPuNa(^@~y=TZlH=5|>@^A=+XR69( za=OEJ9nVrNVU16uDT^Kp;UK6g?(`>p^Y&?y1olLu`1jX6v~n-pK!{_TOCSKFj&#K} z%?u@C`LP#08X`zfoRzZ2J)g%+2z%ZF4Ta$c&=#QsiET&VgrYq~?po=U(25K?N;=|W z)r(kCWj^HuVf_owlZ~e2x{yd6SYU}BR<{6KhB+cOAEuz|HzJfn_Y*65((^`g3OENM zeOV|$VA4G@fbz#<@qmF|#}VVxs!$Cb4(b{)Y}D-l3*IhHM?{Wl{Er=6kwe~fi=g_e z3fJNZgZLO6170VOuscWEIm#M{R3d|MA8ophF z_JWg+EtAke)Ez}v3aZygHn9HU)yo=klX*-}8gaTXcKz988a1NN8|fWJNf}eX;FQdp z)J$YXMU1NUhxL;`!48JQj1s{ciQ_?54?pZ`j1$joaAQYz5|ImZ5KGjOCUub!o8AP$ zE%5CDEm;Q;mPnnoA#`9(V{-;?>X2hAiD_Xk5<=D55K7bvcg1z~dX2DLy04mja`crX z+@mEacESR}=X)j`EE|RhurDhycxP;5s3&FsFWeV3O^U9 zWqeUUwGf)VZ+;r&3e>`HH@`G8B2IesY2Si4PLt&B-E*BHjak|8T*F`>FC0# zY<60+`H>uc4jz`a5^%}`ir7DlElyhICcVzsI=9Pn4CrlwUqt}B&}zA01Gq|Jnw3; z3NQuoWA-~I<-=x0HG<0hprhjxUzE|B4Kk*XYFeA3OJrg&vMPibhq*$ROG#2v!s&l% z#ry{KE7RnOUxeKb2~9s6$(R-3o7FiZO3YP@@DEQSk?^ASwcdD`9>&;KR|S^GVzzZF zFt#zP+nP6n5u-G6UDGNd7KWTJ^c|msq6ctzwrww`!T`0Qg7fb4cl>(u$jQwSqOFe$ zu2#c^(y?}>ErxWV{b=(xToRuH**Xdyf-DK#M9|MXG5znLFUb&;7zN9uNFAHjreq2= zGM5;)AXauSXvOJK5mIaefqZ|Cx!z!08EyD1YeEr{c^e}YX4n@TXNZjR?@eGZGJ&3G zTmeDrh3-Yg<=}#Ef=3RmbV>@9Z2N)h$LDIEWUBpy@xX_af@-uVxE{2K77f7%%$iV4 zS|~I>dhJeTlR3C5k(2UbHq9tTm0e=Aeht2g6KKARf*Ssl72MMu&|$B_1yEk4*RZ>TIF~=JMen)do*31{mK@ zbTH!PFME{78&1iNnR>U28C?=9ZiMGBaBz2SMrBqL(ylqDkd@$*Gydt`vbzt;hEX>x z4QEd?55!FKVjfHGP-&AKypB@mCV#e8>n*=UWSe6j*4urt?i%9&P!^GsV-gwW^&uQJ ztdOccOf$K3k>nmqxRJ?kCbuX_Yo;*fT?Fd>eTt@a(YRP1#?86B726x~j8B8pp_hX6 zynOQf5rKkQ+Gi-Q`r0?N{J6$~m1K+2MQM+(YE(<5VP%8}O^9+z$yHaCbk@$$Rq0KT zSgGpz6{TDj6fq{-uT<8oWJ??%=H)F@gdC(vD^p~e)LBp^64!T-vgVk>Ig34_5}Dc` z(z}+J#|c_G4sg}Pz?CZ)8OIKelt9Dz$v)PJ4OoZ?1BAWra=Ox<4|I%0$CF(1_W64! zPYE7-RL}D&G@0Y0?^Y}geK?tx>m#`v4hA0SnS9t9%V&Mc^7gm}YvQO6skiX@4HFMt z>T9U-c!E+lPCKB98GOcsfX)Tvpm`gD`y2_=EFKf&%fjz2$Z!_*Y8fW8N2cdFRyv=2 zq&YG-#+cSHI-~f48WF`-g2@R+_219{`GBeo6S25$IGkM%M{lP*0hpm8RuXIW+Dyu$ ztc+a0fB#1OK%_OT8mY611zk=_5?e}`uL;inuX?_b-PZOTJPX6n2zNBYO*G66M-9D1 z*i_ALS^Can1ci*RJ@!t*k;Ul44bIBsF!@vmTu@IfPHjf_v)r{PAh+du^ytp@Bc6wK zM$UUQ|L7uLRE`vTkRd0s;tPdOzn$ZUBG#hu9EM7m+b8uriZoR>+MttiUcSxWWGI3X z^L#SV*m!P!Y%h|=$`gsoMDKcGpH1lhoa-V_OyIDREz+mxh;U5O4DON0fF_3RM6?86 zxx-U6HK@uZnWoh1d4qP;HQ+~*xrV$KhLk48T8ZRUQ> zJ_$YaHY!ogIm=^sifeTR6V)+CWPJCp+w?S)lE?z3=hhN{Lta@D+5Yy8R}G56usQ&8 znfVbkz{dNlMXrL`%|)ndaKw4Xh8PXioTqtu z`iuyJkg|mfkFd2l0ak`QuGz#7JcH1|9F!cu60T-8rzuB>(?31f%4&|cw-84N+VO%* zT)KPC#m+H2x@L#35<9~_{&>Z|==`*<6>2b{48=SWLb%Nq)}c0*WHEH47?m{4#oSO) zR@MfwQ-o&h2Q`nY6H;)ebT^Fp6alkDL#^oJM;e@&Vfm-SRLq;Mj_CJj?k5+Fs{Uv_ znO#t2Or_OCms@T_Ww#D1&Qy#|)AEVe8jSVI7Ge{g?J^jekBQpE| z89SM?1L%fNFVn75j(yf0#LboljadraoXx}Ibbw=Ps`mj4$HjvqGrXjWvx*O?ok!z{ z$M>vwllP`sfA*?KU~GsOcM~&++#12da>6DfgGn|JC$^fD=Rc_kI7=4evi#>A$n@*S2nY+f_gP*6P9dp1YfF z;gNrNWA*a~|JOU7kUQMLPcMG+q91;4@Rk4XKYik~-~7XmopbPCo&TZ1hu`()U;E{2 zTs8fui{oZGILOOaFwrr>%@6;b=?*uieh7aU-+6lQKYY>|rFzqr zEv^~rt^=HnkcrS|>PK6&-%wj28@pPh`e zjraV^Km5=?|Kak_r&pJ&jjU(kxtq2=@PX_@Ke*micTfHHWck^xulelT{+}-{RyX|F zE6Wq7zUEzn|K&};e%o!E`m1RVX5e)?ti)RV^XP`Dk6g5iBg=PQUrHL%6YaWrBTo(R z3G-aE2cPa5!uUPAjxO=VEP=UuMVeo@+zN z#(p*U8?TFpp3KghFAWs?eRVHz&9D`?TH7PJdOr|S z|3WUO2;Y8Oa*w?PKN@Gbd}N#KxmIoRbbskk%O-yqa@_Fdc<7)R?mbWS?)MZwsWz#- za=C92%AT}A6Q5Mx>b=6g;Zrw^KiFxL=ja2aLmIy%CAG;VY7^Zxt~Ocj>(lkX0gab` zeS9`4l`cWo%h)8Od;j~3^oR3(E+>ss*ksSOJN7-bRrMZp&q-cR7}9gD?OWP^fB(~M zn=n;+*m};-HcyMso39MfAMR8V{bbSBE?NHi82x0=?@oyPV)*rN4x21>_LIJ)r?&Py zSJ;GKYB<^`%U`%w_v0V>%<%rFuQM%7VtQS!%J&_gP1QO`L_P%~}(Ss1Odw87-)koAbNi}9%FY+amt^b`|h7kS}lnzzY+ zFELC56tiA#2cek@bGT%i|f2_p_DpE7;c#UMI6zBC?5G^hq{%NJsY9mU05A zUUy8U_ix{>tD*6a-r3i;r|-_?<v;I^Y$#Z-5 za2lJrN0xh@3oAH&s`TT3{8P2s5>xgWqm7BL4c)@}tT<1!UG!ZA&-ExjuP0!19Q%6u z13i2lfRW5|-@r-rk1g-u?e z^SZYQtD}}pp4zHs;R>5fO~JFLXK-}z7dL-smKE6kP@R2V24-@zUibTlw4WR}V{~qcJC*5{P5yMdT-#T}a(zp;-MM|~bDw+f{;!Yy zfR_~fAfMc%&&jD=&l7&)`$^j-s?P(rEU8VFim}Vv1Y6KgR@&qeudyB-yAEfQr}KU? zH45#tQMcUUKKWo(^Ax{=_@q+*6P4bgFVFy6JemOsZ|pu~(Vi*h-f!}QP? z$#ls@SUgP9RW${Wq>Hg+n|_Hccuo=sM95cS>kx0lRjh?^^Xv{BkiMb#s#PK@f`^XFY*TM-9K)Y7SlmWch`MhN zGyvSdFfkw$2ICO6{7KA3K*N9|9#G)}8Y`0;qz~o1_zH-KTXpi3kj|sIqbV%7UJ8@J z^Hl5c;O68X$zxDy0X4C$KsTaIBd@5$;CcnOq0L6O#QEX{dOKdjjT+rSQ{3kh75Z}e z;pM9CyE^q@u~+&yN;B`9p|yS|JioR+;3Ir*M8HAjUkIsgB{~ztlALWvN&@pqxzpCI zFCO?o(uIPDT=TpsOoxe)0&{T@qpd<+z1q+YDON~o#~&AFrTEii)~8k18jmVgAi3Y& zXjVkBxw;lerWNCBsHv=W3o#mFw0#G#(Ait9`DlZDM8+6+j67-Pj5M&x4PPM+oR+t~yjso_H6h1ukGti)lQru7)UB zq}W#|m5xdpzmsq#mOq8I+omWjTuKXnke(+{fB+t`MacVcsGjTLH%(7)WXJwDSX~EW zlH)3YhMPEX`khy5rW?5~ODuJ5kd7CoWwF%*qYzve!*>ZUiprV{`0T zfFA%=uAUg;+#_A)$|%9(tV$-17@K8Jcr7NbtQllAHXIQzGTk6qG+WZ5N;)fBE}M;K zk|gV&&?72Riljs#OGrSj?m8==$Hh(?)NCL)??se!B_xz{6?6z%6?dEj2fy`coc9Cn z3Ep9&jxPZ{qD^d-liC5QJizJ2nyDzU_qF0VyY8CUC}tWqDNjw4j7ga-iaHiqv7Rnx zJ*FeiLzDHIOcZH$4=K_Et-=N_PQ93tw7C;LE{Wt zCRi4mnNSF@I=Htcu5A^b0AQT=x*UWDaM+q8iDu@3;&*}z0X1vfNq^qBC8b_D=s+#x zZmF08RqcXovIrUqnZ*+{d(JD6fIU>An?xgKh^Q9V9Gb^;&RNTSWDZ_Y}~8ccL5#QSom7Y(*)YDoW{;8+4z5v(||_v`Aav(ep0?in(5|M z!{|P(gCplBbo_M6l<0sqEK>{M8Tn4hoMzKIZPkMxiU%86vLiPiG6pYY91S*rNL;`j z6BmL+FJL-Q!K?-qO#5PDTp*<2R6-60U#}yBBiiME%BkTTLgsl9BuHjodl4Oc*Dg{k z!hxwwg#|9vYX_K+i|&)IBbDOgIv8B$QX*yH{Dp=QXNWMq3d0cN|ELDTY6*kUB+SXe zXqqlq(n`c-k^zK_I^uyjWpr$;YXuZ{^tYmegj=XQ{>Yvq+U0-Bso@s598KB-y?~84j(yAd(bqfuS%v$Ky)_4Ya>FMPz=y0fmq*2MSBJQ&HK z6;oT#={u92%lf6q&|NM~Vh(K$O(hFGSjsSorM0S~oPZ5=h3+&+{yH>pmPl83v4xTY z+%7je6jpwO{XeybIR`JZ2s{tp6=}SnR)_)x$me(>+BwA(L|cXjltL*DgOG2IDF>ok zamrww_M%8C83zw?U?AxAJfT>(O^Vi~3tT~pX`Hdk z;|R1t`?2*iHH9tKT}orTlC$-OvW6B+2^;DD+IvJ?)s9YUvV-ZvM)(3&Y!cfuUE;pM z4MVT|pO?)|_58QS?)ec%#kSoyY~qG{tZdR@)?FeON9h9?Wv{PvQlrdSX(amm>|iuH zn$ZtfBpbR`WtIY+9*wei(5|yF9nvQU{GOgo#xg>g{J0~myT~)a4`5^KsH@h|*R!j# zF7VJWJf=(dV%??b!{xu+8WQL1NA*HCRhJ>e2vzWqdqvR#F?X|(M7b3xMm8cNsG<{8 z8ld6|V9gq2lhi}bI>jxIWP;vXysZQp_-HWVTxET)b&lb08QMUe_0s`hJNl;ENao}C45$aSh@ z$)cUW^ICDR$F|i*t>^2ka}aUtuBwcG1>?LIT#vS$HTuawE7r8Cs%<7N=-k$+Y?p_F z1@eHw*2PFj@^;(u@uwWj*;JUO^R>q}9`$A}INH9=+$f=`Hl>Bdc^!?gWXg*a_#E<6 zo&GxjF6EQ4R=#|rYmBUokI~pppg4xGFDDi0tc%i)7j$wmUYf5jd4_({CCgF1u6`nF z15&OD^DIB}e&VguQlQP*z3GYa?|Fh|+A8-YA@5WEcjz|6vFg%6JCumKM?(N8N{B)8 zqCiR7t398$XDT>Dkv&}nBbe8Vh7t1#85}58&EWm}m4#$@$UwH*z=H=0{M_IZej~8w*d8$0BtB=dO zO#Ky*PVA) z8Oa-YtN0+Imm**468KbFJKvx~t#izj7wX*R^L04}gTN9x7w_7 z;5_qDNU$kbedeB&Xt4 zc}bc6Hl;8)D#>Fqx{@VCG9N`G_qC%EM$|L`Tz7pTr+(ha_16(A6`Q9KgmH!)-jLnq zg+gmB`xTsUm}w1k6pRkr6(+=Fry0hfj2f*x%GHt1mnF^ghqeI5ODgitiMhwXSO?`} zouRVY#SQpzfts5uyLt5H7~A#+Kc5U_+s1aL7mSTFTyn&-Yd)!Nef?{;Z#&EL zy)4pe8yLF)Y5Ldn`I7Trk8Z0Dm*+xDKF{UbZU6Tvq0=&+H#(WV;WdjkZOtgXZJBV+H&M&m8peVuPMW9bF(h54z|&PTLSrb84kGc$AL*v_3h zXFllX6H}krxicBt`O6HK9y>wX>dDc z?9^$u?5xd9`MkF*&A=zMdco`YLf%)pomakN)710uXoo8z3u(K{l zG{x%3{gC!|{e`f)hlL}U{#fO8@g-V<75Vn?{3QqC7K`%iA6$dCV8s9Qr}+o=|KIyR zO*%sAs`M7@|1`ort$!NvWxgfo!^C&7X<{!xg~+D|H59@j#s_m+2cPF&o3EW>O^i+E zkAM5y-~O+UKmPcaAOHH(-xdLTT;%(I``;CL{QKYk{tvzk6L3QK81&tV%KL@RcTEHQ zIEk%qlQ3;6aM#e~hfvFntw+C9Q9qJdKV>SOr;8_j`a5`!#87yer*Xy70$icUBZLU& zNL&j@5ns05;he3NzK~n+7x68DQfZOaK=hd=^ZMzzZ^C|Jee!vtp`I7O=6(?_{G6P7 zvqq7~{@YR2eSEG64=ntutgaC}-{)RmKWbV)9 zem(b}ToKNLEXwq;*U_LqF#J*QV#eA!Ra5^&fzym}7Imx_mOa3tItsSK^{C9z_^K?Z z=3;J+#l>akQ&WvprUQ#l71TB-uDl6xp$Ayv%SP9#4$OwUVhgU|ux(NeoQbEj9l}aV z+myp#i-F#i-mJmvx@qL9T*cKL`QnFy5?c9=gw~!9B-Yb|+hXx?S%OV$#;Y{gXhjDZ zCOYuNQ4gCNqDkeC#J=Je@MFCdGfGLKFq93D!V{putx;o!M_d$#ugYr>UpGnc-&LeM z%6n@#%8p>;UBwkv++=v35Tma3f9i)TP&DR*Lh-Ak*W#*@^zu}-0IxOGp>K+liKPZy z;-K~5@*fin(W=qY>KZg$BoZ65&xPF*ppJ`sanH>V;|IP38u#}WZnO-D{S&>f>)`}E(2a8plI5Uqjts;b-k}Q|%6q<|t zS#32*cE;1tJd2NI@B^$gipH-&4`JskXr$)pIa(RWIgpQn@ovk>sjcu@2t2lf zZjfOJ7gtBr3yy}oLVkx8?kJ#wKDh#{zYMNwqfiQOKGnyuEpU8KLwkr=UhX?0%#MD^ z6KiriEHN=<&rkRjUrCKVUY)}Tu^AB4L+qI;Tk|lbnb+THLlL~64$HZ0CiVPm-@9ul zjST!AT;vu8c$QA7Fk2Y>w3LQx)N^P^rRlw*Z|hnT555=XxaZ38!gGokb+p#jyUJHw zpeGC*igM&mgSP^v!#^-j7%T*c?5F&5(5;@^uyIYN3ui+WME*GNWi~=5$;Yfrs0^vj zNo2+D(qGJdWwFXtVjLSEs+w0M89tpY(!Ju5G+{&eQ4|?cpee*Ih_R31gS$$ne7~ zZyQcR2`(EL9Mw&C5f7bs1$0UGOlJL9nulrOXLRh7S(bRVe@3xQ!?TU|m>4~?N!)Ei z$&T9(Eww{{;CDdmNxq~VR0`J>(f|}qY5l(vPAJ%R?!ksG5PTPI7e3+#CLh6Tvf3#E zX2hW`v~)Mmhm$NFHJex_!w+Za?wFyiken`YXoa6%dl_6&k$(0X5Qw0dMAu2%O`%s9>IdX=z_`0K>Z^%BcgvO_` zY}vACCVx$>@dPPW3#`Xhwv={z3yiHY2KLZS ziE^?)eJ|6M@o+z8hzIRzpP)iC0z1I8@HW;W z&9$%}8=|@DrC_|<<+PMtP%X&34=7f&sl1;UJ}{D3&oWWiVbvadJeELJQ}ad_Q>fz% z`GaPEZ7?}_*?>DePE1+f!|nK9#%z+XHEwp;MRKmbA+_0)$>g+banN#7K#YrGK-_=8SeKvez1rC_p3-mD&N=@a_M6rv!D>uy z{7xp7n1m+MNj^^8w)iy*T@|*o>MV1cua$txH@F-G8ckE_d?p4kNov(Y5kn|~GP+)~ z;P9Ax`4ej+chmeQ#ev7p*yQtf(ePtcTlyQ518=1JWpj=DrZd$N3*8a3$cl>301VIB zQQn&Fn6rl&H`$$;JVHB27HIcQO`+1US&wkQT7SA>PahMN_7m&X>^ru<;~!SkQ3GWv zV5(SYdxIG`I-#u@vT}LMEE#q(y})=!o`;icwQe1dpi2LTZ(TcrpNNXeT0ew4s=iBG z@xo2I?BR=;CS{PK?wqYdnHF?zj``wp+I4o5oq&|}PSjW-_?aXny>i98oYpEnMId>V z>dsCwYXR6GV_S{U2%1+ms#Yk+Zt@|&?kXi8VcU3U-c5-$g(mTS?nIq|0#}YBX(mWm zA*o3GBKUdPsCR(y=wzONmWiRqSJjuY;U8)Rlexe>A)k=T<1=QBm|d3P%lMX^emFM7 z8{;^3CH?jv(WOjcR3WhA504<8g_+2bJqRfxj&yzZR3v+cHZ)qAm#^&oZ+ZXHIOw z*n=KXf9#|>hJ;acD!u1Nug-+eEM@Z=#|TFzMs&y;P`PXfa(AG}(pR!qmBv!$9V6$P zg|UZtNTAsgpDhYmDj;BD7DqDz6zi4IQo{YJ2P_hkXE%8MZqn+Ieka#zekTDWs2~R| zJ8z)J7OGj*g)5>~`Bsw=J;!qqTD0Xw{?>ACPQP%)t=?#Og}kaV{W4iN-dX<1SbSbl zWDsw&c$n-oE6YO?7jSln8%ML^}S&Oc{L` zGpIdlEr`}4Dvdr%O(}hg;jpYNju!=S4m~)v!*s?8XT`g&m4!$k45~x81aROjMr3lbBVA(NxOC)nq}1pUZHOe)`8pPqt++G}e^I$w5tJndcN5&gX%vtWMk} zA13%bps<4tGMsxJGJZ@+60eY=fQQe-XjI&acP-2#afned$$ORJax*Ay{8Z{-fttf; z@SXSK4|i}Yg+ggh=mdlW!{)>g9mlzCJ6Vs8ySymsbDcC0)?G0lM&!4S0aKK#t@eWA z{WxKbRBop3)BznD-a=e-)-n!4_9PWv%Bmc5ujjyOK1#9WmvXsfhFl@r{iL*@BR?dWpSLOVy;fh zRyM_D6T`d;yp&bcf)Kg61**wl9Xskku{;!h!S-VMs1cP=J8G!aOw4za`iy_xt^{wC zZp%2HDITN-R(ja-Fi&u?u3AII)+M!U!AixT{hF{4EB(xL_WE>zpT&lfCau^d+^f%f zcA3}JT|8wOl?Ef044a0KI>i&oi{l!kvY09NyIf~SDg69|;o+hoc$F1&osm9PKUt{dL{_xCzk(TS<+kBtKp>t$3U8q-k)5d&ZAnSiR+fzy9+N-71v}W5c(Y!pzWJA1AW!*-e#! zYnrD%{@PdWespK@drj@$O`A5D zP3NDxQej$$?h15sl+-3Jx{Uo%iyLJz!iLIl;%w4gJbC{z53gMDQvMZ@9lU)syW9au!!h3_2afiN;d6;hjPR2t#`*?48g2`Yuh`9ZbR2;kq#`Ue< z%d+3U+xxj5eGfEmCSL04SyZ2Q=IYy?^?k%DS--L3{{yk#f}>Z>ckW$x0f3tCI5$?F`6P9-gNGHF%w}O}A`* z%d&wHw@0y0T6GKH!^^y0lBdqu&(q~IayNgG?r8ob3ux|(h9_l=-)nRZ z9Ai29lL!u*a64eR&GL}BZhv+xgg3lL#O6Ai$$7XX40g)Hh*B`Q?*rbB&ZB7GLsz^~ zR_3X4j~_B|XOSuira6$InU2Lc_-k;|KUm7na_!V|;vvUvHmin}m<h) zHNJI)?dzNS?yojR2j4h)!7PK>M>TrU^LU#qRr;R3V&C|_K6&uayD71^$zKf9ZpPzY zW;g6|{Gk1W5_H&v@}5Ar{q|rJErO0+d|8Qpa$sEh$&qd1NYNgY)?IIq zOFnuOn_O{)+T>deZm$JljZZE}ni$Wqre?PW!}c+%6^TEVLaA%;+5{EX?D-gQpF zE83J5D)|sYngF>U+Yl`1g)dQ{7jAKWu~P6C5ibw_zE(9a_gFg|B4)$6MdsI=JRuk$ zt}^Zf21&2T5xjbin%pnKi+Ol%1-*#RZI;KbmSb8mKaq$#YN>4(#|`0uT(0BPC1Tmd z7+8!bucdP=EMgTfD~TU!{2S?^RQM6`YZ8O~k#|A7Z}Bxn0Tv_`hpW8et}HO*dBDgy zxVWGdlq2|dt+=+VwPH^UO}{_FUu*pFr7$#XldB}Zn@~DWxuN<-uRcGAl-seE@Dx|X z<=r0Ko+ujQ3BBspuh^obwVz!;7>j>OBH;*y<_CGP$jFLYQgb?oZ_k$=aG3_M;a$CC@e5S z?Gf7_o}J~7%dJ>g_>Iy7zZTknsp}2F8$Q8|r+R`AUIR=w&2|TikSh>VASa`5TZM9p z1?}SU$U>FU+4KU=zTTiNuOY*(mON2d5a~S3E|eB6oRLz|{s|<%9loBpgI==+yM+ux ze36@{nPEmfT?9BE8RTSko=~`a`9zG5#;J765(@FsfL!mb%9tE0{16Y(6C7?L#yklD z{i-!4;n0?>uRe-RMfpdq-v9}w{4rzK02@!xbw##iYzBjWNDQtg=ADlb%w_Rt8V~eK z19Q?D)l^*U9Kv{pKTmN`6&{FiC()?%x~S}hwwstz=6 zGbK2bYzQj&VQtbuRy$=8jJ=-$D{C-fD;^>SFXN4AHsRgG4EsF$Q*cB!oMM)Li)he{ zc&iKS*poq2ip{JZDiY&&s4Aw}z5t-Y1GKS%azgx~9R)uVzAMvR4x0zH`g0VTRgxCn zs9oF;J5dP4^Yi`epEJpIo0vANOdG=y-=9KdE-FownhqOf$*ke)9m!B~6`(cPv|5MQp8TsIj9UWcOb1r+5k!fk&= zecBNxKjylM=n_?YB96ZtoMRPxoexanj_MvHf5?N8Nch(;VKqflM7l>vw$3^>MVcrX z*h@6qT6p~HQ1ZlG(1`~R;3qDdsC9cArWdi58Zn%?W?xdFQNKS=%}^$)Z48f1`8B%c z*$uWknCIxasNJEis23?kVr5rk*&mcl zp+)O8S-yu~8})tZY`#WcXER*+mJfch%HWVM+GQ3ox^~vrgv4iQ!no(md{!rBi&0jq z4j;3T9*pTUz_5mK)U;ehiFp+(1bu|r2F=>|pvHAr)6-5yhXNlCqS#l$M_W}()Pq_a zYTbYqHe0#7l2jKR)pT}FSz&7SGxJLSM`-XILFAeLD0JgYY8H&@!^QU`PBmz^pjziN z`=q8W@7|5$*Fj8Gl=51kxcsckHz+3@AcZsXq8066&d);RQA?I%tL$aUiXnSvO0Ifi?Jgw zZ*o=SU2!r*H(7{SqiyD;EC06WMq@$0>0t&L(%!DSrD%(7U zV&Z>P6=wZYKR4)zjj|p-m8Q?E8YJ=iSmZU(n{uvW)2iN7GDh*ol1jfD$op7Gtv|l| z&!PA^K7q^>rJ3aC<2`0YyTEE#lejkP>cl6IVpim>OIrmUExKXiOLPjC^!h=bSf&Sg zjf00f@9a{12*YeTr5ziKYWvRMtglPuA(xhhdGa{N0aSf0n;2ERS@7TCWbecO*5o#D z{$p8`x;MEjUD)woxsWMX+|7zfm$&glNA_od%Bx=7_N!ktfY4)={Po zbhZQcs2Oo`Id|YGk9?IdVs+~Xpw6&U8@@K3#5}sU%Rx(jVR0tot2G)QpCZ<{X||jC zTbBrun^ZB{Zy2PKkscSsLemCe>}W!~vN+|6=UH(Zn1Qmv!6NHmRRz8wv6EMU7N0)> zR%AhM=cM$&khuK8^Qm*0ODr#&;ER8Ys!h~5;*__}l?V&C5vY7#u_=suqZ;Ea;0&BG z_n=Zw&#JPHV52^ERvS5GsV(Xf+sJ@g40t)2$NC!QPMLO^6jQ3#gCPd3@F+KNXiIcv_up9PGFtksRBF-xfcR2Cl_rc`l!jMUbvkAu_ls(R>WFW%Y5&_dN$aw zmT5(LQO%Zj(xj&+YyFbc^=mlXt{d(#5hR!CbRsJ2hP0;_vj|JLbdk{6^rqota27&p^GQB&5>F+{607s_0vD>Qiz2{lf!>iW(x4X|eS>%|9Y<__%o9qJ z;Hg}a*_sY&PEQaqb@+GT%oFcK9)&!`3%xX{Lc}@6L}fVwTE>nO>$? z-YS_>xY19NmKNwb-O&F86u6_|f^G=UN73D^-vY^ZI|m+N)LgK}1W_v6Ij6SqaURoX z4@BhV3E`Ka%MTf<1a8D>`@9ygd|}#PFe1pyA0%-L$vuxOrm|@LystXk@XMJQsn`ks z+_F-&Caw;$CabweZ{VXr+>i_m7*<@-l`$_*S?J-RiW4l&3ZfpD2d9!Li)mb#(yN={v1V{W_Z;5&laa3Iz$RSK-tPFKndORMHD8F$dwI(uU8y^X}I@Hu8_Muv^l_ zUG8(&-1F=Yx8M2?Uv-WCMc&83ZN#sBe&loS`XB%B#b3<&pZtyL!G}I|%cfiZo&3s| z{_NRPH{ActhbErbw0-x@GiQJ8ba_*0>0958XWhasar3(xPj1+7;WtO7zLnM=_()S8 zO78y4>wovNXI}isU%JFKDL z-PmN~hFjCS2jYe2oD8}-8F9~k=-Z!r=AHj+u|A*tM&@=gc%Av-zkl{~`#$hL{`vos z-uKO|)!OjBTQ*(4@9(c(c;bded0^A<4?cHg-`B3)eRJ|RXIxzVV>h{}DYbHZ zYo)yVn(EXW)B4;4)#k$N$mQ?*UH6WSdnaA9nK;LR#)h3q-Q-69BU_kkI?MWU!O#2c zpZ$XL-QKG14mLjbpROmRID5$XM879^Y2S{0JHnYOZ1N5(+xx2b_V+Je)VF=dp7AHx zeZAbbgwMuq6*PX}7v7?No*GHvkNw9>N|&Vr>}@?T{?yh7A3QL=XOGCClBcgbUITA? z+ZVscHsO{wunFfvSJ>pKPMZk&>#)hv@P0Y)T($Zfz6iU&(eRVq@ zrATM^Et@RSo_!PF`sr&Pys_vfmA}$m>*Y7@*zuVg`?kxT z;r)kx=DBC8J#CwmN(;Xy@e7TJLh+E#VgFIO4 zJ;+(1cFA78*yvu>l(z{=XxjuuUZmRA_Ynk}Z2m=^_n+GO)rLRa4FFOlC z^b=0+suA*j@`g)f{Qb+e!zLR(t?gQEr0-QJQV0Hh`)()cp%R@077p)u*1{&=`Hqag z^|!D|YenIFKFXxoaZYz!*A@D%m$uxOHMXWrWZ8|YiQ4_7XI|H0OMPFxNZ+f{p|Rxy z2bTKOCd)fG&wHr)+%l_NS*ku)*yQ(qPp*CIZ!vatX&mYF6K|6p;|G?WTDM7uPFJ8S z)=2Sm{v>|zah*Oq@EqU&Qe325^;|#aYsKeBMq7OO9N!8TjO%{q&n0bbEas@oeH;9A z%kLCFgoIz<^JwqYI|JZ_U8i#Tleu5deYYZ<2VZJlp@yT?>&mcRU5q6t>?=Gk=LCrh zWS6gJ=Xg6eK+vkMNb)$Up4NczKVlg?{eh%q0MIU+C-(k%qSJ_Oa2>&}9@jw+Xeiby z@=pkXN4LiWc`Zi*GpX1=#~p(wXB%@7k=0#6z*x+4F1;7AgP-TuEFF~eB9*ncxiGMB z^TIleopk~Cn@4?2E4m$048A;lciHoit($pus5{Z>_!CbFnhNgWN~5u^6**U6Z1EDS z0dI%Y>nY3to!UwN*mDFuVx=)n5uj5$Y!QQ zF8{FRaBG%xOQ5pBX9z6lC6W;A~ZqtS%Ew6i27s}WNfC%ou?cY(7ptT+%vI*eD$Tp%xNNIGk8 zL%`tag`E<%iAKDIjMjdR^mCfcrY0(3mC^FXc!JH0*`$N9yzFi2vMF{tPHnVt5;+6e zuu+l`DakC}bkxYASO&H4SgeC>oJe!Xze-mbv}YOh0X^qMrXD{ICsaq>u8JLpgcHT; zS_L)~!TDlX+@h}#Z5@PRjj@SLsZX@=9@?Es%p9vRolna28_7PbZC;}j^xwqiOEls( zYiC*@1aPDjYD)j{3k6Gm1D_b>n51Uw;M4->Gk%@;H~V+s6a|71AS#ff`N%%0!5*%3 zv|0u0-Ijwz+FbGKVsvSOpjg2bo~|?k>t7&ryOyX_Gk8NK60iZ zCk+9NI$?m)4X#z@7l{lMhCwTf*omCDfLIghU*0J2bvx5b3~Z?EidtD84sM7l@SuUt z-&E)#iixZk(6sC*cPFUDX9n*zS2&9n97Uj6=Hppw2)Xq0XimW zTlTvgEuU9N*!*iy+^r~CoR!U|G?T0%r$~<}*-NuAo*jS2#hERQ>X8)JNEmt!!a4(z z#0#+%%+=%Wn{Vs ztSMH@cu^>JWiElB?OwHvK5}rmPkoG1{rgbHnB!Bvz`SU%onPf%u7|LaM`Ztk+A7|~ z&d~$rv`0(xr6*^nngi9bVdI7yA`iuhjD?>pk?4B>BbJqc*v?8^kNQ*cU|tVP=$L-e zOvkW|7HU(kF12+;Q^2?ZXf189E!3`}tBiJhi}B<^>=BCBS?~f6D)9CqWg>O0%CMD7 zb(J-i`c7nRP*q|kK>o&>TnFipotC%4|Gq)lT*nqVMyZW~$nzwY1QQclCg+z|Q$!6$ zHC#xTC}i&xe_6s>UEBms#CVRs9JF)LbCTqKXXLI?S0QObWKJ1MpHu@5H+YRlY$cv! zTsza>f9O5nTq7OhNhS<2_z-UXM8zQA$S^U}#aM;a+7Qb_?Z7%#Xuvnw3&XiauUA?# zi(tCSh_!qsmX)w7d+^&%62r%_2ZmE;bk{>_E1882jHg^4hW+SOuj zeDE2(loyk*lm*dwUj{#uMy537lq^Gz#h2|}NGfUb9DgPeVomOtj-1}=7!C}Jj2MX0 zJ7uaVZ(zZ`Djg{C;YKf4*B1pbM6J7>8sM|Lsxr&v4I&YDD8?HmkbB0=UO3VuaWMHI z%SbOAz3H$KZcU+L4#45tMLZXcUgcOXNMea_B+@K^S7(uYn1KGR!_hV1rjpD#Zu+x8^KoE>4{CHU^U;gyxfs`Z4rNLd*J~Y)rhx?*{Mjnn zaA?N!4$_t{;HZ{cV4R}fQN*WVurnIapfQOH0tlwqqkz~2tbf?D=pOw(-<;XQc+vn=X0dY)B3k&xW%qAJnw^ zFvf7ba$J=gPnooqIBr{<#1A*i6LZy@{T;j zOm+NHd6pk${I~s}tiuWo_&*EdtHq5*!l}m<#aRzx5O0$U zD{|q$oNSsuSDt;RnR_5PYhcUFt7mFu`_r*JaW2h!!_a5nHTL?mW=wVGJjzlGB#n*T!-V;!1$gepJek_n5Y|aTWNsXlif;k^6ou)R}$VZ zp%lOEm@TPc7pv|r-oIb>06!Nd5>hDKc4z)^n(+NJPg{46M+19uo22FHer$5*c}6Fq_8h|>+UgV;ET)o5(!>^J`}B29$gl`&)8QP;$v~X zpK#K5N%wiT_hv62xacAdoA5V9mpr2V9r1jd&>vxZH^8LwJ4@nhFYo3wz0%JNdX#->S-&rLV0i!2SLoUIB`$^PrDDQKtED~66MftFSD#s0 zs(df~!Sd~WcPdAaVE@_n0|e*?*ZRGz%YFT94U_%tZ_;K%3$^}oib2D-&ou2itkwWF z`JqxdI<<6Q|5(qVcdxX`E5B3Ngikf=guxjr&SiZMQ{?X?KmwLn{JQ@tA{Z-UMy&6Z z`dP7sZ#V0=7e#)hIXd{}`37fJzo^wX%auPzIqeU@6`Xh5j^j6%0u)nz>uEtwOG3eP9KJac zZ>YkNI9}Yi=lwj@uzKpODI-#*&xT7}1@xd-OCa*@Bp9oxLB1j2X(slFcPwis-RH`A z3BtzH)X9Hnj{~c^M#+Z-bJ&<4DWapKKa!l|O6-oneWbXqWJW_2-~X27_j2XYp-TSs zL+mA*@rv!PCg${=q?4+6api)#y};a=WJSDdg-r`v11Y-a;U%q+=!GNsHDrgl`Me?8 zj^lyK)8?~c3G3AjdYA!C<`Bjh?I-PC5~4!-@au|?JLT=ZN3o%iuGIm&T(#QjWl1YX zPCDc_{XufpE?Y?7Eu}-CM7A^Zqu__n<42MD0;F}FGP>JTJLHTQY~_3lCqcs}au8ZD znPcqLaz(RZ%#o^YTP9p4jhrnFrbfCN%#U-XX=tohyC(p~}HgcgbsalrJr^v`+FK9Gliqb8wc>=_(fFh#J(x$9n{Yek1 zMr^whsw`C1X)2}A71R^|h}ROZnbS{Wuv^P%KNzwfabaS()X9P|VuzIrpF{vgGvf4Q zyh`V?*qGGptv95bhOf@=!?T{hn98g;m=xO6jPa=h)l16JmzJl>IRZEzr%f_g#rsA( zjbd?05v#!vU3~@i3>MWlfVe`f2yiVCDL>Yyx&@eoKACq2dmyzV-iFX>*FZAq9i#Y-*njAnBd zAw7QO(_6X=w5d^goP#6977H){g#6_L#=AJ71BE$IX;z&`ou3HMN*xY5<5I`qVcMJ# zs_2XlF{YI3<6@RtS!x)r#;iseyL(P!!g6whJ$mtS z&1-d)yUtaBS4t5GzKPYZyA+GqX~hi(P_Dy^=i{3U8lz7ja_bz5a@n~uq-x_f1&5?n zuh+!tQ(pQBn;S6<>=q%GeuBpldAZ&>h(K^X8XT)0{RDewn92vifGEEde~Ch(?G7i9U1*sR}nV z3JbM>Kq*4GZ&!q08bQ-p4VFd$wAqVq<}`Y6-)c=xP!^+(w&vLRp+tKLoIv$;9LO5w zd#^qfBUc;RZQo-tG1}J{J4xzBHJz7CtkYC2{sj%K0j=_`5w}iS*TA|4)-|xMfprb6 zYhYai>l#?sz`6$3HL$LMbq%a*U|j?28d%rBx&}@T4YVHgh2rGR;q%hMOcaS@+v8XC`25UKd_~)og`3RYOc*IE0>^f4k@ix{G{gaJ$_wnw! zwDjX&cSf8}4Yr#noM(_Ei~|)NtDMSY8=b=z+R8&qkH09rqlpWzD1>Ub)&eK#KQr6YZC=qxW%G1$@mR%Kzcj__<+yx8k?m8~Bgyjfji_9&l(MDNT1qr8s4Fg` z?yR!kgh*8W%jMP6lIP^5Q)qcuf87E!H(VwpS8B_p*K*C4l`JVq<(_JrWS~LoCnnYE z`l?nXt?g(fA9Bc=Z&7a5mW6CT8Iyi8*Gx%mP1RB-9c~Nx>o)3HnoFOAsJv~%JKAaI z_%N@-tXN_)U8*_GI=yGGR;wQ}o=5V>zF;PAc__;<5z_sjLISW8kt9r$w?u z$&&dfbY|?DJljgl`B!3Qq-%GXdgZlVGL_sU6k3VK;53pov!HR~WNv!Jwv$&LQXg|N z)K?*-(hu6z{wC_0pXxwEHH@-hIaMlJGl{fiNlIyXtcfo^DJ!NcUu8BODu%AcA9#dn|FyBa05o4XeNAeZ{eycF38KtYv@x}hLWQ*s>n-%lb3Hq z2F|B6w>DNw()5He?@kXbn5S>lPD}L~*NWB;!O6&lX|o(ZQs?lChe@Aye&}c6V_^_- z3mI!XnwFPO-*EnmtJJtO_si-e**NuMJ$F87RL@@=Z%ras+v~ z`wpYO{xLB$W72>db<$^5GiQ=(>eXFYnUfNk(x%xoI<{FnA3ZG_qAWm2r+N005xZpR z8Y+}u6xPgw0Lb?wNzJ)z(Gux~*b{MSLwZ`i)7sIn^&NZXsuM=*YreedJ4|&*qE|g^ zt7fEDfBQ=$QI%K6kV@_;{msMV2{%!FY|y#+N+RDjOG90!X*QIM`yFs%CS`+J`}?Nm zf_IClVIHbK?Br)s)fs_sx_*g@lxl>knx9Ja59fy_t46t*b&Y?SoVfvt_Ly>xN!Axmd( zugnjaivDO|A}ua$cA8bp(s-pheyZWBpO8+GY4jJ^p3t4P!sE2Y_7|PB6EhN6-f4N|K{g+kB~lq{d6yu+(Mt2W67j8Rn>|AD0Fp?r^r*i6imj2i{$~G1 zmx26WI@ENRVdc^W8A<3+Klz$3NpRU#msTt(@L=I)z)cF`K|c!#V2pRAE8#Z9-%zUx2A)a4n5=1eN%KYaK3-BVm!!;vE))_PChxA<%6&YU@L zKq@TG%#4hjJGUTJZo}E{hU@RO$-^x99hNZrj(V&dRl6 z=KQz1)!o?n6+`aG(VkL_TqP*^4gb&PY-=Hm&$it5@xb-J?(3%?89iEb=O_B0|%aa z+x|~Z9r)xY@4D+tUwYl^WCfNy79`(U{MsXrn5hG2|M-u;^{uIeSYIEjq5tIXjDPCK z@-U8bQ}J03omsY@J%9e}*|YwcbC2A0@6W|&40Ntt%$4V^$oD#**>CNl`I&xBCyEoV z`S^kR7Z=Y>jeYO?-uL>~XMG~aitgdjS8AVp>yIa&c>M7*XFBzXJkZQP^Q3p5$b&fY zJ~?yb+*Eu%N{6}ottsX&n86QAmsCdIcE#=ne9`lBw#bK`J)j>oTl!@0|LM0+=+5dzJPja%ND@nTJg}pnW2L z3fpKQ(VGFTX4tjizNcMHo&MUI>#thhwMNhOU3G;O-<3@l$17}RtxV=x7R2fIds#?h zM8;13bq_LlTDfOs{N8G1zSpWY_pQrY0kT2#m<@e zm(O`Kq<%wmN# zAGf-M{<7ZE+ppOXfwM`P$NZFf>3gBB{-(4UZ1eijW~MQ_-&;tvG^ZuDRn_V|WZ>td z;}G&mV@Bt3s@b}RemP}%C0PHG<;&tST63XQp|F7A^7edx+$>3((T=fH_HX*0*0%MU zpfuJR{o5GpFb=g&`}$#;kP|M+(Dr<HWUy3?BBU-nZNO!HS^9hOmZznO5ag{c|0Q)Yq-`wl$<&5i?N<3_ zTHhUd1BAk%DJ#!Lal=XdTg*wlMqwKcmC~$k%Xh||)+n!e=_0M$MwV_aT{D&~RO=K= zFKeZeN`<<#5{a_}(s#E;CB&|9KIOL# z=Z7 zxX3qs+%~G&F zOw6Z)BBd)%cWI2Rt+6z^=iNvJ&NZz}) zS#k7r8rPjx{NbV2@(W`1y&y5GHB2fO>1&Hu%aX|MOT&zmR`gdy(mQ@;Qj~RD>@O$N zIp4~RH0%67D-(o}W@Bxl5RyXta!14V;E$ZL=a*Dib$LstanY-6&I8rg~Iq@lH9HHlmQPscazv9+XjW2oCw zOFK`4^^2eho0=2yFHOd*!su#A!j^U>j?#Pv_a8n^OV3!$Sz+#*^R(63XMDO&3m z(@qn9Vu^*5CR6>QG4$CGk~Eth}0 z+E}mp>#ck`>@ws+p4AG2f0^&HdNvvSWuN7DTMe@#-}1_nwsh0Th}^lSUK>7SQ`u{E znWo&rdP-SY*mQLl_|ATt)8FaokwAWb7oy<+;d4uEc=bZI{XQpC?2|g1<7b;v{dJ!x ztm~?*%k>`$&Ggf5sN>HFwR7Y!)X!Aky6IEADm2oxK65Hv#dG1^+vGEb7&Q&MfmIXz zrJhL|LuRLJEb>s)`d*o;eP`6t-oa-MKKtPC!P~aobLT_DcmBqP>yud{KjK~8eb=w` zzwh$Do=kQQ4N0F!C)W3j$nPlIJF}%vZhmI(`wun_-aLHko8P(b&JXE1F=0u8>%{2% zk~Nxz1A4u2GjzRLuiW0iKxNCcPBp04sQz7hA2wSg<^{IO@uY0n9j2vEx=vOun3fKi zHiOf{+n@8CF*BBSZ=aGNaP5!uFOm0&|EM_mwSK2Oe}4Mh_IYau2k*IQ>P!7jb>-IE z^{f4_d$~^*p6GMRDcN_OyM4R%$@JKxSNA#9`l`07m)4Jb@zSiky)?67E`185SA~jk z+~;KLq?+6+;9U6#dZ7!x>687p@cZT8=?(NZW!*kYKi5pj>r{VJtgl+D-zR%M)xW^X z`ef85+vLnkej_&OzxC>We(R^E+#6+K^_F%xU2a?FO`K$*uSsXdKCkC#vQ<56q^}9q zVWrjW6SM3yhUM-%>tpZLK9PObz`E*_m+KvzEV%~kV>F-7*HDf#ZIDBF6zg*Vhe&?%oFGu(nc1~yeno$$RCXD4rx*;9QWo-Mb zC)IklXKGvidu%(-Yl(UH)n+BE%UsspT(do=)6Z3`3}xK!e0}Z9Kd?O(VZoO#7?;&s zFq-3J=;XV>kUAtW&1?QUK)Gg?{GM(RtxDsgi|-7?H6~uPtZ@V;zRP5c5Ts zY2Zx!rwcIWm%_ZL4A%=jFqCGu^eZ^h>n2HJAJAue&h^*2(zr2EPwrt+e0rPgdFCf*R z7mXWjv)FE`^4^o^#bCM;6=fK%_uL zrKAw%nsL8+<-LhB#kXxD-Sc#_zHLT}v8;uFm1ZS!`)A!=3ZVtFTqyOL<;_@O@)#{I zZ_K@XW0%c3jyo<%UfB8@>{P0!Mx1>(;qS6`QjX%Oy#1$*b#AZKS3=Dou|k`lCI0+Ksd$W#+~8w30}RI)@=M zR1b5P0%s#Gx)y zRd=lZpD##tSo#gD)0`~CCrijQh25Gzx}~wsnj2?_ZCSv`*|v!CoR{SWrxV0Jm*~!~ ztE$h{5@S-;Er-tYkMpkQeOI2UFq3k@#z?g@#hepHyDocvS@)Io?_w$TllkjPB<9h! z+&`oj6NUDwY2Jj7>E;qA)mW%kHCh<+!<3TYLu*G^Q?;Q)NBVN|3RC+?HmIgCl^EZ= z4)eTNb~(p>J!Ro^+*~l9s{e|6qKsIBBja7sB4L>RIn$u0H?N{-4glk=`a=1r9(ne(&K{J6==w(>ltJjk0}SmyF{7IA(o!-|h&Q_GJ%^S-;`Kll1;c3vbaLzw-bK|mvjtPB7Qp&!BjKrX+6zX*MDlep>RK1 zr|$+0649>DIw9sZ-y2#hFARP*)QX=3wSgFP>y5!5hhid(Q|-&n+ev#LmM6U=sZ`E! ztgc<$PK@79bl#=qlX`LkjslAs6}J;|&Ntn~qv9vi(i@hPU?693=m1UP1x0Az?C3CfDvz^NmvjU_4_D$^5 zb6c}dUbQo4BqD1rhx(eVj=cQ&OsU?Eyq>Gc8F9yYCNUH8qXcQswX#Kr&<%TRcf1cUB(^ySNHI*Q+r0&Wuk1`tf%WdR9t6QT<7vsmoBCI z3H{fYZ8+nco0V5p9?Rq;S(ROh-Wot&ax&afZ>~^JzB%e#Pnt1@Yo+$2Rew5Wk|&yN z%8cAxoVN}0o(nbG<~`vWIzL&)IbB98uk2rJPg~PGRz8sR}Bw@23(@IqLVtPX+ed8BHs42g>9T=2O)k*wh+0D>= z&AlJbWHwkG~ zUUD}lU(aa07mV$n+_x`DWuLx(@~C}P?bc_1C9fwds5SRZ95rK;`|IEHuSZ6t-lW}U zCu{pB-z2Tc2XXDD!Gocu&ifN1HxDOv-!|Xo&Gx9B+dO7pEA`^MQ=R`8Cyv&}>{}1) z`@xZOzRjZ_nXK)Y{HkwJ+V#sQ^FBHucgacQkT{$eJ)YDisv~~qnptP-qRTjP{lS9= z_Prpk^MMCyH}5?j*X43`jcgn8hO3*|+1bOB_uv1(?7jXtvG@MQ{q^eP%%mPmH27Ll zd3Lt(vRh`39h;Q{kL=SYkIl~9TYcWEUKMIdJ#Ej|n|)dR*s-}?TVM7vtv53}bF4af zOxsf)ecyhzSvP&z$m`^I@-nv|bw^|eZwJlXT;8TGVQ6!Dbmo=^c2-~ZGrrBnv13*1 z+w@gqdvYj|-Iu&%psw=8jNAgQxqFQDbe+HVfd?L#z0a@9FWujG;6Qcny$9lab=ox& z&dOTIOTt$J|T({B9q^rIJR z9f$uwTCV6{2|`GmALr?i%dlPGx*u-OTb-PW^(PT8eOK08mOivPt*^OOTpvqRtP|sL zT$udozb_<;P!S*+@kZYlOjf6JeJ z!bsyKUVf_Z^<`|pW$t>ZkX~x;Yee zcg1O`g!-T~STQ5=w_+c{C=H3We#)DZ@;INY>SY>r+b)WWqf5GKOS`qx?|zGO>l#mG z3y{Lfa9A4MD0DlK;a z&m+s9JTk^9D-vnV^X^G%j0?Rae~$A9`Bb}XV};bOP8+}yD;ev?aZA<4L`y~?*@dqy zyS3@sN$n%4c38F%ZBHubM8hVrvQ_mHTAS==nhjHD%jcK7Dxpi79pf*@ZziQV*|;VB z?BtMqI6Q65d|f+2{^;BDIJMkG*`W1@erMS$rXLT>eUKL0$cs|he^s3GKatRGk*hCj ztNTEm;%qli{JPs$urhi8DFiF&($2=0TfIhkL@u?h87J2%%SIxXgq5llnjl&b81`RF z6*86`t@sV0&`sj*q?Nbnwa#_9T5MiZ5_TKyJK4wxOACzr?b78dFHL3A7t04m-$U7# z#4B&@ikk9AFWTDH3pf7++|nnxv8( ze@M@>P9^3eX>CG_t#r@Y`1Ms`S=VB;^4euDl^zj_UEr^_E*vJZ=x6n>TGjfjnU)GO z+pPRJNssUx<$Ho(`vX0zv{umPYZUrqxFOq#e>6%~QOb2YdFGwB&b;n9e|_ih*FXBu z#2q(J)NZlEwMO&W)YfK6=K{Z+$NG#URq2t!zG}G9DD{ax6UFz*L$9_wMtCN0v$Mr?9v?J=8n0ia9rz)Q4#+Fj2w^FK z6&z2@V(I&s#?Q~pw9LVOSUDnnGU~f!(5b|3|rhoAk-Bo{SNe6c~AY$>NTMKcdeA$U|f2 z?YHL%9|?V824zc;P`}EYAAGCcgI)V%`*~l5!4d*n&Mr~dZ;|a((bntFYssH%Nt5g- zu>9}p+nZ@UMuaa?UUTYg&l~W+0gBH(c^iGwj@Kb)f4S1|E_vPPV_~3aIh^Q^ul@N} zNShz@*;nSk$!e!P&w5hxHw7M@#`T;=CrEWbKw#Yqq<#&;u$-mmh@K6{^9HTBip%cjzX1o8f4SV1vNA#H zUk?d(njDhhjHHcx!Ic_U@prhhil3S}zb&QGPkLKW-JbMCSXyA`?=M~6xippRxOIrK z-2XX|+tbO*Z!|5NLa`fc=k~}g3JkxsnCdHjLN(U_hiui|@2n%}Tt zMW0UsweFsz4+4#z^7<{rZwUU!rnX`wFDsW~Q-gU|~tRz$IfO&b_r!tmX zBB$w?JbWdUPSWGXiB!t{Mlt5kg(cyGc8~mup3qC+kzN&A%WoR`fSI0_tF!AL-C<_y zdY^ph54qvI8LL+1zV%!GaC}FS$c^;%S}t32aeABR59k{7Nd)OS-urL57Ii6@nW$QM z9>9%B?a-rc;$E?Tv6Y`+S^0syc*}2l2M(FdhuuVC=c}&bq#t6aoxVnY(?#eZF#OX! zDs(8eY1P=1_0*&jx(};Qnp;kcTe)stu0)kC8Xtdn-pX!FPR52$*}`@qdDc9uLxW_M z1nSGakA!R`X6(k3_SA0w{3!c)4FO-f5p;Fx} zPdQ*Si5}g@J6G@+HMWjjsqxY7Q z{Un?oBYc-=ZT)T~^^XkppWS7zW>VRIRh^V2JL=li(|EIchryXOU)K@)eiYH$%s59yx^Xp?< z6LPW0wPf^8IwwDRpS9ISQk|YXHgdC^;O>*#wv61W_qo}Y3}5{x zjq&N(@%#3e`tuLGDsOM+v(0Jw#w*{z@&Y0)0y~#|9(3^dlRtR(UB|!c&R2co<8OT6 z_^S^d-}mvGZ}|ReuRnO}?vb6_h97+D%Ljkr_?wTv`QWXu{{Dl{{CJ$f;CBeEs{6KloGMJ{sFUcyQ-0UblA-+erlJI<7bGJ=nEQx!@INHrLGH;#bVn zOI~88?%Ds!&zm!&v(pkc+l`q$=l|$fGq-KuZWf<-V(P>B)T#fvWiC$>=_0UY+1I8X zNnd1!x4-3y&?j&Hy}PAP8q@M^%hdTr?Gt`E5 zG#($m%lFA`Q&VQ~si&GPB}V&%&|6^3NbfprH5Rg*r^8sDT^K&W$4~AHA>aJDj1OgT z8EK!`W|CMJf#GMm6!eiDzew@-hLD@8=-*E?gj1{6gmmFND`h(gKs|Nqnnu(+s| zk1XnT^5OTI$G6{5+)gUX@M+LP8v%le8Teo}Fgp)W#bf%LlkZ(9DW$b@0% z${lmlj=3STT$cZ3-haVjmzb_u)ZfteSr+-W2Db<+Go8DP;KZ<%Uy~17LQ|p2~pmN&rS2Y zd{nY8BtyBA(V-A!*j-@jO!u;7mxOI19?NS^)A0&niKokM@XDN^{-Twx_YQf$Omka_ zt!PerZY*WoMZgvF8@r0BRfzk`RlBwmx1p{TC@^MIx>AMCTSJH3uty8SmCkIVPv?p& zIPed}R6lXstmIG9%5Qxs)r_>9n;%MAvYSm2YYObSyBS|*taMioKW*3+D!Q#$JqyhXrl& zg{O9$l0T&!YBs;)l#kEUTz*|kvyfOWFtY6Xcjc4zh}4}qELDnqu4zI~fstkZb}lyg z)OVixPK+1FuUs4sHy@JUI}%nRu=nLFnM$X5YM^*Sf@UkP^uM5!-_V6V$x>RL{UmHu zetl<*A83ToDzNFZtvUUs_kVlw+nwsZ&W2L_Nh@*>=WN9Q=_Bu1IQ!vNhds? zckR!1E$UJ5;7>1hyuaszi^F^Tq|zrXTSK;?^k|r31Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0V2uh4 zW%px^<4d)ehl_UrSe2P>YP;{*sx*5U8mZVhKi4?EREsdK_Z(lZ`8=Pi}`HXWujfd-B6OM>oeZhQb;>2 zOvr27*ZJ&_+i9u}4Xux?8~*3|Sk9hHx&AgX+ijg{|In?3d<3pg0qfV>71#cT|4~e> zyZEYq*L2ARZ2KICKH8qQj&jy>pL9I}?%F8#0POI7TSxCLS6tR>?kuK=BY*$`2q1s} z0&7lS%#4MQB;}`duDK=cu|Naw>rr9d6ib)Lvib)QnyRU|OS|A|LZr6`LP!pv(R3lEoa)8p<~(8aXWuKUV8jg%^XXI9+dip zN5;q*()?C==+Wu4t@UMRSd)FMYW&}2PrF7Z_}Ld3bJaE9{8(y!@~Qs%q?VY0_sH6$ zUDv2|;jT6BdGsB>Y<_z%{n^v?(>vu=|Lwuk^~d*}-dVNX+vwVOOM(AWkZ)%6@?Hno zvRot)KmY**5I|tH1S)^NS}k3acDi2M5uO2gQRYdb2q3Ty1lIVzEA2|yy`<|TYpWps z6)cXMOEED za*`AR2pHRHaLsdCb4Xugf%v^@9JkG`?%J}*xXc1KeCjeUz=hAg>-+7(o2CT>5V%YN zHk)r8w=KpE?{3RlZy8r`c|n)_%1c5s zoy~CQx3b9k9ks2~+Fuo$FJkIr&9o+Wy~$^;^MPIG%g^E?fB*srAh2wKX*1|#B6-OT zt)`9q_vvOkn?KQvudSGqh1Lb{8QRvV=M!k9@?xm|=TL^7}U9BZbt<=Uw~+Fz5w z&KqM-^s#7d>(s|IR6zg%1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**)}g?pF_m}S^Tr>wvh4br zG-*Xf!-e>O#(AwBgRGARLm%17z{j=U7Np+>E0bnE8=K1C{>Je~V!vh6Y>jEgYo|Zy P3;Kfh0PlhI@E-X8zdm-B!&u zW%E0-_MMQCVvQ1%tVq2%h132`a@wMGbCQJemagLDD`X+deV&aN`*9TlGuRFa0;hU(`;bdhA?$ zFsR}G8vzh@My0dd@@Hu}6Zpq8dGD@hI|TaqcL#xCr_9d^2Lfziv6$q_%d6rqa$_#& zmTU6xBJs9NT^kO|+PmTbxp=!3k}W4=kApbCtzw1LKCW4;)Bt&lyFzaHel!oy8|8PO zi+>*vwf4=rHwq?Wej_s=jB)j-GcG6WvsME$n_DZPP4`8v#;T}&0%HyR_>8%X8S?XY zqfz-Ei=#pwekr_+``%Cn)ZS%^A! zy`P*jTY(r)q0N4zI#vM<@8#A@pz5j^=x9u1Aw}mJjJqCk=32!nnZbJH_wtd|ABGCS z<37&+M3RSV%yg*DA*t$#YAjb%H+3a0u$e||UtRbK?vIo70>P6?a;Ok}(g2gZVtY4e zC2Qibs2tZ2D}lhfv~H4jxo}wuoZJx58uU<+huwFzsTKWWd%dB{Ee-M2T2wB7L3Dsi zs03ey-V18*vqq*{ z=VId-OB3i1xwc5kE%%yFa$~JhO<)w!BX&J-9_y#kN^GWM+_MaW>6)&~^|jWeFzG=a z{U;K2$#xcUT@u$?$VwP@*m*@TORleqwQ4^GdKc{$fgraWR+U#J%|bKk3xx`$*%jNw z^{q;gknUVpGLf;7BdbbSl^T4-(l*r8Y`(QrU{19HcLT;m8*S|$K3xwPxtvwzbcIUU z2u?G_D=?mjtie;`*<7P8=1i8iSZlQxNcrK%a&nITu5`+qmz^Cy_vd48y*We2M_NK~ zA=cK-8+6%p|I_CXo>7GNFU^@VqjX~BZD;4rExCV2|DcRCLIR722(u>*ZS5m`f(QQv zlwGCWX%b@S#jLC>t)4SN8B3=fR##_}o~)bpzqLGAtf)RynCZP0EPdwnZ&5K;*9%K3 zDovLKv*K|K(~e$wyHA#b@*H_SW<%adre|OtB@b>;@(wC~Ro1NYv@C>Xpn|3hYraoQ zUnxt<&aF`#{eN84jBaG%~Wc1mu?O(Pw$^Nnmvr z*0GAZz3Ga=ifQI7CZ`|X zxHToi9?Dl}71K`6YHgeTE%vFD$KLm$Vm}kw0G$zfTj96aA3r|BV;{U7`1`NqYL+t0 z9xPU<%zNiXFTBrep6A(gtc1LA za!hUhNgn?P=uXM1A1BD=G|0$1@KMKH@yCpRUcoIIq!Sr;tI*vA@yEIT2RwJvBIojh z_lyfKaJ^L{NLROzIk?*-l-Y92=f)DOy58BfjhOo@9A#)s?JTrI)AUv#uLrzG@)9hw z8JT44!#p+zlJ{R_Gi=BZ;jP<=!eNlf8eCi^vZ^eH+q%u{CMS+P2oA=n$JGFZp=q>p zEaevMl>5m!2Zv(cW5f&v>SM2SGzWK3lFKKc=3oOv4g31`=%d=>pzRu7!VO0kSq*65 zb`d93^axa@;uOshuA6kLy_zOlW<@WOwQq?!9;8XNUv>-#>t&p|T-XZ7ySNbN$;8z* zuwsCMraaCW{^ZhYEgG#~@c44#GP(nsB^0A=h*U(;=m7>ecsBGZo$ds5{D5Icre~== zX|CYGLtxPe=-RHl zdA+fW8z;c%;21~}4YZ?sqXMy{32ydeR)k8Db$E5sB2&=ga{VqN0i*8c<{mO?oNd!a z5oY#C!qO>HXix)AeZg5Nw^<7tCS2+h2=HMD)Np~VAwSq>F5%{OGHo1UHYTQ&6fz;0 z<8#1E1qL|WYQ2rCn|X@%w?KU z<<%H`JXXbk$`LNO6*7!P7M@tPi8+qR3#FED?Ni0c??O?OGN^@#XG0MY5JgH;;DX-K zT;O29ONfzUV;?2ETM=H-x?Lha?@YXkp7&qvb}*L;<1H>@P2fs(`l-UPjvBEdT%DoL zsDV-Rq}WX)trm0r8q#VM8ZxFp{r(CGrc$r2wxe#~gz3+1`9U&%6BPL;uF+iT9N$>& zu%SAdgl<29v~=6BM4rQdsLDX$Of6&Lm8v8ru01gzU~6bnfzYd1HkU_Sv4qF- ziPJU9K0cQHt7EMj{<u}vdr8iSso^P8@BZ=Jc^6+) zyBP-wGLP5IJAK>SNyEOLl3Y3`QTo!PrPIrrLz9LM%{f|}QyRBh!K9g+uPe1o6W(=i zM-O9v#g8#x-}>`>k8!qbTADStF?L!R>@wy&mbTfrO2%eH zpIB9NBMKmD&x3X+XSs?+KdTl99>=mPA81R{!fWQiVTzY&woSY5zJ6XXk&J#l!wV+D z7%Kg|lv+u~9%!3Mu|zZX?ZB<;$^u6rVj+8X*SoO{TsXae^Wo%ymf=)OT*z~XaxXeT zclM%MuJ>?$U2PIrr~$dOE)Evch{dpqZG@dlb$8=-yme}KSsJx|6SNtO?M;8sQO4TZ zq`fK{r@&}Fw_YRaORuO<)UKlLN!`*hj(8EwD_H;NZIflo4~ClScp{~Y_hL650+pZj zKxTy}(;HLHjGr*Xm?ol^wzdt#p|?M$%7R~-%jAv~qWf}>N66z*o4TdD3@!t5>f=Q6e`jg@@9{nBU?;o4-4a&)Z|Np1XuT_K8#3u^5Ze}coEn+Ueel?jgw#2iO zRrlUo&#Qm4z1}7~&B0yB&tDE>YoEA{PU-D9rT-r!DSl?YDz9!9UuLom_i^hXl7q9m zDGH&6o!PPR5Uv~Oe7V=H+4d1$hKYzpISqfO)j$0eD4xDBKkS~&A54bkG-tbyX5+!kW< z@8&WskvT|bRp^+vRQ#HESC!t22EV2|P!c97qex?%Y|5{Jq3a@4X+vj(!vIx!TYm#p@BA=N;0DM3RR^ znXIllA>oe(5b;aMO+q03$;~IiBQZt3%l!w4+jyI?QlMcx(Aq#_iW`2t#bG6F6!0=z zxWLn0n;8%|VxS8hTt->D#oQW9tj2}{JDEOFDEo=rPTI){MpF4ZI2JxRY2RW{JmwY5 zk1}Q>SHgAb>lSWqAvR;6`ecM9Svn(+gfN9UQ$|iiAVI33wD6U-&>Scepv(pozk$>j z(tO)^II{#E9p$=AK-0cO;AWKw>L{S9Vlv$^$_lZWdpM2_jpgeL6OZs*IvsTm5k*;A zp@*!lBBf&`aa;8(Afn9GvX)?%`A#^lWy$5Q#uxMWK#(4|%7Ano@ro)$jJj9Bl{r** zUuo=x2)7Xc8g!3v&<~Qh~DiT z+B?U&dWz?ABTRFQO2^^i)Xin}lXV?kMZ;hehDOZsrWQ;AQriu-E(RlY?l|^DQHJ1Gm6a@s`BjV9)m!Ju_*6H~@nbxZZA>*rDYAZm8 zKmX>Ltj_Eek-7*Al}6xYrjb8w<{ItSo#TAI^m)9__}Uop^2*GRS?r|Y;d%>#q>4y7 zf?A|P?)^k8m7^EN3~5(~caNJfgUvWsue05(`&?ehq*)AAl@7#i+H~KzLFqvl&_T0^ z*D`suGDah?)$v$W_L&G)XJF!~jNj}x@y$0Ct7*P*WMXUT923oZVB$e(95(z}nRvyO zhwcd{a=og}T-tIlSqjR4ii7Sc+`7g(y&I8*W-5-l;&|mC=C zTHim~(2je~zryQ1I(=nFsO#Y88Jq57Nc4zbudT)GPp@W~fH5i5`aFb|viuPC$G zAG}Dx|*RLnAq89S*^W_>!*|g2+123#@ERk zhnqR_FKOeLbbk|mmPbEQ?Jc{EupIg`W36g$M|-Zetya5Qlc`^X1Kbv}J3lrk3nr+d zlEABZ^hyx_Ue?|o4@A+m%=TK<)q(HXa#cK*N%73)=EcD7B!>D>hhhv>T(!9v42Qvq z9t2ZLOkg*AR>Bw7500%m8tx;`9awtkM%<-9Q=@LAtBj>Ed$F{o0fPL7|JyD1_d`eP5G+p=D}C z84c77_Nr9tEjo>*I-o; zOfdu%jPAnl3a;%V>4QU>1*xjXyk2i5RybPPqPq;$Ih zzA~DPJio(kr^stEvUJ;{8~gUXJwwo0mfA%!%snMqD9nNG*^aRo!X3FU*WA!Yw!1`# z-XPZ_Ltl7VJsV{81Y7Txl^IX~k<>=*1EtfMnPDLpkBf&aTTv-Vh$wW-J#D2de=xC; zTWd?eXa`cbzhkW<&nv&gfchd`^VJ#i-(t2=t31 zLJq=8A952VH|M5rJC+_jZ1E}hRRd>*W|-vJ#I2MI=(;Pp3~(3T*@T zjVHzdGlq#o%@+i`W;&ri$)mKZcLt_S?Qb14`ZRWG)#@90UMpCSKK+lg>2p|j$v5u_ zM=mtb7w48LQL8Z&5o+ z*IjbpkDzL$=U<2$IK!np^|y05bA1#9C|q-($CU+%D`BS13NHG%`u+mU&h|ZbB>w8d zcIE`8#O-` zSyl^$Ro8|cwJ@N2^fZ`v%DRUW5BhI!i3e=eu9DAk-K?me2mS)SA)O!v^6<^(Jb8Ml zFnQoV0lr_o8!wQ~Bx|XU1^x`smwuJ`kTXBFvgPq>!`XdRK`?bu4KbecAw(C*Q5#I- z|ADTMJ|p`|=u*I~`+$CB#^6Jw%S;}a51Hkfteq6D;Q@)t^+=_ApASSb4}x2@O4JY2 z@w^Q1eHcoHhAmBD0dJ{Yg+VO&g)2QBao)A}-J)g*1^?Eoj^DvNK7z4?rR4)KUP*!(`T|880 zFwR8{5_fs{P}t+~zo42&m2v2WfQZy4ZbB z7xC;*z@WGfV9U+^#D_Fd47%8TPXqbI^iKZLK7dW5{+3LA5(~+bZ--}arAk2`V6@!) ziSY&vMM(akGhxWb8^iH$R%04zU-V62FV5rX_@ANvr2#%cmvtY7ua?Hg(5dI6uUmllE*&^*TTBxWP)Gg@|vTGOXSiM;bK{KB3!852+V=<$0x!+eW+GjP^;08 zVw?xqgC_a&G`|DwiMIx%8u*u%KOc|8htWT!`l}vO)Mt7Cp4csupKZ==?E>?*D~ubCEG?sb7YT<`k{TB zG|J*3*?cmVLTwl~C%iSk0=UJ?1Y$JM4Y>|%LwG3 zpJ%V+GCj&VBxl|bTM1Fa#k%o7T)T17FE;`Ksw-h)>AX2>1O6dHJQ&4t9sX(4nf|Q& z{3)v#WUU&#MgfrRdFXvg-NHbc(ST^_m!BobA&tm80@GRHE1fm~%PLf0-Ke z^p|N0f)8;cN_AiQt2Cu=p9cG4ZsZV**HILExYr_{rrHsWPM5o=dxJqiZ=1CM0O+Xq zaoZj8;u?CxOrJR&;t^WrueM`~4JP?_=vo&5TO_7pILPU3za64<(Ca+%F)^U2tE+cx z2u+X6C}neZ@%#m7+Z91rmqL)}+Jy*51-Zln+D*9b^)Iay*h>6^Asx{& zVmRcH<=rI-i1-KEc4q_ErDT#@h|>`coZVV}=GF5Wk}n2;2vI3gTlk#HptptHJhB+H zyK=Znu|XK#f|jhOT*m=!JVHL^r<5s1eFexgyvgQg$oyP=I2bJBc8S zG!6ymY(ASvZ@>>}7XrM~@H4#_rx8p2@a&FcIYsEv9+WFsim93SBldF$t?~x%wM=|*6^@GWI?%k_4*xik z7TaZ-&y7z3=rxIe0rT_@JnxmqcNzH3unuw9d)J1413lqGw$QOJ$EQ!mmsod2k8oop zP`9fcIMTu##`P9VMI1XBZOsR?NM^5y$ctlUSa&pAB@GU0lvvn?ucnmIApsg%N%*n^ z8zHvcxoF;*!(HYA$a0x&IDXmkg4hR>!rtI^kS@9$dAWh%djt5JsTcGpQKWF;0(%;> z@pF5-iuUqt$cK{`Fw`satRi&hPJ09zy0xE@%m_~FAZBieIVST9dQrCpLNDO?Pmzp- zg+nhEHDG2zQ}*CiA=Z?Ui=2@$dh706J^5GH-jM!hhANB#w@c2I<=4{%+yxSN^`IFsp{ZVTbOdiei-yufvo*wu# zRc5Oz@|qE#;l$Z3f4?=cHP+J;!+Dg-PiShf)P_iQQg(bI4#{Q0q>nKdMQIUI`BGg| zDJ|$>Xn%u@9V#yx@)Dt}%1QzT9~i`Kx7sYdM8IV7^oLeG8_SZ;==gQuduO~5r@Tle z(}FZ^8p+8`74&AjFLYR)IN6<^i99jfi?=%|EaqW(qqf=l?BHVqJshPWyY+O%XxiMM z#|G66+-CN-m~6`Q))m^tz}kn96W<*i=8=P_z2qGUwjqfeUBAvjmHsqJ2MxdAtW zV`5kA889CVFnVFv00+~ZQfUURwyc>4zMe&D8w+FAt72o}Lds!nHiYb!_Qa^4zFPTB zyb8=3c;q2~_o(UbPeQUL_daRnqv_e+SOqy1PL8y9d+1P}*N3zYr7aJ5q0qj7U|F_M zQym_XqL7Y27PGNpRYaKaF01vHY)XQ?BM?JTv16Bl{3~HwsP7wq}nxk!&BBeFd30i z;BjBY=+5@?T4SUJ@b~pA&T5^&e7GM|1$!@PZl=47`jQ9R``kO?lb1+XpscLwAaXQE zDr58s*!JFNFHn{~5By&lY(LyB#i*G>NJ(hpXk9ZHaCf+8W!g zw5>)P{8aaZ-!XiR6-R7%&0M7x~XS_^dmx-bCSWNOnyyv(@$?o&o3e zdVA`=gzZ~3G@g)~e<4De)(-agnBaF?6Pt8Q+VDW0Lo;O`x#yjPy;y6% zNX(0CyxU-M#(vq|gg17}s?5^9V`)$xIGhNfySJPO(ux@A%vp zu8ynnM0qSnR&6p8+-`sd71BNtzCh+K58nxZEME}6YJvVn0>3=`2I6-Cei!0*5q=l9 W>u(Htqes8> 0 } { + implement_debug_core + } + place_design + write_checkpoint -force VGA_top_placed.dcp + create_report "impl_1_place_report_io_0" "report_io -file VGA_top_io_placed.rpt" + create_report "impl_1_place_report_utilization_0" "report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb" + create_report "impl_1_place_report_control_sets_0" "report_control_sets -verbose -file VGA_top_control_sets_placed.rpt" + close_msg_db -file place_design.pb +} RESULT] +if {$rc} { + step_failed place_design + return -code error $RESULT +} else { + end_step place_design + unset ACTIVE_STEP +} + +start_step route_design +set ACTIVE_STEP route_design +set rc [catch { + create_msg_db route_design.pb + route_design + write_checkpoint -force VGA_top_routed.dcp + create_report "impl_1_route_report_drc_0" "report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx" + create_report "impl_1_route_report_methodology_0" "report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx" + create_report "impl_1_route_report_power_0" "report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx" + create_report "impl_1_route_report_route_status_0" "report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb" + create_report "impl_1_route_report_timing_summary_0" "report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation " + create_report "impl_1_route_report_incremental_reuse_0" "report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt" + create_report "impl_1_route_report_clock_utilization_0" "report_clock_utilization -file VGA_top_clock_utilization_routed.rpt" + create_report "impl_1_route_report_bus_skew_0" "report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx" + close_msg_db -file route_design.pb +} RESULT] +if {$rc} { + write_checkpoint -force VGA_top_routed_error.dcp + step_failed route_design + return -code error $RESULT +} else { + end_step route_design + unset ACTIVE_STEP +} + start_step write_bitstream set ACTIVE_STEP write_bitstream set rc [catch { create_msg_db write_bitstream.pb - set_param synth.incrementalSynthesisCache C:/Users/E209098F/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-12508-irb121-02-w/incrSyn - set_param xicom.use_bs_reader 1 - open_checkpoint VGA_top_routed.dcp - set_property webtalk.parent_dir C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.cache/wt [current_project] set_property XPM_LIBRARIES XPM_CDC [current_project] catch { write_mem_info -force VGA_top.mmi } write_bitstream -force VGA_top.bit diff --git a/projet-vga.runs/impl_1/VGA_top.vdi b/projet-vga.runs/impl_1/VGA_top.vdi index 404086c..83174f0 100644 --- a/projet-vga.runs/impl_1/VGA_top.vdi +++ b/projet-vga.runs/impl_1/VGA_top.vdi @@ -2,40 +2,43 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:42:58 2021 -# Process ID: 4856 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 +# Start of session at: Tue Jan 4 12:18:37 2022 +# Process ID: 13232 +# Current directory: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1 # Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou +# Log file: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top.vdi +# Journal file: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1\vivado.jou #----------------------------------------------------------- source VGA_top.tcl -notrace Command: link_design -top VGA_top -part xc7z010clg400-1 Design is defaulting to srcset: sources_1 Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 35 Unisim elements for replacement +INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0.dcp' for cell 'U0' +INFO: [Netlist 29-17] Analyzing 314 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2018.3 INFO: [Device 21-403] Loading part xc7z010clg400-1 INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1248.586 ; gain = 558.375 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] +WARNING: [Opt 31-35] Removing redundant IBUF, U0/inst/clkin1_ibufg, from the path connected to top-level port: H125MHz +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Constraints 18-550] Could not create 'IBUF_LOW_PWR' constraint because net 'U0/clk_in1' is not directly connected to top level port. Synthesis is ignored for IBUF_LOW_PWR but preserved for implementation. +Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0_board.xdc] for cell 'U0/inst' +Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0_board.xdc] for cell 'U0/inst' +Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0.xdc] for cell 'U0/inst' +INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0.xdc:57] +INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0.xdc:57] +get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1251.785 ; gain = 552.953 +Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0.xdc] for cell 'U0/inst' +Parsing XDC File [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc] +Finished Parsing XDC File [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc] INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1248.586 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1251.785 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +10 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:16 . Memory (MB): peak = 1248.586 ; gain = 885.598 +link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:25 . Memory (MB): peak = 1251.785 ; gain = 888.395 Command: opt_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' @@ -46,57 +49,58 @@ INFO: [DRC 23-27] Running DRC with 2 threads INFO: [Project 1-461] DRC finished with 0 Errors INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.499 . Memory (MB): peak = 1248.586 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.501 . Memory (MB): peak = 1251.785 ; gain = 0.000 Starting Cache Timing Information Task INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: 20ae1d4cd +Ending Cache Timing Information Task | Checksum: 19f3e8d5f -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1265.152 ; gain = 16.566 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.092 . Memory (MB): peak = 1265.977 ; gain = 14.191 Starting Logic Optimization Task Phase 1 Retarget INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: ddde5939 +Phase 1 Retarget | Checksum: c8a6b5ae -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.020 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 4 cells and removed 4 cells +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.096 . Memory (MB): peak = 1346.285 ; gain = 0.000 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. Phase 2 Constant propagation INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: ddde5939 +Phase 2 Constant propagation | Checksum: 1409f9166 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.123 . Memory (MB): peak = 1346.285 ; gain = 0.000 INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells Phase 3 Sweep -Phase 3 Sweep | Checksum: fec5e707 +Phase 3 Sweep | Checksum: 1b7440179 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.028 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.178 . Memory (MB): peak = 1346.285 ; gain = 0.000 INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells +INFO: [Opt 31-1021] In phase Sweep, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. Phase 4 BUFG optimization INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 137e6b9d1 +INFO: [Opt 31-194] Inserted BUFG H125MHz_IBUF_BUFG_inst to drive 182 load(s) on clock net H125MHz_IBUF_BUFG +INFO: [Opt 31-193] Inserted 2 BUFG(s) on clock nets +Phase 4 BUFG optimization | Checksum: cecab300 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.032 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.259 . Memory (MB): peak = 1346.285 ; gain = 0.000 +INFO: [Opt 31-662] Phase BUFG optimization created 1 cells of which 1 are BUFGs and removed 0 cells. Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 12c29fba6 +Phase 5 Shift Register Optimization | Checksum: 193828ea0 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.412 . Memory (MB): peak = 1346.285 ; gain = 0.000 INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 10c49128f +Phase 6 Post Processing Netlist | Checksum: 16ceef5f4 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.055 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.421 . Memory (MB): peak = 1346.285 ; gain = 0.000 INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells Opt_design Change Summary ========================= @@ -105,10 +109,10 @@ Opt_design Change Summary ------------------------------------------------------------------------------------------------------------------------- | Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | ------------------------------------------------------------------------------------------------------------------------- -| Retarget | 4 | 4 | 1 | +| Retarget | 0 | 0 | 1 | | Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | +| Sweep | 0 | 0 | 1 | +| BUFG optimization | 1 | 0 | 0 | | Shift Register Optimization | 0 | 0 | 0 | | Post Processing Netlist | 0 | 0 | 0 | ------------------------------------------------------------------------------------------------------------------------- @@ -117,44 +121,70 @@ Opt_design Change Summary Starting Connectivity Check Task -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: e54fefee +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.004 . Memory (MB): peak = 1346.285 ; gain = 0.000 +Ending Logic Optimization Task | Checksum: 20356351c -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.057 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.429 . Memory (MB): peak = 1346.285 ; gain = 0.000 Starting Power Optimization Task INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: e54fefee +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-4.133 | TNS=-46.099 | +Running Vector-less Activity Propagation... -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Finished Running Vector-less Activity Propagation +INFO: [Pwropt 34-9] Applying IDT optimizations ... +INFO: [Pwropt 34-10] Applying ODC optimizations ... + + +Starting PowerOpt Patch Enables Task +INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 27 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated. +INFO: [Pwropt 34-201] Structural ODC has moved 16 WE to EN ports +Number of BRAM Ports augmented: 0 newly gated: 25 Total Ports: 54 +Number of Flops added for Enable Generation: 2 + +Ending PowerOpt Patch Enables Task | Checksum: 215f1437d + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.085 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Ending Power Optimization Task | Checksum: 215f1437d + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1500.016 ; gain = 153.730 Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: e54fefee -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Starting Logic Optimization Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG +INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets +Ending Logic Optimization Task | Checksum: 2182f781c + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.228 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Ending Final Cleanup Task | Checksum: 2182f781c + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.967 . Memory (MB): peak = 1500.016 ; gain = 0.000 Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: e54fefee +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Ending Netlist Obfuscation Task | Checksum: 2182f781c -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +40 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 INFO: [Timing 38-480] Writing timing data to binary archive. Writing placer database... Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.035 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1500.016 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx INFO: [IP_Flow 19-1839] IP Catalog is up to date. INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. +INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. report_drc completed successfully Command: place_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' @@ -173,48 +203,56 @@ INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of Phase 1 Placer Initialization Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 4ed236ad +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 131936915 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.004 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1a1c16c9c +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: d8624408 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.262 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.459 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 2939760d0 +Phase 1.3 Build Placer Netlist Model | Checksum: 1315496dd -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.351 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.837 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 2939760d0 +Phase 1.4 Constrain Clocks/Macros | Checksum: 1315496dd -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.353 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 2939760d0 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.840 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 1 Placer Initialization | Checksum: 1315496dd -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.353 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.843 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 2 Global Placement Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 28231f14d +Phase 2.1 Floorplanning | Checksum: 1a8bfe1e0 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.397 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.991 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 2.2 Physical Synthesis In Placer INFO: [Physopt 32-65] No nets found for high-fanout optimization. INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. +INFO: [Physopt 32-117] Net SNAKE/listRefs[8][0] could not be optimized because driver SNAKE/mem_reg_3_i_4 could not be replicated +INFO: [Physopt 32-117] Net SNAKE/listRefs[6][2] could not be optimized because driver SNAKE/mem_reg_1_i_4 could not be replicated +INFO: [Physopt 32-68] No nets found for critical-cell optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-456] No candidate cells for DSP register optimization found in the design. +INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-677] No candidate cells for Shift Register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-526] No candidate cells for BRAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell INFO: [Physopt 32-949] No candidate nets found for HD net replication INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1500.016 ; gain = 0.000 Summary of Physical Synthesis Optimizations ============================================ @@ -224,60 +262,71 @@ Summary of Physical Synthesis Optimizations | Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ---------------------------------------------------------------------------------------------------------------------------------------- | Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Critical Cell | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | +| Total | 0 | 0 | 0 | 0 | 6 | 00:00:00 | ---------------------------------------------------------------------------------------------------------------------------------------- -Phase 2.2 Physical Synthesis In Placer | Checksum: 22348ffd6 +Phase 2.2 Physical Synthesis In Placer | Checksum: aaf1c87e -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 2038a7242 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 2 Global Placement | Checksum: 17a0bd3eb -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 3 Detail Placement Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 2038a7242 +Phase 3.1 Commit Multi Column Macros | Checksum: 17a0bd3eb -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2c58c3354 +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 18c86a722 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 279aeb7b4 +Phase 3.3 Area Swap Optimization | Checksum: 19f5ea993 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 279aeb7b4 +Phase 3.4 Pipeline Register Optimization | Checksum: 1bfb8a901 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1500.016 ; gain = 0.000 -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 1e0aaeea1 +Phase 3.5 Fast Optimization +Phase 3.5 Fast Optimization | Checksum: 108c906c7 -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 1500.016 ; gain = 0.000 -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 2d338840d +Phase 3.6 Small Shape Detail Placement +Phase 3.6 Small Shape Detail Placement | Checksum: 1f5ba145a -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 1500.016 ; gain = 0.000 -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 2d338840d +Phase 3.7 Re-assign LUT pins +Phase 3.7 Re-assign LUT pins | Checksum: 1ca5326f1 -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 2d338840d +Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 1500.016 ; gain = 0.000 -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Phase 3.8 Pipeline Register Optimization +Phase 3.8 Pipeline Register Optimization | Checksum: 1aa2d2687 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 1500.016 ; gain = 0.000 + +Phase 3.9 Fast Optimization +Phase 3.9 Fast Optimization | Checksum: a4f5789a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 3 Detail Placement | Checksum: a4f5789a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 4 Post Placement Optimization and Clean-Up @@ -285,59 +334,60 @@ Phase 4.1 Post Commit Optimization INFO: [Timing 38-35] Done setting XDC timing constraints. Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 15c68dcd4 +Post Placement Optimization Initialization | Checksum: 100368e26 Phase 4.1.1.1 BUFG Insertion INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 15c68dcd4 +Phase 4.1.1.1 BUFG Insertion | Checksum: 100368e26 -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.245. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 142e419cd +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 +INFO: [Place 30-746] Post Placement Timing Summary WNS=-3.374. For the most accurate timing information please run report_timing. +Phase 4.1.1 Post Placement Optimization | Checksum: be8bba9e -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 142e419cd +Time (s): cpu = 00:00:18 ; elapsed = 00:00:16 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 4.1 Post Commit Optimization | Checksum: be8bba9e -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:18 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 142e419cd +Phase 4.2 Post Placement Cleanup | Checksum: be8bba9e -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:18 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 142e419cd +Phase 4.3 Placer Reporting | Checksum: be8bba9e -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:18 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 20695260e +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 4.4 Final Placement Cleanup | Checksum: 540ff3bc -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 20695260e +Time (s): cpu = 00:00:18 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 540ff3bc -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Ending Placer Task | Checksum: 1f2b3c1b8 +Time (s): cpu = 00:00:18 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Ending Placer Task | Checksum: 531de2ac -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:18 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +75 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 +place_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 INFO: [Timing 38-480] Writing timing data to binary archive. Writing placer database... +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.050 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. +Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.200 . Memory (MB): peak = 1500.016 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1351.098 ; gain = 0.000 +report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.040 . Memory (MB): peak = 1500.016 ; gain = 0.000 INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1351.098 ; gain = 0.000 +report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1500.016 ; gain = 0.000 Command: route_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' @@ -349,98 +399,150 @@ INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more in Starting Routing Task INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: f9e7c0c6 ConstDB: 0 ShapeSum: f8cc00f2 RouteDB: 0 +Checksum: PlaceDB: 3ad47cdf ConstDB: 0 ShapeSum: 184965cd RouteDB: 0 Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 9a64d846 +Phase 1 Build RT Design | Checksum: 13e412dc8 -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1417.348 ; gain = 66.250 -Post Restoration Checksum: NetGraph: 7c5b36de NumContArr: 1e09a168 Constraints: 0 Timing: 0 +Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Post Restoration Checksum: NetGraph: 58741a68 NumContArr: e5cd1360 Constraints: 0 Timing: 0 Phase 2 Router Initialization Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: 9a64d846 +Phase 2.1 Create Timer | Checksum: 13e412dc8 -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1449.676 ; gain = 98.578 +Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: 9a64d846 +Phase 2.2 Fix Topology Constraints | Checksum: 13e412dc8 -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1455.699 ; gain = 104.602 +Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: 9a64d846 +Phase 2.3 Pre Route Cleanup | Checksum: 13e412dc8 -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1455.699 ; gain = 104.602 +Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 Number of Nodes with overlaps = 0 Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 82bae049 +Phase 2.4 Update Timing | Checksum: 1195a0f5b -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1460.445 ; gain = 109.348 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.391 | TNS=0.000 | WHS=-0.239 | THS=-2.915 | +Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1500.016 ; gain = 0.000 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-3.513 | TNS=-50.092| WHS=-1.636 | THS=-51.724| -Phase 2 Router Initialization | Checksum: cf693307 +Phase 2 Router Initialization | Checksum: 12ae7c807 -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1460.445 ; gain = 109.348 +Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 16fee48da +Phase 3 Initial Routing | Checksum: 1b62d99da -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 +Time (s): cpu = 00:00:24 ; elapsed = 00:00:17 . Memory (MB): peak = 1546.250 ; gain = 46.234 Phase 4 Rip-up And Reroute Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 36 + Number of Nodes with overlaps = 954 + Number of Nodes with overlaps = 235 + Number of Nodes with overlaps = 66 + Number of Nodes with overlaps = 42 + Number of Nodes with overlaps = 19 + Number of Nodes with overlaps = 16 + Number of Nodes with overlaps = 15 + Number of Nodes with overlaps = 7 + Number of Nodes with overlaps = 1 Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=33.088 | TNS=0.000 | WHS=N/A | THS=N/A | +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-5.639 | TNS=-90.744| WHS=N/A | THS=N/A | -Phase 4.1 Global Iteration 0 | Checksum: 1c93f85f6 +Phase 4.1 Global Iteration 0 | Checksum: 1a754acba -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 -Phase 4 Rip-up And Reroute | Checksum: 1c93f85f6 +Time (s): cpu = 00:01:24 ; elapsed = 00:01:06 . Memory (MB): peak = 1596.598 ; gain = 96.582 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 +Phase 4.2 Global Iteration 1 + Number of Nodes with overlaps = 146 + Number of Nodes with overlaps = 24 + Number of Nodes with overlaps = 9 + Number of Nodes with overlaps = 8 + Number of Nodes with overlaps = 8 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 7 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 7 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 6 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-5.630 | TNS=-88.178| WHS=N/A | THS=N/A | + +Phase 4.2 Global Iteration 1 | Checksum: 13f25b21c + +Time (s): cpu = 00:02:20 ; elapsed = 00:01:46 . Memory (MB): peak = 1630.195 ; gain = 130.180 +Phase 4 Rip-up And Reroute | Checksum: 13f25b21c + +Time (s): cpu = 00:02:20 ; elapsed = 00:01:46 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 5 Delay and Skew Optimization Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 1c93f85f6 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 +Phase 5.1.1 Update Timing +Phase 5.1.1 Update Timing | Checksum: 21c9bd585 + +Time (s): cpu = 00:02:20 ; elapsed = 00:01:46 . Memory (MB): peak = 1630.195 ; gain = 130.180 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-5.617 | TNS=-86.848| WHS=N/A | THS=N/A | + + Number of Nodes with overlaps = 0 +Phase 5.1 Delay CleanUp | Checksum: e7e5e811 + +Time (s): cpu = 00:02:20 ; elapsed = 00:01:46 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 1c93f85f6 +Phase 5.2 Clock Skew Optimization | Checksum: e7e5e811 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 -Phase 5 Delay and Skew Optimization | Checksum: 1c93f85f6 +Time (s): cpu = 00:02:20 ; elapsed = 00:01:46 . Memory (MB): peak = 1630.195 ; gain = 130.180 +Phase 5 Delay and Skew Optimization | Checksum: e7e5e811 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 +Time (s): cpu = 00:02:20 ; elapsed = 00:01:46 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 6 Post Hold Fix Phase 6.1 Hold Fix Iter Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 144941f51 +Phase 6.1.1 Update Timing | Checksum: ef9abc12 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=33.241 | TNS=0.000 | WHS=0.083 | THS=0.000 | +Time (s): cpu = 00:02:21 ; elapsed = 00:01:47 . Memory (MB): peak = 1630.195 ; gain = 130.180 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-5.617 | TNS=-86.439| WHS=-0.443 | THS=-0.849 | -Phase 6.1 Hold Fix Iter | Checksum: 144941f51 +Phase 6.1 Hold Fix Iter | Checksum: 151f6c881 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 -Phase 6 Post Hold Fix | Checksum: 144941f51 +Time (s): cpu = 00:02:22 ; elapsed = 00:01:48 . Memory (MB): peak = 1630.195 ; gain = 130.180 +WARNING: [Route 35-468] The router encountered 388 pins that are both setup-critical and hold-critical and tried to fix hold violations at the expense of setup slack. Such pins are: + RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_302/I0 + SYNC/ROMAddress_reg[3]_i_146/DI[3] + SYNC/ROMAddress_reg[9]_i_237/DI[3] + SYNC/ROMAddress[9]_i_588/I0 + SYNC/ROMAddress[9]_i_595/I0 + RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_589/I1 + RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_624/I1 + SYNC/ROMAddress_reg[9]_i_237/DI[2] + SYNC/ROMAddress_reg[9]_i_266/DI[2] + SYNC/ROMAddress[3]_i_103/I5 + .. and 378 more pins. -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 +Phase 6 Post Hold Fix | Checksum: 197295544 + +Time (s): cpu = 00:02:22 ; elapsed = 00:01:48 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 7 Route finalize Router Utilization Summary - Global Vertical Routing Utilization = 0.0881194 % - Global Horizontal Routing Utilization = 0.100414 % + Global Vertical Routing Utilization = 2.83094 % + Global Horizontal Routing Utilization = 3.41935 % Routable Net Status* *Does not include unroutable nets such as driverless and loadless. Run report_route_status for detailed report. @@ -449,58 +551,90 @@ Router Utilization Summary Number of Partially Routed Nets = 0 Number of Node Overlaps = 0 -Phase 7 Route finalize | Checksum: 19cea99c1 +Congestion Report +North Dir 1x1 Area, Max Cong = 54.0541%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 79.2793%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 60.2941%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 75%, No Congested Regions. -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 +------------------------------ +Reporting congestion hotspots +------------------------------ +Direction: North +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: South +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: East +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: West +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 + +Phase 7 Route finalize | Checksum: 1f1dffd6a + +Time (s): cpu = 00:02:22 ; elapsed = 00:01:48 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 8 Verifying routed nets Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 19cea99c1 +Phase 8 Verifying routed nets | Checksum: 1f1dffd6a -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1461.477 ; gain = 110.379 +Time (s): cpu = 00:02:22 ; elapsed = 00:01:48 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 17f26a4e0 +Phase 9 Depositing Routes | Checksum: 238ddaa41 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1461.477 ; gain = 110.379 +Time (s): cpu = 00:02:23 ; elapsed = 00:01:49 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=33.241 | TNS=0.000 | WHS=0.083 | THS=0.000 | -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 17f26a4e0 +Phase 10.1 Update Timing +Phase 10.1 Update Timing | Checksum: 1f42f7dac -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1461.477 ; gain = 110.379 +Time (s): cpu = 00:02:23 ; elapsed = 00:01:49 . Memory (MB): peak = 1630.195 ; gain = 130.180 +INFO: [Route 35-57] Estimated Timing Summary | WNS=-5.617 | TNS=-86.439| WHS=-0.027 | THS=-0.027 | + +WARNING: [Route 35-328] Router estimated timing not met. +Resolution: For a complete and accurate timing signoff, report_timing_summary must be run after route_design. Alternatively, route_design can be run with the -timing_summary option to enable a complete timing signoff at the end of route_design. +Phase 10 Post Router Timing | Checksum: 1f42f7dac + +Time (s): cpu = 00:02:23 ; elapsed = 00:01:49 . Memory (MB): peak = 1630.195 ; gain = 130.180 INFO: [Route 35-16] Router Completed Successfully -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1461.477 ; gain = 110.379 +Time (s): cpu = 00:02:23 ; elapsed = 00:01:49 . Memory (MB): peak = 1630.195 ; gain = 130.180 Routing Is Done. INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +93 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:22 . Memory (MB): peak = 1461.477 ; gain = 110.379 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1461.477 ; gain = 0.000 +route_design: Time (s): cpu = 00:02:25 ; elapsed = 00:01:52 . Memory (MB): peak = 1630.195 ; gain = 130.180 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1630.195 ; gain = 0.000 INFO: [Timing 38-480] Writing timing data to binary archive. Writing placer database... +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1630.195 ; gain = 0.000 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1461.910 ; gain = 0.434 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1461.910 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.257 . Memory (MB): peak = 1630.195 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx INFO: [IP_Flow 19-1839] IP Catalog is up to date. INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. +INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. report_drc completed successfully INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx INFO: [Timing 38-35] Done setting XDC timing constraints. INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. +INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. report_methodology completed successfully INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx @@ -508,67 +642,33 @@ INFO: [Timing 38-35] Done setting XDC timing constraints. Running Vector-less Activity Propagation... Finished Running Vector-less Activity Propagation -86 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +105 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. report_power completed successfully INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs +CRITICAL WARNING: [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations. INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Dec 7 12:43:48 2021... -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:44:06 2021 -# Process ID: 5252 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: open_checkpoint VGA_top_routed.dcp - -Starting open_checkpoint Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.024 . Memory (MB): peak = 250.652 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 35 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Timing 38-478] Restoring timing data from binary archive. -INFO: [Timing 38-479] Binary timing data restore complete. -INFO: [Project 1-856] Restoring constraints from binary archive. -INFO: [Project 1-853] Binary constraint restore complete. -Reading XDEF placement. -Reading placer database... -Reading XDEF routing. -Read XDEF File: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.095 . Memory (MB): peak = 1208.145 ; gain = 0.000 -Restored from archive | CPU: 0.000000 secs | Memory: 0.000000 MB | -Finished XDEF File Restore: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.095 . Memory (MB): peak = 1208.145 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1208.145 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -INFO: [Project 1-604] Checkpoint was created with Vivado v2018.3 (64-bit) build 2405991 -open_checkpoint: Time (s): cpu = 00:00:12 ; elapsed = 00:00:17 . Memory (MB): peak = 1208.145 ; gain = 957.492 Command: write_bitstream -force VGA_top.bit Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' Running DRC as a precondition to command write_bitstream -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2018.3/data/ip'. +INFO: [IP_Flow 19-1839] IP Catalog is up to date. INFO: [DRC 23-27] Running DRC with 2 threads +WARNING: [DRC PDRC-153] Gated clock check: Net UPD/dataOut_reg[18]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[18]_LDC_i_1/O, cell UPD/dataOut_reg[18]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net UPD/dataOut_reg[19]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[19]_LDC_i_1/O, cell UPD/dataOut_reg[19]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net UPD/dataOut_reg[1]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[1]_LDC_i_1/O, cell UPD/dataOut_reg[1]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net UPD/dataOut_reg[20]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[20]_LDC_i_1/O, cell UPD/dataOut_reg[20]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net UPD/dataOut_reg[21]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[21]_LDC_i_1/O, cell UPD/dataOut_reg[21]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net UPD/dataOut_reg[4]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[4]_LDC_i_1/O, cell UPD/dataOut_reg[4]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 7 Warnings INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. INFO: [Designutils 20-2272] Running write_bitstream with 2 threads. Loading data files... @@ -579,9 +679,9 @@ Creating bitmap... Creating bitstream... Writing bitstream ./VGA_top.bit... INFO: [Vivado 12-1842] Bitgen Completed Successfully. -INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. +INFO: [Project 1-118] WebTalk data collection is enabled (User setting is ON. Install Setting is ON.). INFO: [Common 17-83] Releasing license: Implementation -22 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +124 Infos, 11 Warnings, 1 Critical Warnings and 0 Errors encountered. write_bitstream completed successfully -write_bitstream: Time (s): cpu = 00:00:10 ; elapsed = 00:00:28 . Memory (MB): peak = 1679.344 ; gain = 471.199 -INFO: [Common 17-206] Exiting Vivado at Tue Dec 7 12:44:53 2021... +write_bitstream: Time (s): cpu = 00:00:12 ; elapsed = 00:00:11 . Memory (MB): peak = 1942.887 ; gain = 312.691 +INFO: [Common 17-206] Exiting Vivado at Tue Jan 4 12:21:36 2022... diff --git a/projet-vga.runs/impl_1/VGA_top_11872.backup.vdi b/projet-vga.runs/impl_1/VGA_top_11872.backup.vdi deleted file mode 100644 index df23f99..0000000 --- a/projet-vga.runs/impl_1/VGA_top_11872.backup.vdi +++ /dev/null @@ -1,534 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 23 09:55:17 2021 -# Process ID: 11872 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 7 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1242.094 ; gain = 551.320 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1242.094 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:24 . Memory (MB): peak = 1242.094 ; gain = 879.125 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.510 . Memory (MB): peak = 1242.094 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: 167d6f2bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1258.906 ; gain = 16.812 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 167d6f2bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 167d6f2bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: bfc412b4 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.016 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 1480b8b7b - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.019 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 20e74a998 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.031 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 1b12e64f9 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.032 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 14d3cc591 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 14d3cc591 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 14d3cc591 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 14d3cc591 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 12c34edfb - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -WARNING: [Place 30-574] Poor placement for routing between an IO pin and BUFG. This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_down_IBUF_inst (IBUF.O) is locked to IOB_X0Y1 - bouton_down_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y0 -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 172dde9eb - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.276 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1fe9c5a85 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.329 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1fe9c5a85 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.330 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1fe9c5a85 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.330 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 24fbfc31b - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.362 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 19571fbec - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.767 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 19f068e3b - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.778 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 19f068e3b - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.779 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 15793daff - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.810 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 140188978 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.812 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 1b233c362 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.813 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 13118e91f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.865 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 8f675022 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.871 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 1208a0a3c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.872 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 1208a0a3c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.873 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 150311e2f - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 150311e2f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.917 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.783. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 1b17843c4 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.918 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 1b17843c4 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.918 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 1b17843c4 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.920 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 1b17843c4 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.921 . Memory (MB): peak = 1342.871 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 18c66ff7a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.923 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 18c66ff7a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.923 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Ending Placer Task | Checksum: d428089a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.924 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.041 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1342.871 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1342.871 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -WARNING: [DRC PLCK-12] Clock Placer Checks: Poor placement for routing between an IO pin and BUFG. -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. - This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_down_IBUF_inst (IBUF.O) is locked to IOB_X0Y1 - bouton_down_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y0 -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors, 1 Warnings -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: efe4607 ConstDB: 0 ShapeSum: c529c293 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: ce868b76 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1412.223 ; gain = 69.352 -Post Restoration Checksum: NetGraph: a7807a20 NumContArr: 27061156 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: ce868b76 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1442.484 ; gain = 99.613 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: ce868b76 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1448.547 ; gain = 105.676 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: ce868b76 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1448.547 ; gain = 105.676 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: dcb7e2f8 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.652 ; gain = 110.781 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.908 | TNS=0.000 | WHS=-0.278 | THS=-4.597 | - -Phase 2 Router Initialization | Checksum: 173ad2ca3 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.652 ; gain = 110.781 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 1c424a5c2 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.652 ; gain = 110.781 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 9 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=34.457 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 123c29b62 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.652 ; gain = 110.781 -Phase 4 Rip-up And Reroute | Checksum: 123c29b62 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.652 ; gain = 110.781 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 123c29b62 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.652 ; gain = 110.781 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 123c29b62 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.652 ; gain = 110.781 -Phase 5 Delay and Skew Optimization | Checksum: 123c29b62 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.652 ; gain = 110.781 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: fe7535e4 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.652 ; gain = 110.781 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=34.610 | TNS=0.000 | WHS=0.075 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: fe7535e4 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.652 ; gain = 110.781 -Phase 6 Post Hold Fix | Checksum: fe7535e4 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.652 ; gain = 110.781 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0425113 % - Global Horizontal Routing Utilization = 0.0321691 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: fe7535e4 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.652 ; gain = 110.781 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: fe7535e4 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.480 ; gain = 111.609 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: ac130b84 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.480 ; gain = 111.609 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=34.610 | TNS=0.000 | WHS=0.075 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: ac130b84 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.480 ; gain = 111.609 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.480 ; gain = 111.609 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1454.480 ; gain = 111.609 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1454.480 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.043 . Memory (MB): peak = 1454.938 ; gain = 0.457 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1454.938 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Nov 23 09:56:04 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_12280.backup.vdi b/projet-vga.runs/impl_1/VGA_top_12280.backup.vdi deleted file mode 100644 index 46da341..0000000 --- a/projet-vga.runs/impl_1/VGA_top_12280.backup.vdi +++ /dev/null @@ -1,523 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 30 12:02:04 2021 -# Process ID: 12280 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 3 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1224.238 ; gain = 533.496 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1224.238 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:16 . Memory (MB): peak = 1224.238 ; gain = 861.020 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.499 . Memory (MB): peak = 1224.238 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: d1b7283d - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1241.336 ; gain = 17.098 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: d1b7283d - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: d1b7283d - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 4c4916a3 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: ea635365 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 189961a21 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.022 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: fdaedd04 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 1a5a98288 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.025 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 1a5a98288 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 1a5a98288 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 1a5a98288 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: f74f5d31 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: dff97cf7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.238 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1427c2c70 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.291 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1427c2c70 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.292 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1427c2c70 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.293 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: fef86770 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.335 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 1ace7cfcc - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.633 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 141a32296 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.643 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 141a32296 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.644 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 78fa4a02 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.691 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: d7dfc3b7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.694 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: d7dfc3b7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.695 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 12debda8c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.741 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 14ae64a6f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.744 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 14ae64a6f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.744 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 14ae64a6f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.745 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 1cebea503 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 1cebea503 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.774 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=36.129. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 20987d072 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.775 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 20987d072 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.775 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 20987d072 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.776 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 20987d072 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.777 . Memory (MB): peak = 1327.883 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 27c4f344f - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.779 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 27c4f344f - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.779 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Ending Placer Task | Checksum: 1ab889c44 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.780 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.040 . Memory (MB): peak = 1327.883 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1327.883 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: e36d3f86 ConstDB: 0 ShapeSum: c81b5cbe RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 408a135c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1399.160 ; gain = 71.277 -Post Restoration Checksum: NetGraph: 2bba0e1e NumContArr: 14d0053e Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: 408a135c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1423.402 ; gain = 95.520 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: 408a135c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.410 ; gain = 101.527 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: 408a135c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.410 ; gain = 101.527 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 193e9052a - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1432.094 ; gain = 104.211 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=36.042 | TNS=0.000 | WHS=-0.250 | THS=-3.548 | - -Phase 2 Router Initialization | Checksum: 196977bdb - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1432.094 ; gain = 104.211 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 14455b7e9 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1432.094 ; gain = 104.211 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 4 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.940 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: e844e306 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1432.094 ; gain = 104.211 -Phase 4 Rip-up And Reroute | Checksum: e844e306 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1432.094 ; gain = 104.211 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: e844e306 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1432.094 ; gain = 104.211 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: e844e306 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1432.094 ; gain = 104.211 -Phase 5 Delay and Skew Optimization | Checksum: e844e306 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1432.094 ; gain = 104.211 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 9a801cee - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1432.094 ; gain = 104.211 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=36.093 | TNS=0.000 | WHS=0.063 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 9a801cee - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1432.094 ; gain = 104.211 -Phase 6 Post Hold Fix | Checksum: 9a801cee - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1432.094 ; gain = 104.211 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0209741 % - Global Horizontal Routing Utilization = 0.00827206 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 12bf57e3c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1432.094 ; gain = 104.211 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 12bf57e3c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1433.680 ; gain = 105.797 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: f9632067 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1433.680 ; gain = 105.797 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=36.093 | TNS=0.000 | WHS=0.063 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: f9632067 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1433.680 ; gain = 105.797 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1433.680 ; gain = 105.797 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:23 . Memory (MB): peak = 1433.680 ; gain = 105.797 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1433.680 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1433.680 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1433.793 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Nov 30 12:02:53 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_12864.backup.vdi b/projet-vga.runs/impl_1/VGA_top_12864.backup.vdi deleted file mode 100644 index 8ddff62..0000000 --- a/projet-vga.runs/impl_1/VGA_top_12864.backup.vdi +++ /dev/null @@ -1,523 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:19:39 2021 -# Process ID: 12864 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 3 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1224.508 ; gain = 533.289 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1224.508 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:16 . Memory (MB): peak = 1224.508 ; gain = 861.285 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.506 . Memory (MB): peak = 1224.508 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: 1089519a1 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1241.430 ; gain = 16.922 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 1089519a1 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 1089519a1 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 50d21832 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 95a74d60 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 19dd21140 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.024 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 1575eedad - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.025 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 1955eb16f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.027 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 1955eb16f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 1955eb16f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 1955eb16f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: a1a0325f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: eef95bbb - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.244 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1d3a049f7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.298 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1d3a049f7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.299 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1d3a049f7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.299 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 1722d3694 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.337 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 10663e9fd - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.812 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 1aa3f7fa5 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.822 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 1aa3f7fa5 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.823 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1fa94e875 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.890 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 1bc3b5afc - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.892 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 1bc3b5afc - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.893 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 133e8332f - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.941 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: d843b10d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.945 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: d843b10d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.945 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: d843b10d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.946 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 19cf8a569 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 19cf8a569 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.978 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.155. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 1e5cba416 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.979 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 1e5cba416 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.979 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 1e5cba416 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.980 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 1e5cba416 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.981 . Memory (MB): peak = 1322.203 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 1f250909b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.983 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1f250909b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.983 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Ending Placer Task | Checksum: 10d62737c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.985 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1322.203 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1322.203 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: a04ecaff ConstDB: 0 ShapeSum: 6d13a87d RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: dd396fb6 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1402.008 ; gain = 79.566 -Post Restoration Checksum: NetGraph: 7c3cbf24 NumContArr: 60fcb092 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: dd396fb6 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1426.234 ; gain = 103.793 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: dd396fb6 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1432.270 ; gain = 109.828 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: dd396fb6 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1432.270 ; gain = 109.828 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 112cc3ffa - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.043 ; gain = 112.602 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.100 | TNS=0.000 | WHS=-0.267 | THS=-3.705 | - -Phase 2 Router Initialization | Checksum: 170dc172d - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.043 ; gain = 112.602 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 22395080e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.043 ; gain = 112.602 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 2 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=34.628 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 84c8e4d7 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.043 ; gain = 112.602 -Phase 4 Rip-up And Reroute | Checksum: 84c8e4d7 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.043 ; gain = 112.602 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 84c8e4d7 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.043 ; gain = 112.602 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 84c8e4d7 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.043 ; gain = 112.602 -Phase 5 Delay and Skew Optimization | Checksum: 84c8e4d7 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.043 ; gain = 112.602 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 7ed72ff4 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.043 ; gain = 112.602 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=34.781 | TNS=0.000 | WHS=0.050 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 7ed72ff4 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.043 ; gain = 112.602 -Phase 6 Post Hold Fix | Checksum: 7ed72ff4 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.043 ; gain = 112.602 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0236486 % - Global Horizontal Routing Utilization = 0.0124081 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: a2cd4f9e - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.043 ; gain = 112.602 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: a2cd4f9e - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1436.496 ; gain = 114.055 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 7931b51c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1436.496 ; gain = 114.055 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=34.781 | TNS=0.000 | WHS=0.050 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 7931b51c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1436.496 ; gain = 114.055 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1436.496 ; gain = 114.055 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:23 . Memory (MB): peak = 1436.496 ; gain = 114.293 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1436.496 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1436.496 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1436.496 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Dec 7 12:20:28 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_12968.backup.vdi b/projet-vga.runs/impl_1/VGA_top_12968.backup.vdi deleted file mode 100644 index e4950c9..0000000 --- a/projet-vga.runs/impl_1/VGA_top_12968.backup.vdi +++ /dev/null @@ -1,523 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 30 12:20:41 2021 -# Process ID: 12968 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 3 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1226.977 ; gain = 533.066 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1226.977 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:16 . Memory (MB): peak = 1226.977 ; gain = 862.352 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.501 . Memory (MB): peak = 1226.977 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: dc2ced6f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1243.789 ; gain = 16.812 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: dc2ced6f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: dc2ced6f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 19e1c8f17 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: c943f809 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: ba2b01e3 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: b57bee7a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.024 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 13b7a3fb2 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.026 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 13b7a3fb2 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 13b7a3fb2 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 13b7a3fb2 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.033 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 67b44082 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 14d1cdeaf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.242 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1bfc53c93 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.304 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1bfc53c93 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.306 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1bfc53c93 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.306 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 152ddf35d - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.344 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 166883134 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.872 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 1ea5262ce - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.881 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 1ea5262ce - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.882 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1350cd04a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.922 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 1c8d9a95a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.924 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 1c8d9a95a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.925 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 236b118c7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.980 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 182b978ad - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.983 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 182b978ad - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.983 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 182b978ad - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.984 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: c19cad15 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: c19cad15 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=36.030. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: a4d8353e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: a4d8353e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: a4d8353e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: a4d8353e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1325.523 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 80d205c8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 80d205c8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Ending Placer Task | Checksum: 362c66e8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1325.523 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1325.523 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1325.781 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1325.781 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: 1579e8f6 ConstDB: 0 ShapeSum: 20b27df2 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: b6d8bcc7 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1400.633 ; gain = 74.445 -Post Restoration Checksum: NetGraph: acd0c6a6 NumContArr: a07f621 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: b6d8bcc7 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1424.875 ; gain = 98.688 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: b6d8bcc7 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1430.887 ; gain = 104.699 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: b6d8bcc7 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1430.887 ; gain = 104.699 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 1c88f2ffd - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1433.641 ; gain = 107.453 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.958 | TNS=0.000 | WHS=-0.276 | THS=-3.718 | - -Phase 2 Router Initialization | Checksum: 10a6ee9df - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1433.641 ; gain = 107.453 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 17776be02 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1433.641 ; gain = 107.453 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 4 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=36.113 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 19eac515a - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.641 ; gain = 107.453 -Phase 4 Rip-up And Reroute | Checksum: 19eac515a - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.641 ; gain = 107.453 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 19eac515a - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.641 ; gain = 107.453 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 19eac515a - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.641 ; gain = 107.453 -Phase 5 Delay and Skew Optimization | Checksum: 19eac515a - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.641 ; gain = 107.453 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 219991e28 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.641 ; gain = 107.453 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=36.266 | TNS=0.000 | WHS=0.076 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 219991e28 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.641 ; gain = 107.453 -Phase 6 Post Hold Fix | Checksum: 219991e28 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.641 ; gain = 107.453 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.00900901 % - Global Horizontal Routing Utilization = 0.00344669 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 1f6edf796 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.641 ; gain = 107.453 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1f6edf796 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.129 ; gain = 108.941 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 1ba53fff2 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.129 ; gain = 108.941 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=36.266 | TNS=0.000 | WHS=0.076 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 1ba53fff2 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.129 ; gain = 108.941 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.129 ; gain = 108.941 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:22 . Memory (MB): peak = 1435.129 ; gain = 109.348 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1435.129 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1435.129 ; gain = 0.000 -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.059 . Memory (MB): peak = 1435.129 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Nov 30 12:21:30 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_13936.backup.vdi b/projet-vga.runs/impl_1/VGA_top_13936.backup.vdi deleted file mode 100644 index 8d4c3d8..0000000 --- a/projet-vga.runs/impl_1/VGA_top_13936.backup.vdi +++ /dev/null @@ -1,530 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 30 12:26:23 2021 -# Process ID: 13936 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 3 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1223.945 ; gain = 534.242 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1223.945 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:16 . Memory (MB): peak = 1223.945 ; gain = 861.488 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.505 . Memory (MB): peak = 1223.945 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: 123818214 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1240.480 ; gain = 16.535 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 123818214 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 123818214 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 126eab858 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 12a935bc2 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.015 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 187d22a05 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.025 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 1eadce572 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.026 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 1626ce552 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.027 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 1626ce552 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 1626ce552 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 1626ce552 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 11a18955b - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: b93ed54f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.242 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 188d76266 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.297 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 188d76266 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.298 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 188d76266 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.299 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 18a35186d - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.334 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 137f00f39 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.789 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 1432572bb - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.799 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 1432572bb - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.800 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 111ffa250 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.850 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 14c2f5404 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.853 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 14c2f5404 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.853 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 8649c46f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.901 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 1481f6ae7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.904 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 1481f6ae7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.905 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 1481f6ae7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.905 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 1e8de705c - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 1e8de705c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.938 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.347. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 20dc81c88 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.938 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 20dc81c88 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.939 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 20dc81c88 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.940 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 20dc81c88 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.941 . Memory (MB): peak = 1321.344 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 1e1df3375 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.943 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1e1df3375 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.943 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Ending Placer Task | Checksum: 13b3db9c6 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.945 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1321.344 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1321.344 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1323.125 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1323.125 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: c37a5850 ConstDB: 0 ShapeSum: 77c36176 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: bd1c2272 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1396.336 ; gain = 73.211 -Post Restoration Checksum: NetGraph: bbeff794 NumContArr: 12c2ade Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: bd1c2272 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1420.555 ; gain = 97.430 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: bd1c2272 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1426.578 ; gain = 103.453 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: bd1c2272 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1426.578 ; gain = 103.453 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 19cf4eeba - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.270 ; gain = 106.145 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.290 | TNS=0.000 | WHS=-0.256 | THS=-3.320 | - -Phase 2 Router Initialization | Checksum: 1eb51a031 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.270 ; gain = 106.145 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 12fb8703e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.473 ; gain = 106.348 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 4 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.101 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: eab409dc - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.477 ; gain = 106.352 -Phase 4 Rip-up And Reroute | Checksum: eab409dc - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.477 ; gain = 106.352 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp - -Phase 5.1.1 Update Timing -Phase 5.1.1 Update Timing | Checksum: eab409dc - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.477 ; gain = 106.352 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.254 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 5.1 Delay CleanUp | Checksum: eab409dc - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.477 ; gain = 106.352 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: eab409dc - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.477 ; gain = 106.352 -Phase 5 Delay and Skew Optimization | Checksum: eab409dc - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.477 ; gain = 106.352 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 133414f2c - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.477 ; gain = 106.352 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.254 | TNS=0.000 | WHS=0.074 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: fbd5f750 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.477 ; gain = 106.352 -Phase 6 Post Hold Fix | Checksum: fbd5f750 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.477 ; gain = 106.352 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0239302 % - Global Horizontal Routing Utilization = 0.00919118 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 1a2bd3c5a - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1429.477 ; gain = 106.352 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1a2bd3c5a - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.516 ; gain = 108.391 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 239975ea0 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.516 ; gain = 108.391 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=35.254 | TNS=0.000 | WHS=0.074 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 239975ea0 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.516 ; gain = 108.391 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.516 ; gain = 108.391 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -75 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:23 . Memory (MB): peak = 1431.516 ; gain = 108.391 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1431.516 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1432.102 ; gain = 0.586 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1432.102 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -87 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Nov 30 12:27:12 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_14844.backup.vdi b/projet-vga.runs/impl_1/VGA_top_14844.backup.vdi deleted file mode 100644 index 42d96d7..0000000 --- a/projet-vga.runs/impl_1/VGA_top_14844.backup.vdi +++ /dev/null @@ -1,545 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 23 10:29:07 2021 -# Process ID: 14844 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 11 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1244.230 ; gain = 551.977 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1244.230 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:24 . Memory (MB): peak = 1244.230 ; gain = 880.109 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.506 . Memory (MB): peak = 1244.230 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: b8ea7a4e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 1262.832 ; gain = 18.602 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: b8ea7a4e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: b8ea7a4e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 69d8ab95 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 13bee9dc1 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.022 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 1a59d5459 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.037 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 14a40c514 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 14dbbd0bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.040 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 14dbbd0bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 14dbbd0bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 14dbbd0bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: fa6d7a79 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -WARNING: [Place 30-574] Poor placement for routing between an IO pin and BUFG. This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_down_IBUF_inst (IBUF.O) is locked to IOB_X0Y1 - bouton_down_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y1 -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. -WARNING: [Place 30-574] Poor placement for routing between an IO pin and BUFG. This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_right_IBUF_inst (IBUF.O) is locked to IOB_X0Y36 - bouton_right_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y0 -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 12622357c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.285 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1b3b55d5e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.343 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1b3b55d5e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.345 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1b3b55d5e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.345 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 1f34e0130 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.376 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 1f822ab4a - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.886 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 17fde1b87 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.897 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 17fde1b87 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.898 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 258919723 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.931 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 1af65d909 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.933 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 245deb5d9 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.934 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 1dab8f54b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.998 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 1e1eee966 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: f76e0896 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: f76e0896 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 13e7bd2c2 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 13e7bd2c2 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.645. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 15c183492 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 15c183492 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 15c183492 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 15c183492 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1344.859 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 17d5ce04d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 17d5ce04d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Ending Placer Task | Checksum: d443f812 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.047 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1344.859 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1344.859 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -WARNING: [DRC PLCK-12] Clock Placer Checks: Poor placement for routing between an IO pin and BUFG. -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. - This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_down_IBUF_inst (IBUF.O) is locked to IOB_X0Y1 - bouton_down_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y1 -WARNING: [DRC PLCK-12] Clock Placer Checks: Poor placement for routing between an IO pin and BUFG. -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. - This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_right_IBUF_inst (IBUF.O) is locked to IOB_X0Y36 - bouton_right_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y0 -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors, 2 Warnings -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: 52480e80 ConstDB: 0 ShapeSum: 81fbe992 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: fee31c87 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1413.766 ; gain = 68.906 -Post Restoration Checksum: NetGraph: e323ff66 NumContArr: 1bbf1d21 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: fee31c87 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1444.059 ; gain = 99.199 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: fee31c87 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1450.090 ; gain = 105.230 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: fee31c87 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1450.090 ; gain = 105.230 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 1441c14dd - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.070 ; gain = 109.211 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.769 | TNS=0.000 | WHS=-0.258 | THS=-3.023 | - -Phase 2 Router Initialization | Checksum: 173a347ed - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.070 ; gain = 109.211 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 205eb8b74 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.996 ; gain = 110.137 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 17 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.132 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.996 ; gain = 110.137 -Phase 4 Rip-up And Reroute | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.996 ; gain = 110.137 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.996 ; gain = 110.137 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.996 ; gain = 110.137 -Phase 5 Delay and Skew Optimization | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.996 ; gain = 110.137 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 1adede088 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.996 ; gain = 110.137 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.132 | TNS=0.000 | WHS=0.069 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 1adede088 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.996 ; gain = 110.137 -Phase 6 Post Hold Fix | Checksum: 1adede088 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.996 ; gain = 110.137 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0615146 % - Global Horizontal Routing Utilization = 0.0558364 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 2005f65b4 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1454.996 ; gain = 110.137 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 2005f65b4 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1456.047 ; gain = 111.188 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 18ba5fe80 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1456.047 ; gain = 111.188 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=35.132 | TNS=0.000 | WHS=0.069 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 18ba5fe80 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1456.047 ; gain = 111.188 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1456.047 ; gain = 111.188 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1456.047 ; gain = 111.188 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1456.047 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.046 . Memory (MB): peak = 1456.453 ; gain = 0.406 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1456.453 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Nov 23 10:29:55 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_15112.backup.vdi b/projet-vga.runs/impl_1/VGA_top_15112.backup.vdi deleted file mode 100644 index 2240c88..0000000 --- a/projet-vga.runs/impl_1/VGA_top_15112.backup.vdi +++ /dev/null @@ -1,523 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 30 12:16:55 2021 -# Process ID: 15112 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 3 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1224.488 ; gain = 533.477 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1224.488 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:16 . Memory (MB): peak = 1224.488 ; gain = 861.793 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.505 . Memory (MB): peak = 1224.488 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: dc2ced6f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1241.574 ; gain = 17.086 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: dc2ced6f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: dc2ced6f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 19e1c8f17 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: c943f809 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: ba2b01e3 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.022 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: b57bee7a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 13b7a3fb2 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.025 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 13b7a3fb2 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 13b7a3fb2 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 13b7a3fb2 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.036 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 67b44082 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 14d1cdeaf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.242 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1bfc53c93 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.307 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1bfc53c93 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.308 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1bfc53c93 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.309 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 152ddf35d - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.349 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 166883134 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.854 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 1ea5262ce - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.863 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 1ea5262ce - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.864 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1350cd04a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.906 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 1c8d9a95a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.908 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 1c8d9a95a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.908 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 236b118c7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.965 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 182b978ad - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.968 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 182b978ad - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.968 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 182b978ad - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.969 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: c19cad15 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: c19cad15 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.996 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=36.030. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: a4d8353e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.997 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: a4d8353e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.997 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: a4d8353e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.999 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: a4d8353e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.999 . Memory (MB): peak = 1325.754 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 80d205c8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 80d205c8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Ending Placer Task | Checksum: 362c66e8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1325.754 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1325.754 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: 1579e8f6 ConstDB: 0 ShapeSum: 20b27df2 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: b6d8bcc7 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1398.246 ; gain = 72.492 -Post Restoration Checksum: NetGraph: acd0c6a6 NumContArr: a07f621 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: b6d8bcc7 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1422.508 ; gain = 96.754 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: b6d8bcc7 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1428.512 ; gain = 102.758 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: b6d8bcc7 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1428.512 ; gain = 102.758 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 1c88f2ffd - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.293 ; gain = 105.539 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.958 | TNS=0.000 | WHS=-0.276 | THS=-3.718 | - -Phase 2 Router Initialization | Checksum: 10a6ee9df - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.293 ; gain = 105.539 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 17776be02 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.293 ; gain = 105.539 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 4 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=36.113 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 19eac515a - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.293 ; gain = 105.539 -Phase 4 Rip-up And Reroute | Checksum: 19eac515a - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.293 ; gain = 105.539 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 19eac515a - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.293 ; gain = 105.539 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 19eac515a - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.293 ; gain = 105.539 -Phase 5 Delay and Skew Optimization | Checksum: 19eac515a - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.293 ; gain = 105.539 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 219991e28 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.293 ; gain = 105.539 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=36.266 | TNS=0.000 | WHS=0.076 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 219991e28 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.293 ; gain = 105.539 -Phase 6 Post Hold Fix | Checksum: 219991e28 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.293 ; gain = 105.539 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.00900901 % - Global Horizontal Routing Utilization = 0.00344669 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 1f6edf796 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1431.293 ; gain = 105.539 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1f6edf796 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.059 ; gain = 107.305 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 1ba53fff2 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.059 ; gain = 107.305 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=36.266 | TNS=0.000 | WHS=0.076 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 1ba53fff2 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.059 ; gain = 107.305 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.059 ; gain = 107.305 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:22 . Memory (MB): peak = 1433.059 ; gain = 107.305 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1433.059 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1433.059 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1433.148 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Nov 30 12:17:44 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_1568.backup.vdi b/projet-vga.runs/impl_1/VGA_top_1568.backup.vdi deleted file mode 100644 index 7635e86..0000000 --- a/projet-vga.runs/impl_1/VGA_top_1568.backup.vdi +++ /dev/null @@ -1,523 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 30 12:43:37 2021 -# Process ID: 1568 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 3 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1225.328 ; gain = 533.879 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1225.328 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:16 . Memory (MB): peak = 1225.328 ; gain = 861.820 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.509 . Memory (MB): peak = 1225.328 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: 1cd387b97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1242.352 ; gain = 17.023 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 1cd387b97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1322.477 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 1cd387b97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1322.477 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 11a1ea9c4 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1322.477 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 1b004ba0c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 1322.477 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 154e32ba9 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.024 . Memory (MB): peak = 1322.477 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 14b38df59 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.025 . Memory (MB): peak = 1322.477 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.477 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 1327b0867 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.027 . Memory (MB): peak = 1322.477 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 1327b0867 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1322.477 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 1327b0867 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.477 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.477 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 1327b0867 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.477 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.477 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1322.477 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.477 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.477 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 11a18955b - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1322.477 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.477 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 14857c37a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.244 . Memory (MB): peak = 1322.477 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1be26ef67 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.306 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1be26ef67 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.307 . Memory (MB): peak = 1323.027 ; gain = 0.551 -Phase 1 Placer Initialization | Checksum: 1be26ef67 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.308 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 225160abb - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.356 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1323.027 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 1ba25206f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.875 . Memory (MB): peak = 1323.027 ; gain = 0.551 -Phase 2 Global Placement | Checksum: 23614170f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.885 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 23614170f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.886 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 192f50611 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.941 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 163924b15 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.942 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 163924b15 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.943 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 19c86ae3a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.990 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 175abb83f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.994 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 175abb83f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.994 . Memory (MB): peak = 1323.027 ; gain = 0.551 -Phase 3 Detail Placement | Checksum: 175abb83f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.995 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 284ea0e0d - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 284ea0e0d - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1323.027 ; gain = 0.551 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.407. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 26a7dbbcb - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1323.027 ; gain = 0.551 -Phase 4.1 Post Commit Optimization | Checksum: 26a7dbbcb - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 26a7dbbcb - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 26a7dbbcb - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1323.027 ; gain = 0.551 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1323.027 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 1e396e624 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1323.027 ; gain = 0.551 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1e396e624 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1323.027 ; gain = 0.551 -Ending Placer Task | Checksum: 10ebd1779 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1323.027 ; gain = 0.551 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1323.027 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1323.746 ; gain = 0.719 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1323.746 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1323.762 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1323.762 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: b0b141f5 ConstDB: 0 ShapeSum: 5e0bd584 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 15f37acc5 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1400.961 ; gain = 77.199 -Post Restoration Checksum: NetGraph: 6fc77d0c NumContArr: ef702fb9 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: 15f37acc5 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1425.180 ; gain = 101.418 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: 15f37acc5 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1431.219 ; gain = 107.457 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: 15f37acc5 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1431.219 ; gain = 107.457 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: ca14e25f - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1433.867 ; gain = 110.105 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.355 | TNS=0.000 | WHS=-0.272 | THS=-3.945 | - -Phase 2 Router Initialization | Checksum: ac53c1db - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1433.867 ; gain = 110.105 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 19f2e1359 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1433.867 ; gain = 110.105 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=34.993 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: a28f5e66 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.867 ; gain = 110.105 -Phase 4 Rip-up And Reroute | Checksum: a28f5e66 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.867 ; gain = 110.105 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: a28f5e66 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.867 ; gain = 110.105 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: a28f5e66 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.867 ; gain = 110.105 -Phase 5 Delay and Skew Optimization | Checksum: a28f5e66 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.867 ; gain = 110.105 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 121d82faf - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.867 ; gain = 110.105 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.146 | TNS=0.000 | WHS=0.055 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 121d82faf - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.867 ; gain = 110.105 -Phase 6 Post Hold Fix | Checksum: 121d82faf - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.867 ; gain = 110.105 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0235079 % - Global Horizontal Routing Utilization = 0.0110294 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 174fa15d5 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.867 ; gain = 110.105 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 174fa15d5 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.516 ; gain = 111.754 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 175dfb98b - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.516 ; gain = 111.754 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=35.146 | TNS=0.000 | WHS=0.055 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 175dfb98b - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.516 ; gain = 111.754 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1435.516 ; gain = 111.754 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:22 . Memory (MB): peak = 1435.516 ; gain = 111.754 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1435.516 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.040 . Memory (MB): peak = 1435.516 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1435.516 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Nov 30 12:44:26 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_4688.backup.vdi b/projet-vga.runs/impl_1/VGA_top_4688.backup.vdi deleted file mode 100644 index 5ebeec0..0000000 --- a/projet-vga.runs/impl_1/VGA_top_4688.backup.vdi +++ /dev/null @@ -1,545 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 23 10:08:25 2021 -# Process ID: 4688 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 11 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1242.828 ; gain = 551.719 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1242.828 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:24 . Memory (MB): peak = 1242.828 ; gain = 879.852 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.501 . Memory (MB): peak = 1242.828 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: b8ea7a4e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.016 . Memory (MB): peak = 1260.746 ; gain = 17.918 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: b8ea7a4e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: b8ea7a4e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.016 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 69d8ab95 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.019 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 13bee9dc1 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 1a59d5459 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 14a40c514 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 14dbbd0bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.041 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 14dbbd0bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 14dbbd0bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 14dbbd0bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: fa6d7a79 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -WARNING: [Place 30-574] Poor placement for routing between an IO pin and BUFG. This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_down_IBUF_inst (IBUF.O) is locked to IOB_X0Y1 - bouton_down_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y1 -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. -WARNING: [Place 30-574] Poor placement for routing between an IO pin and BUFG. This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_right_IBUF_inst (IBUF.O) is locked to IOB_X0Y36 - bouton_right_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y0 -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 12622357c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.294 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1b3b55d5e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.354 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1b3b55d5e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.356 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1b3b55d5e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.356 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 1f34e0130 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.387 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 1f822ab4a - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.899 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 17fde1b87 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.910 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 17fde1b87 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.911 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 258919723 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.945 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 1af65d909 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.947 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 245deb5d9 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.948 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 1dab8f54b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 1e1eee966 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: f76e0896 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: f76e0896 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 13e7bd2c2 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 13e7bd2c2 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.645. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 15c183492 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 15c183492 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 15c183492 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 15c183492 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1347.707 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 17d5ce04d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 17d5ce04d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Ending Placer Task | Checksum: d443f812 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.043 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1347.707 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1347.707 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -WARNING: [DRC PLCK-12] Clock Placer Checks: Poor placement for routing between an IO pin and BUFG. -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. - This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_down_IBUF_inst (IBUF.O) is locked to IOB_X0Y1 - bouton_down_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y1 -WARNING: [DRC PLCK-12] Clock Placer Checks: Poor placement for routing between an IO pin and BUFG. -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. - This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_right_IBUF_inst (IBUF.O) is locked to IOB_X0Y36 - bouton_right_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y0 -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors, 2 Warnings -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: 52480e80 ConstDB: 0 ShapeSum: 81fbe992 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: fee31c87 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1413.883 ; gain = 66.176 -Post Restoration Checksum: NetGraph: e323ff66 NumContArr: 1bbf1d21 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: fee31c87 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1444.188 ; gain = 96.480 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: fee31c87 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1450.230 ; gain = 102.523 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: fee31c87 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1450.230 ; gain = 102.523 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 1441c14dd - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1454.770 ; gain = 107.062 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.769 | TNS=0.000 | WHS=-0.258 | THS=-3.023 | - -Phase 2 Router Initialization | Checksum: 173a347ed - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1454.770 ; gain = 107.062 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 205eb8b74 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1455.496 ; gain = 107.789 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 17 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.132 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1455.496 ; gain = 107.789 -Phase 4 Rip-up And Reroute | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1455.496 ; gain = 107.789 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1455.496 ; gain = 107.789 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1455.496 ; gain = 107.789 -Phase 5 Delay and Skew Optimization | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1455.496 ; gain = 107.789 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 1adede088 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1455.496 ; gain = 107.789 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.132 | TNS=0.000 | WHS=0.069 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 1adede088 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1455.496 ; gain = 107.789 -Phase 6 Post Hold Fix | Checksum: 1adede088 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1455.496 ; gain = 107.789 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0615146 % - Global Horizontal Routing Utilization = 0.0558364 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 2005f65b4 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1455.496 ; gain = 107.789 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 2005f65b4 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1456.543 ; gain = 108.836 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 18ba5fe80 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1456.543 ; gain = 108.836 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=35.132 | TNS=0.000 | WHS=0.069 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 18ba5fe80 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1456.543 ; gain = 108.836 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1456.543 ; gain = 108.836 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1456.543 ; gain = 108.836 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1456.543 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.047 . Memory (MB): peak = 1456.957 ; gain = 0.414 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1456.957 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Nov 23 10:09:12 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_4708.backup.vdi b/projet-vga.runs/impl_1/VGA_top_4708.backup.vdi deleted file mode 100644 index 2764adc..0000000 --- a/projet-vga.runs/impl_1/VGA_top_4708.backup.vdi +++ /dev/null @@ -1,523 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:30:30 2021 -# Process ID: 4708 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 11 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1242.105 ; gain = 551.648 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1242.105 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:16 . Memory (MB): peak = 1242.105 ; gain = 878.844 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.511 . Memory (MB): peak = 1242.105 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: d2ac4cf6 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 1259.926 ; gain = 17.820 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 1f756781a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 1 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 1f756781a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.017 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 20f92b0fa - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.020 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 19f2c89a4 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 948878b4 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.036 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 10d0c29d4 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.037 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 1 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 1063f0394 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 1063f0394 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 1063f0394 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 1063f0394 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 73a34972 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1183e7dd3 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.259 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1d9a96167 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.330 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1d9a96167 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.331 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1d9a96167 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.331 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 207239471 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.384 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 1ec0f74d9 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 1db1d9ae8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 1db1d9ae8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1b8be6344 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 24618d2d2 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 24618d2d2 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: f3458c6a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 1248ba3b4 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 1248ba3b4 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 1248ba3b4 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 1635a43ad - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 1635a43ad - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=36.020. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 23bd24a0c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 23bd24a0c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 23bd24a0c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 23bd24a0c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 219552bc9 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 219552bc9 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Ending Placer Task | Checksum: 125980005 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.044 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1343.621 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1343.621 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: af6487ab ConstDB: 0 ShapeSum: 7633785a RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: c740a96f - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1409.477 ; gain = 65.855 -Post Restoration Checksum: NetGraph: 9566862e NumContArr: 31da2341 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: c740a96f - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1439.797 ; gain = 96.176 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: c740a96f - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1445.828 ; gain = 102.207 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: c740a96f - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1445.828 ; gain = 102.207 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: e75bf5ee - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1448.480 ; gain = 104.859 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.988 | TNS=0.000 | WHS=-0.304 | THS=-3.628 | - -Phase 2 Router Initialization | Checksum: 43607781 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1448.480 ; gain = 104.859 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 12c466190 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1448.480 ; gain = 104.859 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 10 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.445 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 210804e73 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1448.480 ; gain = 104.859 -Phase 4 Rip-up And Reroute | Checksum: 210804e73 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1448.480 ; gain = 104.859 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 210804e73 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1448.480 ; gain = 104.859 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 210804e73 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1448.480 ; gain = 104.859 -Phase 5 Delay and Skew Optimization | Checksum: 210804e73 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1448.480 ; gain = 104.859 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 14a89ec0d - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1448.480 ; gain = 104.859 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.560 | TNS=0.000 | WHS=0.076 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 14a89ec0d - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1448.480 ; gain = 104.859 -Phase 6 Post Hold Fix | Checksum: 14a89ec0d - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1448.480 ; gain = 104.859 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0451858 % - Global Horizontal Routing Utilization = 0.0363051 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 2224be1cd - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1448.480 ; gain = 104.859 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 2224be1cd - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1450.207 ; gain = 106.586 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 180a9d30f - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1450.207 ; gain = 106.586 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=35.560 | TNS=0.000 | WHS=0.076 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 180a9d30f - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1450.207 ; gain = 106.586 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1450.207 ; gain = 106.586 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:22 . Memory (MB): peak = 1450.207 ; gain = 106.586 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1450.207 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.045 . Memory (MB): peak = 1450.629 ; gain = 0.422 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1450.629 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Dec 7 12:31:19 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_4856.backup.vdi b/projet-vga.runs/impl_1/VGA_top_4856.backup.vdi deleted file mode 100644 index 8b0f10c..0000000 --- a/projet-vga.runs/impl_1/VGA_top_4856.backup.vdi +++ /dev/null @@ -1,523 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:42:58 2021 -# Process ID: 4856 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 35 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1248.586 ; gain = 558.375 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1248.586 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:16 . Memory (MB): peak = 1248.586 ; gain = 885.598 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.499 . Memory (MB): peak = 1248.586 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: 20ae1d4cd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1265.152 ; gain = 16.566 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: ddde5939 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.020 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 4 cells and removed 4 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: ddde5939 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: fec5e707 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.028 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 137e6b9d1 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.032 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 12c29fba6 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 10c49128f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.055 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 4 | 4 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: e54fefee - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.057 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: e54fefee - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: e54fefee - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: e54fefee - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 4ed236ad - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1a1c16c9c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.262 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 2939760d0 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.351 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 2939760d0 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.353 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 2939760d0 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.353 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 28231f14d - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.397 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 22348ffd6 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 2038a7242 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 2038a7242 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2c58c3354 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 279aeb7b4 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 279aeb7b4 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 1e0aaeea1 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 2d338840d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 2d338840d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 2d338840d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 15c68dcd4 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 15c68dcd4 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.245. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 142e419cd - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 142e419cd - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 142e419cd - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 142e419cd - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 20695260e - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 20695260e - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Ending Placer Task | Checksum: 1f2b3c1b8 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.050 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: f9e7c0c6 ConstDB: 0 ShapeSum: f8cc00f2 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 9a64d846 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1417.348 ; gain = 66.250 -Post Restoration Checksum: NetGraph: 7c5b36de NumContArr: 1e09a168 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: 9a64d846 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1449.676 ; gain = 98.578 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: 9a64d846 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1455.699 ; gain = 104.602 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: 9a64d846 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1455.699 ; gain = 104.602 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 82bae049 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1460.445 ; gain = 109.348 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.391 | TNS=0.000 | WHS=-0.239 | THS=-2.915 | - -Phase 2 Router Initialization | Checksum: cf693307 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1460.445 ; gain = 109.348 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 16fee48da - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 36 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=33.088 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 1c93f85f6 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 -Phase 4 Rip-up And Reroute | Checksum: 1c93f85f6 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 1c93f85f6 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 1c93f85f6 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 -Phase 5 Delay and Skew Optimization | Checksum: 1c93f85f6 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 144941f51 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=33.241 | TNS=0.000 | WHS=0.083 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 144941f51 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 -Phase 6 Post Hold Fix | Checksum: 144941f51 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0881194 % - Global Horizontal Routing Utilization = 0.100414 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 19cea99c1 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 19cea99c1 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1461.477 ; gain = 110.379 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 17f26a4e0 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1461.477 ; gain = 110.379 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=33.241 | TNS=0.000 | WHS=0.083 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 17f26a4e0 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1461.477 ; gain = 110.379 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1461.477 ; gain = 110.379 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:22 . Memory (MB): peak = 1461.477 ; gain = 110.379 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1461.477 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1461.910 ; gain = 0.434 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1461.910 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Dec 7 12:43:48 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_6484.backup.vdi b/projet-vga.runs/impl_1/VGA_top_6484.backup.vdi deleted file mode 100644 index 2c0db9a..0000000 --- a/projet-vga.runs/impl_1/VGA_top_6484.backup.vdi +++ /dev/null @@ -1,523 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:35:58 2021 -# Process ID: 6484 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 11 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1242.344 ; gain = 551.617 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1242.344 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:16 . Memory (MB): peak = 1242.344 ; gain = 879.055 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.520 . Memory (MB): peak = 1242.344 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: 110b259ca - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1259.379 ; gain = 17.035 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 84bc87f3 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 1 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 84bc87f3 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.017 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: b8cdff51 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.020 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 37ad6b15 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: e3a97acd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.036 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: b120801e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.037 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 1 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 8a9a4abc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 8a9a4abc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 8a9a4abc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 8a9a4abc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 5ce38b0a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1a81b9fdd - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.245 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 2311220d7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.315 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 2311220d7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.316 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 2311220d7 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.317 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 28f582a8d - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.357 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 23519395c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 2086fb3d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 2086fb3d6 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 295740530 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 2b6e3c48d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 2b6b80a4e - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 15bcefb3a - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 1fcac79aa - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 1fc203fe9 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 1fc203fe9 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 227c33555 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 227c33555 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.931. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 21491ebb1 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 21491ebb1 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 21491ebb1 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 21491ebb1 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 1be90c9f9 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1be90c9f9 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Ending Placer Task | Checksum: e54542d9 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.052 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1342.969 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1342.969 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: c930627b ConstDB: 0 ShapeSum: 1c14e05e RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 174c0357c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1409.715 ; gain = 66.746 -Post Restoration Checksum: NetGraph: ce3f1938 NumContArr: a6811c44 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: 174c0357c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1440.016 ; gain = 97.047 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: 174c0357c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1446.012 ; gain = 103.043 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: 174c0357c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1446.012 ; gain = 103.043 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 18806e6e0 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1448.656 ; gain = 105.688 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=36.010 | TNS=0.000 | WHS=-0.256 | THS=-3.626 | - -Phase 2 Router Initialization | Checksum: 1ffe8b068 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1448.656 ; gain = 105.688 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 203c94bde - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1449.172 ; gain = 106.203 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 14 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.090 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 1a9606839 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1449.172 ; gain = 106.203 -Phase 4 Rip-up And Reroute | Checksum: 1a9606839 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1449.172 ; gain = 106.203 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 1a9606839 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1449.172 ; gain = 106.203 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 1a9606839 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1449.172 ; gain = 106.203 -Phase 5 Delay and Skew Optimization | Checksum: 1a9606839 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1449.172 ; gain = 106.203 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 1e2322df1 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1449.172 ; gain = 106.203 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.205 | TNS=0.000 | WHS=0.073 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 1e2322df1 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1449.172 ; gain = 106.203 -Phase 6 Post Hold Fix | Checksum: 1e2322df1 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1449.172 ; gain = 106.203 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0460304 % - Global Horizontal Routing Utilization = 0.0457261 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 181eae35c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1449.172 ; gain = 106.203 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 181eae35c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1451.223 ; gain = 108.254 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 12f7c034c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1451.223 ; gain = 108.254 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=35.205 | TNS=0.000 | WHS=0.073 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 12f7c034c - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1451.223 ; gain = 108.254 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1451.223 ; gain = 108.254 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:22 . Memory (MB): peak = 1451.223 ; gain = 108.254 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1451.223 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.047 . Memory (MB): peak = 1451.637 ; gain = 0.414 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1451.637 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Dec 7 12:36:47 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_8972.backup.vdi b/projet-vga.runs/impl_1/VGA_top_8972.backup.vdi deleted file mode 100644 index 6b2b2e8..0000000 --- a/projet-vga.runs/impl_1/VGA_top_8972.backup.vdi +++ /dev/null @@ -1,529 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 23 10:20:49 2021 -# Process ID: 8972 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 3 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1224.426 ; gain = 534.145 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -WARNING: [Vivado 12-507] No nets matched 'bouton_down_IBUF'. [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc:12] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc:12] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-507] No nets matched 'bouton_right_IBUF'. [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc:13] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc:13] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1224.426 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 2 Warnings, 2 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:24 . Memory (MB): peak = 1224.426 ; gain = 861.312 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.489 . Memory (MB): peak = 1224.426 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: 5a9f0cbf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1240.770 ; gain = 16.344 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 5a9f0cbf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1325.379 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 5a9f0cbf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1325.379 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 117de2bd6 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1325.379 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 7fbfd86e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1325.379 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 17b01d26a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1325.379 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: b289bdf0 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.024 . Memory (MB): peak = 1325.379 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.379 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: a7dcf37c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.026 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: a7dcf37c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: a7dcf37c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.379 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: a7dcf37c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1325.379 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 2 Warnings, 2 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.379 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1325.379 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.379 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.379 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: a1a0325f - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1325.379 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 11cf26ecf - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.233 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 18315a003 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.277 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 18315a003 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.278 . Memory (MB): peak = 1325.379 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 18315a003 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.279 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 1a3a857f8 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.309 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 129d92158 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.535 . Memory (MB): peak = 1325.379 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 15aff6cea - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.545 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 15aff6cea - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.546 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: e992437f - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.575 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 125aa6a8c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.577 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 125aa6a8c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.578 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 9d3a0023 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.617 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 100894d91 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.620 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 100894d91 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.621 . Memory (MB): peak = 1325.379 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 100894d91 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.621 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 1582d7486 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 1582d7486 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.652 . Memory (MB): peak = 1325.379 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.525. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 1fb172a0a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.652 . Memory (MB): peak = 1325.379 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 1fb172a0a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.653 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 1fb172a0a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.654 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 1fb172a0a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.655 . Memory (MB): peak = 1325.379 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.379 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 1a5f08b61 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.657 . Memory (MB): peak = 1325.379 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1a5f08b61 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.657 . Memory (MB): peak = 1325.379 ; gain = 0.000 -Ending Placer Task | Checksum: ea220969 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.658 . Memory (MB): peak = 1325.379 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 2 Warnings, 2 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1325.379 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.037 . Memory (MB): peak = 1326.145 ; gain = 0.766 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1326.145 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1329.160 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1329.160 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: 659db238 ConstDB: 0 ShapeSum: 84845731 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: e41f4d51 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1401.383 ; gain = 72.223 -Post Restoration Checksum: NetGraph: 5c55eb1d NumContArr: 87c96234 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: e41f4d51 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1425.637 ; gain = 96.477 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: e41f4d51 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1431.664 ; gain = 102.504 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: e41f4d51 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1431.664 ; gain = 102.504 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 6955b62d - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.438 ; gain = 105.277 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.474 | TNS=0.000 | WHS=-0.254 | THS=-3.685 | - -Phase 2 Router Initialization | Checksum: 95e944f1 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.438 ; gain = 105.277 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 73b087ef - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.438 ; gain = 105.277 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 2 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=33.732 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: c2f4c059 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.438 ; gain = 105.277 -Phase 4 Rip-up And Reroute | Checksum: c2f4c059 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.438 ; gain = 105.277 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: c2f4c059 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.438 ; gain = 105.277 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: c2f4c059 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.438 ; gain = 105.277 -Phase 5 Delay and Skew Optimization | Checksum: c2f4c059 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.438 ; gain = 105.277 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 171f06df9 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.438 ; gain = 105.277 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=33.848 | TNS=0.000 | WHS=0.048 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 16074e70e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.438 ; gain = 105.277 -Phase 6 Post Hold Fix | Checksum: 16074e70e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.438 ; gain = 105.277 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0225225 % - Global Horizontal Routing Utilization = 0.0140165 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 16074e70e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.438 ; gain = 105.277 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 16074e70e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1436.086 ; gain = 106.926 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 1e005d2dc - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1436.086 ; gain = 106.926 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=33.848 | TNS=0.000 | WHS=0.048 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 1e005d2dc - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1436.086 ; gain = 106.926 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1436.086 ; gain = 106.926 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 2 Warnings, 2 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 1436.086 ; gain = 106.926 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1436.086 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1436.758 ; gain = 0.672 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1436.758 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 2 Warnings, 2 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Nov 23 10:21:35 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_9384.backup.vdi b/projet-vga.runs/impl_1/VGA_top_9384.backup.vdi deleted file mode 100644 index 93f834c..0000000 --- a/projet-vga.runs/impl_1/VGA_top_9384.backup.vdi +++ /dev/null @@ -1,523 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:26:40 2021 -# Process ID: 9384 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 3 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1223.977 ; gain = 532.945 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1223.977 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:16 . Memory (MB): peak = 1223.977 ; gain = 860.727 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.512 . Memory (MB): peak = 1223.977 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: 1e73ff6cd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1240.598 ; gain = 16.621 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 1e73ff6cd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 1e73ff6cd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 1b93fc096 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 17548010c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 112979ec5 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.024 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: dc7cd0a1 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.025 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 150d68caf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.027 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 150d68caf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 150d68caf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 150d68caf - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: c1eb0453 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 140e33f71 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.241 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1adacafea - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.290 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1adacafea - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.291 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1adacafea - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.292 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 1ee11f466 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.334 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 14964665c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.673 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 1f3bcd8e6 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.683 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 1f3bcd8e6 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.684 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 101f41530 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.724 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 1aa9f5064 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.726 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 1aa9f5064 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.726 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: c56fd17b - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.782 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 10748b914 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.786 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 10748b914 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.786 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 10748b914 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.787 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 1b4de7b32 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 1b4de7b32 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.818 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.374. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 1221ff634 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.819 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 1221ff634 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.819 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 1221ff634 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.821 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 1221ff634 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.821 . Memory (MB): peak = 1324.828 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 11b681dd6 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.823 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 11b681dd6 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.824 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Ending Placer Task | Checksum: c7f5b6fe - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.825 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.058 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1324.828 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1324.828 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: 4cd546ca ConstDB: 0 ShapeSum: 7b207034 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 193e9b080 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1400.352 ; gain = 75.523 -Post Restoration Checksum: NetGraph: f603a583 NumContArr: 9de60afd Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: 193e9b080 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1424.602 ; gain = 99.773 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: 193e9b080 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1430.637 ; gain = 105.809 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: 193e9b080 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1430.637 ; gain = 105.809 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 14d287119 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.406 ; gain = 108.578 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.306 | TNS=0.000 | WHS=-0.280 | THS=-3.042 | - -Phase 2 Router Initialization | Checksum: 11c317e3b - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.406 ; gain = 108.578 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 13856f33e - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.406 ; gain = 108.578 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 4 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.229 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 130baabbd - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.406 ; gain = 108.578 -Phase 4 Rip-up And Reroute | Checksum: 130baabbd - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.406 ; gain = 108.578 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 130baabbd - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.406 ; gain = 108.578 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 130baabbd - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.406 ; gain = 108.578 -Phase 5 Delay and Skew Optimization | Checksum: 130baabbd - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.406 ; gain = 108.578 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 92f99af8 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.406 ; gain = 108.578 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.382 | TNS=0.000 | WHS=0.073 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 92f99af8 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.406 ; gain = 108.578 -Phase 6 Post Hold Fix | Checksum: 92f99af8 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.406 ; gain = 108.578 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.019848 % - Global Horizontal Routing Utilization = 0.0151654 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 1561952c6 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1433.406 ; gain = 108.578 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1561952c6 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.938 ; gain = 110.109 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 242e77cc4 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.938 ; gain = 110.109 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=35.382 | TNS=0.000 | WHS=0.073 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 242e77cc4 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.938 ; gain = 110.109 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1434.938 ; gain = 110.109 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:23 . Memory (MB): peak = 1434.938 ; gain = 110.109 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1434.938 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.040 . Memory (MB): peak = 1435.922 ; gain = 0.984 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1435.922 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Dec 7 12:27:29 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_9960.backup.vdi b/projet-vga.runs/impl_1/VGA_top_9960.backup.vdi deleted file mode 100644 index 5768c51..0000000 --- a/projet-vga.runs/impl_1/VGA_top_9960.backup.vdi +++ /dev/null @@ -1,545 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 23 10:14:24 2021 -# Process ID: 9960 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace -Command: link_design -top VGA_top -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 11 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1242.402 ; gain = 551.609 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1242.402 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:24 . Memory (MB): peak = 1242.402 ; gain = 879.289 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.496 . Memory (MB): peak = 1242.402 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: b8ea7a4e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.017 . Memory (MB): peak = 1257.285 ; gain = 14.883 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: b8ea7a4e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells -INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: b8ea7a4e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 69d8ab95 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.019 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 13bee9dc1 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.022 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 1a59d5459 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.037 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 14a40c514 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 1 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 14dbbd0bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.040 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 14dbbd0bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 14dbbd0bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 14dbbd0bc - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.044 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: fa6d7a79 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -WARNING: [Place 30-574] Poor placement for routing between an IO pin and BUFG. This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_down_IBUF_inst (IBUF.O) is locked to IOB_X0Y1 - bouton_down_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y1 -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. -WARNING: [Place 30-574] Poor placement for routing between an IO pin and BUFG. This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_right_IBUF_inst (IBUF.O) is locked to IOB_X0Y36 - bouton_right_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y0 -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 12622357c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.297 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1b3b55d5e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.356 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1b3b55d5e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.357 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1b3b55d5e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.357 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 1f34e0130 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.388 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 2.2 Physical Synthesis In Placer -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. -INFO: [Physopt 32-949] No candidate nets found for HD net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Summary of Physical Synthesis Optimizations -============================================ - - ----------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------- -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | ----------------------------------------------------------------------------------------------------------------------------------------- - - -Phase 2.2 Physical Synthesis In Placer | Checksum: 1f822ab4a - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.902 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 17fde1b87 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.913 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 17fde1b87 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.914 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 258919723 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.947 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 1af65d909 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.949 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 245deb5d9 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.950 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 1dab8f54b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 1e1eee966 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: f76e0896 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: f76e0896 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 13e7bd2c2 - -Phase 4.1.1.1 BUFG Insertion -INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 13e7bd2c2 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.645. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 15c183492 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 15c183492 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 15c183492 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 15c183492 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.895 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 17d5ce04d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 17d5ce04d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Ending Placer Task | Checksum: d443f812 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.043 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1342.895 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1342.895 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -WARNING: [DRC PLCK-12] Clock Placer Checks: Poor placement for routing between an IO pin and BUFG. -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. - This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_down_IBUF_inst (IBUF.O) is locked to IOB_X0Y1 - bouton_down_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y1 -WARNING: [DRC PLCK-12] Clock Placer Checks: Poor placement for routing between an IO pin and BUFG. -Resolution: Poor placement of an IO pin and a BUFG has resulted in the router using a non-dedicated path between the two. There are several things that could trigger this DRC, each of which can cause unpredictable clock insertion delays that result in poor timing. This DRC could be caused by any of the following: (a) a clock port was placed on a pin that is not a CCIO-pin (b)the BUFG has not been placed in the same half of the device or SLR as the CCIO-pin (c) a single ended clock has been placed on the N-Side of a differential pair CCIO-pin. - This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint is set to FALSE allowing your design to continue. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. - - bouton_right_IBUF_inst (IBUF.O) is locked to IOB_X0Y36 - bouton_right_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y0 -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors, 2 Warnings -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: 52480e80 ConstDB: 0 ShapeSum: 81fbe992 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: fee31c87 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1411.523 ; gain = 68.629 -Post Restoration Checksum: NetGraph: e323ff66 NumContArr: 1bbf1d21 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: fee31c87 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1441.797 ; gain = 98.902 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: fee31c87 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1447.852 ; gain = 104.957 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: fee31c87 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1447.852 ; gain = 104.957 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 1441c14dd - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1451.789 ; gain = 108.895 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.769 | TNS=0.000 | WHS=-0.258 | THS=-3.023 | - -Phase 2 Router Initialization | Checksum: 173a347ed - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1451.789 ; gain = 108.895 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 205eb8b74 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1452.559 ; gain = 109.664 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 17 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.132 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1452.559 ; gain = 109.664 -Phase 4 Rip-up And Reroute | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1452.559 ; gain = 109.664 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1452.559 ; gain = 109.664 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1452.559 ; gain = 109.664 -Phase 5 Delay and Skew Optimization | Checksum: 2843d0f71 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1452.559 ; gain = 109.664 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 1adede088 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1452.559 ; gain = 109.664 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.132 | TNS=0.000 | WHS=0.069 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 1adede088 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1452.559 ; gain = 109.664 -Phase 6 Post Hold Fix | Checksum: 1adede088 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1452.559 ; gain = 109.664 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0615146 % - Global Horizontal Routing Utilization = 0.0558364 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 2005f65b4 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1452.559 ; gain = 109.664 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 2005f65b4 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.578 ; gain = 110.684 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 18ba5fe80 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.578 ; gain = 110.684 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=35.132 | TNS=0.000 | WHS=0.069 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 18ba5fe80 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.578 ; gain = 110.684 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1453.578 ; gain = 110.684 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1453.578 ; gain = 110.684 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1453.578 ; gain = 0.000 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.055 . Memory (MB): peak = 1454.922 ; gain = 1.344 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1454.922 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -86 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Nov 23 10:15:11 2021... diff --git a/projet-vga.runs/impl_1/VGA_top_bus_skew_routed.rpt b/projet-vga.runs/impl_1/VGA_top_bus_skew_routed.rpt index 9e6334a..a084666 100644 --- a/projet-vga.runs/impl_1/VGA_top_bus_skew_routed.rpt +++ b/projet-vga.runs/impl_1/VGA_top_bus_skew_routed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------------------------------ | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Tue Dec 7 12:43:48 2021 -| Host : irb121-02-w running 64-bit major release (build 9200) +| Date : Tue Jan 4 12:21:25 2022 +| Host : irb121-12-w running 64-bit major release (build 9200) | Command : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx | Design : VGA_top | Device : 7z010-clg400 diff --git a/projet-vga.runs/impl_1/VGA_top_bus_skew_routed.rpx b/projet-vga.runs/impl_1/VGA_top_bus_skew_routed.rpx index da94f91ec21916f0d0bcbca94eacc0b539f0477e..e3f68b6efaeff8e9a5964d8006b5e0b97e160e69 100644 GIT binary patch delta 33 ocmZqVXyn*%lTpMgF;79kM8VL=%E-{l$W+0|z{qIxTSgHk0GuHRoB#j- delta 33 ocmZqVXyn*%lTpMaHCaKyT*1)D%EZ{p#6rQyz{qg(TSgHk0GpQxng9R* diff --git a/projet-vga.runs/impl_1/VGA_top_clock_utilization_routed.rpt b/projet-vga.runs/impl_1/VGA_top_clock_utilization_routed.rpt index 10b0037..16ebf64 100644 --- a/projet-vga.runs/impl_1/VGA_top_clock_utilization_routed.rpt +++ b/projet-vga.runs/impl_1/VGA_top_clock_utilization_routed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Tue Dec 7 12:43:48 2021 -| Host : irb121-02-w running 64-bit major release (build 9200) +| Date : Tue Jan 4 12:21:25 2022 +| Host : irb121-12-w running 64-bit major release (build 9200) | Command : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt | Design : VGA_top | Device : 7z010-clg400 @@ -16,12 +16,15 @@ Table of Contents 1. Clock Primitive Utilization 2. Global Clock Resources 3. Global Clock Source Details -4. Clock Regions: Key Resource Utilization -5. Clock Regions : Global Clock Summary -6. Device Cell Placement Summary for Global Clock g0 -7. Device Cell Placement Summary for Global Clock g1 -8. Clock Region Cell Placement per Global Clock: Region X1Y0 -9. Clock Region Cell Placement per Global Clock: Region X1Y1 +4. Local Clock Details +5. Clock Regions: Key Resource Utilization +6. Clock Regions : Global Clock Summary +7. Device Cell Placement Summary for Global Clock g0 +8. Device Cell Placement Summary for Global Clock g1 +9. Device Cell Placement Summary for Global Clock g2 +10. Clock Region Cell Placement per Global Clock: Region X0Y0 +11. Clock Region Cell Placement per Global Clock: Region X1Y0 +12. Clock Region Cell Placement per Global Clock: Region X1Y1 1. Clock Primitive Utilization ------------------------------ @@ -29,7 +32,7 @@ Table of Contents +----------+------+-----------+-----+--------------+--------+ | Type | Used | Available | LOC | Clock Region | Pblock | +----------+------+-----------+-----+--------------+--------+ -| BUFGCTRL | 2 | 32 | 0 | 0 | 0 | +| BUFGCTRL | 3 | 32 | 0 | 0 | 0 | | BUFH | 0 | 48 | 0 | 0 | 0 | | BUFIO | 0 | 8 | 0 | 0 | 0 | | BUFMR | 0 | 4 | 0 | 0 | 0 | @@ -42,12 +45,13 @@ Table of Contents 2. Global Clock Resources ------------------------- -+-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+----------+-----------------------+--------------------------------+ -| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+----------+-----------------------+--------------------------------+ -| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y16 | n/a | 2 | 21 | 0 | 40.000 | Multiple | U0/inst/clkout1_buf/O | U0/inst/clk_out1 | -| g1 | src0 | BUFG/O | None | BUFGCTRL_X0Y17 | n/a | 1 | 1 | 0 | 40.000 | Multiple | U0/inst/clkf_buf/O | U0/inst/clkfbout_buf_clk_wiz_1 | -+-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+----------+-----------------------+--------------------------------+ ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+--------------------------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+--------------------------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y16 | n/a | 3 | 184 | 0 | 8.000 | sys_clk_pin | H125MHz_IBUF_BUFG_inst/O | H125MHz_IBUF_BUFG | +| g1 | src1 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 3 | 60 | 0 | 40.000 | clk_out1_clk_wiz_1 | U0/inst/clkout1_buf/O | U0/inst/clk_out1 | +| g2 | src1 | BUFG/O | None | BUFGCTRL_X0Y1 | n/a | 1 | 1 | 0 | 40.000 | clkfbout_clk_wiz_1 | U0/inst/clkf_buf/O | U0/inst/clkfbout_buf_clk_wiz_1 | ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+--------------------------------+ * Clock Loads column represents the clock pin loads (pin count) ** Non-Clock Loads column represents the non-clock pin loads (pin count) @@ -55,17 +59,31 @@ Table of Contents 3. Global Clock Source Details ------------------------------ -+-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------+--------------------------------+----------------------------+ -| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | -+-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------+--------------------------------+----------------------------+ -| src0 | g0 | MMCME2_ADV/CLKOUT0 | None | MMCME2_ADV_X0Y1 | X1Y1 | 1 | 0 | 40.000 | Multiple | U0/inst/mmcm_adv_inst/CLKOUT0 | U0/inst/clk_out1_clk_wiz_1 | -| src0 | g1 | MMCME2_ADV/CLKFBOUT | None | MMCME2_ADV_X0Y1 | X1Y1 | 1 | 0 | 40.000 | Multiple | U0/inst/mmcm_adv_inst/CLKFBOUT | U0/inst/clkfbout_clk_wiz_1 | -+-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------+--------------------------------+----------------------------+ ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+--------------------------------+----------------------------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+--------------------------------+----------------------------+ +| src0 | g0 | IBUF/O | IOB_X0Y78 | IOB_X0Y78 | X1Y1 | 1 | 0 | 8.000 | sys_clk_pin | H125MHz_IBUF_inst/O | H125MHz_IBUF | +| src1 | g1 | MMCME2_ADV/CLKOUT0 | None | MMCME2_ADV_X0Y0 | X1Y0 | 1 | 0 | 40.000 | clk_out1_clk_wiz_1 | U0/inst/mmcm_adv_inst/CLKOUT0 | U0/inst/clk_out1_clk_wiz_1 | +| src1 | g2 | MMCME2_ADV/CLKFBOUT | None | MMCME2_ADV_X0Y0 | X1Y0 | 1 | 0 | 40.000 | clkfbout_clk_wiz_1 | U0/inst/mmcm_adv_inst/CLKFBOUT | U0/inst/clkfbout_clk_wiz_1 | ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+--------------------------------+----------------------------+ * Clock Loads column represents the clock pin loads (pin count) ** Non-Clock Loads column represents the non-clock pin loads (pin count) -4. Clock Regions: Key Resource Utilization +4. Local Clock Details +---------------------- + ++----------+-----------------+------------+------------------+--------------+-------------+-----------------+--------------+-------+------------------+------------+ +| Local Id | Driver Type/Pin | Constraint | Site/BEL | Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++----------+-----------------+------------+------------------+--------------+-------------+-----------------+--------------+-------+------------------+------------|| +| 0 | FDRE/Q | None | SLICE_X8Y34/A5FF | X0Y0 | 17 | 29 | | | UPD/update_reg/Q | UPD/update - Static - ++----------+-----------------+------------+------------------+--------------+-------------+-----------------+--------------+-------+------------------+------------|| +* Local Clocks in this context represents only clocks driven by non-global buffers +** Clock Loads column represents the clock pin loads (pin count) +*** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +5. Clock Regions: Key Resource Utilization ------------------------------------------ +-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ @@ -73,15 +91,15 @@ Table of Contents +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ | Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| X0Y0 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 3 | 1100 | 1 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | +| X0Y0 | 2 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 76 | 1100 | 63 | 400 | 1 | 20 | 6 | 10 | 0 | 20 | +| X1Y0 | 3 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 80 | 1100 | 29 | 350 | 3 | 40 | 12 | 20 | 0 | 20 | | X0Y1 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y1 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 18 | 1100 | 3 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | +| X1Y1 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 33 | 1100 | 8 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ * Global Clock column represents track count; while other columns represents cell counts -5. Clock Regions : Global Clock Summary +6. Clock Regions : Global Clock Summary --------------------------------------- All Modules @@ -89,40 +107,62 @@ All Modules | | X0 | X1 | +----+----+----+ | Y1 | 0 | 2 | -| Y0 | 0 | 1 | +| Y0 | 2 | 3 | +----+----+----+ -6. Device Cell Placement Summary for Global Clock g0 +7. Device Cell Placement Summary for Global Clock g0 ---------------------------------------------------- -+-----------+-----------------+-------------------+----------+-------------+----------------+-------------+----------+----------------+----------+------------------+ -| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | -+-----------+-----------------+-------------------+----------+-------------+----------------+-------------+----------+----------------+----------+------------------+ -| g0 | BUFG/O | n/a | Multiple | 40.000 | {0.000 20.000} | 21 | 0 | 0 | 0 | U0/inst/clk_out1 | -+-----------+-----------------+-------------------+----------+-------------+----------------+-------------+----------+----------------+----------+------------------+ ++-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+-------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+-------------------+ +| g0 | BUFG/O | n/a | sys_clk_pin | 8.000 | {0.000 4.000} | 156 | 0 | 1 | 0 | H125MHz_IBUF_BUFG | ++-----------+-----------------+-------------------+-------------+-------------+---------------+-------------+----------+----------------+----------+-------------------+ * Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources ** IO Loads column represents load cell count of IO types *** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) **** GT Loads column represents load cell count of GT types -+----+----+-----+ -| | X0 | X1 | -+----+----+-----+ -| Y1 | 0 | 18 | -| Y0 | 0 | 3 | -+----+----+-----+ ++----+-----+-----+ +| | X0 | X1 | ++----+-----+-----+ +| Y1 | 0 | 8 | +| Y0 | 74 | 75 | ++----+-----+-----+ -7. Device Cell Placement Summary for Global Clock g1 +8. Device Cell Placement Summary for Global Clock g1 ---------------------------------------------------- -+-----------+-----------------+-------------------+----------+-------------+----------------+-------------+----------+----------------+----------+--------------------------------+ -| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | -+-----------+-----------------+-------------------+----------+-------------+----------------+-------------+----------+----------------+----------+--------------------------------+ -| g1 | BUFG/O | n/a | Multiple | 40.000 | {0.000 20.000} | 0 | 0 | 1 | 0 | U0/inst/clkfbout_buf_clk_wiz_1 | -+-----------+-----------------+-------------------+----------+-------------+----------------+-------------+----------+----------------+----------+--------------------------------+ ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+------------------+ +| g1 | BUFG/O | n/a | clk_out1_clk_wiz_1 | 40.000 | {0.000 20.000} | 60 | 0 | 0 | 0 | U0/inst/clk_out1 | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+------------------+ +* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+-----+-----+ +| | X0 | X1 | ++----+-----+-----+ +| Y1 | 0 | 25 | +| Y0 | 10 | 25 | ++----+-----+-----+ + + +9. Device Cell Placement Summary for Global Clock g2 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+--------------------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+--------------------------------+ +| g2 | BUFG/O | n/a | clkfbout_clk_wiz_1 | 40.000 | {0.000 20.000} | 0 | 0 | 1 | 0 | U0/inst/clkfbout_buf_clk_wiz_1 | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+--------------------------------+ * Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources ** IO Loads column represents load cell count of IO types *** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) @@ -132,51 +172,75 @@ All Modules +----+----+----+ | | X0 | X1 | +----+----+----+ -| Y1 | 0 | 1 | -| Y0 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 0 | 1 | +----+----+----+ -8. Clock Region Cell Placement per Global Clock: Region X1Y0 ------------------------------------------------------------- +10. Clock Region Cell Placement per Global Clock: Region X0Y0 +------------------------------------------------------------- -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+------------------+ -| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+------------------+ -| g0 | n/a | BUFG/O | None | 3 | 0 | 3 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | U0/inst/clk_out1 | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+------------------+ ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+-------------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+-------------------+ +| g0 | n/a | BUFG/O | None | 74 | 0 | 66 | 0 | 7 | 0 | 0 | 0 | 0 | 0 | H125MHz_IBUF_BUFG | +| g1 | n/a | BUFG/O | None | 10 | 0 | 10 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | U0/inst/clk_out1 | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+-------------------+ * Clock Loads column represents the clock pin loads (pin count) ** Non-Clock Loads column represents the non-clock pin loads (pin count) *** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts -9. Clock Region Cell Placement per Global Clock: Region X1Y1 ------------------------------------------------------------- +11. Clock Region Cell Placement per Global Clock: Region X1Y0 +------------------------------------------------------------- +-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+--------------------------------+ | Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | +-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+--------------------------------+ -| g0 | n/a | BUFG/O | None | 18 | 0 | 18 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | U0/inst/clk_out1 | -| g1 | n/a | BUFG/O | None | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | U0/inst/clkfbout_buf_clk_wiz_1 | +| g0 | n/a | BUFG/O | None | 75 | 0 | 55 | 0 | 15 | 0 | 0 | 1 | 0 | 0 | H125MHz_IBUF_BUFG | +| g1 | n/a | BUFG/O | None | 25 | 0 | 25 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | U0/inst/clk_out1 | +| g2 | n/a | BUFG/O | None | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | U0/inst/clkfbout_buf_clk_wiz_1 | +-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+--------------------------------+ * Clock Loads column represents the clock pin loads (pin count) ** Non-Clock Loads column represents the non-clock pin loads (pin count) *** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts +12. Clock Region Cell Placement per Global Clock: Region X1Y1 +------------------------------------------------------------- + ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+-------------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+-------------------+ +| g0 | n/a | BUFG/O | None | 8 | 0 | 8 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | H125MHz_IBUF_BUFG | +| g1 | n/a | BUFG/O | None | 25 | 0 | 25 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | U0/inst/clk_out1 | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+-------------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + # Location of BUFG Primitives -set_property LOC BUFGCTRL_X0Y17 [get_cells U0/inst/clkf_buf] -set_property LOC BUFGCTRL_X0Y16 [get_cells U0/inst/clkout1_buf] +set_property LOC BUFGCTRL_X0Y1 [get_cells U0/inst/clkf_buf] +set_property LOC BUFGCTRL_X0Y0 [get_cells U0/inst/clkout1_buf] +set_property LOC BUFGCTRL_X0Y16 [get_cells H125MHz_IBUF_BUFG_inst] # Location of IO Primitives which is load of clock spine # Location of clock ports set_property LOC IOB_X0Y78 [get_ports H125MHz] -# Clock net "U0/inst/clk_out1" driven by instance "U0/inst/clkout1_buf" located at site "BUFGCTRL_X0Y16" +# Clock net "U0/inst/clk_out1" driven by instance "U0/inst/clkout1_buf" located at site "BUFGCTRL_X0Y0" #startgroup create_pblock {CLKAG_U0/inst/clk_out1} add_cells_to_pblock [get_pblocks {CLKAG_U0/inst/clk_out1}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="U0/inst/clk_out1"}]]] -resize_pblock [get_pblocks {CLKAG_U0/inst/clk_out1}] -add {CLOCKREGION_X1Y0:CLOCKREGION_X1Y0 CLOCKREGION_X1Y1:CLOCKREGION_X1Y1} +resize_pblock [get_pblocks {CLKAG_U0/inst/clk_out1}] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0 CLOCKREGION_X1Y0:CLOCKREGION_X1Y0 CLOCKREGION_X1Y1:CLOCKREGION_X1Y1} +#endgroup + +# Clock net "H125MHz_IBUF_BUFG" driven by instance "H125MHz_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y16" +#startgroup +create_pblock {CLKAG_H125MHz_IBUF_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_H125MHz_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL && NAME!=U0/inst/mmcm_adv_inst} -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="H125MHz_IBUF_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_H125MHz_IBUF_BUFG}] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0 CLOCKREGION_X1Y0:CLOCKREGION_X1Y0 CLOCKREGION_X1Y1:CLOCKREGION_X1Y1} #endgroup diff --git a/projet-vga.runs/impl_1/VGA_top_control_sets_placed.rpt b/projet-vga.runs/impl_1/VGA_top_control_sets_placed.rpt index 22eb69e..5fd1796 100644 --- a/projet-vga.runs/impl_1/VGA_top_control_sets_placed.rpt +++ b/projet-vga.runs/impl_1/VGA_top_control_sets_placed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------ | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Tue Dec 7 12:43:23 2021 -| Host : irb121-02-w running 64-bit major release (build 9200) +| Date : Tue Jan 4 12:19:29 2022 +| Host : irb121-12-w running 64-bit major release (build 9200) | Command : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt | Design : VGA_top | Device : xc7z010 @@ -23,8 +23,8 @@ Table of Contents +----------------------------------------------------------+-------+ | Status | Count | +----------------------------------------------------------+-------+ -| Number of unique control sets | 2 | -| Unused register locations in slices containing registers | 11 | +| Number of unique control sets | 31 | +| Unused register locations in slices containing registers | 180 | +----------------------------------------------------------+-------+ @@ -34,8 +34,14 @@ Table of Contents +--------+--------------+ | Fanout | Control Sets | +--------+--------------+ -| 10 | 1 | -| 11 | 1 | +| 1 | 17 | +| 3 | 2 | +| 4 | 2 | +| 10 | 2 | +| 11 | 2 | +| 13 | 1 | +| 14 | 1 | +| 16+ | 4 | +--------+--------------+ @@ -45,23 +51,52 @@ Table of Contents +--------------+-----------------------+------------------------+-----------------+--------------+ | Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | +--------------+-----------------------+------------------------+-----------------+--------------+ -| No | No | No | 0 | 0 | -| No | No | Yes | 0 | 0 | -| No | Yes | No | 11 | 4 | -| Yes | No | No | 0 | 0 | -| Yes | No | Yes | 0 | 0 | -| Yes | Yes | No | 10 | 5 | +| No | No | No | 71 | 29 | +| No | No | Yes | 40 | 13 | +| No | Yes | No | 34 | 21 | +| Yes | No | No | 25 | 12 | +| Yes | No | Yes | 32 | 18 | +| Yes | Yes | No | 10 | 6 | +--------------+-----------------------+------------------------+-----------------+--------------+ 4. Detailed Control Set Information ----------------------------------- -+-------------------+---------------+------------------+------------------+----------------+ -| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | -+-------------------+---------------+------------------+------------------+----------------+ -| U0/inst/clk_out1 | U1/eqOp | U1/comptY | 5 | 10 | -| U0/inst/clk_out1 | | U1/clear | 4 | 11 | -+-------------------+---------------+------------------+------------------+----------------+ ++----------------------------------+------------------------+---------------------------------------+------------------+----------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | ++----------------------------------+------------------------+---------------------------------------+------------------+----------------+ +| U0/inst/clk_out1 | | SNAKE/startUpdate_i_2_n_0 | 1 | 1 | +| UPD/dataOut_reg[19]_LDC_i_1_n_0 | | UPD/dataOut_reg[19]_LDC_i_2_n_0 | 1 | 1 | +| UPD/dataOut_reg[18]_LDC_i_1_n_0 | | UPD/dataOut_reg[18]_LDC_i_2_n_0 | 1 | 1 | +| UPD/dataOut_reg[1]_LDC_i_1_n_0 | | UPD/dataOut_reg[1]_LDC_i_2_n_0 | 1 | 1 | +| UPD/dataOut_reg[4]_LDC_i_1_n_0 | | UPD/dataOut_reg[4]_LDC_i_2_n_0 | 1 | 1 | +| UPD/dataOut_reg[21]_LDC_i_1_n_0 | | UPD/dataOut_reg[21]_LDC_i_2_n_0 | 1 | 1 | +| UPD/dataOut_reg[20]_LDC_i_1_n_0 | | UPD/dataOut_reg[20]_LDC_i_2_n_0 | 1 | 1 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/dataOut_reg[19]_LDC_i_1_n_0 | 1 | 1 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/dataOut_reg[18]_LDC_i_1_n_0 | 1 | 1 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/dataOut_reg[1]_LDC_i_1_n_0 | 1 | 1 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/dataOut_reg[21]_LDC_i_2_n_0 | 1 | 1 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/dataOut_reg[21]_LDC_i_1_n_0 | 1 | 1 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/dataOut_reg[20]_LDC_i_2_n_0 | 1 | 1 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/dataOut_reg[18]_LDC_i_2_n_0 | 1 | 1 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/dataOut_reg[19]_LDC_i_2_n_0 | 1 | 1 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/dataOut_reg[20]_LDC_i_1_n_0 | 1 | 1 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/dataOut_reg[1]_LDC_i_2_n_0 | 1 | 1 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/dataOut_reg[4]_LDC_i_1_n_0 | 1 | 3 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/dataOut_reg[4]_LDC_i_2_n_0 | 1 | 3 | +| H125MHz_IBUF_BUFG | | SNAKE/Q[0] | 4 | 4 | +| H125MHz_IBUF_BUFG | UPD/update | | 2 | 4 | +| U0/inst/clk_out1 | SYNC/eqOp | SYNC/comptY | 6 | 10 | +| H125MHz_IBUF_BUFG | RAMCTRL/SNAKE_RAM/E[0] | | 3 | 10 | +| U0/inst/clk_out1 | | SYNC/clear | 6 | 11 | +| H125MHz_IBUF_BUFG | SNAKE/cCaseX0 | | 7 | 11 | +| U0/inst/clk_out1 | | SNAKE/AR[0] | 4 | 13 | +| H125MHz_IBUF_BUFG | | SNAKE/AR[0] | 6 | 14 | +| H125MHz_IBUF_BUFG | UPD/dataOut[2]_i_1_n_0 | UPD/currentSnake_reg[dirY][0]_i_2_n_0 | 6 | 16 | +| ~UPD/update | | | 5 | 17 | +| U0/inst/clk_out1 | | UPD_CLK_DIV/temp[0]_i_2_n_0 | 7 | 25 | +| H125MHz_IBUF_BUFG | | | 24 | 54 | ++----------------------------------+------------------------+---------------------------------------+------------------+----------------+ diff --git a/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt b/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt index 3a757a1..b9cc418 100644 --- a/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt +++ b/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------ | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Tue Dec 7 12:43:21 2021 -| Host : irb121-02-w running 64-bit major release (build 9200) +| Date : Tue Jan 4 12:19:12 2022 +| Host : irb121-12-w running 64-bit major release (build 9200) | Command : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx | Design : VGA_top | Device : xc7z010clg400-1 diff --git a/projet-vga.runs/impl_1/VGA_top_drc_routed.pb b/projet-vga.runs/impl_1/VGA_top_drc_routed.pb index 70698d16a043af0b5d745495ba43bfe143354a40..c507787b910c153bce56c0f15f547bcd42c806d2 100644 GIT binary patch delta 9 QcmY#YouJ6Ven6E00155^>;M1& delta 9 QcmY#YouJ6VctDi_014Is<^TWy diff --git a/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt b/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt index b3c363b..438d272 100644 --- a/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt +++ b/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Tue Dec 7 12:43:47 2021 -| Host : irb121-02-w running 64-bit major release (build 9200) +| Date : Tue Jan 4 12:21:22 2022 +| Host : irb121-12-w running 64-bit major release (build 9200) | Command : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx | Design : VGA_top | Device : xc7z010clg400-1 @@ -24,15 +24,46 @@ Table of Contents Design limits: Ruledeck: default Max violations: - Violations found: 1 -+--------+----------+--------------------+------------+ -| Rule | Severity | Description | Violations | -+--------+----------+--------------------+------------+ -| ZPS7-1 | Warning | PS7 block required | 1 | -+--------+----------+--------------------+------------+ + Violations found: 7 ++----------+----------+--------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+--------------------+------------+ +| PDRC-153 | Warning | Gated clock check | 6 | +| ZPS7-1 | Warning | PS7 block required | 1 | ++----------+----------+--------------------+------------+ 2. REPORT DETAILS ----------------- +PDRC-153#1 Warning +Gated clock check +Net UPD/dataOut_reg[18]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[18]_LDC_i_1/O, cell UPD/dataOut_reg[18]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: + +PDRC-153#2 Warning +Gated clock check +Net UPD/dataOut_reg[19]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[19]_LDC_i_1/O, cell UPD/dataOut_reg[19]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: + +PDRC-153#3 Warning +Gated clock check +Net UPD/dataOut_reg[1]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[1]_LDC_i_1/O, cell UPD/dataOut_reg[1]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: + +PDRC-153#4 Warning +Gated clock check +Net UPD/dataOut_reg[20]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[20]_LDC_i_1/O, cell UPD/dataOut_reg[20]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: + +PDRC-153#5 Warning +Gated clock check +Net UPD/dataOut_reg[21]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[21]_LDC_i_1/O, cell UPD/dataOut_reg[21]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: + +PDRC-153#6 Warning +Gated clock check +Net UPD/dataOut_reg[4]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[4]_LDC_i_1/O, cell UPD/dataOut_reg[4]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: + ZPS7-1#1 Warning PS7 block required The PS7 cell must be used in this Zynq design in order to enable correct default configuration. diff --git a/projet-vga.runs/impl_1/VGA_top_drc_routed.rpx b/projet-vga.runs/impl_1/VGA_top_drc_routed.rpx index 41563faaa4154e2f5e3a7d0926b9f72940bbb8cb..5e32a3c443775844ace48de4cee342ee6f395dfb 100644 GIT binary patch literal 5084 zcmeI0-A~g{7{)uMr5Q0+B520VD~OT>1|1)wR|+VR5Lo~*!I-9N&$bh~o^skoxbxCW zENjdNbd%^ipqG)plS^GJ zjwtiO!tb6B$c2yjrAb3`wKdOGDJp$d=D(<`ON%O271dIw06U;TDP(1_A34OMIx{cq zvqha$3fR(IOf1a=8(UX-POgoEj!g3^O%^uG?6T}_5f@5?7+@d=mn^WUrn^{2pjifd z#mvUUJILGzT(Q{-*oYPht!S2xvha>j*jRnP_!ii?u;U`?j00N+w5L^U6ODuCAaKhF zn8e?p(_)HCVND_KYnUG*0eGD1}xO^ryB z+>=hM!Lgiq6r-<-PgBFvu`DOjaS~v5H7Puf^WQi4-)R# z#RUtv_#5SdpRQK1MlOyTx#0SMi=!wPT;g-l=l6?b3tUVyE`Bxd7ahQ&ZW(`*>yn!a z#cj2&(ORqG^VF#H&nF^%>B(Qe%^V270h~9A6FD>3TwWDNQct84pNAMly-nO{0f(8` zHj$gUyybR`Pa)4(AVQj(N<{PVDYWsnwTX~t7Z)sO69IH z<$_D<hKI}U}4MhEf23cCcL@cPG6tTp|Kt+wEX?}21#3hf` LVyNs&r!VjyBjJhm delta 39 vcmcbkevfH_y9{FiLj^+vBSQxx;{-;ANzB|XLCy+6sl}x^CB>5;3jGEE-|7r# diff --git a/projet-vga.runs/impl_1/VGA_top_io_placed.rpt b/projet-vga.runs/impl_1/VGA_top_io_placed.rpt index 41c7492..b69abae 100644 --- a/projet-vga.runs/impl_1/VGA_top_io_placed.rpt +++ b/projet-vga.runs/impl_1/VGA_top_io_placed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Tue Dec 7 12:43:23 2021 -| Host : irb121-02-w running 64-bit major release (build 9200) +| Date : Tue Jan 4 12:19:29 2022 +| Host : irb121-12-w running 64-bit major release (build 9200) | Command : report_io -file VGA_top_io_placed.rpt | Design : VGA_top | Device : xc7z010 @@ -112,7 +112,7 @@ Table of Contents | D15 | | | PS_MIO33_501 | PSS IO | | | | | | | | | | | | | | | | | D16 | | | PS_MIO46_501 | PSS IO | | | | | | | | | | | | | | | | | D17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D18 | | High Range | IO_L3N_T0_DQS_AD1N_35 | User IO | | 35 | | | | | | | | | | | | | | +| D18 | led[3] | High Range | IO_L3N_T0_DQS_AD1N_35 | TRISTATE | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | | D19 | | High Range | IO_L4P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | | D20 | | High Range | IO_L4N_T0_35 | User IO | | 35 | | | | | | | | | | | | | | | E1 | | | PS_DDR_DQ7_502 | PSS IO | | | | | | | | | | | | | | | | @@ -168,7 +168,7 @@ Table of Contents | G11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | | G12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | G13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| G14 | | High Range | IO_0_35 | User IO | | 35 | | | | | | | | | | | | | | +| G14 | led[2] | High Range | IO_0_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | | G15 | resetGeneral | High Range | IO_L19N_T3_VREF_35 | INPUT | LVCMOS33 | 35 | | | | NONE | | FIXED | | | | NONE | | | | | G16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | G17 | | High Range | IO_L16P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | @@ -268,8 +268,8 @@ Table of Contents | M11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | M12 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | | M13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M14 | | High Range | IO_L23P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | -| M15 | | High Range | IO_L23N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| M14 | led[0] | High Range | IO_L23P_T3_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| M15 | led[1] | High Range | IO_L23N_T3_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | | M16 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | | | | | M17 | | High Range | IO_L8P_T1_AD10P_35 | User IO | | 35 | | | | | | | | | | | | | | | M18 | | High Range | IO_L8N_T1_AD10N_35 | User IO | | 35 | | | | | | | | | | | | | | @@ -310,7 +310,7 @@ Table of Contents | P13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | P14 | | High Range | IO_L6P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | | P15 | | High Range | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| P16 | bouton_down | High Range | IO_L24N_T3_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| P16 | | High Range | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | | P17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | P18 | | High Range | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | | P19 | vga_hs | High Range | IO_L13N_T2_MRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | @@ -332,7 +332,7 @@ Table of Contents | R15 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | | R16 | | High Range | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | | R17 | | High Range | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | -| R18 | bouton_up | High Range | IO_L20N_T3_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| R18 | | High Range | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | | R19 | vga_vs | High Range | IO_0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | | R20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | T1 | | | PS_DDR_DM2_502 | PSS IO | | | | | | | | | | | | | | | | @@ -390,7 +390,7 @@ Table of Contents | V13 | | High Range | IO_L3N_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | | V14 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | | V15 | | High Range | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| V16 | bouton_left | High Range | IO_L18P_T2_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| V16 | | High Range | IO_L18P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | | V17 | | High Range | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | | V18 | | High Range | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | | V19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | @@ -430,7 +430,7 @@ Table of Contents | Y13 | | | NC | Not Connected | | | | | | | | | | | | | | | | | Y14 | | High Range | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | | Y15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| Y16 | bouton_right | High Range | IO_L7P_T1_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| Y16 | | High Range | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | | Y17 | | High Range | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | | Y18 | | High Range | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | | Y19 | | High Range | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | diff --git a/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.pb b/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.pb index dd2b1ec97379791ab91459e24a179133c386e8f5..3c98bf376d209fdcbd21f4feb05011e95d099da4 100644 GIT binary patch delta 9 QcmXppnP9*Ye?XN101T%BaR2}S delta 9 QcmXppnP9-ebU>8>01Gn$6aWAK diff --git a/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt b/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt index 45a523b..bf5c5de 100644 --- a/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt +++ b/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Tue Dec 7 12:43:47 2021 -| Host : irb121-02-w running 64-bit major release (build 9200) +| Date : Tue Jan 4 12:21:24 2022 +| Host : irb121-12-w running 64-bit major release (build 9200) | Command : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx | Design : VGA_top | Device : xc7z010clg400-1 @@ -23,23 +23,496 @@ Table of Contents Floorplan: design_1 Design limits: Max violations: - Violations found: 2 -+----------+----------+------------------------------------------------+------------+ -| Rule | Severity | Description | Violations | -+----------+----------+------------------------------------------------+------------+ -| TIMING-6 | Warning | No common primary clock between related clocks | 2 | -+----------+----------+------------------------------------------------+------------+ + Violations found: 95 ++-----------+----------+----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+----------+----------------------------------------------------+------------+ +| LUTAR-1 | Warning | LUT drives async reset alert | 14 | +| SYNTH-6 | Warning | Timing of a block RAM might be sub-optimal | 26 | +| TIMING-4 | Warning | Invalid primary clock redefinition on a clock tree | 1 | +| TIMING-6 | Warning | No common primary clock between related clocks | 2 | +| TIMING-7 | Warning | No common node between related clocks | 2 | +| TIMING-16 | Warning | Large setup violation | 21 | +| TIMING-18 | Warning | Missing input or output delay | 5 | +| TIMING-20 | Warning | Non-clocked latch | 23 | +| TIMING-27 | Warning | Invalid primary clock on hierarchical pin | 1 | ++-----------+----------+----------------------------------------------------+------------+ 2. REPORT DETAILS ----------------- +LUTAR-1#1 Warning +LUT drives async reset alert +LUT cell SNAKE/startUpdate_i_2, with 2 or more inputs, drives asynchronous preset/clear pin(s) SNAKE/startUpdate_reg/CLR. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#2 Warning +LUT drives async reset alert +LUT cell UPD/dataOut_reg[18]_LDC_i_1, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD/dataOut_reg[18]_P/PRE. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#3 Warning +LUT drives async reset alert +LUT cell UPD/dataOut_reg[18]_LDC_i_2, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD/dataOut_reg[18]_C/CLR, UPD/dataOut_reg[18]_LDC/CLR. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#4 Warning +LUT drives async reset alert +LUT cell UPD/dataOut_reg[19]_LDC_i_1, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD/dataOut_reg[19]_P/PRE. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#5 Warning +LUT drives async reset alert +LUT cell UPD/dataOut_reg[19]_LDC_i_2, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD/dataOut_reg[19]_C/CLR, UPD/dataOut_reg[19]_LDC/CLR. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#6 Warning +LUT drives async reset alert +LUT cell UPD/dataOut_reg[1]_LDC_i_1, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD/dataOut_reg[1]_P/PRE. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#7 Warning +LUT drives async reset alert +LUT cell UPD/dataOut_reg[1]_LDC_i_2, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD/dataOut_reg[1]_C/CLR, UPD/dataOut_reg[1]_LDC/CLR. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#8 Warning +LUT drives async reset alert +LUT cell UPD/dataOut_reg[20]_LDC_i_1, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD/dataOut_reg[20]_P/PRE. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#9 Warning +LUT drives async reset alert +LUT cell UPD/dataOut_reg[20]_LDC_i_2, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD/dataOut_reg[20]_C/CLR, UPD/dataOut_reg[20]_LDC/CLR. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#10 Warning +LUT drives async reset alert +LUT cell UPD/dataOut_reg[21]_LDC_i_1, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD/dataOut_reg[21]_P/PRE. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#11 Warning +LUT drives async reset alert +LUT cell UPD/dataOut_reg[21]_LDC_i_2, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD/dataOut_reg[21]_C/CLR, UPD/dataOut_reg[21]_LDC/CLR. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#12 Warning +LUT drives async reset alert +LUT cell UPD/dataOut_reg[4]_LDC_i_1, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD/dataOut_reg[0]_P/PRE, UPD/dataOut_reg[3]_P/PRE, UPD/dataOut_reg[4]_P/PRE. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#13 Warning +LUT drives async reset alert +LUT cell UPD/dataOut_reg[4]_LDC_i_2, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD/dataOut_reg[0]_C/CLR, UPD/dataOut_reg[3]_C/CLR, UPD/dataOut_reg[4]_C/CLR, UPD/dataOut_reg[4]_LDC/CLR. The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +LUTAR-1#14 Warning +LUT drives async reset alert +LUT cell UPD_CLK_DIV/temp[0]_i_2, with 2 or more inputs, drives asynchronous preset/clear pin(s) UPD_CLK_DIV/temp_reg[0]/CLR, UPD_CLK_DIV/temp_reg[10]/CLR, UPD_CLK_DIV/temp_reg[11]/CLR, UPD_CLK_DIV/temp_reg[12]/CLR, UPD_CLK_DIV/temp_reg[13]/CLR, UPD_CLK_DIV/temp_reg[14]/CLR, UPD_CLK_DIV/temp_reg[15]/CLR, UPD_CLK_DIV/temp_reg[16]/CLR, UPD_CLK_DIV/temp_reg[17]/CLR, UPD_CLK_DIV/temp_reg[18]/CLR, UPD_CLK_DIV/temp_reg[19]/CLR, UPD_CLK_DIV/temp_reg[1]/CLR, UPD_CLK_DIV/temp_reg[20]/CLR, UPD_CLK_DIV/temp_reg[21]/CLR, UPD_CLK_DIV/temp_reg[22]/CLR (the first 15 of 25 listed). The LUT may glitch and trigger an unexpected reset, even if it is a properly timed path. +Related violations: + +SYNTH-6#1 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/MAT_RAM/mem_reg_1, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#2 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/MAT_RAM/mem_reg_2, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#3 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/MAT_RAM/mem_reg_3, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#4 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/MAT_RAM/mem_reg_4, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#5 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/MAT_RAM/mem_reg_6, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#6 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/MAT_RAM/mem_reg_7, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#7 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/MAT_RAM/mem_reg_8, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#8 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/MAT_RAM/mem_reg_9, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#9 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_1_0, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#10 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_1_1, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#11 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_2_0, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#12 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_2_1, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#13 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_3_0, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#14 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_3_1, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#15 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_4_0, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#16 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_4_1, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#17 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_5_0, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#18 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_5_1, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#19 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_6_0, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#20 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_6_1, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#21 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_7_0, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#22 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_7_1, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#23 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_8_0, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#24 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_8_1, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#25 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_9_0, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +SYNTH-6#26 Warning +Timing of a block RAM might be sub-optimal +The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_9_1, implemented as a block RAM, might be sub-optimal as no output register was merged into the block +Related violations: + +TIMING-4#1 Warning +Invalid primary clock redefinition on a clock tree +Invalid clock redefinition on a clock tree. The primary clock U0/inst/clk_in1 is defined downstream of clock sys_clk_pin and overrides its insertion delay and/or waveform definition +Related violations: + TIMING-6#1 Warning No common primary clock between related clocks -The clocks clk_out1_clk_wiz_1 and clk_out1_clk_wiz_1_1 are related (timed together) but they have no common primary clock. The design could fail in hardware. To find a timing path between these clocks, run the following command: report_timing -from [get_clocks clk_out1_clk_wiz_1] -to [get_clocks clk_out1_clk_wiz_1_1] +The clocks clk_out1_clk_wiz_1 and sys_clk_pin are related (timed together) but they have no common primary clock. The design could fail in hardware. To find a timing path between these clocks, run the following command: report_timing -from [get_clocks clk_out1_clk_wiz_1] -to [get_clocks sys_clk_pin] Related violations: TIMING-6#2 Warning No common primary clock between related clocks -The clocks clk_out1_clk_wiz_1_1 and clk_out1_clk_wiz_1 are related (timed together) but they have no common primary clock. The design could fail in hardware. To find a timing path between these clocks, run the following command: report_timing -from [get_clocks clk_out1_clk_wiz_1_1] -to [get_clocks clk_out1_clk_wiz_1] +The clocks sys_clk_pin and clk_out1_clk_wiz_1 are related (timed together) but they have no common primary clock. The design could fail in hardware. To find a timing path between these clocks, run the following command: report_timing -from [get_clocks sys_clk_pin] -to [get_clocks clk_out1_clk_wiz_1] +Related violations: + +TIMING-7#1 Warning +No common node between related clocks +The clocks clk_out1_clk_wiz_1 and sys_clk_pin are related (timed together) but they have no common node. The design could fail in hardware. To find a timing path between these clocks, run the following command: report_timing -from [get_clocks clk_out1_clk_wiz_1] -to [get_clocks sys_clk_pin] +Related violations: + +TIMING-7#2 Warning +No common node between related clocks +The clocks sys_clk_pin and clk_out1_clk_wiz_1 are related (timed together) but they have no common node. The design could fail in hardware. To find a timing path between these clocks, run the following command: report_timing -from [get_clocks sys_clk_pin] -to [get_clocks clk_out1_clk_wiz_1] +Related violations: + +TIMING-16#1 Warning +Large setup violation +There is a large setup violation of -2.757 ns between RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/snakeHere_reg/D (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#2 Warning +Large setup violation +There is a large setup violation of -3.112 ns between RAMCTRL/SNAKE_RAM/mem_reg_5_1/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[0]/D (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#3 Warning +Large setup violation +There is a large setup violation of -3.660 ns between RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[1]/D (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#4 Warning +Large setup violation +There is a large setup violation of -3.702 ns between RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[0]/CE (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#5 Warning +Large setup violation +There is a large setup violation of -3.702 ns between RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[1]/CE (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#6 Warning +Large setup violation +There is a large setup violation of -3.702 ns between RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[2]/CE (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#7 Warning +Large setup violation +There is a large setup violation of -3.702 ns between RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[3]/CE (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#8 Warning +Large setup violation +There is a large setup violation of -3.740 ns between RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[8]/CE (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#9 Warning +Large setup violation +There is a large setup violation of -3.740 ns between RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[9]/CE (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#10 Warning +Large setup violation +There is a large setup violation of -3.881 ns between RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[4]/CE (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#11 Warning +Large setup violation +There is a large setup violation of -3.881 ns between RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[5]/CE (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#12 Warning +Large setup violation +There is a large setup violation of -3.881 ns between RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[6]/CE (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#13 Warning +Large setup violation +There is a large setup violation of -3.881 ns between RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[7]/CE (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#14 Warning +Large setup violation +There is a large setup violation of -4.008 ns between RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[2]/D (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#15 Warning +Large setup violation +There is a large setup violation of -4.073 ns between RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[3]/D (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#16 Warning +Large setup violation +There is a large setup violation of -4.846 ns between RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[4]/D (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#17 Warning +Large setup violation +There is a large setup violation of -4.866 ns between RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[6]/D (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#18 Warning +Large setup violation +There is a large setup violation of -4.942 ns between RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[7]/D (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#19 Warning +Large setup violation +There is a large setup violation of -4.950 ns between RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[5]/D (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#20 Warning +Large setup violation +There is a large setup violation of -5.507 ns between RAMCTRL/SNAKE_RAM/mem_reg_5_0/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[8]/D (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-16#21 Warning +Large setup violation +There is a large setup violation of -5.611 ns between RAMCTRL/SNAKE_RAM/mem_reg_5_0/CLKBWRCLK (clocked by sys_clk_pin) and SNAKE/ROMAddress_reg[9]/D (clocked by sys_clk_pin). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture +Related violations: + +TIMING-18#1 Warning +Missing input or output delay +An input delay is missing on resetGeneral relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#2 Warning +Missing input or output delay +An output delay is missing on led[0] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#3 Warning +Missing input or output delay +An output delay is missing on led[1] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#4 Warning +Missing input or output delay +An output delay is missing on led[2] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-18#5 Warning +Missing input or output delay +An output delay is missing on led[3] relative to clock(s) sys_clk_pin +Related violations: + +TIMING-20#1 Warning +Non-clocked latch +The latch UPD/currentSnake_reg[X][4] cannot be properly analyzed as its control pin UPD/currentSnake_reg[X][4]/G is not reached by a timing clock +Related violations: + +TIMING-20#2 Warning +Non-clocked latch +The latch UPD/currentSnake_reg[X][5] cannot be properly analyzed as its control pin UPD/currentSnake_reg[X][5]/G is not reached by a timing clock +Related violations: + +TIMING-20#3 Warning +Non-clocked latch +The latch UPD/currentSnake_reg[X][6] cannot be properly analyzed as its control pin UPD/currentSnake_reg[X][6]/G is not reached by a timing clock +Related violations: + +TIMING-20#4 Warning +Non-clocked latch +The latch UPD/currentSnake_reg[X][7] cannot be properly analyzed as its control pin UPD/currentSnake_reg[X][7]/G is not reached by a timing clock +Related violations: + +TIMING-20#5 Warning +Non-clocked latch +The latch UPD/currentSnake_reg[dirX][1] cannot be properly analyzed as its control pin UPD/currentSnake_reg[dirX][1]/G is not reached by a timing clock +Related violations: + +TIMING-20#6 Warning +Non-clocked latch +The latch UPD/currentSnake_reg[dirY][0] cannot be properly analyzed as its control pin UPD/currentSnake_reg[dirY][0]/G is not reached by a timing clock +Related violations: + +TIMING-20#7 Warning +Non-clocked latch +The latch UPD/dataOut_reg[18]_LDC cannot be properly analyzed as its control pin UPD/dataOut_reg[18]_LDC/G is not reached by a timing clock +Related violations: + +TIMING-20#8 Warning +Non-clocked latch +The latch UPD/dataOut_reg[19]_LDC cannot be properly analyzed as its control pin UPD/dataOut_reg[19]_LDC/G is not reached by a timing clock +Related violations: + +TIMING-20#9 Warning +Non-clocked latch +The latch UPD/dataOut_reg[1]_LDC cannot be properly analyzed as its control pin UPD/dataOut_reg[1]_LDC/G is not reached by a timing clock +Related violations: + +TIMING-20#10 Warning +Non-clocked latch +The latch UPD/dataOut_reg[20]_LDC cannot be properly analyzed as its control pin UPD/dataOut_reg[20]_LDC/G is not reached by a timing clock +Related violations: + +TIMING-20#11 Warning +Non-clocked latch +The latch UPD/dataOut_reg[21]_LDC cannot be properly analyzed as its control pin UPD/dataOut_reg[21]_LDC/G is not reached by a timing clock +Related violations: + +TIMING-20#12 Warning +Non-clocked latch +The latch UPD/dataOut_reg[4]_LDC cannot be properly analyzed as its control pin UPD/dataOut_reg[4]_LDC/G is not reached by a timing clock +Related violations: + +TIMING-20#13 Warning +Non-clocked latch +The latch UPD/matAddress_reg[0] cannot be properly analyzed as its control pin UPD/matAddress_reg[0]/G is not reached by a timing clock +Related violations: + +TIMING-20#14 Warning +Non-clocked latch +The latch UPD/matAddress_reg[10] cannot be properly analyzed as its control pin UPD/matAddress_reg[10]/G is not reached by a timing clock +Related violations: + +TIMING-20#15 Warning +Non-clocked latch +The latch UPD/matAddress_reg[1] cannot be properly analyzed as its control pin UPD/matAddress_reg[1]/G is not reached by a timing clock +Related violations: + +TIMING-20#16 Warning +Non-clocked latch +The latch UPD/matAddress_reg[2] cannot be properly analyzed as its control pin UPD/matAddress_reg[2]/G is not reached by a timing clock +Related violations: + +TIMING-20#17 Warning +Non-clocked latch +The latch UPD/matAddress_reg[3] cannot be properly analyzed as its control pin UPD/matAddress_reg[3]/G is not reached by a timing clock +Related violations: + +TIMING-20#18 Warning +Non-clocked latch +The latch UPD/matAddress_reg[4] cannot be properly analyzed as its control pin UPD/matAddress_reg[4]/G is not reached by a timing clock +Related violations: + +TIMING-20#19 Warning +Non-clocked latch +The latch UPD/matAddress_reg[5] cannot be properly analyzed as its control pin UPD/matAddress_reg[5]/G is not reached by a timing clock +Related violations: + +TIMING-20#20 Warning +Non-clocked latch +The latch UPD/matAddress_reg[6] cannot be properly analyzed as its control pin UPD/matAddress_reg[6]/G is not reached by a timing clock +Related violations: + +TIMING-20#21 Warning +Non-clocked latch +The latch UPD/matAddress_reg[7] cannot be properly analyzed as its control pin UPD/matAddress_reg[7]/G is not reached by a timing clock +Related violations: + +TIMING-20#22 Warning +Non-clocked latch +The latch UPD/matAddress_reg[8] cannot be properly analyzed as its control pin UPD/matAddress_reg[8]/G is not reached by a timing clock +Related violations: + +TIMING-20#23 Warning +Non-clocked latch +The latch UPD/matAddress_reg[9] cannot be properly analyzed as its control pin UPD/matAddress_reg[9]/G is not reached by a timing clock +Related violations: + +TIMING-27#1 Warning +Invalid primary clock on hierarchical pin +A primary clock U0/inst/clk_in1 is created on an inappropriate internal pin U0/inst/clk_in1. It is not recommended to create a primary clock on a hierarchical pin when its driver pin has a fanout connected to multiple clock pins Related violations: diff --git a/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpx b/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpx index 6284a5b0b1967b3faf7bd21004dfdca875f3d98a..a3d185fc3f2adf6e2b4138a2870ba8fba34ab089 100644 GIT binary patch literal 55734 zcmeHQYm6J$b>5X?YDLNM?kZl}^6Of5T8WT$NiJX82T*C(P_&k0L6+Bc#EFwQY0{=aAIXoR=+B}B(xy))PU3gZ zxtAQyow-8}Im6kJb`05G?VY)E?)lDl?(5uhZyy^Qo0yrL*&9nIQ@PpseK(#uQhHda zpO%~JRlRu*isRc;v+3!54<9{T((8>2fV<&suk*X7Er)_C;Rcj2F# z)=G`BN5?LYk9~Z+^n2rDpWMFpME4D{xF(k`G)cSqgWKTi?s!DfR7G9gx8wNP zGlx$fN+s@qA4o-0F3L?JH8<2U(d4GA6R9d|dU|L3v3pa6u_Hem$7joOwMrg3dH8|* z=bE~t>1P`iNta7XDSeP!QuH;FCUuR}>Y7XxwXv=@4|3J7X?3-}-XsnBnYnURmNe2( z)cwr^f?73sb#C$a=~;4SO(yvDnzTVytBPJ;Ba&Jnx~8nI${PGk)>Zk@hFk_6u@)R8 z@OYq%6idgK z7Qt##o~=ey_o=y4r|%!3)m*<#YsK!1@0<9)NwA$;91vqRv@cF3{;hyU1ga)qn@UVs zA3ycSXhz$;n9)3#(Q9tZ$h-3qnb9ITpMykH1lBuZ&$LC`%?$9zJq$3-3~(uach`x1 zRbYRM@q4-tyfl^AV>M8~kb1iJcrnpTA5XV1LQe;EZ>FcawwuNb?SJit)@_!^(|yHi zX$S)KbT@c0qii2fX9zU{PX~27c{=vlP4slval4rT{znf36nVNY3+#{cbT3#v9aG`2 zV`m)mVxl=P(SPjG8IRD>jo!~)uMKO(?uBmfCun3dT>odF#hlzd(3^ILbKjjxOj@2k z^=UMq9bOD54+hk7VL&5ran2r&>S4qVZYwsL8Qy<68(!PF9f{x79i@H8Zg-0?&oJhy zuS_MTEq2)%#7%gy%tG%$Tsmp6#rNqd*1d^?xNE#=tPlMkTOVp_mS_<7B}*qtL7;=U zonFjnq4yxJE0q5p4(oOp#I-*=VjtIIx_Ujgn;GE0_b@=wAnrwh{c(f1=PZM`whEW9 z4~`FEqH$v(H`&M4rAFxLpzh6db=P*&n5F%<-O{?v61lqPt)_+`{0Z98E>CuZI|98p zZ%8!)Uk7zN`8xL5P4sowal4rX{-}oqihSJ{1qR6Zx@WDvj;ZiRXrsG5*(mNpd}5oi zYh_)>&5X{~bq00z#pC(5544wnIHUHCkuthle{6!%LTlz`#$Bsm?zGI!jK6J}p&-!Q z1Fu>hK)ksJzF~O)VgHToVCy$}vUS`l`GN~u_um~mk36C64t^RM?OZbYy_HB&?zYDn zNB+~9BW;I&W!yZA|CYtV(Ll`Q-?WsYnV8GJZYf7&F|Pu=Y*__hj9r`n4!zeChj1_F zQ#Y9Vi_+rp2TDuF9-h6Uo1h=Uf|E7%KDy-QO)-%a-{o$pzi z=~>{g+GQ(tYkX$nqth^o+GX;QZ0PjG8{)Th7jK`RPS8i% z?hZnfy6d{riQV`%12R*lkI$Vre5M3H&(-7_@&H5Q zlv<-I*JKr=8YPTjG`@OJ_*r~NtrG|pg~&^YgIvXKNh6ow*ELyNg<2FX7hT;cG^8Tjx)RG#p`kgDPE$%hu703>7hT;=e|8~E@sN}lk+ zh^681DTEfE03>7he1yZNVBo_?>UhEj<93F_XCbus1Rxp1=W!071;pnII6mM*U_9Z2 z0WnXzn*7icW&HG~lnel)P)o-aS6+|9IANDD3o0cMsXs%c_(+gpkcziox;KS!A;T*p zh`DO0rDIUNVSy@TK*a}Jc!LT?LNy<1Rs|v*gX(P#D)_L$Dt>3y8&tULFg#RYX4QOf zta`@+RhLyaahvGgpu!c1VWG-|npJ^F$5{0)2NitSVAZ6LP~l?4@KA-BRhi&ebs4iR z7=v~AumRPSk5J*d#IR6hL(Qr{q+_gljDre3Y(O>bBUHFVF+5aZW>q#gR$Z|`)n(Nl zAEENHT9FGis{)aZvFa)Z6@1uW)%*N|D(z*pA{T_+E)UE3K%`?(eZ&G)msL0W2$h%B zihQV96^L{Us>eB~;KK&1W_*Oo%W6eF)T|0bItJB8El_n?b&HQsd0DL}gql@>NXMZ1 z7zY)6*kIMIK0@VXwW1JeRs|v*gX-fJsJg7W%}1!btX3?9npJ^F$Dn$Gg9<)uuxhW5 zP~ zuE;Bjs_05xCGbaT|6bQ*IUVo34Y9D}M_wH7RMG!ccASF$)Y;@5M$SU!&!u zW2(xg0c4H>`RCg(sF@YP|1Oju>NrJpeM$K+tb1X8R!;kn5w=s|ewKn&udhPRT3I_l z&O^8|{Io&VK=l~HERu_v17N4Ds_?*iwL(@Tr3xs*Yg*-!q{;9|9nR*!r=?E3HRgGR z=xo*^_(roM^g*Jnt27pRrCzPpFJW*teij7(6_B)1*Yr|5X8X{JRfLtIN3aPvVR(clSOf^Y2O-YiFUbmMw{1_5ii^_I(JdbV2?A2!Y4<=9U~^nI*y&f#7ryOk^0of~;`= z1B_MSkl-rJR*XZ26=h{bDZ`}^1V)=H%A=&RuHncPMz*9;Z|a8{RjEwR40eyFQH7Be z7~?W-(xEdPSj2bW9tNxozNgmJ&hbU^!KFnQ3*&4`f)j&Hywbs3+#Z8VT6s;;;nIb5 zOTZpAP+|G&&YZvLHJ`@Os?VGc`Z#cqc~uy?{U<4fd;_a<&^nvM4< z*-+9GbCyN)v@Q?O z$^QIoU`}!iqTq#|&b=p>U11=4=0ZtNOd1%`bF=BW1yS%q&p)ycZqsaV%O%$sh@SaS z(i794M)cfldTv1!ywLMAc6!b;dUCl{2BK$SAbMt8t_yjcdtx5oh@MXLj8GWy%1}Pz zurkzh?)isydKMTxxzsuX(Q_e`^u$Em5j{7Xo?8%wcJDb9J^#Q?&xPVMxN^(oQ|eF8 z-KGeHf!uSaq9%AYCDLX$ijGtT*t-<(-M-N;t z>IY^Sc!5U5&&}rN7DT}dKcBSoGt2nNWlkH6pBOR}K7QgAHW5EJo1a?{1uy*kw4I+h z#!oI?-eCO1u%Ynr6EBg9__^8q+=3{0;peC9{LB|WgY!==N8(`o#Lyv^5oMX#WU}CH z{^>QW54PQ+us$I@BM?S-76I8w6)c{8_6qA0?tD^ma#=A4BPRw9xhH3SJ{WSsrdvc# zCvrw0j56jH$eEuXoSedaPfAWM59(m##IPaPEaZkDr*KV(l9S7IJQz7KWXLr+ z7cwr7t9YGr!fHfBP6u*EAdI-@1O*S?b2={waoMd0BPRw7xhH4VWjvo(a>7vcXFd=)A$_@Pa%N|#3ng^{+DoLmO`!N`fBLN0sG>})ROGL+9NIU&e1BBuj6BM?S9 ztff{yI5~xJw%csUg$;5R5_g_ZnoUe*rKk-^2n$K4AZIB4M6>gDGkz6l#;@hWYWqQ! zycaTm)!OevMq5bxBkMKM@y29n#rDLf zCjJ2%A8U)yX|_0Z%^=OjSQHoXru=EPi)t**{(Ga@@NTEs;;bNpG#j`0T{JuGPqSTA zV`=u^8O?@wJIxknTNtF-xOwWL+4KH1+eI~&X8*0xY_qn@m9kiim) zPml#H6NBt$j*x*kR>*$l09m$3Arp2beIdiEbKF3d^9?fCFY*bpfMsHkz2*oRh+~E9 zH3!IY3^HLi*cURqLdOkcdEX#|T?C&X3s@!w*-srI197a7{nP=neDPK6%Y}KTFF|mUy9#1adis%wcBj{TBn5!!C#KQztgo{ok}=A zO+gf<)#(c&Ub5pxRUaziB*P~VX=Q$axG9n`5PvZ31R@iP($ECr52nQ+(x*R*OcW+x z=?fxW7W_LFCRQX}dT?E*1IkpPSUQgRo(CUN3aW7fT&SdJ#V~K&OUSrAg7z@3-4nmN z!?l;D5~AlQK*IDJeF4HNf_tiD!5@HFHJ$+qS{eq>3wD6of>0ir0D8d+5PR-PWRNfc zLtlXKV&ErwF~}c$Xf1Bw36U&pIsO#{XPRRVQwhZe`PH6-y)u;$JoY(+MtJyM3{B_Y zJx-bNCg5J=Nhf^)r(KO3bV4N!BhPa-@^sW~0f^P&2A>eg!u;FIHviUE ziPgWoZ1HdGu}>m4!o!#SvBA}fk6HSjnxyj=8w@jcvsK~-nb1hmirp2zW8w~m|x%m#I(IJ3>wpj z;1`n#!DEy$!V_2hvB8yxK6X0Xii5Q^u9k>GBMD>7Vw% zmUmR(tf6;Qf|G=y@-F%?YrnxZ`8ZVGowW5X^9&VXaD9JNa6zCCD#L97TDSs-%)Fx# zoFojDcSNXw5OgSJ>hs^Rqmp5$2&1a|qk^jdeNY*0N6mtYyA8h3NWxHgTZBqyN6&=H z+jdm43>9HGZC_L%l(sJ_!)=;bP;s}1*9Vp0Bw?t$B|@dMX=g&^EjubXhKewbvOg*~ z=kKG7;r7KWsJPpv3ymZUl{ZDGboS*;sJv-MCC^Zqfw-z2k4Tyd!E*aL0d)OQ!Fhfk zT@?K2?IDodd%qH#Bn*`|M5ure)_&y;J1PZ+$}Pj8;$nus;0G!YLF^rs;3Q$Fye>io zgs`IWx*e5;;;-4R<2JaGPaHU=UX-dz1p=s)nxt*8z)%9Aqic$+(W_0%5IPFcQ{50P zb}9G2jvuzXbT&Dsz-6g(c(tvfrZA$a4B=EdL{mY162_@Y4Gh%M6!<0lO_w!@)?%Sq z{JXQ{nBI-ZD%Wc@xDFQT!N^rwC6O#2$1m7qCYRP^_$*xATG1fzRimF;gUB$6tVk*Z vY2x*+DqP*ls;3=(KNge5~^1Yf(az z8RIP`zmJ?bDN<#!ZmbY%ykV>tE=d-k?1RhLbNwJ)0CMH2Gn;2vD~$ J6Id%22>>byHZTAH diff --git a/projet-vga.runs/impl_1/VGA_top_opt.dcp b/projet-vga.runs/impl_1/VGA_top_opt.dcp index f9d395dd706743f1e7193e81432c0f542f4ea87a..f9230cebab49f769ab49532d4d3175eb5cf7f5ff 100644 GIT binary patch literal 694930 zcmd?RcT`l%wmu4?U?3<60+LiDsAMDu35qCD5Cq9Va?Y{IL89c?NNjS>8Ob@vmYgIs zO>9C#_glE#=iK|d@9gavQPrt4HX{eU_@dEUKOX2 zE(*uw$R`YL*3)Zp?-u1I&g!WXTz8|QM!p6Ma{J>_SgG7e7`&zu<+I+dl?CB(W?|?i zo4VNOzVab5DaJ;GkiYtjei@?Le&Y%9qZ6C7yqY!rl9N8_ z9Q?yZ1C|F?FQ6(S^kUCaR~K#EB-wH66|4%Y{d99IzO6@MEoDG@nBzVyJrsi+Ku4w? z#rg=4b@$jl3q$4#j$w(}6@c;5+4%#dDXd)h{LngB{YvUn$qzEJgHHva(VL{RjJbD- z6SezvaRa%eLNER9tmRt!e6Rk3QyB!^LjGko#}W z#jWWg86m^MxN^aQaSL#+l7xt+t%W7CzTT(p2DApJIwPS0oE_wPr(%8 z-7RetQfoMo(#g~o7VeD=uAtqOx-CtX`8fez$Jz@DSt-u=3r6?-_j`@p-0*o#w`zVz z>yy*7*;x_Pv9Q|t{^`QWW`B!_z1EU&XPI!~MoW_rYLM_rD+m>bKAAvW zY#{UMdeH(Ok_9wh;hc6hHK2A6S|ZbhK^HK{`6E|1{WK@el!8W~MyG@6iT#bOL1hcn z@pxSxw?h>-bs>6wJ+BBpN2j@d9ksk!c&>y#fFur9SriHt2)k9EBgZFK_fVrnP4yLD zpY+ReCV=dZ7B|P?)N^vMyan_j8-2$(V60Wx5g+x~oaVeb+Bq81=zWo46uB^- zs44WlzV7t&*c(DE)P&yKM4W6wwg{Z$!0n&6W9W199N_riWGV~y(rN-QlWgody+q=h z{c=aQBWR0%UY)~a$_`i2XNP2F7H~>^EyH|t6a1`ob{r-fYf*?_+0+xc-4B~>Df5O{ z6j|6*S5B8%uxPlulo)-F+4o=ixJpFnUgwMmpx(&ecoYri&1qa;QQXgw-4B~>l5OA< zQWaW)pd4BtLdGX!(5h$G;7;zL^S0l2N!G5rIoKWs;QY`Z#ncg!7kWe85kGDbhA0Uo zDGDX&>^;X~N)TF@t0TAu7ZMVv`2e2e3vyZ??S(>nUC(P9&MZ$+n+qqVR<8ED87Bxn z@Hy`pG_nX^=iq%}0zUV1O8cS>eUy>LOzmfCjn2fL2zxt9^Q}^0+Z+=o+e2$Bck8{@ zmPjPErY!eSzv`HSdp(bk&|n^)qcwVYYdWj3CZkc=%w?9Va;n^EH)DV4h<27!xZ4;u zN-re8v3R^r5FNwv{Rxv3$Xb33fq zz|?-}pZFP{UpXp8ls*QXNNtFEo5%NENgrgHW9*bbeZHEr5^|avTfet*h<+Bv9AH(n z0c*P<)^y=|x@}m)fFf>GdC_v9e9tLC#k5g!o;ly)G(X!xWz@N#isKIt=${l*WBm9JEajpD9*H-8k7P~k8c{(!rQAr>eAFD z$BNl1jqC4QKawvDqO2A_;_<}`ZuWFBFXovL_N{#3m2r9geu9>7qP&bwexO^m&|++o zmT#ww8cqsfkW=;z?6 zNZt~$!R`0*@k9l3CKBARwj84jLx)wojA{U-equ2J_8=5teVKp z)ufQ}9NppY$;)9Ut4Xxhsyi-c`Q-crrv(a5p}a^8&}Y%4Oi7-= zW?BomHZ6PAsr+lri@bYqqGw#DGKs4;=L56Lb$1-^H^iCY@0T+km=f%L5zh{6>)fHA zk+O@Yg5>d_G{WC$G!nY4#TL<0pRMLaj;1eX(m)%|QRgQvA|W;BhK>rSVtWNA5Gg~= zW;1McI03`_Lpj621m}2O(Y)o@mN2@Ln%Y-=iy-OY_r zr<;goDFY*cB8n~l6e`tP)C)s8O_;z}H=D?&)7IHFs-ga(LSfh1y7Ta57W1ugoK3_g z1Sf6!kr_z-ykc7uc@{e(n5pUZetE<#xxK*BG`U?fd8?0LQg(}47(FJpx8^>Sm9Qb^ z;)s|$YA4_-Fo#3koZR=9R+d)kR@YAPANp;D8b#2RRg<@;mOMjxVI()ye`w<27g9-{ zVr=6YaQCu9LA+xPikK%@1_wi9iZlv(M>jkQf(7|Fi0Bm@ZReUm2kvgCh{=iz>DIlR z!bjyiZ-Pdb;j*DDjZPkkkNU$#(NzMUzEtp*w?BJAEk420=;mIcA?VXFoifrzLUFdA z===UTwCjiL%OsjzsB$IIKG-q-tuM8O`JB4CJCEbp=xUv;^7fbMzO@OK12#mys9*fo zVORUAd-SKprxGC(o%Hj`6fDVMLv#pe5cPzFFojC3Am=k&b1U1R>KGjEu;eH$>Vc3O zxQ1btBZP|?Sfb0HhipX*RUdLv-tH*8zRY}mIp+E@{`E*ZC;nWUq~$M?wG{Cgt6wDf zDB{P6wP&tr&k$@X5I2)H3?)j@!#EU?_J%(TG4pMl4$-+QnB{IoSppi*Fr8Cr1@n!j9egNX#8dKJ7rGQ_s&TBC|i zi3|!_J_2x+)TG_F;;$21=nN(H!W4DqSn-|DLXor3-m)jf^7D;@87m9 za@iTYhb)dtNN6%c^yQP3t+chn@kCZ)hdSmG9evc=PWl2MJk!Jx8l0zcf4bqOm)ZZpVY2)t;! zN2I3BB6gVneYkx=v&PVlqR97(D=zwt3fCeFiN$w_O$*Dbv8Oqv*w#>yU<#>PTZANi zZj$X%wIpn84AhrsdSTM|dT^fxCZCVTW3N8gjmw z^2CBCTZ&;o7F+-;-?ZIJW8bL3De+wv@XXeXdD2LX-d0j2;8f8(03Q2D|(oqo>M$Q$wDbg)ERHba$SZ<1?-#K3t+z(?SYvFw+VX)q7XGKWd ztGX3AI!da6k^cYZoLezwdKd)gEWF9e~q zl7mn>VKRIE$CK0(+*{~kcjqb)BMCECQ{(yI(GjX>9)(KH-yCI`6rO#Lvc72HI@ze& zs^f0@eqMVoDn*`~+PxN4b8c72=Nk;uF5*j&e5_Z>DBULGV~e{Wxbp3upkui0RSZ-zNp%xhfKS3XrBV9}HSxd;aKd}MHRoa-kZKV;#?$oRO1U#^y;N{2*Hir2JI|8+Uvx zH33sBWJ_)tQ%rhOE)w|Nk{iVo>)(_s!xT%NNR`3CwNvuv+Y%hJprdo!>j&8-w?+5w z!%V|gk$K1Z6QMW1ymPv!puz!v68)c;cO@iX0G*8wc(POmUX}Fwu9ZL$E(4js` zCyrtHNspr;15CYqU0K-eNyaL|mpCRvUU!g9RoW?xjg0QqQ#v?XR%@H#`xU_sH8HLa zuwm+49MS^U`c59oyB)n%78XT9ZnbBM*E^BQO56yt)z0~S)g6TJ$pvx;WT;jH3Wiwj zXTyAn1}hqCYHFMuT#w9;cGl95u`7K_TyWKrgf*K!sO2A|OcI=x7^2pD>++7q{q6P| z&Sw#i1Q~Ukn01?CI#maXJ-Fz8NCy~tnLQh+3{E~@?34@%n#1?ruq53q(d^T943_BL zXJGV&KDPuV-8Xh;HL%D93x#(Y1leh0mQz+t)k%d7;`_qYz=g4=R=k?bq3u=aF>LcG zDQeH!MPjb8Ov>wD=Qcw4YjQcbRIzOT(5U2=H!rgGFMZ=uV(k)W?Gl}Ar#O?hot{3T zq2Egpjd=2LCA*wk+1w)a`&_EEi$o9XJ(ye0sK}Z-;*HDGenix0iZwz(#=WOTmiLI! zKxnlMl5`w33bvk5ka6zemE~P!G!R(zfh5CoU8;>aA&GFh+Eeqie|;D@TQcVQZ?u6Q zYy9bn@L=;sj@r|IaZ(loOX%PDFFfXneuonC#vr=`_54J*&aCUbt+l!j-1F_Vr~hn9 zo|P6aPR!kfEqr48%vodqC@!v`B#r&xf1XBZoV3?Le}!QcQ@5d9T>XV zBF;}Ghx@qasgsinWx#?y)GP<-wE}Y=9_|t|-8=obJyAw7-*D6?wTluPMrx`jv7{7e zf>V-KQ70P zAzT(-IImVyQFYi>qW;Xi#|wFS2D{IGzn{hQLDDVeJq_>be{Fps7llX zVk7_j#CE>YK%MK=6m2_RjL=|HLjZK@bjsWbgAdutBCI}6!{z>Abl3A$SSm98>?FbZ zkkpzvTX5VrWLUSW<|{(+9`~!T2kTxl3hE1_f__PFbzOQkWO7dAkc0>eD{ z3M?^hXIx(5p(OLh`+)G9hBDmqAyi^A*DezRFfQau0ub^4>%^>YQ@j)Bz3;!BfDWb- z1Jo}tJS5Ha1IoZ$hRl7Y5)BSX3DX%O;)KH1y-XVNqbR5VEe}Vv%bIVyCeF`z7Budx9(3jssHIF=3j2I zz4yyaz_@?7>3^FTeuKgAhdtBmdXoqP+k51*zNRnJ>*Sv6+1{%KOk)42txy0kGwI7O zZLb_sk-KiKU~Gp}Bky?G+YYH2i&!B$qQ??Pd*dsY_Lg(WeM|nQQ%wGJO8KQzVmtng zOWv#hD=c3({C|eiz%)ljfORGAbj1bPtS?}NkHbHh74d-b4q*J*>m^SIe=yU&lBX>h zR{tU7I$sQFQ8%2^S|e%$R>#SU^tz!7c{kVRyuTJf#LqLDcQ zA}TV)dPhOVttVcVcaL#haJ3wgbQBc{wjNNBaq1zH3a-B&q*xV)zY4FOw99-sG`C`8{&jWs+(Dl2kd6q+pjxs!{+j^UoyZ z@<)=Qb4jgk>8B597%B&n6yj%+T3xxcH?ST|$p3VT$)8TCymZRHm!$r$uzcO{{~1mL z)0{w(Lf}pxU68?iU%3ED%8&&}QZOJ%xm+eGra?oNKa!NjA4#fPgTc)5;D!zhrwNdx z8h$1zUfy4eAmQgC0GzV*52u)2I_2L>Qvaut=KuR+{(oBje@@DV3lB(Af@1b=Ai(y3 ztCWDW10*RIAW3NeNy@aBW9E+}1+0{xNviZ-0$26v)slM&+^%1THGZJ7g&LD6fP8Sv zSk_Kj7ckr9?$#~@kafAco#X->ygo7owmwrBaPR4s>GI#{qD*SfFIN~3Ij22$fEZY$WyrwfHGi` z^7{`eSAiwc#_*Yz3%D})!|x+Fr*A#4IW?TRwHh*Ka0VI>)LUp3*A9|?!XKBRDM)b*nFaHV8xyju;|uoZ(;={< zrP|Dv&(^1m_vhP8m#E|0Y_+T7Tf?`bm7-*(Sl+Z;Bvtmm)mhD_#?vvr_>HX)|6Zt- zUA1L!UaPOJ8bAq(|Cdewt@o$6-{D=Q=zYGPh0i>HlLve2wk7Vwg9Xxjv1Yap{vNu; z_?VqqlvhGq_^wiTU#ies^;;S)?-%^l1pcX|>(>9v{QlYeQ-4ezd)HDPAzs!yM0iuz z(lCo&aBAHRz*f65?D3L@d>2O?Yt6&3nd~-`POsj8c+k|hSAT`xDR$j7ebdFiK|K2~ zd!^;yKu@YXm`Uw#@ZCA$H%L9k`*Um z{?JCu{6QQ*+g;!Ypp6JP0%#)yj)dkfWyOCME>Hdy%pd#?=|bjTOZZc=`SkCQDt?Fb z<#$MCzeAGz9n#~!Baud|>{c(%ee8025G|fH%KH7zd=%R`VCTy%Wse-+Z;_(}#354F?q>PuQ zi!lgsy+yB=Y5)`gNC6NBAOL_9z%u}}i%N&$v_A`%C;tj0`@cb&fd2+52KE~yrLEr} zk!<`1X=3d+NHHtFK~h@!JJJJ54d#6ou8$%Koc>~I0CEA80;mP>4L}co5l;VGMy;zX z56r49{|3Qy8F=@Y{~EE&KIMzweE$M$X82}2vCFJx+O*6WTob>qcETK=L3AM36g$hui092s#M5_y3?W`Id zOQu}YAJtH`e^KjPdR+*zqMhvLiP$ou=(ghvT{)wYu)f>;B}7VVYP1WJF014?KCZ*v zK!@BVDbQ%!1gMAwDp2}BMNFX4kI~gKFTCF54CWsyx2K1iXi8IKMfAipy}SIVaK7MO z;6J?YOfAl3i6PF#?x`*N2q!MCV-668Oa_Em0m6y^VH%%+iddjRh0bqdw4ev=?sRey z(I6PVp{Bw*+FPoXRj1z~)zs@ncBRXUj1IsX0OnnnE7Dw+X_*J>)F1!TG@8xj&|id8sH@6AFO^d48f_lZ|WL)ei zN@!=-#oxLK{IHJAN|A1w)8X226ZzF9-VmGZ_etIP^i9rzLtDzh0w8l&g~N45R$({yX9KY--!Zt zo6Ny~zvZ+%Zt0r(_Okb{F?;)w?yY-Fe+>tN;J@D~erIC;9i@7HM=8#~Q>wpsRsUjk zo|1AH4z1k3ux^>l$6*-1d-G)M?#(U$aR3Yf@Bp|DU~2Skj~s-&r$pw(4Q9o^K)Fod z<&z{q_cMXVL5rkEVRA^NJA@;alQeujH%~fz|0Vorc0|2B2-ULx3Zu6V*MNVsd*gNE zz;g>*lB15chv)TkbEiw~!|kUBM?vlFd}yZzGf-*H;eYx6arNojAq5IpuZA$#(n>|} z{9%cKlA5junjxLJg13ji7;84H@K6e(tJ+VkP%Ql{pT1+r_l0Ao#SLE(XT!P{pwn^v zb~`Qs&1W*Q7k4=rJQ(O+uE2%QQAr_7E;Wpw;j38;jj@SoCmQCT|3`(6a}7m)E-3F@ z?X<-HxY1Jl)HWHtxgdGEQ`GAKnmycElfaO{#WOF}0Iw~?LfQg4!u|7lI2GG1z4M-! zXMf&sF3inHeUfERlRM&y()3c0xBN~{n0x)gASuDr8OS+kcn5$}tN6=`$z!+W?c;`xQ_ zT3UzRTtL7uJ?_Ib4%6F#{=Q*NvvaQXCTCrpM{DXUp5pGNLLR%L<`B+t0}J5cEwDH! z6s2@J+giW!G&Xl)_-sLP^=RvdML$OptjGCiS*kjZIk>|z*Sq_^j2-JEOce>*%sY0R z1z}=GhB7l0(mP(hy~8V;mb?jSl=u<~t}^d%Mz<-XMwhg#2fcYH-WJ~UZZcq=nV{NqOC`!!i+SK8b7p(gZOu68J*tL3hc4`(&&SELBlap*m4EuOYxVxC z9gDndPiq;T=cG!3<67lkbkusRJQtH}j9K%sb6X4_c~$2xUuorqjcI+rQ}7;s)n*yk zdCkveEvKcJJqtTMBy`a_>=y3&ih{Rxv=rW}`;4ub)b~gSP9fN>pX14cxs3gKUof*K zDahgZjdMIFHM@!z>!qf}v;Ot+De-|K_cJ|NxWKjk{k!EC?_pOv$+F)meDQcPUfv`8 zJqb?=l;@GOZu%aV3#rIfE-9pjZ`Zt#B$`KRx|yS680l1fbw&w+0WN0mV|sxl^$4p2f@N#?^9{l;*cR0OY~`7mBzsxFe>}pl9l+xk89fRZ2~@N zlFcV#@BZiSan0W0#j;Vd^3eA^!RB`;)G_36SR2X2@uO9tJI`^_){O*{*dD9J#RJTeg`%?WS?vH$0hAICp zee}XqMUa}JRKGToNug;vq6YK{ZkVC|=lKYx7}7L;N3VCxqSCf`Na$l0pxsd-6D4c; zV9bV0rPRfzw>Y@4vR~bQn{E$c+ogIH{T6?k9oikEQfJz&Pi}cEMJ$JuiiGL)=Brn( zu!jI5=`koeu9-gjy0kH)Zv_#sqCB4f6F||1K7c+ z6fj#wZ;V=&6`=O09-y{KEK`ltGGMQ)_#K0UC)oZ{9JAe=3Qh5THAe1mL#aBwgbkUj z__O{$g#Sm%NgVx^A>DkX@f||&cc;;BvvPch&A6z0Y4Jbz|Ygn5Vk&ND_vX;6Z2s0Ls?zi)|C#@wYA*H0Qb6cGUE@?=X?6VPq#Mk zldAq9y7i#6nAuoVC5*{aG?0cTXx5D@ISfQ=Xx*JDp2;F zz!Y(8z@}R~LMjy8ruNtW>V_WPRH<7cCCx1LlS1)(w{AsG%G|njoU~50b}gTH9JBgq zE*9$tMVy6_Z2aa=@{}HlR_O!N*_lpVD)L_~5_O&x5`T`ECxRy$Qv_e3d1|aPlAT10Sj{R*eMsSQGrXdrs zORf{(s5WeVavSeDaI-V^1?Sg00ob^}I0C@UPHb_k9^htY3=F#c`iJX910bBE01*DE z77%_x`JWViPxf(j^+DSH%*H?v({fGS?--_+!#fB&AJA2fhTQUoI2Jize45*MU4Tt2 zfQjG+V4F@i_J{fm9A8oL3PM&rDN5d%pJ4~r-MjstR9(UfQ2kappgQvqpgR9&%)j^P z@2Y;ZlLKs;zEIwPaWn!EIe21DHYga2!Sgid%57!f@0+5Gn=iBh*LDJ~jR#!&3UF;X z;M!_+Fqsua3V+kv}XSiKOqV7 zg`)dnB|%%v!T01Pj|xDT9Uu$^#6B&caU2l)Ln`mCEtCUOG6hDPU9D+(iKPU@`L6{P z0>t_40PGb&IyViu91xt9rt_aVzfFWC#`Tv?R6tIp>ii4(2U;gy?H1b|t<-=HllZAT zT7ZQbD{kkZQ9w0=7{r`TS8>DdqLPkX_t=rQbl9n*kS@fJP46CGwB+2P_gQYq7ZTAT z$m%7!`tkPnh9>;Zh`eu$tYh<=j-OI+iv120@;6Q#&51NA31Osm>*{K;2Yhd$T&7P4mzhdpy9C z`ovbPMhDedAgKnfP#O!Bq`9}glX&-DIVQoX;#>u~jzqP~sV9_@s>Nhgl2%Ism(q#I zH$kafIps7-bkWE0rTm{Kav#yHXnmK#@8Gv)MKIyCM2Rcn#8Cun-tMt=F@*MtxNzoK z4^c@MSr4rmTH94$&e=bGS`~lBTP4~Xp>-DJ{cED-G2RGP#nrEZxI(KmKc~3*wOuaS zo5Wj|_lHMn1w}b&uJw@4E+Y=0ZHzaG*n50cfch0s3aHNR5eaI6Pym-*RiOGkuOZtB zAemIPS0pI)2@Vr>iuDktmFPI?mN5}vn}+k?J=^2!GR-`mmNBeAueLFu zm(&d}1y&iFq~}0~_iT2z-%%=fEzkx7V(tK9^e@G90b=l$0Ws}>7|KgA?0}fIOEEwP zr7l2B=A{@mK#ZLfrFg2|CPSNQme1m2BZuy3qIX@2k(H6*@!L1z1GyhyDV=S8<+~Bz z4GxcYBx09gsJ;G=GR^-tWwOL=giR&OgXOE~ZwI(C!gJR6=^yAKe1iAr^qR@vQ!4)B zEJ@Dx4*MtBE>a88jvM0l{v;*6_)k@HO~ckrH38wTVv{H%MY9r~B>70y)9dM2h++#g z%i)YmcD1>P(jn;deyXwu4i9X&K}LUD{ZH0UwTR$1;-?bBt*#8e{0IF~#eb9jUzJG? z7tP}90sNvT+eJI)gX^f1ho2i6E{X7wT9WSKN&WFqFGBD^u+rtUf3bdAsg_>v-7n|;Nx!txze%6ct4b+z0}M~9Zf*bSX8dLij8?A{ zn7(whUOacLPS5qtbE%lt{dw9LOr|F4#Dc>^e8 zv`DL$``%{AsOP7h1?7Yxeb&hrr96sFIasrD@bWj#{M;(HI*MuA>kI_?wji)d% z{PVzzTQ1e^Aqf0C_fK|-Ze))fAV*8P^}KC$21Dn>X2G}0)b2|VOhmT#PCg!K!Au_W zl)=7X`wl+5v?@0^l1!o9(1Gm~Gb589UggO9VLyRSj^p*$iNh-E7!nqL=a`-Q(YOcC zn1YkG-1z#+#vbM+Ww!TnUi5j4qxrY2Y6{n{8^hj;k57*IB(hkExF? zD|h65{q^ANlD4T*3o0>)GsuCp{p|M4>FMRT;V)qLX+Mc$Wwz^u_e@#^y2{F@h%4tH zfHF*_zlO+g|1}3FHU7b~isTPJm3wUQb0os-@qH`xnNDxMw^d!+kKu2^0PSv!Z8rWY zXiybk015%L>%?C#r(6*`^5n(kv?F}eg3wfdM%$3QwY^mKx%pwPiH|AkN&fBPfXxvtK2ldM z^yD6K6B({hB4X?OnL7ti1BGgrfzhKa3uTgxp+zVCTD#NV@bfTKjsMfPl7CP~`G%HO zX*^YZGu`YL1)|xrY(hVq zgNgrWo^Aix40~{%dZnUQGB%Z#17H4zj)zzC>1(NlMh^5<-Iav!x#_e$3( zC9x`$eVzngI@eH$?4xX+SCI=kim?ibYfueXA@u)9Ffg71`hH?N>}=R4^ZTV;cLq=r{k2w`2unk4xK? zlNy+$e{mChovk#i6y^Fe;P^y;MINE%ufk#N6~LwpUxn3x{Iz&?WQ)~pK7EL>u~Hru zfJ%6Dw)OnLQd5>@dSm%?d=)yMnbLqFJ0@TII73z8plmT|;6GnDVc${aR>D1Lbaq{H zXc6kgC+t$Mf7;eK}&p#Sj+^wfVN z+1%;CJ~ifCZEwiAS?VUW-4(OF*azP-B@UKYu)ItZ5apyJOz}4w*qL70CsrVDs?sR4 zD-})++Xh(cH&t<;@7PF`$!#d`QjG=H59{+ky`RaPxcRJMm-_P#2GT$EsJTsJGrf66 z829$G=SU@0hIzEyakuG!RAZ3dCp6DjFat)STl4*51Oe97I_|HgE%+8M;^oC^FYPsG zV~R{gIBOwm&Y)OVQb|ihhYM+sRT*>+a~6RWba?+lXj>23Cf;M3#O zxu9b`P@mgvI3ld!T0h=P0!I6exdbgVd)M8>AbS^PxB(?FfcG3rFW3C=DJ$brsd`!#G{zTi)Ch&ps`DgzO_(2wQ*Vj)Y(?&ugepL(qtk9$^fm zgZYj8QeiS%c7|G<^G%9gPK8<(e4R{#_6;$9x3Rw9MiYSb*6arRB+5N-{>g>svg~OTp!1It2oWOG zZ%J0HGbL;6%3u~627E3lMSbBZiqrA@+%9BE*1L1C$d(vHF68chUUhj;LX-S)xC$?~i*F`CsxB*_g1H}t5E@>XUZG5S_~jSNFGLOw zD?t>bKbB%JU3$5%)mH0&t)_PM_^R+K$+TYAk6xzotE97q2tmkPyP0JYF4KaCEaWNc6z!D1zx{BXb)QMt?T75xn7M-oXo0^ zo<2O#SR?##-1~Ak`t-OF{GDH2d6uQ7G|^?RbpD=Jje^xXeqwqoeqw6N1acXU4@p*d z1_B?FB=HPJT$1>)!jp+-^taCZ=F2>B%Lr!UCTiJWZrmdqZHN_G=O4ZOTiSF{`4j2W z&CZ9I>*annd>@j^$Olv`tme?WhyBu2$i zGsxIwb9GulR^m$_Nzn8+GJ9pONe79Uugr*7?=X@{9=|;jQP3r)8WU*IpnN46m5-mS zO+QR);Dj^f=cT?aH**y_s*=14sSsL;^P+gvW#!OSMzNl8-Tw!Zp`iZ5w(p$jt#Rk{yQ)CT|uX{g=qkm5R{&V1!Ytvn|kbGo#8<(p=2?n1uOx1-qs3_*P5M8--U-rLXl4e0tLYe$o;u zs&63H&w!UCkBDE0n(@Rv3 z6;iiNn27p9voL8CW}Z%rXhe>WxLJLDs%M`5d`v8cq41Y*z>@4h<24eSSSiqO_*hUp{ z9<@0>y{U5{JvXuusFT`Gr+m8k{qd7MNvrwTdYeQ@s!x75D@mP61xS-D5fXL4GRz>DME#~d# zdd8oVT6nFC9w>}ncL~vJ4$ryPh|9ctD$k5bV#)EwO;674@E*%!;cb0Zyn0NMd`3Po zE*I77A6EBuqtUue&@=+@$ODCJF@X?Gn9^3d6GGSc3 z%f>p!`7LP!)MK@_%XL^Xak7hXsd#F*KXB?mg9;(Bfb{-#m}A>vCe z%o+&iCrauYWtDgF`kr0Bn4lsC7>oH$g-ojPk!>|e5U=pnrPfT)t<@;P1_cIZ!H`ES zg-Cf?Pl~;(Ga4re6gbr<&(k^KQg08iYo>V9$A+XN@vgfv*5txYTJFC9^)s@uPZB;7Ih;%)d}PDKMko&I@7~58Eu-&xaCpxu`Krd_y^L2% z-Nw-?nJ4rt14I1a(Wqn0N}*j2FFTcIoIC3;*B`y4dXlM|$uc4>o=kE_fX%s3L0bG- z!fKOvu^=Akfo*Q!b;?LIp$MYY)NS_DL($HaCJJT^(YBSQv6j3d1w4H|!{Uvv5Ct9| znM?t<;>@rV{GEsnSedfaGlz`Y2ybgf&Z*2HB3@AjXR&E{@@v06`I;! zIleaLiRWSn4(Dq_>VDNL(P+X&*alIuU+E0zlyO;=C1Zgv6PL@a*a)gA)v_YXoYb44 zir_+IpDAx28hXB9{$k2*A#M9PUghMrnWn<#Bfrh`f25!aT#s2!pf4fil+>lDVb2Cm z!Tvj9r!Mz<-i>O#m6k2;U)p704~iEuHExjTSF(xzkSMkW3%eFi-mtK+Dj6VNrZU%m zOSfrz@N9wcfmZ{a;IP0=JzWciUdi-NyzycKX*CTSaW|Yer^X@z5?1v{rt8S#s)Wh) z_7DBmt5P0+F-!}KeMf&oksjPpW?I6CaE@Xc*yZP|OZ0j7G%!ZBWS7a-Z7NDt@~i5e zoes`aOe<~FJISx__H1;jrc%@L@MW`CQ?D`;IO!nrUCkvZxt=Gr4EGWJ_`y(Gee~Y( zO-`xe+vsbFHuCu0*!;9EUX-Q-FXJiio7!(1?c%eqzhQhIBl><#GSf81%^>R;rgIX- zc)4GN)+j*_Xj%)cFDp*Ke({=7JI44%8I6re5ATfDx}od}v)yM@ThJ0$MkNHZAjyu2 zWJ1{~)uO16KxnIvVKKt!MyytuOpo?VHm?*;yxlweQEYyxWBUoo>9_Zv zyBP5!NBpHiS5(EEPD+B`J)1JFJ=P`5R4raEr%&@I>&+&9%rv@z0X!*V+GQdOu}gY2 z+&cLN{mP=pb|yPs28XPRan#6L8X_2?*P=Acux#WV0ugM%ul)QfUiQAJ5G-&(1gL)W z$vY%Y5YMPZd6+@$lQ&+x;2puKC*=A)rejj^-uY?r9X+r5Kk#+|RiUP1eP6r_(&Q=e zkkg|cT*)%97hDx}J~Nkj&Txu$n&^CiV~{L!@{_7F(^Q|$tBne>F%vL$6%B`M4>{CO zMHeT|>D|N>_D5Y89s6PzZU%o-Z^}})7X0U!>A|IVTs?@Z{8Lwh*?YN+KTV6!X+_zT zw+sw}o%wFs9dr7Udm%F3ak*3$d`53Oxa~&0R^SN7=i17^%?L^Gv<+8TPkP5_^EjGN zYv(1|>%>L!*Y|r~%ovir_HTas*wB;)2COcN`#pj)*)tLd{Z2F0mB!{lrKff0n&%v4_uE)g!?3~P1dA?d&evU1&MoD` zQ?U;?Jhq_7wkRBX0Y`%Exc%l(9GEAi0@c)>rC_mc4;DXFA&$M2lU8TzQFACG!M5WN z)l^hFf&IxI?W<7b&YbsJh`I}F?D>i&#*bT$LzNS3`X-bFGZTDSrUlE3Gpe0Y1$F|C zK$ECiK@g6a38g~i#Ga*Dfo{(PA5~$2oz%2yXKO`8XpSd&=qR|YOQ$mKW&7lsCSV)V z({HeXUl$ymm0ML+J2&H3cxY?ryI_aP%3V=ZEmp)h^sZpsLh-4BafyirUnBk zUV!A6Nhm7)BBB`$7D)NAj>pynvM4-tx37QySRJ+YO{TfQ_pM~1UUkIN2mZ`F_D8^V zwx)Qigt{J+mQLS>LN{?agMSV|Shl=Gpl?Nyr$SK`8K?e0!THul3gB`mqhs$YRdAsd zt1C=zjS(mr^3if?pO&qEWChI}*>Ki! zpXRB;r=k+@v`Ij|RD46n)b==#X;pYL-znZyh@$TjDP^~#Y8{R2@@@Au7YL16t(WT!lqRAVq2igZpY|!9_ zd-}o9XE$Selvq`q$g7p|mW>k38@C4s7V5y=QBPHa)rTW?cD-{rdhRfFgc^dK1*-WH z6>^O672eN}lj+~Qr>npNYM@g3+Lv>e%bziSa-BE2qZs%$8Wn*nSfLkx>T%KWI&Z~y zkN*AhBj<&Kqy*nwr*&W6K}k$V=7MO-dRsNVgq`e=a<_5C8>-J7hoMW+wWE~tKX!~f zo&ujCZPH9GV4j#?!2>rs2Ata-jLx3TuGfXdPFC#%aZ(!a-Oz7{)!Gu2m3XH3<~0Md z;VKmF#P?Pd;^Skz{I>YMW|)GgDDU3mslY+aFn{SAccSk;j3aRdfr-3?(T}}gMUz-5 z>plyz#rM+bPgXS^L(|;jpQq-N5iyx`+;+T?nWAOVp+T_aGa_5epHAcI*Nc)I$hk4S46z+o>p0KSou|}Z@p92kl?(&PvL=|_QmWWf*TQDn zhcJJt#)@@T`o`pqyM-4p^EWv%DD9{x`ZMu{@%DbrZyDd4&hWC|jg}z?1`@3*L>V66IbKzLso@V?nkWi^8zlH{x2FI;~31hfvyxNL4IwJLY|b_9o;ktv#y zduX#)$;?P?>ry_`abot)vjI=&jh-4u58Pj&642U|Eq@obwoHE@i1#=Z=Jo$j_ttSy zc3a=L3Ze*tgmhSxARS67V2}fXbf>g5Lk!Xq0>Vg*fPgTdl%#ZbDMQ!LIm3`c4Dnvv zdcV)}{?0kK=RMDPKcDmagU_{gUVE?oU2Ctk_MVIErJY?S1gULXB3b2d%G%v&(pYw# zy!3VmDc_@Xt}BHyesJSkUEz-lNRpz1XrVzV50{c_lKRj+QHt%ReypEv3R`aAk6kFM zFMULT3Z7T4lqvJS*)^sIU1KGL2j2N0Ew`K#C8O_~LSFRhin%fJ5yckCxPynh&i2de z3&3}F`wCKHf>du1PAP7WJ}tR(}efwl4Gp>}jMx zYB)_TK=ByHgDV#Gke)VnCIxXAI~yv?L^Y|kOg(ODmK-{NyE!d|@!1|;7DVao4Vw9Q zc%jQ%8H71R*vJx;gg4-j8caHp?_b97* zeR4~AnK*Vki23EFizH*O1=g)%Yc1N)2`sp=OYvEjw2vKB+`!KN!-Q`RF_6)aA3jMg ztX`F3G!C;&|77gyNCv1Cyh7!?#XRbuICd z-trlA*{Xp3=b`X|FttCIzfV3sqYDp--iYZ>ClyZ>+npXqDJdVFb}#C z$gqeeEQ#yWzDe&Xe$@N13JX@W8rD*%3dT6gSGB5CdHPFvvA;WR1132IFR>*q&1Kt9 z_No)`-hzfK9T`oljR^;jx$$mrO|sFJ?X168)&-=2<^-5&{s+yU!i%LIyMz;9**;SxsJrlB+sbH3;D?pg52FJzi4is zPu|i}EfW3_Q`*(IU};qr;TVhrn|(C1yI`INH_u&9QArZ%j(-~Qypd47(Xg8gL5(0} z$)aG-s!O?A%i+OYGL3LBj)`Y<<{Q*eVN^a`l84F*!8JMM0PLa^naNhWXI)2*nq?nqdDkk`X>d&aYRq ziT|LT!a+>3hQ;jL(d?2eNg*^@b&JAl2QWg6K4#HeB}B`4*l8NW5j|TWk{^eV- zNGZb`JhKHx`WbSbfuxiz=;ZA*E+Sl%z)`;DRriQMg?$|>QE_ki023Z#!wqNin8#nX zI0NO=?r^vfFkSKfyj^+-DZW+dc+K!0^*S!xl>o${j@ntX{7Cvy#l6}G5b`TR_y+Q6 zCSHcX7LX?PB>KQ6s3h=+b#BvBy)uP(WX+@_{i@f`KtgCqD{W1*ZP7 z-4c2y`H|e}iu{7@*NBW!>C@GnNO~ti`cAT6UMA5oy`cpZKlu^i>Pnyi3wGt)AD*T( z@p&(3vYW9Bf1)f_fmxiTsW7dWE$L~ZkX%uj=UD_UYhZ-oGasmM$t_)obecp6E<38H z09}Zc-(v~tjphh4@##pqiIW>1YD)xTGG;R8I~Y1CKy%s}Q`!Q^3F|osNMAEx;X$Ux zqKN4YlEJV73a=lH%LM{v{0>dc=%wbe;n2iACl9gwx8#IGS)3rYT{pY# zRp^o79$y}(i;0zD&mhmG8a;0GaMt|*%>9qBH)kFN-H$N4>lswx4av9<*Rr1283w8# zlx5K2Dia^_E{F}3AXIR-XsUVq;YZg^#;mgQE)Y-Mtw$7UR5B(O;V(N%!|AG>UjrUt zk{_-0-noo2uZM4F6M%>+uXWX>KrI8_f%WqQ7^dma3G+_3VJm%OH4a@))1}w(UtWAF z=*!Ndh!~q9Okq*$W>v1RdgWCQ)^-x z-Xh`pHQ8fDCQFtUa`y*_dJPFR&W6oit>vr*Vn!Unn6Fy_ny0LkGWz2J`?D!P0Hs-p zeIVaaG~BE?ckJojpw@OD(0H|G|h7t(?K+$(;Kl@#66DBoTM@m zP8jb8j~zBn=kbUq4>VZP3@FnOU;=;a(Gry61viKpd5C@u=;qDV=OLcjZA66TNi}^e zt6(28fo*!O&fX9pwzeS(C@u^Tbk2)>%~hH3s5RjFJ+15D5Z z5MzMm3uvGh@VMn(7_K!COibX=K5g}kNOC+~_$9AL00F3m$+qnA)%jNpdjm_3bkteL zFw8w!ux6(kPLQS=6aa6y2V7qp``j>{M|bpW>ztaBwF5!Gp2dcX&ZVkw-n1Q#sniB) zlnSCJkfC?lW0BCUkWfo{<$1M40NjzQ9Y12sqCVSB;Mzq`NSBJ&MViu@3;s&g>`lG_ zS5GC##M_(0MKfn;(Q*me1xB3Rs6CC9F9II&nV;nEveZw6^S=`rk)2X=r=}+n=A$1J(gY|^k=JxLg5!jLQ;--~|Jc;6USQ@;j_Wi!p zDL%B*Hrj|gE6b6uHuYm3bZp1mzFclrRKCLp=;qw^PXxtDsiuSG;euWWQ3~A4wy@{L z9#Mfj(^@M9CMqyan!KLtbdiIApnlqTC8Bx5K`+qKpY2|C!suz3#KbM!B(1mR!9%-z zqu{Cv|1@Du-lj>t2B2L6kGFGBWE9PxBoKamaTMf`{~d^3MueQzb))9>a6d0a!R$`f z|A0p|oe0DF{SSAv>e9}2?!k&e2MM|SJBh3?{(C3R1jt>*EH@%vovMr7yHB~T%g<$$ z>OOpZKvAmux}oZ#M`hj3@S+zdsb13S#IP8B55()KrmRoYQ}^o;MpTb2%Cu|&SL$$s zgEm-%Y}uzk&E~DgZNG*cQI;oz*z1>v)}S+$4Z&*=e(l$~p6qbffaD>Guii^mSB`@W zJ0TNBxXs!yr%uSY5e}Ww$T9$2bx2X3H?_=VOk_}#Z05t>T(=p1{-l~ucouz6FRW|i z^)Ll&5b>OWEJo~v>iBFiBq-DML6=4peY4ME8E3wpWS*pmd9j_c_$QAtf zglX0}^*ZDETWg_d({2*(9H34bujj%3;}z~X&eXcku~giy>n2Y-Ya25oUYnrsp)Mgd zJVo3^R0^Yv?uAh~PuEOKE!Xloi=KCV&UJ%a(VtPv5Q6ykAo$}iI{2+M0oty#4Nr7! z4RDK98IWXD)>>;x89Cu!`D*Ftuh=iCe)!hUWJ*y5^zTHasGZU~|DE)(85*x2QG@av zA6Bny95P7p^uo>CY45}bvBL^z#=UplXe0V9RFYUGe^5baL)>cKg2ie>4UfZEz}EEw zb6xkf*74-p0v=x@+Uo4nHruN-pXxGJ>SqV>pudvGUnwk&VKW&yxJpDrA>-37cUj2q zYNGg3yq?OPgSsQyU9IUH#Dx^<+Ioj|kM`UTMUNT9`5-3Ftf1+ol|`ww0!y4BqxelO z@@!6VKVKDf@B@-_DG66iqwa#H5iUtGQ{JPggAEkUrYQ9V!Xr1fICL-9>U3x#KlaNO zKEyaRIQ%S}V7JliaVexKrI%jKB<@3_ToD`+X;I9MF*=K*0?s#9z)^u&cx4NJsynL< zVJblMeo#&NC`;wY^Fo~#H?^CZ8*Y-ybt5oAsk9lz&#%#*k8;OllB8TKwl=WSXfw}L zgB|CSq|i;wEUyFRuWSd_o7Ab7!h~wP3|#ri^}d+6_=lc>cwhEyVbtUzp^KIb-jaP=v)tZd`Nyh~uSN)Z zHGMBZfyO?0F6`V-J1A_@23$CD0$q1-IvCufLAT1l zCaU+PE@SS!b|MwX%@xWE^`Umd(8>Fr)dGVrFvC@MHZrB6;1M+g-Zi!b#jj^vG?4Vr zt+qz2R!V}2!zp{5=N9H>k<?%@W>r)DJ`FK;ClV@4ebgChVPElN}c6b#x=lngwnS3@(*4ZXWW(AD=pI= zUw;$#Y|WZLDGl5ELG^aoP&DJkG0?mAW!^L|{|}>-*QXnMK4|S0iirvbtZ$A8vBj+~ zy;`a5dmY+9C*}Z7cg>!$QSO$=!&g7gXuE%_74?9j7^i4wT_1egjnuV$B0aBdo2Z0mN)}oys_iUU+wu|D@p77&6%>(+EZuY&VI_zb(>_XNt1%yW?TfkB1k zdnmD{bw%vq@e>wXk0w=&v0BlK9&q7c+*UH7vYZC0DQ6I||3qz1W+;&bI`KAbVi!vI zS+rgSWJGI404|E?R>0o=LGJ`nxZr~ar=v_4u%X?VtV5)oZfao(a-hdaJibbdJi9&C#W7)17F0nZDA zhSdYncA6nco1S!ak(%d+kZuuC^6fe@Si$D2cC@9hp;q^9THDE7oI7E zDMs!#sAUM3ovgU}su4XJ*=SRX(rquJ@%aKLmwaEI#p?j}uXFvT1Kr=9iG_=aBPGbD zrmkj?Cek4WyR{o1W?3*aeCEl=^3VCJPM$NGhE#isB^(xEVfQA z2)Zd@N>Yl0D8aQe)WLUJvV5-HQVBiL&r#LRcP`Zyc~hRH?7 zS*`%bUEh8Pa9$yRRoVxl!(!G8!^L#tuhKG3mB4-bratJ@CpKMV0Le6A`C&cb#_iG^ z#Xn&x%!#aw!K;y?6>Zp2g zn+kOHb?Upy5c@Q9wP#Nr(lY@+73a4u|6x8ZXh`L=-@MbBJsCyLB$7^z@N^6EFCGybN)=pcQ5zmNOk>91g!%MplHu@YCZa*xf*ZHc^sEH7yKj8to z+kIi3#4S0B>b=NU{UEsG4JbNaF1u9O>#fqoGHnK?#};Y!LoeBjNdkIA#gpm3G)IlB03)^(rs_;9|t0tbGhmxR-Z=3q5{XkK{!J)6^|stXSjRcM7M zK2L8)(XwcxOdEim2Vl%WTSN?(0K2w$GV;+PGjuYc!OgTL*;hr<^hu8;*U0tPv@Aa? zRMHRp8Ldh9Gd_(fDkNQm1*qgZ5ma@8>Dv6eAF+$@Wh}B%1yx>nSP@E#VmFtaT*fJK{c- zNk5qyiTBqeJi}#$-Oa#$&Jn*piXQSa*`sNch*L z6abhUy1pdR57t^nwEGazRNf@g+LmqBQ=<)wH=un6xr@crW+c)FsFo4Sf^z3;GFI2| zO2gpXB#vKRDS`=GM-;wO%=6}Ekqco-iCb5DJ#rFD-#7MnNW2|x$Vv$A>?g3W0u^Sc zXo|6C)YH&kJoINwZ1*$(9FylSh}GV+jeKch4~=W1Qu@{nfn3EBL|a^WDR`0VbmsF4NO0-O@QFg&tzXPr2TTB*~oeB`%0hB&Ga?0 zj9ea5Tc4G+H$l(cpvUGBXdd8j$ZhkrQ$xg{9&do2_{Ur>Q(JQ&W*5Wo#o-4P8#KL1 zI4)&gX=9CrFmt^yITP+IHnL0JiQv=Nb_wKMiHgQmGVz76=?D^*4l5|qO1F+&>qksq z^In%plxekIjBZ$zgg!8f=(#Cj-f+X2x$_!-YjAW;GEPM~`fCz}+1&d};@GP2PrV`G z49BIxr$!Xaor?S#0BL^H$`PH=fE>msCoG1k!C7L4}V6* zV>OEyKH=CMKz!X5R3pRq+|+ksr|B(3qk`k*H)s_%ARBbYYmQJKvs`m#+6z4IlLQiy zfOF%{juGzSI**)-bf~Bmt2dGnI<`zHp!%%j8)|g2J@n3QX34lSU1iouFVH%bP!p_Z zMJnOdeIq(&m(n(Bbo^`hR!hWJ9t+^iR)>h*1WV16S(?=}r~}1WModacT-8Q9ISTfr zm*APn+Z(rmfP?6_{lMXHm_iR)fY?mlF{i?Zz)!Klr*#BfTy9EjzD;Wi>jR9T5-RL2 zU<}FI7|Z-jx^3?WnqBN@fu>nTT$PY`L?R%s7DfaEW9j%azZJf-1g?ewNe`?$Dt&&4 zxHE5RHj_cY6s0w0SPdO&)W|$;QLwrv6xHum@onz;)Q1>dXZCXONA@D}fLL;H+jfoF zv_oebdvk#qa&V(M$BeH7Xtx0C-^|1HR z@Aq#CO1)%jJA5hk?OFlcY(UxE6-L7fEwE2t{4&mc3LJjFTsevl2nHVbx`cal{6fm6 zBF0jtny+(ZM=!CBNPesHAiSPzgq%K^Wl?uYH>YE`z>}6vVM~XyYPXILuTmnW=;XcM z5ZsV*qntidOgd}e5+!p=3RsVU>hxYG=5RL2NnW{7&8YwfL%kZA1IFLcLkNY{Fn;6E zT*j}G-UH6-1;1W(0ai$w0w?uHa3LTW%v zopSIjrap*ezKoX`0~_%y8II?-^J}2b-2K zmtZaKF4jZ^$32hR4^{`^xriX2y}$Y<{676Ns)qS&59! zc|;VcokGQr08?ge4_a>zPMh}mVdNdHL6P{Fjvs3$05_&WGJXzydKq1>WLN+0rCmMQ z1z`0y2^awQMvlJv{s(_&^8~~J!TN*0rFKu!%j}+1saA3V5|*XobS72yv&qtj#EGKs zXA)6z_S{sz&PWCJ^YLg)AItlfKU)D_fPNb@U88y!9#5V$`YMREluR)l_`6&U{oYL9 z;6YaqaGA`SCjlRGagNX_f%5Spd%`Ers{n^Ro+bcJVF~rr&6Ze74y;jf*2-rt;3n95 zKZ_^VtRQ_(B63Z{-f-C*lnR&Se~^ z5$+@EmQA(TJ7Tv@)3qbVAfu^(M^fbc4YzP-0P>*Y)n1oe_OVmU%zAvR3Xs<{$-|b0 z?UJkAICc`vk2%XS+=n~>-c__sgyew(+;fA>;1*nh6z`I;KRy(5Q}sOSELy}#xGL2s0!>Ni{ZY-BgS~bvn(F(;*UTOv*IAgfok9kj&&P7{*`AC zpW@2K5Iq`T5+$6HfiD#jddfI?k+X!bKA3`x`T{otlJKRhW(d8Zd0VGjzT*b=m@6sQ z*!L5OK+~l0;Vm;xrDM?sNN)B_VmCFRfXSCxr$(ysw5Tj=f-2)16t_J;TNcs>y;khz zSCa^sycQjrcnK2IiFio$c>CpxpFFV?!t(9l`|^R*aPLi{{O|B}B6wR;f>Wj~NzIK< zfdjwIxC&^|eZ{XWGb+;*6t9jH)7UmN%5pU{+VNv}p>xKc7d$-fBia-QHBcVQIaT3R zrp3VjFCLmyPii7~lVSmPOE-aY9u{^uGo;L?80kuwhD+L-q}^+I@+PHSl4s;Z<-?3S z(@4$=t=nZ35L`7Op}&Y_5@wk#G&JgGbSq5cFsDs0>8h8M$@1QLF2r2J8_m(-@3SMG z+!xLjt)6C|qd2&p(@mucUFi4TzMeju<4kY3A~HFnyR-T#hv>47=C!zxjys85q`Exm z?$__mv*6d*Tz+pNZDzl9%YW=e;CE7V=6rv~*jPpljIGTc6@RP^ICUaTM7$7!$_jyr z-X&2Xwx$$iAPi1|(z%VpZY{fcAVNT)G^pb_LP*lk(lmhX)N$yW2FZsqexN+5`h`oN z>5Ne>=I_+40F#p&+%qK?(qc?VuY)$w(991Nfr+&$;k>V|wo>fp#TD11&o0TOH}x%e z`Abb+IVkh274W{>HrMv;5?(6Z-o|!M%Je1X-5e;XKrMVQN!x(L66;6`cnlBKpqgHR zwvy%P{$O0jqpVMPW4FzWZ>U+8{d|&BNf{0dAJ7F+`R@ukjhnf?j33!HsS^vpj13RW z33EUuvdVpw?upO9?T#jJWJ(`4-PR4PpZGJEZZ@ww-731ycBp_Fz@z1W+{h?LWx3Em zt^qerJKoWgO!`P95^~G1dWpV^qHummg05=|Jszg@jCo=;bkcFR)0Wojp4SCK5`%_y zqpn-zHB?9613ak6$XSZvh5|=ZDT`!js#}J)ssmQ+O(GKkI~HR_-gV1lQ1EoYXoj4e z1-R6+7CJG$uz9a4c?P)OpV-VeO%ZdJjj_t<1`hTHjn!`7XQ-Fh1wV)kQb1DN^#K_j zDgzED=d;m9N$0-mMiFGz}-8qNF8iuL-jIluZQHq2jiR7U?j1&;-*V1qI z&AI6H$06qNdZw*3*U?g)y1yM&$Wv@B3*f%{2sHp@ICUy4grpqZ)NNzwR1wVhsC8dLxKBgre(_idD|N49%hIq&8Hukj zReBGLrpbDN4B?IL;3_}MCw9|`SKaaYBF5?k=+_BSXm@v9v#>j^VmJHkQkx@;h5~FG z#=O3TUuSedOZFM=SS1Y(l zv5n$@jN}YQhk~){=tpqgKL|VBK0qaY^=GzU6*80mIDH9rluJgC;QXBM$|4(R?Fu$M zcydmeSuj&}1EH7_sKp@}FzF$bnc|u_YpL()iTN?0QqftjH>mqfJ>|JqZA(gj9=C>D zuu>k??2_(L3PKyKT(#%fwmZY&D&Hh9W|+Ff^1$R#6AU#Gr8&$O?P+fkmI}Kp#O@NT zu!To{hjJo6;QX$4Go=*s51`B7roJbCp2)p*RfPsth)1j7Y4DGn53iJhh>qaq;DjAk z&sNW_0_B>0!=oyV^cTrHv*lyw2Q1#JRckM(+<8z6AK&SkMC7f#Q9`c>w|G?s`H#P! z7!Wp4tB%rin0bz{sdjx~IP*N22sebNFHKZlqFAk-r+auReb1alvc+K52c!wTxTf9{ zAmmY*&Ls9>wvEIKxu!nW2x2D58s@MHV|H8tHlKb32S03P$8dm*JB2^S%fkoCM> z0Jb*RA(jDek)N-9GMzKrqz0^!$0+X#3393{8jti`qP(42%YbmXeu|FeLCIUW=bHP_ zH*I&P5McWT-DzO&YtXlG;pyAPiio%bg9wvWrZ4tD$psO>FlMN!V6>Eg$f=HY{@ zr zQqLc}Y)zdk)%Pmi%0}ign9Zm3luqi0&#apfi`WmP4xc5KB;!nMo0tXH`WDCXYg9Z3 zc%YHVyOz#&n&L1Q+W?EB3Mb&lIE+8cpAu9QFR|NuR=Vx(ek!Py94_EoIjEVf0Udr` zb8b+gD@2sqY2TaEcYg*7l3G>q)e+BqB;-AK*NPtV=6nLFEW) zpBc0Zx^a9ZymrH_5}Pn8CuJebH6>cU5?`-8ync|pBT<(sjic znoDFl6w~)QkN#x%kp80XN?FMjW}>BYXiJLGQg$m5PI=FKW({DR4^wv-mmdvnjQVO- zxUn66!pLH_H&W5wb7M8jv9;94vBBQ=p7pzDT*|YvB9Wp-*<`aUKiWRxl1kGT%Y|CL zH@yXm96n$CvY_QFe*|L|VjLFr-l^$hv8c~I&-V>$H@-{Z4lR6(Pe+H1zjq|o`h%(F zmktk3bwtNYY|EAk^@ocpvRIrYhC@-MdfdsKLYH@X1nheAGx=8(vr>z!4G$inl}}Qz zYOY=G!@0ZS&JvUNPFLDaw;+3D`?tf7H&aio_Q<@(U;1vZPF5jZREnLd8L_9q?eNKbEG2KPknz1nrkTf&l zl&y14kEk23u>{mUGZu%CA4=2AYA^Gs(u}utY>+mNgaz&ciKwm{lI_y!(kSYdrREEq zC&dRXeW4c>4=ju4Q^~r{4~@?_-z)D6UHAb?qShL#v&Nc&E3)Ps8^SNCzDc4sOlN1R zqdvTGWz%dqo;|GU>F7<;^lvt7Z@k~7pF`e_oT_`K`tXROH>-|Um7(wJK7|}Z-@ASF zN8-1S+M>Q2Tz)7ni@O*){qWZP?&}TVS|m|x69!i@?C2r8%%_OHNQt8TT%#0%3)dCJ z$UPE`Qcjjt8cKX!JVK3_ELo+D$T~WXIoAbDDx3%)ty`m!^-^q9TD(*831(SW!1t4s_*Z5d%r&g zmR9tX`i?*6_~0%7zA{4}$6F(@U&c;*zI|>Zl`#mLcCfe!{JJ0W0^b*J)9ZQz;;qm1 zhKb~3fw1Y$DE`OzvA$5SGMTuNea@`}*yPrSTQ{89rv{H=WFIc79NpMs34Pt2w!g4; z1|AVQ9@!gHR)3UjU>#Z+Bq7C{&+&Z)7B}Qqy{|VQBOX*|CZ~IR-vDaA>z>(kXkqZ@ zJJRI~8gCPd;K9GKN>f*8n{Z*#v2=`xH{AsNlouXPu;`fh6-W(e)j2^l1gD+K(<6q6 z9&ZbR)6)<1Mk!J$t}69_94&6-jzaRY7oAORA|}eZdC0rwR+C7zWc_;LLoY@S`R$pd>6V>}hIjY9G52NZ z+9I})deF0VpzeJ7Eq6HRvaDSJ&r|$MqQO#9#E06l8`?kW1DTpz65>M_sV=}s8I=Ti zHo2xBwoQcexpg0F|9H)I-@B~1voAC_l94nOJ+fJxep5;8gi;9IdG&SJA{z}UbL1

2VtWhAgPuwWSUnVT<1;g zvZxkZs1CSxyzcyXnMe8Dt9`dUmgJh_IT55_;Ri3>hxw5Qa@lpjPxv9Y$J(%Uqk=D9)41@J@GEskVG+w;x!HXwhx^oVX$$21Q5p6Fq{5@VM=`*o1eSVKqk;sfuyJg-WK*v%0C_zPw(%quxW}Ri7q*?= zrx-)5Y<5Z>f)7m|8EB;mw9BzB7ax2_p6=tehk%Z={N^UV82Z#y`4q1-Y#mly&7K_h^fZ~-l9v1WsFzpG*lIvv9YF%eGd);$SjCCGz%bHo@vy2#&$m2r4I}J)JZX_%H{QMb*M;LiOEIA3(qR=E zXqmi*DFa%%0WEuX-T$(%3TP=Zj6?(PoCI3J)-Y~BOM9SY&#wDl7UF=GQb0@KoyS1S z)HMtiXbAyY_U*d=WnoCg$vW>ak`Z`klb)}8!WxDIXla~ZCkD*(FAK|odcXwTfw@is zGyA_vwgGRw54;r^?;Oy@hc!$j(3>OhR-mW9ETj&rs4^SMs{GCqwW?MVU|4f7h{Iu~ zjyG11*EE?=mexp3kGBRLYH?9g-n;J1l_!%GYsh<*k{XZpo6&U+$W!~Ki$rm@wHCsL zY$HdjJ*0K}>$~oCN9#*<;M2oN5zOf(+8rr%?X&>nyNw1)>+I_e4Ve`fymuXZ_gls> zr|8oYq~V~i6!!^ZMYY%Nmpz9Q1gS&q@d?n*KzX1X>4R(iRGGjoxBCTSV3>VKT7Wqy zFs$=DKAgk><67WBri>oV4kNwy(0x-UM}9}UFw*Bf+m%q+X}_uJ!wohE!_$rMsQnWi zgITkq6O(NO8 zaK4!>#=-D-)r6q-6gwzYeXx%?-NX1ENfhBu&;{JAvoOQzgGFYhV2|A{Lt#S0x`VcI zq+X#o10YPgoVI~UMu42nLtODx@AY(Q` zZ&fHzfGGTGdpxOQe0u=@O!V>!$*ld)r1GEW2Egcp7Z!G5M3eoLUC@g4?rPXx-CRTk zOZ<9sHETQ=4CZ0gT<_iA4sX75vMr>RFPG2@MR0D)#eHA}4wRj;HKT8Y_?~tjINox^ z$Xk!PJ*70h<9LJdej{%$_X0fjX1P8ytm?^*Bh|2a^((cbEc?_yi8Kt`68btFF8D^?3wtgP#pawyt{p>V8KwH+xAMRPFJ9&1%ihk-*8 z0*2aVt*Y)|awuwop)o`1BR}~ebY1u-8vCL22{d=mkE&f`H{|thg65(}z zRnaxgkZG`JMA2OihUI*vAUr8#H)gwdy;PeSmQh!it=GSgD-BeR<<*yN(>(@tE_;~q zWI;(dX$Ja@{Vln(5Q|Qkm#<18s0hg0$U?}TIJ#L<$gfl%1G_GuaA3Y3r`wuR-k*+a z-X_!?t@yqQO~7Fw$BUCVQgJD*{w~+U#c@rHLEQoN^Z?sa@NSL;U4XPjdgB5amOHcUTLmJBS<(D`8$oWpQ|f zz}l^Ej_Z)z85lziIoeO3l&4xS+akwMz z9n%k&Gf)&|UcGL%J%f~T=1*rY*X-vqeYxp=6;vo0!?*I$rFQZ#0x6Up zb+>%+YA|e8#I>OA7g6Uc^}ufh;zWQro~O*hbv#hqi;YcKXS3u3gv7KmHMvc2_*e-G ziA_eDAw@=am9XBij7YOOkx{e~)-yJF$}Cl6bU_L05z9Db>s!8v4*sb+z*WC^H)a2m zWl81qKRNa9sUkT?{j%)!7d~zaK%$SmvCwSFTtCrWdP%u%)#xVpvJY0t3+Iek)rNZxLA@2S9B}f^5zTm*=HKt zt(F8ddtQNDg7Vs#W|vO|H2aD-pxJxQG<%2lt*#AuCWbm+>L=uv`u%Pb&!L_Vxzx6O z3g|z;t_eVf-$;I*ND`p|_PBwXlV1d&IOg#I5Yqx6Rs%r1#0!Af1km&?fTn-D0&!zP z=8tW-gVnN+;)PC)@172 zY+SMAoJjeN2AxQ@3Cg;hkaLEf4^le19JW?r)%&akH602LTb;1#jjVz{F$~xpOm%sH zR?X}A*Kk?-uV`;>O0~K**Q{^f?ZadHvu3H1ynT^psEG4aHC*F z=gEKGU$gmtq=^3p)c`tvs{a2Ff;w!aCeQT`hsau+7G8CtjPt2sfvsNwTvE#;(4?>Fa=gM^5_`YEO}}q#PRbB*2<%cNk~QS1pLVQbsAGFWJTH!V@9*_}=w>S-E|_oF#JT^M&26r< zxGIMYe-X&K)DQIlfCB&kUjYEj0H|p>Kus3|2#51c z_Vt=3t(~xX)phhb6k%YfLsqZaj!1_hB=E&`Rs0;P9 zRdJ%GpQTT0)X7PDxBMJt*i%ZW(WQpjVOJ{WVD4Q_LZwu$k;;AuVM@go2-fvJ?7`7F z1Dai*~QX}U} zMZhac2H;rgsU265y?wi%Cc?yA`5BB$N6!@WPrv8)X7B${jR%>my4UnlQdeej#2xrd zS_Sr8!p7-U7GH+xEwYf+CNxWWlcGHhm`@LqhKBDb!gp8R@88J;#a1V{NDgqeFE-Wr zE}BWcGxuO2jYQTF-44ZDsV56|bmOoQMVj_MTJdh%rLD7(Wqx7bLr;9>R2%sIA|R&_ zrwagxi2)FE03db-K+Fah%)Mu}MyrdISd>*ha8>S@RjjU~)}d$(hB{ystL=a|6ph1B zyR2e09g+@3T`<%pt625uiT%GBvi}(PKCL`Ih2%ej0NkFM^3q;)>ca)qdz^DF!$_;T zf^sv2{%d%Tg~7#?U0SI_TsS}H+d9LwV?jd1E~I+!^wVP0Q>@AANumFv8D>_G#ZDwQlKj$K8)%ho9|UUuJv z9Je7+vIh1!TC&kGjO9%pf@{vkLM4^9O!^O03U0tiPfrqK4`kzJ-izOdoN`CpA^C*& zl%{nwGk3*1O72qTb}}H<`D8xo6+#ZYXBL;&@h<{*jO!o-KrC>ki2+-q_srI422^!8 zpsMK*$0+fvigkygJs9c;_=amozC+Ox47JaStLdn;Lc35%M9o!B@Z7|e^1+Gfy z|B)j88&m_r`BU}(hY&<9J5xiVYWmCZPfK$n5c>v4;GJwuZMbh)NJ5J)U@yPi>5%8vxfmdw&)cku9@Qjk7`!5Uw zQ9B99neV3q`*#N|{sP|m>{*cEHATlX-6Rv>pdtCmh_{hLN2XYKVTZW^`AoPRs%h_g z{?hJdYJrsxuuJKr8iazL9}0Ga%Pq;&_}fYz;VhLGDYSz%x2E@xt9WDXN0TrZ(^$qA zWo=8aGD3UZ%O&UB-!5l#=79rdvi6lG*9v|S7$iv92mo*c0N@}1z$Ad0z5}RfZ2;kj zgmud$z8+WK*cznSlE~#bbS2mQmDqSc3+1&iY`k@$lZ#T}il4zr3wEIgv;JiKc^;NaV z+}yLNNo6&zoQf(xOdUAdY&TGxWY*wiKWf77(>s9oEXcPoK+f?H--*0Uayw!c)jL!v z74^S^a{`e6p9JTs4E~Sc9G=(z8Jw#HzM%Eb1?Mox|4(qv%1{)^3_0pDl$Zg|S5i;+ zL!?d@7LPT3_dusdm@ePbkf{9ix|2=d$YTz}J#upFdpehTvc(sR!ANP9`ySNKXUKqG z9Cd2KnobYidC5^6?LSTBzbJxXUeor1GDa2=Mo1%z7`N_?-$WMCZ{4c`9?VAimt%W51MskFFaHqi-8C8QP(kF=#q=a}wDMjg|E#V_S!6AX#oF@! zjA%D$wVfn*O1pWFPxmR3yx4W;e@2+`nU+O93DxGHW3e!N2~9BnsA^)9^dvOz2^~vW z>3QIq)~ncil2V4;}ZR3bLNPnlXr zC$#P$p?cyI&lHDTB*`SxYkW)6hheKEuX`sM&oNd%I41^nel3xx9xut^hoWSN+2{Mt zx578Vx8k@7+o9R{w$pLYHvJS4Ps1t~c{BP!eVO5D@6NYO#}(XC-FLP+=B9_SOfrw+ zYmtPdGSab3O#(`W0m&z%*?2p;(fkjkFLs{8Ygi`!ko>r4>c~e=eL?ZeB z8mFmK{=?S4`et|N{kxt2*Q;UlH~#kB|5bc8G6EjQ4t(85JZd%F&EB%lgMRJ^v=j-tP8~ivDbvZud8>{w(^{ zCp+r5oy#rx&nQw`dhO{d!0L$PGECb^$5g{Pi0wf)PB#a^&W-T+HHFm8c zM_AVW^VN5MlXS-a#|!w2iS~Yfe|`TOk41F^|7Q9BTk#n(O-As zuu5uflduYK0t*P9xUBsu$vfC*Ah`%6?*qv{y^MdVr{7NMcLJ5& zbNq$$G=#4-?LPWE@t_r{5j)81oQ%&H@YUo2rh1h$?73j_(o4Jp*%Ghwo>7{QhsolN z{PkbbOIyS^9u562_FSt*jl}D(+7ADVwXcqgD(c#$Q$nPqOS%;4ZWxdp1SF)9k{Y_Z zI~7oBBn4DDq*Ek@lx`SO8l>(SeDC{xx8Cn}?|c6^!>qISIcx1WYwu^R=L`fU_EU&c zXTYg+#3>uZsoB~0Z#anXO#a2O#0s0D#iV-yF*I;R>aF3&boy6cX1$PLat29nkpA_d z^oV+DlB&(w;U_ZH|M`pkl)`^$o(U@~g(>lW+)XAO+@0Q71&}`AwI=;(=vPZsf)ziryRM*o0-N2ZrRfH zM?do8`IG|G%)f7X@>v;CIrc1xyzQBeTeTW&6msb4yR05{G5+Mq-6QPN)^bZ_M=owK z$?N?^w3F&2JH~W~+dAv^{V{LT)7Rg|aNgjhV7N`T#^v=c2-%2BBouu9${+oZ+H>`R zjHMjrq)>>2VlVk5uL$sN)-Ricw|FVWA1pJU?$Eam{NOcz+3-lmdo?y_T`p}wC}hq2 z{*TjRO{m}ydDE&~+K`YM7#_!mk#S#5p9~=ttT&4t7{{(EqyaY7mYbfKd4xaB2R)6Y z)Dh_)qSM4II)f?ZE{aZ(jx~~d6gOe?>{HFY_2W^bQ=rzHfIe_lWRXZj-e<4 z1uyLA5CvQzh=OXO`vNni8Rpkj0v%>_zzt2G-0OdEuOAhmpA!k8zl;WZs$AeKV~O-bD>;8f0_=GVv}Ns)dq zkq7z39=kxSDzbCL;}ia0mKx!YkDoF;ZljX72=FW@UL$Z#-8Ew`crdznlFV7~KtK7} zK_|5p7W2E)Wqdvb0rpKmdcc}?2uNK+eZB;a?E(bgo47uN!Dj35QS0X0)CLYe7a^hXYffQH(=0|LfaM|A6m*oUhmG>q&R%? z7SoWC_GZT_DL;0g0kmqPZ+TO$^8zot$ z(#O!XRQzC-ovgW6)NX;e*xaH3RlzayX)Xj|L)Q>Fpd$9Z9N7|4E=wAMkgy)!{rNf7 z>K{T7Y8KsReq?Dhh3P^M6Yx-_IIK56i|nNyQxe~G<)dzH1$!$Gjdw*RSy{@*x+ks53q z(tBP0{X6|aMcm1!5%Y-HV{C@--#A7T2CD)1^&Xc&a7r{O3pc&*CyC+N#yV$JvUiJhqf;})Nr!@FfNhAwuInXM`ORcpJl)+UO*T^gq}Ti{EdSpN=wIZDy0SGz2lJ z`XGU5zhX|yyiFHJT7OG{n$4FhD0m|yM&L96lZ}U}1WZYyZxUDie9?-wgOoUGMNkzK zi@3<%9dp_th0r}Uau?qTq}IWPy@U_baPY2T`pEEp%CXh|=B$jGnj}}nTeUTh_F(Pc zb+DwjKuYU1y6SI5Oq-*O)*$TLE$bJzN4mW2wDUFnuW#|0FAAmvFMqu5Knr+4qk4N( z2b7o-0@v`X{_fT2S>p*H;5ac@ah#zo6x_pvW$n1Bz*PjmRU!YgSaF*j_%8zf3b}}xSHYK|jjqd(l@##kDcK?!~d$Hmsuj|#=EnUFWEn&d_>))x{k=vg& zlBs!nGl1fM@0hu3I*ag^blxL4^!WFV9NLDnh_i%>8=!;!tt0Q69_X1C8_C24Ltx@m zye<@+q6Ov@Cj7;XqPKQH(!zKvSB_-kze3fBf!p$uWwcYYMXxnl=%n(pGAT@pPa|+X@#h+EL$1`PmE|K z!A8ncy#zHzu+zg%bO!jJi{^vGda%;Ub9=1WzZ1h?Uz5H%e?q^x11iZvh&m2f=tQ~z{*_2Kb>j2B(% zX1u^aaj=ZOCR=$g#E91sd!wNMPuriC7#B}%11S|v%iOoAo5!B36GNX3r7=V}ph>Z# zgJ5gTurRFti4GgJ!&3!av~fKh*181#3fzq(lMkXZyzA%E%VSH?eWIVZg2u_A=D|m` zc#X%&xx$W|P*>$;F0OW?thc7(4vnbG+8ktk_zusOnEAOrGl;^HV52XSm%JsVs!KHX zG1}%H4!SB*e!7;x5;x!RqtD?^^^x(~e^bz$Ik-;0GBJ$)BBR5*eA|eZ&6a~&U~oO? zk4EODrFvVQS^neAwl=cV~L5)(kbjdPOUeJ-~&+O$6=GdG4T3x5-%>9^qDhun#zLmy#( zVP3*sb?_2GSpK{ZMAs5QUL&msKIXp7v+m$(X{X1Auj9&l(g|L;hlI*&cUYX}k>#%b z)F5G#gGD>3U!ct+&%Np7%vpC)2{vtf7Gi+HinY3^-}go{a-K$wZY*t{^YatS&+Vgv zTiYv8epW2!QCyLkZT_u+uCX!2=-)^Xn6@Fy6-~c{cZB!X-=5Fzw<@#y%Tm_qP+1|Y zmtVhLn0(<#dN3VHvjxtBU>Vv&ak=%%iF6loXjxAG(l0 z8CGN1N`{v$PpFB>H_>csz0-K(;OUbu;eB}`!9U-OOx!3I-58OVa0iX#b5hIDbwuV% zWpcA6UfX_$8Y#jFQI_9vqab%Xj*tY|)4$I^>8B-MNTy~5YKo0H1o0Ya(V^O7f)j_sjir8lk9qJ1XURS;&E)b%}IdB{{ z?<7jkg=NM=a9)bc6pwFGx1)FCx03MzBUUSn7{J>1UpfySva<1V0E0^y(S_ftm|OU! z48o+@ArqT38!x3y4~D-fun(14zuMwePH8%@r5zmK#YqJ2g$_ixt-TTB(u3uNj^q@?8PwW zl!iXd>FZ667bsmi3pHlbWmUiQ`xBL|3a>I1IeZ~F*t{c@w8Kg*pvuw)4}a%@#o~f> zKQC#8LiJc%6V=E-Uj-2@vEm^eNRUz<%179q;Q2+v9}OfT$O<&esgVSCT``v_k)pum zDhL1B!O20ND?(vzNb^pt!~W5S)p#*Qgua&rlvWF_b4i;BeZBUO&cOrD{XRFKuIi;9 z%nh>T{SR$gjq&(82QtbP1%Nit)My^uh!wlAXIkh;C}G|!_Jf4{;zC=L>)x9JqK^^3 zM4uLY{MfLEmpMK&s_@t?(a*FkLcPBeE#;M{eP;T^R@giIK%H9Q5}OSYo2Up0(T zTDP}TUv`@`It~K`{6l7_x4MkqmU9U+K=IDKkd{Nkr8kQi{{0rZ{;59q&yN4K)%z~t?UsP|UX`;*cmb`oL^FMe`7~u3)o26hF|v?Rb_H#xHWt>N<%PDLO z@C;2{*vDEwy*;m<;-LN|jAS$xN?5NPwN@8aoEk1BXDe0Y(>Ht+GzYk-g6MEth~KMr z!@0@*4&~eS1`%q!iALv*x=Tn}qx-ZFm&#%sUu(C}h#4ofL-x@Xk}hw#eq<3Yb7A#( zJdR({)p}XTad@#EyxYRd=;F>*z-S%RE<9mA4 z@Hd^~Lqydt_dT}Kn~Cjj3yCLY6C;ArSyrO)3t7XG($S4(KU2$4Xo; zJ1h1fQ>>6>^Gz zAHs9^CrZMK2M`dEfg=Y_3ho^EIOvRs3$>n%%nn%uFJM3`H*H%yq zsQo~LtPYAgH@-DOn1Q#|^Uh-hvfOyzM=3rq8aKcje;Ej*oHFoRZB(2UHQC?tcG**E zpM&r8iw`WIKf3nbQ|d_dpBGVjjQq&nVed`ngR9iCtyl$dQUG^m*8Q%=;}w=_pb;l{#$dkf@- zP=Q})jqK4L`dZvhk%)O0mhbO^q1>xcpvstFY|pJo}y-4=AZL{F%wNs$;yC74|8R% zH;osH3fFHl;$23nac45^xU?Bp#}8CyX1%IeL?Xk*hNF$Pja46gI95hiG&N$ zjV_Q?)sF=S?uj%Sh>nB<9eFz%P#3a@YpY0EbS=?Fa*~332x%{5R5L(O;7DR+QZSNj10#K0sP zpfD*EyN7>^MX{|Am9yw&Xec)3OKC}A6vWn0$BIf7ifE!y;k{#%Y=C}zPcqmYcFmo= zCYhaeA}#srzJuBQ5RUB=;Mn}RU`Bst5DL{r67S~=eix87Fb$rFy6mU_59O(VazF#6 zM;NelgF(LvpU%Sw=Pfpm(?}0xkQs@isysq6@C&y z?&{dU8Kg%gOikVBZwx#3E?Sk}&sPWg0%Pdcl<7Lh%BW3I&5+k#>zq3L<@`TqdN_D*yMdKBoaLTPL zYUE*<;VC_EQx0v`f)f%YY0uk)kU@Vn1}5pcz+5K42XKMp54KL$S`1#5a@fr^vPyEQ zr?(ip*=XtUr01z$4DRn_QZWubaHKm`12NIs$@mv*`@eqi9Gx!ut)^gG(p`fX-9m4= znW{?C*C;zhwfL){BL1_>4BYcnNkicB4^`}*Fv12C5eM^IY3@JsKQDj1a1bhytB^{& zON;ZjaP>FWE~3^GO$&48&i~c$;^!T)Vr!SMWEWfa6H`_VI9-IlyQ{yO_L>m@!??ZQc?Sp`_{y``bU-dmW8 zJLd}PWTMhg#*YS(z5}he8qJ@ya$ye#xrDBc4p367-a}MWgFvEJ22N*)`-q9;##ETAR3kw-&B0k zs&n~qqL%A{B@W`ml+tVs>v)k7Cb5dP`qkQ_F1z)`S)>Wu{qmjwP=pZ+RuiJtjP`bh_8@$G^U!y)o&MB!-kmfZ@PI{#K4)8q2GXK$vyQigs zk(`#wyCqKYBo=bv6a2gGx`{B{DUj&_Iy?0(Y=?Prl^HY-bS}a1RuIvqA5lpyA&f%< zj&+6RY;bwb#9DQN0~WbuP^C!zQ@s;trrQHMOIdJr`rw-AI=M+qDx5E#+h&m(K$biG zjZ`D?a-{0xdLB4eyF)39Vp@`X7W33*3b~tm$a14G^rbF)2CXDYIkiJ1*;G>ZRQe(f zGM~!!nBaz~1RjK4l@XS-h{@gg;fEazE_3-jxPu&0tl!^|$y4O;b#{sr|)Nl+;- z9`1SuPG9?=-UpGcG1j7v=N(k7T2lB{%)2GBV&eMcym1Bcmwr|6ssccb%m8RqCwR?1 zFn;)>sGVd0vD>|TzEH-h{c!PKeu0o#i&?cz+W{HW`z}^WnU23lf!LFV@*2v7dmzY> zT+LZAm%`dnwXck&cc{B2=?A7Ev-#@wA~=AvZ+QSyhIpLfse^g#kadUwtfR-jqU0nx zb{ZS5t);c9QFKeXs94vRbZ9Lq{!4e?BK248z6Ec2Dp65|#W=lE%x8|rm*`r-jWUY|BiNB&X%B(5C9fYh^vnhUP`Cz-4KL$< zr3+X=WtX&$(M3t4I9eag!qmzExYt4JwmM+pHBSHLz_P`o@}!DPmwB`RUs@CR`S0qB z#}$;i672I@;8!AO+yaH0qjg{hHEz{u3&AarlstVXgBVq0V#cfGNXhDN$K_O_A@%Yg zm8rt9Y)b8+BUWvH>QttXJ_8&^t{4uUOA=E(^~(};DgLWe3GUZ~ZfN?a563|}jGuKZ zQ*DrWjegCI?koQE+J<(DpoLvc9vg_k-S(06%waK1HrtsMs?-|`k;03dE}ya+%68(% zQ?Q4r5+GHqEaI(XjYqFEav;6?{mLww1L(8DUIyN_S`TU9)8C$Yy|_tr6>I~I`44+% zglty4vPov*X=WfKVj9!2q|ai*A^^*PZGO@F&t9Y~9lI(TXqUZg&Tgvz^4V1?g+(5F zwDFb4th^0x!2D>&Gowpb_YF7zc6@clv7WP2D0=1o6#nB8lQnEOG~z;rXCRnVhE1i? zK!^${KfCGrS67;CyW4*DH*8@KCJ;X+c`lCTTQ(2CGE({2?6ifKd^ILbEaCx9u23Pz z9LVNU_-2Kg2p1}IIWB=}CWF`#Rk3o>Ae%6}#Wh1RyHP8&erP_hv*fMeWoHRTSR_XU za)h?$3L_~z9mifdXpP`4FOFJNfkg}oyE5iBJ)AY#tkD)^LY9vO-~OIou!%(h>4tDs z#7>7+|Fq3)KFV`$Jj#0>5*=@)G2*}`Yy9vGCG@j;=c8sDOw=~Gc;o7tV$1-EoReE(dZjVIzWNL6u-aWi00 zN0RYPTGx9zhNE>@LG-;MdXGl3^f(u=Tkj4E4umD8V2E>HGsKqX=n0{ z5diXm9Nps_+=@PFpW)s7QUv`O2Iv!MG-oRVS4SNDpE$UC!@ts`|K$4~z!Xd=;sL}q zC|_q=%TmeswHFkBenQSx^9X5F<>99%p3n}Dhj}_HSz+{GQ27_*G!O}9rR)gCJUapR zrv^%0{}6xZh)1{O#m3T;6M1Y)TB`+}*K9XNrW6$-p4cr2)c%?c!`PJKgXmu|=`|Yx zAd(vU(=J6D$*8B{R?^BCoWPRgu;V%1vHj3MGgYFNqfbD;o4%-QF>1ih=1WqzHD$IQ zH-$=|JVDP_TRth~Lmtu6yZlWw1;zLkSvzblOPAXx^;0Jje{(RpT=&SP{dLKqG1CFD zSAzGUT58G6o*Py)f6n%vCeH#cAFa1>$pBzN9^ibY&CpsrZv&4(@6MuOkz!9kRb)n{SOn2$!Gyybzrh-(B$qll!W{7ff+o|Agxw0_iwa&$G_@G5dG)T< zu!xfLx7!gKOKYju>8zGZ<9XXgtZYs$wt*_C&z!bLPPkKvh0*}@?`5(X8o{Wc^&BiR z!x}Oa`ZfbVx8~U#=nEXGj6K*QDQ`0`6F24P)e+$pm2%3BK&(g&+r&7jYcT;&B6*BY z`bne8AL(|)*86v+(%xUmAE}M{oqEM=U#g$Lj#p3_hDCAuL5f6Bv4QQXr+^I%h(J|U zyqU2t8Yo%^q(}*!0sfUm%8Poe;+rr6mBOTgQDXxanqx`EB$a1kTC3!6F@ex%&>u*< z0@jzY?N9UbXBYz4HL`sgSRw8t$@rl>U5CqA$45v!V9}YQ$ewb-VwFsIN)T5|mL?)P zBMA?CC-Hb1*7+C_G7(NS&VagQ#)iHU70+^kS#h{{*z7voKQ~X^=TimK>|%b zp8~ojk}WuI3@NqhXDLv!^uipIj!{F#S4(t;6`B&-keY{~qzK}twby8L+w1FJtvDDo z$r=t?(E6ah_huCb121RvOyDE<+kB!8J0cjB?B1#Rank{gRqQ^^ORYVF$LF{375Y;f zz>=wpm{+-aBZ=O}RsL8&T`)-OjD^qdRFTfM-&IxJ5jfnBa^r3m>^Jm0=* zgB^Fk^Pov!tLjO$%O2m(rlvI(O*M8`{S|ky6s$ zh2#{1cs{*X!E1DROuHeq9q{U=M8I&55I0b#aF9Pc0wkhA^d#Q*MFZDFY8kbI7uq>N zR(C?F#1QhaT2PF(IXAie`RZVkC_A+-08!N*z!LZZ)YP6#{RODJ5nr5q*gkM)LhP5p zCMmq_hTSWB^t^E5PPRT~(_pta^f_4*A`qUIms1<7Wl9euch3(_SR86T$4Mk;-vRyM zNk?2Hoh7d@h?*C9Jo2WPO|SW4gdej|@8#Ze`hj)EBZ(^H0r>@cxx;FI6R&f(+!hZw zVcS})+p7?H_yD)bT82sl67!^Y%SY005U_I}Oi1?%uBj?^d{D znYi?L2dyXI7dIOLFbzzlaImgqa`_E~{f#aHMVYs>9W4{5RUveIjmjBrcRc2As|D8} zuC(p(X1tPbXyP^@Ruc}}eqLp)GZ>)_&Z=;?2y*L*x|9(xU{}-?ooUO*EIsMV=z5n> z;nZ!D3OhT`2ZiLna;z!9tN`5sm`eLm)&Ud^ZL@)w==fkB&K}^yD zJc4>TjtLIOWaD+%}*P%iU^J^j@b%Ht?HOg{!sb(kJG$(o$-aY<}04 zC5#Kf4l60`)*y(;-gSD;0Qv+za*TC(tePY%haoC=i$+*3LZ?Vsn@G-CezgVxZy;1! zooQfbr>y-6M^v z6sYPp3gI?cDg!`0v;WBAviYeQN40?k_a%|CsAuEW>fEs=#oXn_ZSM8f|e{fb3M zQTt(fQ!=Tv`)Fx`#Dq`vb!EoiZ;R>=zsAbDqLZx%fdqpTRUu>+eL9JMs|P)3z<^=1 zQ$HQ0Cfvb^d<9tf^AeZNg5`N(Ol1E+I2<*zTTxfKJd19?>g2_%TN*4Q)Gu#?ShGg& zFCs~#7nBkK;5BpOt?B$Np+Ld0h~e!%rqNB+TmMM@30%aT_po+cLXm3nXw8V}b;7}r z7$jI8#}n(~V%Jsj0zxg9_e_Ph5Ij*g=B^cekT&cgrIFy5w^_f@h+n|#lV7tS)lwuA z{&2<4if-(OI8bXp%7_QSC!<{9aunto<^U9JOZo*%yobQ5bHg14KbVJ%9@R|08A`>V z6*)-RI4B%RBi>X1b><2{^Q6{`$WbIW|3uAjYHf$2q~Tw0QG~nV7MOm;Emk{K=~aTj zI~rU^d2^wxw1LUCxDXIIJZJqOr{kpu{R4~%(r_&g1VHVTQ;duPfVF9r6|sgNrbI8K z*(p@J90(c^4?8^qn}-j-msW3PGc8najVBaK3n1$-`5V|+imHAotM$>HTI08f2`SCI zqDuuMdcwKLnB}oY%9d@iE1$9-)vlA-6w-0n4g71Ut1g33TnxoQZ6T~So#xbi;p8PP z=HwHBxXbPHP+kI!$^jI(=++Nc+@XzCaX!e4@Ai#y!Hqn+~Nz ztnJ~&vsbUwK30ge`J6vA+1m=cu=&l@cg$OIF1H;ab{b6rT_(Mm(323{<&*F;r`Z_$ zsLQUpdQNib=R{1IJ&4SHHSP*d4L^ufV-8I37_jHvCRBf+9`^2;#7B(Qcn+a=?-qv! zBE<0eag`XEbT^Z8v@>)^IC$nsG=@|>G|};{Zq*kvBa~e;e75(;mZqH1NuICX?L>&h zt+Ef~1sPr%>ZNJvy;G{dKYX5A#;g5huBg3o6-Uj=YEw^g4LnI@GWAT<1n=z*Sq+&;B;NSc<+oIg!S`) zRB2;sR|HMIagy2{dYRMoW!&-v0I#(#`Ee$%sVW8?2i>r6tUl|qdwn0OFiQg6NwEZX z?_r?9^qz(hKH5SvJ%6y)xlbK750xE6T4fKS3bUYU&VDhx65$`g&C=_enNZQ6$WS?K zB{XOuPwr>Y_9B{>t6e;-6UxZaxqcb!@PJeY+1_jS*!d)lh+2nEjl3uu!c*Z(?g0fU zcBdI|qU#pje%gkN)3aVEk=7uB5VX4NQeeaQl$XcopNv-qn!aS#2hf|6Yh`5Hn@lK=U@JoE%g(Kqz z%Gb`q>AFA3>=~IVn%7%gQkqv>I4j>*8XJ23@L6AQ>t5G0UO3B9OlWO7gclsuFE~~N zdAB}{48?d0&*=mtS}rC|)`*Q$MaoB)ad^^NtC-X+`lU2~TPjOfj$B+3qLnho z5mU`t(cG_Nk>s1g!QWlN(y&dqMv*P*pK^mZ9m0b_W`rI_9Q9 zl!Rrw^;icUF14BwaDIq;JYuSVsk-Gx>>@EX=B4=d3j_;1Fz8+i&kxih<93PZpK2Z| zIA`YjL~~p!I}+dTJ*e`=6liEXJRW}x6w&si;~OHVP%VmM4oJ|GD%Xf6R^_R%m=5wy zf$EN$>CwyMIgSM13)l4(NLqxe;gb$>oB$?ZzKl^j(5nD9*g$|*QBTF8W+14x+JCsS#BzIHnwQSgTHG1x3uRHq@`C z>%4Yz)55i)*>)e~jIlRBWpEK;_&nE5tyylI=a6LvJuk4IYg+5C=6S+m7`;XmN&Us= zAK^9iAroP^wZb((d~3gfOxHW>8}up_kciSNDG}0qn?R8}mZ6!O+bYP>wKmmKUlfgd zveTtMY2^>b^5wU54%Pe)=d98Tuy}lt~ zp*9TD99J#U4^5w#C?Re`roZ6A5wGbfTo=aGgg4<1`{~KgY$GyV&k6##u)na<@0qJO zy49W7+3XQc>v0`V!)E8X(3fgtO&)QWBw?+r4)iXO-;x*WQL50tlA6fn@F)|3lU8mB zLAsZ?xW{Kg4cNn=SfkV&jY9-a`1)1$#ezJ0=NLFLft?i1= zTrZE!SDEf3vcLl%$wO9Ee5+fzEKvG5fY?0a88G>1m$cDSfFwGQ4vXECwOqnCI*`GB zECKKbh*aUI_px7P%qF!7(kP;p*?YoI$dS~tK0t6Or1dweDF-McCO=ERm_wIMlK*WDyvfaiAJefy(a2p;b$k<~5ds#JIrKse&Uox|nJ zDQSR~@*p7gyCvhy%hy}Qe-r^|3tp@%UOAK#v#Ls(`eiV)h#(yB&bOPGBY|f2ghY-P96iQi6&Ta=mYT1urtAPUtt24>RnTxp^4KXuGSwY=TI4O0%&vmB{ z7>&mZROF(*p6fp{-5`*Uw_pYV{;g^Eu}a7ts^X_nD})LCFkuMek$eu>FPHU-2S6 zA$eXx?T`vnmf`)BAKv{_%b@g9hSh+*TvIgp2ubt_++ydy9u{=w3vaPhowjR$Y+5B|1Zd9f9PR^@m_F zxkuTJ6JY>fbdJ!m8<#tHDj%R@h?h;r@HxH^w0NO%pE&0IG)hQE4c{#mlLNBgp?tk> zuy~P}?d5ENEO_Sny|62b5QLI2Glwq!5N1~40ppT6qu)WF6)h@&%d>(jz>~zKUj|D` z#WKp)Z6lrf-WaGUr6V%a#yuAYeQ%NeWXLzO8p>M&xo1XnKd6}V<9FH$X^+vS17HY53e-6gGoke*DCj3wTe+Sx;>5PbrlkI~89mmp@Bg zY6ErJSoB@kv!TxbNB|^A?yG}57L7EB;KMyNBj;OH!Hx@JJoG;pXM17Fov;5z!%jl& z4o2Vqz~c9ROaO`NhDEsk1VHE$fxJyt77ShwA6E$cAe|Qcvo{G7b^uFCQ?d^9?Ter| zfw=6wL5&fa?OG5xzz)h@eSM!WJlNe8ADyo_+C`3-a{&^)q z=ys7Drx2@{FgGyVmrAGUVqWk!!_wo+T<+h2fJ>u7{CvYWiJK?D@rb`kr}BYUIlmIf zrL%4 zAODp25mMfgk&|Om`!2|NJ-|{zlNBstpS{4o)BR3TF<0dPF>AQKsb$ApwHOJpk-Aj0 zMEF@FG|G`J0+s@C>38YBzLhtbXaN@{^U`B7ZHr>I6)N>LEb*8QC>2|4dbA@Xxxdm2 zgv9C@v0z}~R7%&)kHiut(=K{)ukX%pH~w#%((l_OSA&9hY7MMggvP#%e27Lz_>Dt9 zA0y6OYkh#AqEU=sjU@Sud*BCRCutz4D@hu|`TN2DA-w-9yy=Ynqvo9^9nI0wy09q4 z3PwbR*mnTth@X7`>K6^ffc^kRO@wr2QHt}vR0JrE4?6>s7Tz;-LNe*LM9Eg*!Pt5C zidqv-%eT2RL$yM{1VpfqL@gm34R9+^*R*8VV4S+)nTL3KDK2xEhuS3(wsyFOc=){p zP%ikW2qElyGQXOfw0w1euKobu>IN7rj_Q`L?puo(Tx6OFn-YL$;+S)~GKlF3E{6U! z0`=^T6t9VwmhUF9Z4&SLu)la&BSHy4PeSO3`OktKAOI|oQ~AOjAoByuttDOnm@VZ_ zQb?^iteG*mQ$|8IXNc*1J#xQ9N?^yD1S(pK0TofjO6m0O$xA`+ z6;Ok(d89<6@AX{=)%Jqj+6#Z*oASHjewzJLK+G~gs5T8pMG?A7LQs}gnh+1mOJHJM z4}TNI`Hg7#{ey%|lVDSS~z|v)9z;DPD zm)rk$zj3?yOZ>jO|2hsNM|EW5e>#q~0+IH=jss0Zda;2}olNzgO}5tYXlF{LuwcA< zd+uyM15yzg3Bs5^j77)Kq<~mI9%)Zy&r{~fe(qn-y{HWEob&NFQ0HmjLq~-a5^=wq z2T{>HwHn4iUb(-UA=MLFel$>SOw>kXy923ZFMt&p`U@8W0(fLkW04u&PGsuP9WBGl z*Le8E9}(+{^&cPS4Z&eBX)CaJwpdQaxCWBsBZ=!hSwuXc$H*c&{Q#2*;5D{g$^ke1 zk+R}ir1U+MEx_d9epM0Up;pc$Y?SlcM9L)oK;VgEqMkNT@ZA;Zo`2WTf8<4|23jtZ z9=*aq1ahee+qmW0sR%DdP%#ls=pABaew=b-115`r+OYe{${>$|cYhvYC0`wl0rsDx zcG!PAZYdfA?eZd?Mg>TxWFBFjeb52?T!-l9XSDzHm<(xS-wzdHrbhc@v!GG1m~KA$ z#MSK)S5U>bj4`~MSrv^o85M1e-=}FoLi1b5o(BsqC(o{atLSOi(UXnqbrK$QNvpc` zE)v+Ikl>@5P6GTb*GGVxd;nR9!ioq2dc~AZoES&}Wq;@eo^k?IcwQv1GM*SJ03MWF zi9r7EPAH_K4cRKPLuxYz3;WTW!Se@z%l7RHv}QP4(=ISw0=f$W-;>#_@?+H2{eqM_ z?8Th_Fm>V${!lCNa=MxiWvC7loxZXX3E1r#3qy$r_Cq!9;)_z6@nYhujNy{}O#xd) zEhE-tO`>Eu;DY$si8Y5UE+Ki(+#Ld!qoa1oSI^D2^;lxWyfvZHqd!>h`~NybT$}fp zr(hRunTjyFBNP~brH{r62+zc$hG@_2KZ;Po{#kTC0nQad?wTE-8JfU$X(M+j07(_+ z7PC3_EA7d%0j$h5_W$@!d>s&G~X0E&&M}NKgbmc-5eItuza9A?JS!=kSw7? zNJC1t2<&q!i!lFC7dRhj4SyFocfZtiJv(`?y4P2#;yXD@q!~IZj}I}KG~LX%^80o{ zGWzcHEIIL;1RY(0vfxJcu|l+%$wMWujYgodERKS4&RBZ4B3WLxk4Btj%DZY`=~Gk^ zdmyEy2dOV~;$j!~Kn^*M07CTGb~Q#|q?$OjRykPjHD>9Cp=hYw8aQM*qYXBBB6XUD z2+~`Z1OK!wAC@Dx3Cf2p8ONk7G}9EXz3~%Xe@?kmCT9`T zgiH|1F0q}ih*5 zP7-=COGoqpqYLpv03j(s(#)N~S`{vmoS6GPBCBL|4C7|1fc8a-JkL`xUcfmj&<8K^G~ zi!j_2AZfF*)KKA8RYq#fQ-!S9&39`7UA`UwfGj-+9S+| zkRRUx#-mHEi1T{o1nm}u`(7W)j+n@+HE}m&MsdhnrXM%t)N)EDsNf{0b<@*|%4;uj zBP;+y=xraf%F?HU8mOHz)yaYpSY^PFO_r2r?|SZ{8o z+%(JbwMurd%eo;Z4poj0vuZg`n2 z6(gp)mHm{L#(9tz4f*mjlaf`Mk85KQ$U=&*k_{O8IZhM^sTR_p(j*4n$yQ)No< zFCc0oX5gWZN8GVEsP=_`WL_5LxXt0Fc%c$se zwY;3GDQs~tSV@g4OMo{S0%_kpse&l9am^3W!2MZkH( z$g%FPU-BA-GzSgg$gau~&xjJA%|I9(FC%F6*Pj}GBxmFXJ1JnM>I#scH%qHaT)S%ZB6b_y0lo^`oI7S(kQkeaWHbZ=W*O5F1TlyzSn1 z=ks-IO@EcQ=rv9SX`JJ7!W9I*Q&C2UyfoX1V~Qm>i*9(u z(j%DkYzc4aO4B-&L-Oe+BTd)8D6$koKJkAR!Bh5|ag%zgqbl0TXt_HXFCgc(Ra;)U zJg|{DJT0!(^A?eNB_RBfwI)#o$#gG_ou3)SU~VPwABZYhrw`CQ^F-Brg+Or4YpjFS zj6w^6EC{j)p6>78Nnm{N0P7xMyx`J9;DPDoHbtthHWzx^=aL>TAav>n9$_ucys}}8MntbAQ0;fzz(mOp z=k3{+FJ~0job4-Drg5mu_`X2pZq{l2Ez)|CM68OZzsH5VOdhYQ4%P(u0drID=k`F5 zHe;Aw-g~1qEWn-Y{`9ftILKy$JwxH**R4(_E^a<#R<{>2Yp4*BUO!*zElZ3iN>n=k z#XV3ro9>cC*Aae{DR}0DZ**(4q^nZtDsxlLgKd#4OuVTilpYbVo;-7l@DM>m4x=0#PRnHxmHCqXxnB_tUSs|n~1Mv zj;R#Y42qg&-t)(ckN9r*gG*Z&r5HSBD@0fj3^$c??r-c3?vuT2+L=p<1yW zgOx8DA+Ld#Feiqtl{`AZhMv34=&ls>4 zDjL`gI+gzP6y|pWymwYI(J-|(@Akg=dhha4i!36_2C#;I(AAoXt(WcRY&nl2)|o$4 zz`LI&qAn%~9|%9k-qFOmk4Yr@el;c{<$0MNTpEFrXEj-$P z-(0g?KG7=;Ce7|Sar6QAF0h%?=Jb5`eD-?m7Qfu-@?1dS)LGWvNusK-^hRy;cImnM zOF9*u-**VZje+ixM!Go;yn1qbWM3}m_&Xh zGy(#JIVxEkN0sCWD@LRjApZ*HaVemMN9t(F!RySro8W`h{7FH?w6U5V=->iThTyC$ zPh&X6Xob+$pl4gvKQI{CIlWE2x0Ib}VsDJ45lqlQwm!r=H5JRbyUO*$)&^y|e1^mf zQYwUqU3hTRgkw``wy%^5C+b~Z1OWy-gFd57kobGAGux1KYuO%qcG&4;o|#Hz(YNZz zsNzOTRW=n=d+dAVTuKd=Xrvi78c1>Q{V97hnzATayt&-n=Hc}PPxENT8LAGWPb(|f zNW?Hxh~e+|r+!Y@&d5dm2oJVC@OS#qEWP}z*^LxW5HSZgmUt?+JxiFIMUO?=5@sm2 zDDX#YBl2Zx9vsX2PlqcV*hnNWmHjDAeKKmy$gp3*Adn9Szy~v3`q>x}Nv3k`W{~)6 z14r9wMV{02=>s8OHmKoPZp=TZiCStq2RnAsJOhtcy?!Q47b8yy(hf};Zf7eO^XXqj zQROu?>Tusd1*(U~fsAyqXbr5sEdN2(^=->ZKjN&WFIB5N2gX{2-5-aG1xGN4Hw8Up zA2t|;I{fg6vnmC}LN!w_=X*H(7dK8k!C0j*(c{Q&*A}(DDB|>?n><7J?T8^KC3yCs z_oYop+Ezczi5K6F$hN(Gu`V04S0D>-_FSQcLx+|NGPW=kMRV;%VEKYC2P7GaeiypB z+bF?7_A0X<966J(mXxIuO{oOEvo>J9z~N@Y@Jt23+;E5=vnwdT>o9o6_^gcSmBQnj~>}l9%NT0bVPPS`_#POnf$4S4mmWF-qMmn}jh=EFS>ooCY7GYMyElPc^A=8e?;C;kBeK?k7}{o!(JXOvIFV zswzWot}8|ala7yM6(*LtQd#T?bxeo;2uvo#2<2j{fj`Z%st8sTSO{ymf;LGP?wEEj*3qp5-85&%8WL5S1Ewm-$gWXeg*C__R?2Baa&Yx+PqUOd0>{cHNI(YkWH=@85!4@k z60;ZHe8KEg$zURZ@AeFl}WqDa}^V>m&K=2m5siLu7H-ckT2VoeJ4-VHakmAl@hj^ zjylI-HXj)`_3T(Y?rPz$Lt!eovY=jOV^gh2G{2DfMp(>0{B3u6c5#7d`X!ha>eo4$ z;us0cz0TuGWEyI5H5sr0Q6wIkG0b8)_CYD2z_rgIquc%v%Lb}yzQ6{dQdlz;JK%@W zHOXGe=>RSnX0W2SP&9T7GfWluN1Rfoav0Z&YAQ-YrGj!a>iT@wI||jK z_t-(*4Foe$@+d~VBc+r}`wNPhHO;tyCBK0GFj0UOPM<4k_P=9KjW zUhGL>l{)xx?G8E1RA_*(tVp7tH{>^ zWIeFZ&Lox|qqU64X>S7IejPS<9kv}(IC!5O=S^+NRr<@B=!be{)ibPx^V-=E&3)le zI0UyQS`FC>+Y}Aa_l$jIuSHAmZh6(z&-B-c^xh=>fI3w%g0NqUn)jXPz+LrEV}iEc zeZAlUC1Fqfa<>VwLs>{fR#zCPZQiFm2pf*d=(-4`vxynbA|Q>j+C-0Tam80}=Fb;) z;IY>p`LuUdjd?k10}qiL;kOd1 zwS^9Pr~#kLsDr$q-wDb@1lHbcR=+MXY~_R*#?6+d0Q933yCZmqAOQ`*#kUGg6rVBh zhJm2VU~fDWUDzr?GOjvZS$y+9BP!H5ImQQ7h5$&&BIzyIx zQ)M@tVV6!q3o#8rirX$^5=$c=x4)qp-p5I{-|TK06E2Z#h`~6+u!N45*2T3MMUL$- z<_$N&NnM)=UkQ;4N9sOygsJ{yvdzU?5Qf!2vEJkX2YwhA@zi~E?jM3bM(Q_Kl57J` zn(`-W+g!#7_2$ey@Z7K!u?6y*yo$Ez%Bt5;SSjXh8y}gC>K$G3?T7%w)*3F?70vXzbVvG)5Ejid7T`qn9*GhC4#V$5<7)^w>#X z^e9vjkBODgxf<#UUM{SWPNS!0ZL3wK7-T|UgNz-T7QIE%gmbsndO8SrM{}x+nzC$?K6nuyOY1)K>&ub{bA2eobvAeH$^-Wm*L{Q` zSqnLPhsqR9Z-F9;p#g+Re7cv|yFj&fOCT)t!T`T~ddf&HX@l0(6+`?`u`F*Sj42uV zJscYSNN(V+=lI&E&_UPz^Oay0dsq$G^2li?bv*mx&+i&4Og3Ca=9M0u=cIg+zBM<8 z%`d$)-$op+JPtI0ZX)8$bpnhx2XHvJIQ&y+{OmYTrkW*jpfGPrV>*9)4a66WPw(b4 zRAc@D&9_rN+xgAGwv-9FEnG&VRBkYawhIW5jDG@TxD}nHLSRe7Rm>>KDi%Tpj5J(- z>FgpyDd!T5(Jh#1(lSd%vH953hLzQ{1^omb7XylUTKAb%We-Jm%L0|qjdOX6}L zJilV7w?HnJ&4#Z?j@qO1wUj2l>#3Fz2TD^B?4^uWKy5JlL-)?m$0PRXEJ z4P6HK)Ven9RB#FcxAg=!TI4nwc)k$ldsL@WSz!T}(P7Jc0e8f`;|E*Jrle_eqwrgl zZ#k0=bOW{m-9B=eGW%c?RzF^E8bp~L>gx7_+g*`+bJiUF9+yZnJwAIe=6X#~OWQ^U z>%%fZKOR!;Ks2v})$8!N6!3+FyYS8tI)u9Oh2-Sfi!$!a_q+!YTokpCoP2e)fYr36 z{)X`TFnmj-_k}kajloDxos=dIS2&Vw0RN+h`QSIh=Lx=Fc)Am@EBLV7cJ=Y~^$dJ$ z1kU+zt@yCj7^L!Y139^06mt1S75xrU&vXiH4s8`G_m5zPoMOs(lnX|Gq)h?Sbb@^D zn@*leg1Oy~wY9GB+zphB1UzF2EzqUu3|ddE3&SBxc6tRtmJFD`{R8^!9&``T3w_^vvzvGj~LLh=JH5dQU z;GDZ71YVrty0(JqYz*F%QzlZU@hK$+!NV zU{H{|+%NgqFV%P#rMNHyJuQVi8+PnPtA>3QMQg!0jPHnNM4ct4)(#dYKU>*IP5r>5 ze@Y=7S1j*bkTWB-cXaYY4JxLvVQn>78I5R{;vGCrZi$MO0sz5;dxJk-Wj)DBR#uBn zJkMy5N{BqGhMj_B=6>^Uo~~XpKP*rPyG5=`^jg~C6k;p>(v5iT4vAiy|3m3ff59qK z#Iwysez!Y;rEBs&fPXkqhSWEmuhI#X>CW>q$QT&jqb}trsr48UNDSmgF;)*^xxa+C z3!8X7;JM+#j*?W|_?`Nr;b4meYzjiZTjcVFg@#d)>5F+DwC!l+628n1tElL{Z>KlX zRg5aCwU|g1S)OApEgs7wI^3VHIF`~#?*x;prPjJ@b|iT}t2kUl*y<>R>dH?JE%x_r zEez}!{&*b&4d!D~7~Eq`5(HJgSsK3@{13Vfxz?cL%&e9SM4rwh711e1tzOkXWuy>6?cfAw>D0eV=5h(?g&gp#;g0-hbP518F54f z!BC-V_4JYIq7w4Zk;TaXK zni-1#<=UxT-v-A}SHx+cpD#42uwreGv{!w46q5G10wEG2^eQjdafObQu; znMaD6R2(+5dO+IXUGQu-qX3&-D}h@wWI0T(DI;ka&*<7URSw=}lO`?{=`_ejX>OV0 zUeDK2;oSX-;paNlXWdZ9(?nVhptRvTLJwmCj9 z4h8=yV6Cf(B+P~K4NoYO_pUdcXm53WGPcI=_jyJPY7%E`cx%-Z8JkAU?}1oT^#RtF ze(?_&?UzMSFBa25A?L`6tZJ@XuZ6@zJDU;wJQ8VxHtA5 zMsGaHkjNwcWG>RL8L#2aEI~?iznAy$7goc3NhNbV(`t%6R~^XbzINK9fCka>=}Qyy z!6-T=%$-?$dD2vpk`i*YGp6$h^HhHUb)PEEQbw@h-smj*$4@ZwIO4FLz5HopFS_*; zrTqovW)(nvVP>H>Su~t-pJ@UsVCpC9S&?FbzD`=>^haI2)cS{?8XL{XAbjSm@mpT# zPb2UuNB8{2-8kLoorS$OLT9H*I_z|hu#)kEWwtKYC&g5vh*|2+a$t*_;=~^YD(AzZ z+YX$|uQdBA6pZTL5l^^Euv%cHJDkC1$(a5%klU9s!3XU5tf_L2NOFI!oM7t`o!pz`BaG^wm@96T zk|3oGA9eVeRZgyu>E+BYhPO`h)6sAOmG1z#|I7Sbl94QbyS#}{H&Rik6;vXcFr)<) z<>~mmsP;u!o;;PE8{+ABi&R}HQGGn`?Tr=$<8}Z1T%n0)`K|$6jxDKcRN` zfj^lTTOWJ{Tz7gCU<5baB5QMRAoUV*JpN|Sc3Gw@A3Si>*#dzMnk zfd;#66*@?(d5mb8L${I7l?ef*O7IO#DIdQpe6f6k%#r3d#kDv)lw#f`dSaDzlp`ep zh=PnHt!IU6tZ7C3WkXh*UrtnNyjwOK#)Ff2CbG!Gs>CXCQ{0SBwWN`-N-`=)bw@VE zZ;%-|PA~m-ydGzaFtR)!rG-d0gF8M>xxgQ^M`N3vwQVoV$1_~{-LBSvY9E#eSW)^P zTX}ZeFSw3pj2?>UUdVeqZ4l&~v2*$>*7+~zouwJO7i{zA44pI|?~7CObNp=aUpAQ~ zBQVIlvBy?*oNbjLCt75>PRTnw<=roF=#=Q5FWGD;1w>uRCqJcGl?T>V8Nn^yFU_XW zc-mGPz!!aN=~h6wH-#4eI4W{Px`L)Dcr@dQ|sKv z{?Hn4 zbve*`bPz6e4|j*=zVpYQAKbsYr?{mqTS=0!Kg&^QP%1r|BY(m{d z2`V9GW#EpC0$SNPjE9EXF*6c6wUb0@vyB(P%P)1yceiWVN)qSlU*}E3oxW5;TInbq z=~wb8dNXEUIWb8ia5RS$vJ3=YXXrnH&H1|O&6zs6al%*hJ}49H9F0WDtsDp3g73W zBl|%g78%Yu^S3?Nx(LmwIia~Nup%+il6D5qBBG+?_@<&ZUp+XQnn%wDPG!^XoEEuf zo7$DlTI@UCpYOBj3Y_tZdUC3`nd2%I{{08f#t2hLvVvIFW;wIL|%GiL?d=KKI{ z4{eJW48%%fyP)U}DKIO8(0MtYX!-p{z@n{rQ%D_1y!qy1s&Bbzf*LgZpIgat@{XH* zf5xcHRT|j)9hE&jAo|G9ID>W#^9pd2h%%t#Gc2GI^|#Zk2Na6~U->cKwjJK?E*A{~ z^e1l5*VhDUug(o#p9QgH{GK+?ISpPnPl5BGpRW=Y=^uB?d)}^$=3m@*6C7f=BOC^6 zXv%3;FN%eE(b*WYqguO=8Gx^ZyW+w1Lk>N8ryDq?8=m;ed-;kh)pndqNjzSc&^k5W zMdfy&`yiknC+MDrPIZZ+U#BnW#4PD}7@vb1hb(*XPW#y8-Jf0iZt$fEr`-8A?>!s{ zOmp)nyao5V=f%(fC$ijo2{`4|tm-0tyhrC^TnH6zJ5cVm{eEkkb+!B}^;}6sUw`9a zU-0Etq37v3|MlUmMSN8g?}PUAy9ck+7!$9_$Kv^CcZB$1R*X|t6`}dGex;;wu5k>_ zU0RY?%}B8L=?y{$RXLVg%Wd&{ba9c{Heb z)qiP^I(8we{bV!zv}tMz{m}ru7u9TN%I>Owi|!}Pduj`>B_0I1L?^f0up(^8IAt>N z%{8MEk&&HpLGlw9FZZ|AVeE!IcgNK@HZH=0;>I^lXu=Bf0C)kBw2vPlHTcta=mRtP zxsK;?zd33uu+&zuMi&9Wu-O6o#! z_hoq8$*a1(U-6uNep}uqc3hlE^@=0b7*fz55U)KVsY4aI!Yf72-uyN%@6|;e zoECTFD{IVXOl77TlvNTM-xuceO~{a;96mCYI#9C_mH<7J0SeiM`Bt35=7ez&G4n%_kQjMbN5Xg~ zx8T88uq`CBT~VS!XZ&A^n-!wuXmA&^wJ&nEss*-aWB>HV;pX%2f%gIT5%&gPUoMBw z^|IcIl!!eZH_!c_2RcnsBGJ?Z_Yh?E7z&|> zdDQzgW(a`v1j9UyS4ClU*a8Pb_!Io zG)1CmC0`^FCI^b|3-4QoPSg4WF~XZkc0hJ2RKIqadB{~7RPxaBdhJ!u%e#!<`S5Ql z*#X8OL3f72GD}E!bcsa?u;aE)qk=eRyl<-kj!|zqn{QbA2KA&t7;TvW!sL+0gru=H zBtI{C-_9mw1^qj*U(c;xt(4IugJc?lUPPcj#x%XwU7fGBJZ-m1Y`!J!5-%(E{;GS{ zEk`9z#6V$Se0VqDw;$lMEAu=Z_QpLa*wFV~^MYv^cGk08Ph{Q7wddkxyVaubveFV` zrMIC{iZpJW`imS&2Gy-T)om>mWphxBJ#pRZ7>fe0f_^lR{`@Ak@VGtl=qN( zE}b7VFqQMw%2%(ea_X=dW-4`mCbJnHf9wLX<8DjmH4RMT+_dr+za7?!b*F0Y#MFo} z9$&9;{Th9hk-9T5ZS1L07^k+*IeUI7-8pAs4KP^P2ei99WUO~P14+l#k^@81%bhdT zQ`;P-3SzU6*4aVMF9-dUr#K7y9+1jYTu#=3THx=DYTk0CqtAyXc(u;sRi6=turd-U zM`eF^lVi_+Aw(>*P4&2qH!66O8c9EYX+zr(*Tzy6S{YjI#Z;PfP<^}-jh;-TG0T~B z^e}zGEa1od?w{pmdB-DCDIJikR+-M;KpJ$xRT|VA?py>%{Dl&wq+Ood{x!q;iCW9f zhSL)0dVliez@L>trcMiQYOlZ4h7{J*%Omr5R6@&=mJAJ@j)u=JHtE>aNwjhx^Xl7n zh!I;EjdGs@^w2KrF)$4Hvwqu*4jPzI`Ny3MGa2~bZ=ZM5znw=I8CblXPh*=E^g8O9 zoj9jej;q=0)jFR$r%7Z~Pw3TN0rxx>)$SAu>#8@b7;39GmMMT_lo&`@uz@5C8Azhw zfW!+5NLF8gBxbL!+E)*w^A)?gMrffSKh11oS#sT|pw>|u+eu8NBa$w2R!p}c zm2nHc)D=~OqBN!r0;D&HDRD^F%`Dxwge`tZ3tVVihADMKGsVuT`5L9xZXp!AVjAF- zR{!p&(c2ZlaclMLPLF7>yD~bnEl|KIr;7&aR08UZE&}Sr0O~BF0qPVxB&((QqgDw} z8?8*^4*lmM94Jfrr)&XG77Qr6MGce{0LmUnVYj33{qozdGo#$A){WxE-$&ebg;oHB zJOQD;6rj<0fY3!rOk40DAwEE8LkimoS|PgkpNs!pS?u6)AGV3b#?hVQT{)^_CjrBp z{|hOg2v9BtjlQKt{s+=-<)OB8!WZxh?JRjMa{@NBxB^C6VvrBi)p)&#dbQ(VkEom>K;L2 z+!~t)AS(mzvvTMSrd0xv$;x3nnOOr`Q~-&rY(_G+TaC9)M1vlNb6DT6&+)z9IZvP0 z>zq3NscGwfQYFb>$0Q=Z`G2Wl;kIr)9Xq32-`~lKf9j=L7|`&4wjAhbF~k3^%l|7% zNeF#&FKeTV4&$q-224G2?L^e4vTpx>a%W#X?yp;UjISO`i0-_QuOuD8=L6XO2I$!T zZw_AobPW5$SYLp#n|~Oaj}fBxuZ#a(S;o-mQ$fv_ua7S10zEw%-T_Z{bpcPu$4lie z`+3+R_e)p)2d|dc1yN{? z%9m#uQB2zhis)ej1_XvVsM3O!7tWzym!9hqPU=C3Vp>CY%*~Yg$#utrD9Gv zFeQysg%sc6jephi3kY){m!Uk(y9y#1(yO!_QTddyU^M zUDow)irro$+!9APmhU<@rj3~QZ*ycjiX#{3-&@h+&;peaIs}9@e(`!M{CP+Zl*3@Y z!0!)sfmtYgROqlehL77_du{3wS(a{pQ#`9l%^`<`mlTYmsVr_Xr$E{5%fn<(_v!3= zg*Q81col6=k#UZn2W`Y9=WgX7mn8wMVaL+MjoY<%I0LL&rfmc&AxO$02i`;$+CbqZ zJaw)>L!RW~pW2T;CjZM?6-Wq)f;fh%QCXI=?^U z;80gg*AsB7bhWI}P#Qi>cdm{vz*LP*ph^tCKbM=R=yEXcJ?5U-p z-(ka&IAVF_Y?s|-=xXQtU!uwp9?h`tujYjnwtKy`yT>n@Hx#_IyJz^EPB?l7c+x}a zP~W|-Fvmh;!J<4JFIw#*g+S7&A;-r#0&J{cbXq4xEm{?+- z$Yh^LZdX8JGeq9>QUNWJyipQrvph)>Vhk$jsc5oECV-s z99o{6aotq1MT}fFZuD`_!O6+isV+(=l6buh) zY^5Y$9O~R{g>QZ9#mn9$hN@g!GaJ4?!^(Dz_t+&uf1St!+xq6*;@tOZbnHZ2o$u=$ z611atNKJX*d+#-KT|M!;g!w>^4sstMT#!AXMb0nBvLyHoPru@kH7hjy+Pl1lUlBd` zv4i2hq+D>Jfpvj3s+%h00!auY-aRcQ#^{S{@v97vKD<rK9M2vSuGQq*LJXjhD{Cx;hWWobfpaI4h%!Qqn7 zVQ#q>ks{HLLKAm4og zi&HipDtLsl+CfzsL_!O^g~MhTkT#ZJw8i2zN5D5v3&N@?>QVATcoXNKl~_TADmY!L ztgo~?L`C@I>EP{?A#UVSDKjZvCz}k1f#P8&MN%1NrXC?3B@S*yXQjgs6U8fbJ`|r5 zhRs{n#GG6l=xG^d>~;}>P)M+Q>%{9Gy%s~rjlkqCYhh%X7W8GFg5*;%@JQ;#TFOlFv$;9QA!UXzpIjpWpGTx{tw-QhakVeoB=i&alpq8y7r4{fcikcV!oGfJ9Un*mgD1 zrfr|Zr)8Fglw7X3>{!kVPh!CdR{}NEtNn^n4Z*br@V0FL24irZM)#x-(Za$BtT}6s_z_Z{DH;wJSrZtfS78Tus0Z9fd9A6kncnLV zC(&<)y1&Lg{)}We-bNQ+nRTKbrUNAqX^sgVYd2j4r`PA`jN;pG)FO zLiC|(LnmjojYGyPClP5*#2>!HqL6?9bxErC-LnJc8v!dG#`pB*65fU^yk*3iiE1$E zc&0@R&`2(-B%p}v7{&Nza#*3&hH=R8)0@fS^K{+r>2GJdcsLyyOlCX&Nte5=5&1AY zotr|d3{$U8gnGn`pQioC?+M#>*xP))vc~h@L@Q2ICwn-b$kPb#9zV1`8mt_ir|pJa zm)#W~_w61YZ&p{jTMm5ayvWGD&}Wp0oVR#ui?4;u@VHED_s!pRZijJh_g&7F@!sKj z8g_H_YqJd>-+e;Xb#Bw`?wZ&gay%?)RB7v4yg##ge|hDXJ+{4izltwOi*KewnJ~r) zj_B%%fQ*fdtKqSTmUt}lsgT%$j+b3n33#6cTO5+){xN5u+e2^}4g6-W)`;8kfPoi& zIrD(}rrvYSc8BpDRdH3?~#bRa6zt>8*CTV+NV z#Y?($Vl$^YM`9tA#mGQNU3MVT;x2yMK}OO}oTMvz7Gs`svQosFqzoD}9USJF6^zCt z@z6qP@;mtD$>BEuInqzWZy8M2q`WI^AF-{$h9KY5)%-;51jSbCNP?AzMlH*Nvw+|X z3w%_FwMQfS!yGq-H!g&uoXiSF4nD`>TMFt8SS`Hy-?k3Fz!v z;&`axv~f$jsQWLU_1@^$Espbd@igD)4fc+Sc}S&}@fV>=zgoR-hlqEj(SX#<2cs#1 z+mIBLDi3U{XQGg!cHne0N0bezA_K>^-WY?jI_=o?9y01sWgTLuNCw#_)tV}nph?=3 z2G-cS4|+?WeS#8?TPjTkiI+&1;jrk9lCb^B1(iyY2T|bPJ(r+%0-}+sqZs66bhss{ zLF$P!N)kvqI4ILWTNO;EDc1>q-UxWN^v4Ux3iNH?Fx z5dcyNyickrl*aAl@N>A#6eyKFPA%TT2G;pzRe`RG`7p`dVbt-Sv852n=Q2QR%(Nv4 zfDV_zH5CWH%oG;`5s6g>(%|21l%PJPvc{k`q-w=xGMWK&&=w1}@v@D9r_gbH#`@zF zywc?6GPp9D_hv>1zMVH+IE~LCA6c$n7%hHG-0PT*;f@z*c1x3p8Nl6C*FZ?oqrr|6 z4K}hmaoX3NcqaR4xXYFp2**Q-aHZC4>GME;+^wIpIL$=*MaP>*v5`iIj;mQeCbVi1 z7C*7aY`DR#O7qWX%iujXb*P|X-Xb5+Bg5uh&Z*GKF9P9&jayy1qxkdlWSg@nA6Jf; z`q_t#V=xFDjW2KEn3AdRBze9AT*rk*6^3tg^M+N1IiT!#cH7aOke+zoSMkeIz!6&+ z7lkbJx>LI!;q@OUBArE7e*4@x9B(`-F30);QMqELtrdvM(q5(?Lr3L{a(+4Ji(xMkU3o|aDEVw*>y%Laeh!%u8cP4 z8V^g0x7S5d z^vRDeZHmmFTbbv8VbRj73fX&XQ&R&?^1TU~WUy-YqxfT@kfO5JLpp$RSoJk7U$ute{8f4OL7#EH+&YMVm+F`;^cE?>uzWFI2`7FAALJC{(^UmKjJtrTT`)^^$4snQQVbwAuuInf z7~`8ZUcEcsRaZoUiQ*w9O#;d)7A2(hYKKtfvxdGkUSr%xrQk&lcA1Y1+feMw)?O9 z&Aj?&G*!I3V6oa7I0$^AJghHk3V6mdz!o`<`YCI9CV6)5VTIzot7y+uc$2=gEa)Fs zhh8{8{gz`_R`c6GgQ~EjzU}91!e!R^;bKwa83(sl{v6e(pSD*oj1&8%r&P3K*Pq(p zBY8pG{7c7lLH0(3v}UZ(C3c?^iPWj4x&zx9x1}mu8cmAS(RU;bmk4es$^$D1@ZKwuu7$uXnEu3(LCOMYm4h3$>Yaa8bz?!J;GRq}dkzrblp^?Op z^*n)s_W1X`0~LYwqbmxkxn1ItltX7!`LglW2h->}VM!9)T)!E0noDSSm<8V8?;I$m z3#u|KPWh(VCy}GgODwsvNd$0+pU5BU zMK*?zB@2tUECtk9l?+-@YQ$q7PSNICA;?X((&O1QT`@T{ZI>j^(%0fi5+63NhD!dv z{BAs&q}2mg^IQ@b9ul?w&hjZ$ev37w5zdWbj3xZOlATKkg~5QuNb;evgnU`dA5kr}QH)a>Eu`#iDVeZ8O#l(EYA_`8 zww^!f;f=C;Ip=4ADS(oNSb3fb7_OBSYQbwf5Fv%l?Y`V_S=gHa)r_X>L2;wu)Y|pF zqeMv~?-DQ?mLJ8K%GOzC$(M~%gZ3@Amd*-kVUr^H_i6@|)$im&$GevTYWNQT=DZ-z z#sKw)DwJ~;38s&>RC5*?rg*MvtM~x?iYKu~hJ>_-Dqv3c3jZ>G4p`#IV*jZmi9E3N zLsc16HTr9C1X!bU=pyyW5-5w&eaE!pZ||sqcYTjS86!Y{^-Tft{~Hi6M^pcTU=mL` zH$>ovS1xtah(i@Ctz6@V%TQ*w<+McJtPgR01c!g>T6Fj z<;s9{9gNK6e%W-aMw&!TWsJacAq?u@z2KK@)j&=)XFP1n zYNl?+O36#BUcBcyTZ#Fn7Y-|u50}WZ;wMdc?j*|wOJM$R;Il=vQGU>Ee2D@aRMwXt zjhSsKta>LSqpl4mJXvHwAAGih@sytzQjWiP3?<|RO!*7ZAEMC)8MOxQe?+4AXTkJe zGXJ9!2()Qv_6|~If54RN67Qr~xj@cd*$HHAInYb}b%H!ut0!Sd&2w1s#lIwc;UvN2 zb!I(n0FU}l6r=$Ahk}zxl0)%_rqO?mpC$MgJ*^~A0$WVVO;>&fs-9%ZmyJ|Omsv`T zTF(%;RQM=Qe5cM5NRRlb&Z_q+%#+24y=mIv`$?hf1FRyNC-s;5_X{ck&%HdV7s7BQ z>o!(ZrNG*emBD=w@I|05$#|&#?L1S=01`EDCGP%X^#AH@v_Thb)RRud2MmCl{Hq=R z#Z}W}zsE=asNgwl>RJ^|IBJPC@R4OFB*kn6Pl zvHL*;LR7eIeHp+lN7g52a(qXUmI{%e+Y<7GLdj~19`=u`{(q-dpM!5mkS5JT_ z`X~1MhwJ;YQmU)5it$RThwNn@o=V!qGmg6E9Kn=;CtE$dTQ=2Z3?6Ovtxl=Dfc-gE zDjV22rA@`W*5MhNB#JvWGHOmkAu-*MFkx+x2kc0D5MXFjH}HQ@mOc^tHe@hWBvIEC zp!04qWNalvn3lKMEZv4VO(UCCpLSE~=v?T9HMyUwmG3)U7N z518qswFA$vc1gsHuT|YBFUzEFN!Vwc&#AWfZ@E8Qv8H919ZGJxx$?K=tiBH(zqexC z$I5658IJ)T#@knpJh(E|D3f{bZq{+se)i-#{5Esw?lt1`0>G$v@>ruH zeB*x)=nFX(+C5c5us(814M?PH(uG>4Uzg_jF*Xp=@=k`to@q0Tgf|oKK8mFtnPZ8- zYbL%deLX9x^M-389>a1Xo_1E!g@hXoR#>VrTE_wt812*{fEY8o&CIKuFmRH2>cm`) z2CG0cOVH+_4e@WnGkUF`Nb(~$nY0Y|)kG7$TUBbn;}~XZO#3zQy_B*2>*6d{%Hh@f z*-_VaSb%%0zN~R)-99r|+2QY3!h@pvp@BUC#afx_%%A?hyfbnUvYz`V;6G8!8B55P z{X67}Ianb}+Ts0s@&gP;L-Cow+~YqF`X*&2o?o~-DCVBN-Vs~nRy>E;vNB-znQC|c z7*+Lz%9E~=4SZM8B5T;?b_q)!!J3+sGN~vTpJzgy^&>mZY@yJc4%=$vM0t|ROq}CW zGA;xE7r7aR3p{T6CZaHuiJJQF}=6d=r!p=7YyrZ&|lfcktwji55-b!c+ zW39wGa2wtN_eeP@f;?WMFpD_dkTP*pO9rU66Wb+2%F{KEpQ zK2?@2&mqkd=`r;a=?=Uj^h<5uQWQT?(RAG=X_3A&Qy&=}M;!U?azfVh@VR?ajFs+< zgs#aMHC5|@lc9+^?*q9hr>O}+nB85Im76WzO%p9Y>+W1OrS>UseQ&&d5I=A3 zrt0Vt-pf^FnVO!3b+#-L&|kxLeQ&o+_tf+goi3d7YYg>Z{)_TkyY1Vvmi=|ADEiui zi%{X)#dg5kWNX0lt;3s9($Q00xL~@%>+w~TOjG_MOPH_zN9oedy1Q{kk~DFY=6nsv zTRMVQRhaED8z0Em=7-NnCD@1t>Mv4X53Dqntq07!uXE0+R2WNSu}Tv&Et!`%Iks1* zp5(uJ+HouRMIo+NYLJR3C8#pj%DsGz>lE6&AeP&-li6gDH}a82dPpZT>Ln)H*8A-~ zjQ>k%-UgEBCn%`ix!{C9dZ;sBKp929Froci$7Q`~Py8yaJxLV2Yg-`>K6m3BfzBp{ znW;R}J1cgXNT-QE*<6$nXK}A6iGF3TJBOYpk;_3UXPcsBe;yVRTCzvOm;16E(|w=bQAsgGzHOUT1lOXWnJ0Ym98Ag{4z7>7j7ja8!Q~nlm~{2 z&n&-gYKdM14;2&M=y*OpPRwkke_~LfJpBEt`63nJ-K_tel+`jEnI;H|@byPO1L3C& z8`p}9&LUCM?^uM!`md$mPP@7V6Md)Xo)jTu=HX#jHCPB}aiCqQNAg-*>JePu<{bQW zA?~kg*M{@+EMG3CWg=gHzh!J+Ik);5zW%O?wEYb;y=rqI5Gz$5H!C%E{$`BO;t=rs zV!)UB{K^!TwEiZBHp|?@jsF>1lH@h|Ggv&iq&x(5BqE;VZ5)_VP4 zf;ch}yey!=ZAn>LLlRw8V2T7$L?+5NXE9@3G9E#^6=?ova*4KM9qC_I#$5tPmL)H#qyh$C1E-ep6eAG%OFx%?0 zdrj`g6thQssKb!@!IWygD6j$xJm(_T5C%RAL^37|R^Cd3@}np)C{1<~6+V)PG%$&~ zgPrZRf3;MgS=`id?Y40q!?~lpk_Ery>(h@aiC@qEJ+3p#7J5= z?33ndP5&$BRqdZ$qVJ!^VGs$e@j#4-!A9lLnq_t#jZYfu-_bK2x#~trCtV`KPydOq{RejPx=jQt?3?>ES^X z+|Yv`$%A$XA-KL*!r|diL|{)-&YTneND2}4Q=E29vurWZ{c%5t_2{)9@T{=`Vni^gi(dSS*YPj$^o7rQU+?EO}fke z-IjtrdG$IiQ6$xMuY!(*s|OC*H&4HKdG~K2}ct?rg(m6{0|rq z72zWV->3r72@UCkXxLyDO!1(cQPhPH`{8jkYuHF~9V-LT?O%W&Qn2A8?O*}GivxpL zYs@Q1xN}x8P@baTBe|8p&d-H;1rCHLkq}odgFbO%qM*k?z&tmAS*&!lNVDr;{aZcs zO~OZV1HS#uG)*2TSQbN9H&_D})HWfTava0ncW%%n7D~`Lj^k}$vk61A1OnvFn7VNa zEr~*Sl@MecXb1VlqYTmH+Xw_i)`gD###LP}ke`K|X`o9Y%YstxKtDuC^ zEg+rJ-LQgyNT<@$3eplvcOxiBcSwhHzO#J&{(e5s^S+<=uibO@oSA!OuKT*Ld(NEM ztRSpRWoXwMFy?R2VpJ$B9H8tl2hv0|{Dn8o(KtLsL0DIKj|^1cp8Sb$+^0~XIWs>j z+`QA?xVTRRCgME(i_+z9%+b8Zw`9|rG|%UqY}LLCA72aDFi%@ zTs!#b{0S!GbN#D58T|RsHxuvsVQqL^MUCy{?s1V%t1Wk0BG{t@@nn)k7`eX?ie@}R zi*h?)g$X2}+lNj^>x^MjgnSW@*5y%wvx5Z&%}&l_gg;d&wV*$C_G69dh|Z|6Lk@}| z3e_@Qjl|~dja?`hxN!^^xQjRigiuU~)+oVf>GB(cll{h>e1Cf3GDyFBS=nfs#N6xo zTCo{wN_xO7aI=gP`jHc8r`;jTU=P3ZghBoTl*2A@@UI#1n23pe& zS4+*JTXzO=5jRyiC_)I)Tls+ZC|=%d?0o*?8Fy4KTGSH@bljO}laU)rcXXLyK{yzp z_H_Q#oD|H>ub@WNgm8QSK0|#7Pk*OWz-lsmG8W{CJbJ52EFyD<1kQO*VF7LAeTq_w zs|sgtK_h$;r=B@hR)VZwe30GF_{wq4#+oQ&6q7OPDa>DWzstE9!iX>tMQNS+Gz2HE zgw9X6;PPu?-yZ^DM+=>Max|jhx$s%MaVhBlrh4PA!xd@gmj1Ab-(*oYEvWQblDK|F zV28M>!6(Nv{I#rjOU#(D0ZYUOLG~t|5dWgJ>U*s`+al4C^z@?pL)VYu6zqMr4r)qr zZ_sKsW%&JPS`gZ5emaCfgnCXX+0xqfaQc~?u`pyd5g!v`0Ql!e9$JhvGYo?nB_)$! z@K|;K76moy|fhhLzN-LjnOLFWP6ileY+sokBL{f}YoZ|E|O)Zpyr6wGUaD2u#dh+)xlx57I| zvBohiGDS!ppN*F@W{XCm_b9&I5!%W{qu}4zCV~~{!7>{tox}pWr3bw@$%I}EeqHw2 z^TgVpDb2NWWJJeh_@4ACpp^gerAx-+PanclG*M7HQhrD+ki+HqL6nn{gw9ISIhlBq z>!OqmIFFXN#`y+m-|Dn;mpy24dasx)f-qMf!m5I-h{H|}wF71ClG5avP)L zreM(Ry@EK7YQpckX+z8>6N>${NO#Li@+rs&aU?K8Pvs#sxW)zt_*XOqofnI08R;n> zhhaN%Ii;qC2S;lIJ~^c+D8HXv;!^mw$e^Hd6;W|Vap6+fKPPcD`1%M11AmWMNG-1! z{r5N_GaoL6%qd1#?krtNpb>tEdBu|qeY=}qEbpm69Tqa7M)%_yVFgYVE4Yefi=BlNl+Y<^~9uJj$&8$E-424qVmO5}vipTo`KkCY}Fo>02*7{XBlq){RrS zj?<-SqyPPQwpOf3txXuJYlg-;${u4{u3TjyZ{;K&#XUdClA-v>YmdNl{ioYxV+EDuEv&O=D8Izod#v>6oWV z=B5uy4UL7{?OTFGzU^4wq5o5L%hbE^lG2o~pA98b8(jp5I8&*uxpQs{R0{5w@lazA z(Wyi`t}f07$R6xOGfM}T8~N!)dWK#Y>TeLFzPT7|$YA@#f;a zUFo(_0Lwv1H1lDwPpQN{$Keua*!w*Vl`nr4(eql@SW@ZlGyh+OHpJ-%zpQvsx;_8L z{0^k~{vM$h4*DCB!2B+x`Fc!ly<}$#!2Gkn%%3DbGyco`NMQcPU*;nV_}l!gHy5RU znco4-Km1?j|0&`x^Z$Pe{XgdCATl4mb|=u7{eq?XrUFFt5I?{taI4kCw-oqv?lj zmp`gT6G^o71G{x(SA&ov^iL67Opf7r5B@&$pE3L2g{t_{U=J06QVH%3w@7DF*QJu3 ztuw5%9NL{3U5(U?HhisSblm>gRksv+F;PF-01Wc+<}SD`4h*u<-UM4YxQGkz(=?I35vyxU7MpNN9OL}(XQ|h;^yCI=F zNn8`TzBujFcIg8w{tG}?^<0PBe~p0O4Efp7-xhDbx$yei;-j|9y1zzf8}!li{~CgS z%Sh?GCxG;I&`*DA<@{sue`@{XR{sY=wB~J>B2mDBJL*UMoH-B8$*ZKcyi+Hoi$?u` z3rYivIxx$Tjopv{eV7F-$_x;`c1c=b(O}i6TWM3A=co+nS)@&4x ze?tNcq2rzPH%cCCEK^9g{!>OGNlklDhBFds|JM5N$o*UEzeJF@x2_cB3X1jS{s54% z^vhdgqgXOHZ!{fr*ksM9-xmO)^q*a+UF0ac=Ch5j#|A3EF}nv>v`ZUYZz`5v0fHImZ@c`8^x+GUngQK^PW@%SA0QQTVBo(L zDgoM4{ue~j!GMhYH6R0EK>p^a6)+(Gn}q(9@s}508}b)0|E=}k0r{UTsO}lO+O6_C zJ6IHzqz$C>5(8o9Px?C>{G}(0WBSnaeX54SZ7b;pwh`geZ7oJ=r&{_QeqIbc2M=6vr?vvcmSM6FhoRM( zN>r$?EWn#~O}81PTHtiIa_quXiV%EEVj4vhwwZQ!VoMagI&&J&onWV_FiRsXx% zM8+aM4}HB=UJrtbZrTLFWTnxxi-!=NjdHWVT1w_c4*y>v4_|DY6n<89!Temw4okD# zToW-GzIC9~{A@y^Pgel#g*-(0Me|Dw55vX_KFe1BtMf#^abPC=-*Ou#@!rwGA@e(Vs1mF`m@@agg132SKVup=H7=W|>bd+C!SIcEVc} z5ob+@SbP9dR3r3L%?xaN24n92mpGi+*t4Xt&(Mo(4H%0$w8d#(CkqOmYwe&shNRn( z3JS@-oo{C*)Lz;*QhDS^p|$Kz`+FTh`(XO!_h9|H)aArQPtO|PF(DV<-5#BM_J*fZ z>A$uZEF;Df5^r=gvsWA5eStH(NI;U?BTX36TS8yKC$R8wg@_N@iO=9Kh|L+smPCme zS_^g0LX_oIl~XRTCqH^JP4#rgJW#v1D(X86tD*Yoo3E?~63jT;w53fs{`96;7)frE zoiylCbpeP3FVwnfIsB7&v?2QU(1>g&kOuQS^TLa5ATElJN>9dS_`qu5%wy4<52j(L zi78AEQfuWP>0eX7&mdBuAHjcxu?ta{BaAbt9Puqy9NNjOw!UI}BDL{jD1|%uK-5H= zYLRzYQ$r~N+b`UjoW*O8OJ32Vd>fM*LC0DH3tBF3_ zoX47YtK$e2>bs0HcEb%^V8sXUou0Ocz-51L{Fufmif^E1keV?{i>z^iDqbBpfU_M! z?E8@edF1NX@0@AX^*q5&HI{JeWmy;_D@ux)#J3o~3aiEXEa-d?J$mb!K7=0Z1s(=1 zohEIxtjgLKjiTbuL*e`t+M#!UIW*OEw^fhmQI@|27K+JWi8R*W4cNrm*z zSlRDcVW-?+B59tuzATAr&QExv%{XGvl3a0=r@E^J{vbbUm77SZi~pPB2e_OqRA`g+ zjLnK0B(ECBygm4DNYC#r}g#wJ1MH(Cl+(o2$pI-_JHT-YKEl3Q~ZVUpMxVddfX zvY+%5HIL%*KbT?J!G)vOR=M7Rhs6m0F= zy939K(?;8x>-g-%xzLT)!g%4+>&9)FK4c!^m@s)64{=$nQF2LVeMQ&+~URglAF`aFO$U0z>VcUz6J>w{jhq zV#B$X$|AzKHmBrCmhW(Sy)c7yQ(D9=uwCNvYoqJtP4n29j8E||y9&m$rBl_+Y~_Z8 z_kAk)4f8iPpf^(<-d7-kL+lXL=1#5sJgD*!$9FL>+1@ygMkY3bcl!}7;cL`YlHnfx zU0Zj*yX8&EJNRThtr;)m&9RC_EK?j8y+(_{qSShN-0DW9HS>@kw@^7?r~$G!r$;Q~ zYT~0QdWujNHjzf5#@sXXZ4?U+d!TuL%a&Jjqv9*eeCR_PT+#$W_)R>oI6^$sSDUZu zg%z+qm$G5Q0Kyq)%`!q%b(_=M=QE6{-2~qmJC9G1I)puBu1{(eYRXfxoJBvh!rU{! z(1<>LQNMPRUu`7G-e)9f#{i3gue+py#!6|bqfS0|OTjC-dCiOjdm>BchHk~7nG2s% zz7AxNK-;Q$SKBIY9$#*=y0j~M#L`$*$|`Sw_b1*16%wJiTT~@B?v*pEsFtn1;d=7M zo|@m+PnkFpezw(d+#DZnWu4nF_f?o?wb!!XDH72mrLgEDg&m4gXfC?6Ow$MJ>Qvs? zPh}I_kK;fLiq5as63ZyC`48T6wMA!zv8qFkTq;q2RXs)z3ch7>aJVdJj22NUDM znhpEPEA?!Cvl7~wXA%-9uyJz>Iky=1I-rtCdrPLM`TJq^&o(a`#ZZP^*9^jHY3|A2 z0kx2Mxc0XMk5%6=K9>`*ZMAq!|9dZqbD$v&rA-m$y-_Bl5%WcjhV^E;pQQ*xl4U8) z1BWhzVDBo+&ln|8G1SX01aKZfgW~nx7NbWAzT^2uj3!=l1LnF-sTrHs0MDO1)~g1* zK}>O*RTvYM<0*P2Y6fA~- zsh6P`v__Pg)HAXOjPB}kBdcp}K2LZ5IS@B-faGSnY{1QQ*>&qHL9(yN2cOxDQxKEkL5^?oE1=YzmY|L_3b4S-8_}8z60cp zGCwY9reP#;rFlk)*t$+Di$?N}A$lu>39)#NOX?t}wsY1=;T*P5MC%l$@YT0Df@k*o z9aQx9?5balXPYWN_UX&1`+TRS)Ur`rjT^q3iBTXg)PNQvY%)88e=mP~p&n_lkA$I* zv^5vCBy{z|)SiAV%oub!?>NffWA?>~J`esuWM;)np}Rcr=_fzit-c$pxojSIQHyXJ0~ z5!gQ>gixs0Ka9@|YS*nHchL)Go071z{W%b7_BgO2FTQ@YfZ%u;*ei1M*1HvGr|6o1 zl1p&t5c}55{%-e&)WQ6fUF%5>YeW#MKeI!0;tpMcgKLAg;o#`0@oV}TQbirV=j7X; z513-&*?+{A<4})>IXsF#7pQ~Gi{sB>oIfsvOgj(|d_^de1fttX2N}HWOofJc1)$@{ z-d_l%;a9IuLq<6-z_C;em!LDEnFvB-y3d&%g4X~1%#R=e8Om4u0XByMSuxTVdmTV08G zhe#XrXF!hBZq%`crfJZSN1k>3ydjn^P7|aY4rhc?mH~cHTIJ+Edmq=P;A|?LXK5x4vM%=*vRr(`b@-Ib4-Vafq zSpG%|>fP$q4&Bo4x#=Nn*q?cnLLQ?G5^pF2(k9JRK5ZAu`4Kb+)>j9UanFX{QP|z< zvt-8?X?OS@Df~E3UIOUoK>TB39suBnxu}PN z6})`!7>W9%)mUTQOon8Rj=p@zXWjI@V<-fjO2^lBl>-Uj3NZlSN->Kbg7rYvj2aPj ziLe5VEEpG};x5?ViZ;lhQi{qaz_#%)1u{RxxxjjF@gcEHKPO@?ZgHjEe=z#7-$#E% z{F`uJh1kYfr4vFetbMj3=*3|%yy1c^YEmD9D*vE|7~!o^OHr5ZFnlj&n_YW6Lrfw; zIEHPvVr9i;_c<`rvP-5l?{0aqsD8_}W<0E%xlEO5 zEwREw=R}yuU?i z+UsXs`=X&E^GCT1{SOIwFGt?)zJK%ku?t>OCd6osT*x}tY2L!z2<(1z>smpSwO^b) zkC`Y6sarXWiDOIOAMY{sIvA&Y`?_^#B5(rn=;6DP6GlXnXn(Z@lmEc4Gj5XGfeeVt zNliqyABy)p-wjc#I{N4=e~MN#`*yusR(LLM#ItL5k!-iUHi3Bc+;q^C8f1n&dkE3y zZ_Wa5e|A=qpDqhA{%CiQLxvF|LS3r_=hb6|iTIpEGTunsk zNf(yBBX}DnpE(3}-$G0KA$ z1(2pa^U!AF{GpAP59Y9|b!GCn$i0actK?lR6GJSGWVD#^KrC&W=0K-Ix8@0!k{NvD6~-!(s+Gi9JfLQP4hO$ zvLAHfWT7ocJyeA|i(!nDmiFFky}2-ka3rYW7{p4WtFR})8ys0d6QC)BSHd=GpJE_rgzHr8+G)*M z6jJk}~mj?=wsFt7gm0+JK~V}@N6`UvK*T$^j)~a6GqfGpKe#vOGDDD zhc$m4NB8X!hzl%4Ppl~wdD|}fc9=<7Ter^L6hcXH&<>SXS0g(S=0?TPc(_LW!IiMl z+&OC?&@HafKx?Va8suA(Ww(B(#D2P;yRD$(F@M_5#8X67@bm&K7j?wN%Fbu;S41nT ze`P_TB^J7z??0GjLtBj*abV+wOUmjJp{B|LdyMC+_KzmDUE%?nG@j{?CRKuy{;NrI z>R(gm2sl4NZxv!hEVe*99YUWRf7hZ0fnDP^z^snPod{+3cS-=^f!Oa9j=9@-KSexv zg@;=3)G`7I^B?UXQj>_YBNmleceb15m1a8rnB07d6s6_HG8qz5SU!A8?s1SZ;~Sq# zf0vIdT<2VD$ec?ftz`U=fIpF=$to7ElFUu_=J8wmD}!4+hQ@3I^#3R4vL|M*@8AA_ z4W6Ne%VjhavV%1+S+3#%jYL1|(Fv=hxdC)S$DEhXurjBE+2&GSExbsm|obM*$2^XH`FH-1Q{@j$Dph7P5@L*I> zW}LJ;BkW+|tY?2Riy8*x5`|XP?_3dkfiUF{-jKk5aUldlXtY zx5^qI;+Yfvw+C(Dk-r2or1{&#{yjVvfDsFK?0%#M*}W09c}h5`-=_c)_}6B`Ny}Hr zgwmWIrMK3{qThy=Ra1OSTZMCdRC{Pze807@`H{7ucdJz(qOHA_O&PKRUo3Q+>=rUI z85ieYsU-L(;W~UD5{~0QzqLf(h?yqdlgs+?%bZ~gc+qBr(qjI`4JhMMkpqScIi`%wf2|Q)(;K6TyfD`F_mtHe-lJ~ zC5;6W4N{^Kl_=O$n|bh=ri$R*xQGocc7e?m+-Z!sPyk)(GZsmiMIhGEwQ#K@=v&>C z1aY5V9>jeCd5bebq;NeENwdiJcjwK4%;RIVYZKrcrGjNkH|X9FRpW)w+r69jFFwJ6 z(M~cUNa2i8Ms;Y!1=znD-XHXyS6e*_Q0 z)S3pVg`3`9EnKRhP`gcyARkVfLNlf$6HsFc)+)Sy0RiwecpfVVtzC!>$DS<*5}_4x z17`M6qoVKI_GWHRqoLqZ(AxwGCf}z9-4_kVZlP`Mqodi zzr^@K9n$gZow)J-Q(AGXr%;wq9N4n}hnv*99*-eeuf7F(2?Nb~iM^lP0KHf)Ze$i7 z{4SdJYc*Bz=|YOgN4swz*H~AslM^{0AJk^a%Svq511Ldi?exQ7;k@AE)Ko40Nc)aYEj5se)K=S z`4M8oY^+N(NW=@&D6h!}`kEVxIzhEJw_F4mbx#`c$Q4q$@qS@oYXD~?MpPEa{t*7^6Kh5uiuIfq3J4ZW~DLF`$%|aow5iwCDf>+af&T29aaBu z)Eoc&v^~jm_HB~G?Ahl|&xIW8?aC^4LSx17CogJ238s0S zD~-i=1T3g|pW)O(+Lp{b^JVXv-)HkLNSJ%oq3WBR?erfC?QG(Tq3S2)q@y-W({Z3S ztYB_U$L$O6?v3?K)CumiQ9ie|TLFd7IOJJ#Qw!qHb zPC1GpN-CMb;1I5o88xnr%e_y)I<&G$^E2L-=PdW0JBolc zYe|#lOT3fG+r^DR@>l}8#Z8yH9V1>CLe8XSEX{CZ=BJuVH@PN*wV7db?ghsH*O+w-QNjWueCO5GUMwq50yn4U^zcv$8sdN-&QbWsG5LZ|HXOs3x6lc z4ar@!{oC%LV0(f~pZqXX&BCw0<1+heaj9$MJqm*s)RBO_ zrr-zICGYBd6YQnxca?5Rx4o%p=jVN~y-mI9QcIp&xKMW)tlKf6=+Ur;yd;k#2wZh)GaH@YHl~(|U5yRrEaPbQ)h@eb({#&ZSN8 zHvY?rIj&c`uE!sR5?U}N#Axe91#E&F)H){S3b3{D^;_R;?o2%}#-n|HrX)c7Sj_Uo z?n!&p4#WEFw@9M2Xr4vulW0=>mPjuZ8u9(;{^0a-Q>-^nZjJihs$Ayb#^35ZmU|WO zdAPqzX!2f5b4~U_k~q<4o#AwLU60&}zPn7TB=TP$)zQ?^SK@0wO2l8PuF5HpuX%La z=7Ubc#(b{jslz+f$IwXJuawjqAG{JBF>XJ?2tAp?J;d_+CjV3zHIfze)!{8Wo8PI- zElKy~c#CCDQ?vO5VwUf(@o;tMDL%!OFzs30kTnV&cN7_Qlp8I(Nf_~qcPWh_H-IBA zkYjy^MkU3u)IkI-frUtO?fNK=vft7jrSJ8B`Hk-|jz0mf1(2Kqu^FpEbp9X)8ACL&cCk-ZqbPh|Fga!%y4q z^dwH*scW!#8E{hAo|Hx1i;2I?j_EcI^Sm1(oVRi^A)eGbX5pB}F$rp3J@GK>G5?V; z5WtcCT&F(Gym&)$T!F!~eWfW(v6xbj-YxF#KnnWIfa96hkC)q+IQ7yT?v~`=T`1eI2Gk*}K6*XK&C#EvyTac#zk(BJuN z_665xTVjiB9W+wlX<;HK&$<$20s*}Mdt*3W57Tq1BHowU(f!yHv4-VX9S^9$hDie6 zc{BtqdF3So!L$*90_QFa6?3BWa}LMkKNGEw4Y|tZijCjn%~0Y*wlrwrjqRwWIp^c{ z1S>0!YXmLD1~O?=1>ye?p{G~AHNJC{7RQNaE@HaseaxbB+jD)XC7&bls?wzTMN3^t zZ~@1?WcludiM4M*v{j}wmu*Y}RKDE_!PA~6+*Um{@hak7z~? z#e)GDITbip3z|3tr^ayITvpqI0M=~mrh?M@>@^c@t&dq0zodE$ajeN!1u#vOr8(CK z+my?C3~kQJRuwYo*(yvRZ5DMO;#ikWO#Uu#9>+9=pXS`-NqosWa{_)-bNx{0g|wB) z#_dC#Vp$shH?G}{?PS<{Ywl5U?e};X=-NF$k7ejZ4w=$X3I@|N_du76KfBQ!Djl|ZsoeA%|k_)e@!?Ie4}9MgTvnRW1y<6fg} z(W2-+RR7bmWk7@FXQHHPT|c^SS>`<{&58u{_jOFB8Wrq?-yZaun`CQLB+PA#v6N@3 zzbWi_;6v#fmh6bPSoia{;&a^Eh5zhwz`h3R4(<7*mn?yRipvW&#vcO#E+() zzJ+n*g#6erwZWU>AbV59?}TAa)^+4~Sn!RF0E^;y(eH_!g*3HbKL?aXBx*K6{SsTU zF305L5F~E?bHTREhyR)*X8E;WU%bnccxmOrhJ>0r;RL`Pzq03iDV81cIE&N#r3^bL z_-K%!ycbv~WBu9`*^=e!=ISkTr88Jt_89N~erj&QkZ^(oa&F>D$Dpz?Bl761H|jZf zS;Eb6AUHi{e$J(T&rmIN;-_#AlS*g<_(NmAqb0$slAJ}C*U|l{WXzrN@;{`GJO?io z8%}mH#i7);H5lK8(}jB241_m6)ufzhHG4odH|Jp-pC(r&Nqa51f@ zt@i~r_}F)Tp8j)agGguR#niDwci+kGz2ii;u$HEt{Iomn?B25OO5bBYj|1Lei+WRKT;(b5~WR;irCctqtp84Ik^AP0WTK@eUA zE=!nb*EwM@e6p^qCnhpw7F)Jdxog%EIZ=i`L!?0*UWM*!Q5#Q?RjGShveLxlmfg*4 z*9M0%2Kqtw^0{rBcb2uobNcMbHpd@ut88k=cFHLue9sR7gDH*+Oj`rasXF74;{mKe zbIT4%5*$}HU7h^X)`&>o!jrHtsRhtkN7+vokbSw*eN9f_6zx&Ah<7P|1q}#=mEnSa z%r26;CeUkp(kg6fLVn37H8NrtCsFNFs^fPF2pxxY04$a-J* zPe3J{r@Modb!=Xt0a_87<_wPi!3vy`t!OY@^1W&T#(&XPo|1B7x*Mjw)~)vRlvJylA-|{q=KXfT;o>v4I>Mk92*~N06iM znmPjlOb*`F+V0E$d!5aP!%d?pyK-66>T5c%?EwY40A7fnt6T^6xCa%0KzD=;8vHc8 zy1uDbRxJa_QEdVA!o4QjLw}JF2H1ygVNbvc#Ix9haW9GUY$mI(Ds#yoNjYkR3^A8Sc9wkgR4g#Rb&sx~h0|(9Msu#c4M|Bvs2g^Nj#@IJHxa?sy-+j;h&S83o>Jp2Eq+ zlYJ^IaBg!iX?^9#oc?oCnFFVr$6ei~CF!dEn2Ozny3g*dahqDrInMN#4l>cKu1zQk zoR%;qVjmNd^aK+c~@+xC0D z&%Q=5vXp~t#Pgv^q=y^Q)q7Jg8fp)Z#zbj{a53DsC703KqPjb0!kFVtL2uX)10+xk zx1JA0?`>`7RK9m|w=`7%8@(0LE8+lJ<4nWg(VRWaZQddMNIA2RVY_tp_SP5LqTDyB|Vwi-^ zMqn=AqD6mV=#MOW))7P-5em8;sDlom2(C8gGqdp|He~-jg&YaE0TRwgQIVBa5gv+E zg7GDLDV*Z_*F^pJ4^h(~V3cG8FIcV}6?_0kwc(jxD~`Rsswii4XQ8sN#qHSCh{F^? zJEC$;Z$DMKnXq({N{Vk|8eRM8vrs$<;HTd1uA;QQtSvS_K~L=BY6fqIguebvic|+V zKULD~BJ$sAJ<*;ur8L}@eQz2Fz3;B#OF6eD8P#`pN%je?6Vgq^?n=X|SMIO+?qqw7 z#NLjjC!BOjbCz%)5?hxgQ1oyf@K6~e`|>=B4T&dh>WP@>F|ucgB=FF)v%50P6D&uM zG%Q3-QEtn0TIaDd^lDalPFSnFoVLvaGOeZSDO(RnVX(ezNbA~#b0G0H6{#gIJ37SL zpWN~_y=L@_*ueO8H`mkmF-0s?&TXr<@I~JOz$$>fb}Az0644&SF8WPAs}M>vazgu4 zvqA+)N}otY3x7)#6j3G_X)UumAYK8%Voy_*(X55P>DbHH^mOI zJxf%St{ls@uF)S{E9v(;aW(^6w3jIE?a8i0?J3n_5u)kiK*<-!Z0HpQo-vq0ASc?2m6(3RLredd#t^c9OVnn zdVxPK*oGsZRLrl;S4T~+6zwIp`kX7^^YnZZ;yFr>=(crg>?w~eo`^lx@*VGn?}g~t zTQ09GY8eSr9lM7vwIv?L5oB@J*j&XaW=lE-mRUQwy#H=S;u}~pxBV#5^6JN2%i7S% zPD>=A!1)1vAfCj@4IX{HUlntC-oBIf9|BH_B>X-6N&zGlvE2_>reh!Cq#_l|`NNgr zSY@Ojd{()>5e&$Ab*(EO>8bxDr$f#MCThr=ZeuEXnuuB6;w)kuMdk$>JwG`DkUAv6 zrILekb$Abv@cHmaKFa=BuWQdRE)cLd);rVXFZUPRL*)yNJ8DWdXTfAQO)RO&-YmFRr3rbyapZ=iqoot_;#vs~6;D}54Z>=atuR)N| ztvlfC0N7=l;xxmCmcz`@B zv+pi75dVKLu<}Nw;(ufW1LQdt|05$n-h!;|x+Kpb0oDY?y)@_2(K00F!U2O+FzH!` zf=F6~Bk6au00l`Eo6bE2 z1NI=u1x?i7G60fpD9G=C5_CYf{e&j!9}c*|_8R&93^&o^UIzY*@@>ZFcv`c-C9W0Z zwK!mkCv`Y^`w$teuVZ{Q)9{=q^nnow)j+!Ki(D-kWB(^wBNK-08)*M8`M|~9j>}3c ze0-1zv@m1#K<5$y_Ia-^)+lX&JV{GrI=R@19bCM0t~NG$=ZB!Z&Kq<%k#Z5_3zXSu z=IN~hE5XfGGHxRJ7eG~(4sEc&NeUO$wr>`}vaezp3~Rb)AkKCZG+ z(kn5|#q}W@nL{86U>SsGOVDTTL%#2T;^0szx<2$6>UybR|E>W-kQVp+o9FZA&*VSt zP5e5FhZt=^Wgp{8qnByp?6IBAqZX!viRjANU=<=8eth3>V=ohZmsL~?F6(|*CN~h{e zGYU5fnHK#=Zh;4~Neg(0cxsBZCzv`OTdrgtOL{3z1=33?qGHpcYs&eOouR``@b~x$ z@jDPxlQ=TeSA|#vvjgS8*?pl@^zD1<1eVJ!GK($6#sRGZeMX7i%-r0#Q z)Z$cyCO*dlR!A}XEr|$PwOC|aMZ~KoWue8;klEk;#5&98DgDe{xsk~#9#uv3S6WB> zrxN6fI_tprr4I&F8b4H<1PXDTI!cUw=V{8{YP{8j#r%|!+@vzhZgb7-+|}TG*|^rc z?ipnsq_I)J0b6KhHew~rt|csa_YARnX4-zW8?fhhZnz=iVH%Mc0O@xw4C!U#3natx z#z458`p|7g4%R;W1jWOBe6lOM90lKjfUh-}7xp=xJGU$sVlYA!TYU`pe%(l2JZQU8vQf| zRJD`%JNJbezpp@QD<3+l;rr*tz+no)aIKDor}Ih=@O{*w0d7)E5}NT}r)t!P&mO36 zi>vRdViM)mvf8r36i{U&YQM0y()lY;ef4VAp(5zm`ab(Y0xw{qyP0magP$=%4*m)U zBf_n7cO|IX)l^}ex4yo2XIUUFWLT!!-k86n8S%a!Yha%(=sV|jlAUIvn~<|du&v%S zPf4JvM&Dg;_T{HK{*ne*d7M82I{5E@nvN#zZp?g3NrLgDud^wz31Ev@&^$@5-^GQX zi*wul*B<`Vms&%yGLgVSEgD?XH3Mxd0>Z~;+B`~~p*^po3LTZ5W|nBaxOlXb&0|m$ zwD^xMs=}@JSYS5IG4S4eXs0JBJTBfbb(aW3#XSoCEKdn;jbNJ0$ArM&HCD+1~x9*0~bi`!5@@(wWE9?iKnA^-~Ujwn!$r{Tf*c=ksL>$AJ;& z`IFou`|l4wm+(~kdq%;_-`I(rY_-i<{)3_l&a4549TsieK;ag-0sESgkCK`O_B+B4=G^}FsfX&2S|C1-{+%3TOieDrgcW`97fh`!jUGX& zK}y&XiuO2I&TwfGg;#HS?%Q>Dk>JAtj_zE8qn7A7y70a_7Vb1r>bb7^e|w;ibxGY& z^*uEi;S#fkrZzS7SA|1v&sX+C+OLXrUuvyQ=b}(AE+a99BtM|V+$U9{Vf}nhqms{Q zC~5b=tmJ!7JMnIkFnN8iMgp9BM0n+0Wt;o>hTai}Zr}9ow+))F_q_5N^FzIPh&A?V6Kqrh_=*HkE)m$_9B9m$DcIS{!@%U$Y^Xewikjoqwqc*G%G0XVZV4fC0ZInkI!u>SY?eQCrWig{Y@cAwv? z!?tf)MvLV)ZRxpb#-lw^lrc3k8IOn;4wb%BjEiszT2o?iW`&J7Hq!>%KWuJ(2xZX%25=EtfE@|wFCNyS z84WFA7JEvwnlccw#yD{e01G6`-8M!xQlU7)%_xB@CE%4E;IDvAvPH3l9q$vvBcvZj zP-~z?`KY4|E>&ZMxhwoJpvuf{(kaS;PP(!bQuZO6x=D>VWbi?LXjT9kc%loF#>8UK zU?gnru0b_Ps#|zJChGd)@GiAKMIxXO5kMh!{L(~=qneCS)NsgP*MNGw=yqUY;#ZD$vcJm79?BTIGY3HH`w)cP*-Pha5F zzO|&(+7Of{B^mp^MkC9ETi92cA;qMtVq#E7U&_kcC&lz2E#FJd_20Y0e<>qD^5cI+ zBq`y!cy&%IP6s!p0tLP4kitS?|6=`e5nQ1MU!vh6+p197!S~|lZls=S@R)cZs9UaR4!wN`XK&!5mLGf{cMtsXKsumE>u|LhPs1u z6x>l5EBjZ5zoC9kiQ^XJzn42;!rRHBLR9eyM|R!wZfRW;2?Hh}+6$jPv(+GrZq@Vn-MhI`#9}yqT~pcdMIRvO1i`@0-@CGTRm_ zi803Et&Wywm_#AqAZ*kbmESeMz!JWB z4c^Uc5711d$5V$sfUG=Ev;3>KqUfpN?)d9kz-zHX9OD`@sD*E{z}o3qI!aKLoWV;& z!bK?bX<*~lKOJm0>NEn_tNHe&a9xom-LX9Ky35xVCg{F78F;03@w0o00 z^YKUZJzm=*OL*(i!%bzxe*;7gDyyNZ5NHzrfoTiG;Hjyl9O>PX!n@w@--NSUgRMf? zP)rDBGwAQbL9t*Z-mZ%#ib*;Nyf}*QVC3SPX06v*Xtf?4r%ASMU=5bOYYbc^7%c`9 zETDnI{5J}rA!T6S?74QJ6Gi1%~?mGTp77Im{zJ8zn3E#4`;$C{&>_Egf%%`b%Zu&Z4zBA*pqN*$~XtBIliCMq$;QZ+1!adAfJ-jq>Ku!cDzIz)`c zlp=>ex+^smX+pl~FlxH+Y1#b8I}8Q7KPI<% z-K*UwztPJ|X=rGm|DdzZ@t5*I)e6SGz{hHxPC*;`9*Z_q9%i3Pbx9lv-OBbr*V4`b zsRbD;c1S-ff&qe+t;-3P&N{-aFsFW3$E?bW<9mtUo))7WO%4wvOO~j7NMRB7ozv0u zBj&4kr}nC42fq6CAc@JTowNfh)0BB0)QMJ>+1TkU5%c@seSN4;w+R%l>ZW|dm27+G zB0F$qN&M#Im&n4v2I01h{(Sw)C&sf%A=qKlL~O)Z*#?Y=L17~vE`L6e0{0!yZ?aCe zZdhJU_VD4&fS&7WDeuW1+eyvB5#E3_J85-bhlnJ=hf1N3mpXT4pe(mpgpIV6;rr_U zW<~FUwiimoR_Dgjepnv|i3L+?I!aODz>?*8Q_}=~6aql|l7gn<9Mza#tfD3C z=Kr+i$YGRi-+r5H{xjLzAXkWyF3M}6C5R!7gauzIuH&x)Vi|mx|F6ZBkKGksw81|7 z1V`exeAi%9{|{|%9acxP^ou402(G~)cp$jDyGw8l?oMzG8eD?AOK^wa?(XjHZh@Rx zyt4PZ&;IUxo_o&ygEgzFx_@0=Rb5>@$(o@ogGq+jE&z&fZ7sA7B)CsuDbZJEPN2hjw<;LuDgYzsM)Ao2BUjj|ic z7CKM`=djy#6mGT2$xd;TB{yFJ<(bp}zHc!w41o46O(h^Lg(N*1oi3Hk<3z<8!snz+ z{}ng^92<>$z)#T{KN2W6polrWD`gz#=Ynx|BYsU+UMW#Z3_R>89F-=LoPZn5gutKg zwY%Qfw)Ygm&s|&6$)i-n;gtrBDpnL_i|2azZ(q^-E1GGfnB`U3f#CRC+Fp-8_d({h z@dAwfOZ|(V)NKD({R{e0(Mi_D0M5L`Yug~;sYVGqvAiNqmS=?rC}QpEVC(&AV76GA zV4%mbY0D!5&%Hq+MUqH-DSmf>;`e0c$U_9fZtm~m_iK(mMCd@&W)$$in@@IKdKLS<0M?~q?()le1`}%^bM|I5^T$8@i zDO6^~YbvJ{w49}(1lkK8;HC>kftXtia)uBwA z3LR5sRIH0Qi0EUPFcJs6I_@G@o;Wpo^%^(Z>QmvjBgt*j3l!vH-FVZ!Ek#*_U0Ozl zjr8~*8`bp&RZdqdZlcY@+K%5@w~!eZZ1C+1Kge#yCb`Rf9*BG_^$mXv{gvc?qX7_L zUz6OCg(f1COE@XGN#GNq3yD{`A`_gax9pX^2l#yt6m9quuV#1!-ia{q{i~oT!AIMP z$b-!s!s%Xd!9321b8JExK1t7T%P2bNuS z{7HdO@dq3(`p|v^nR#S9G^?|Xr(oIE7EcGK$D@nJ*Zf=O23cXKl_L%c$I-g}wpuO#MnIhYL7565CWN(B{e8W5!C|G|I@ zL=W`fY6SIY@!@l*Fd3{K{bDk~Gnpy=C>8kOm=Q1OpA0MnEw0!vA#Dn%C*8k%Q6URd z-HaFh0r_9XsD)=kfDin%6mq}&2@c5tg?u*iH; z;9`&bs>isDc)}z&$WZ#PGx+!6xH~gLM&W)6ubrdc>ODhayb(&Z>Hlx={}|7h?aO(T zR2>wT1IP@{dfo-TWdDx(KUt23$72uuYrYsTt+(R9v?59Va~A&?1~kvK^|9_iuw^1g z8}Dwwy#d{?TYtWPig(f94vEnE%%1ADu>ROz*8Sy?S7^ep4}o01K14`xxEB;8108`5thjE69=m!T5YKev#V6QU6^v z{+J{>0>1yhP5K{JpuIJ@7tzC85-T2LLFpHb+xl;?{}?CUt=b)b+$-Ug>z8#NqMF5j z2>&OoZceMXIO@X^ek(!=3R&L#*Jl3!0!C?OLAlsl{arRsD@E$*{QK}Yu+fX>Zh!IA zYr*63?(uMWc+y@=V4<#NSBnUf>6j(3_8FExyt z<3;BFWh26T>u9HWzQpkhN7gTqTVdHd1UslLA+x+XPJjI$4hq7&I&;Qp_j?G^#F+ zVNVcm8td-+GuOAq#-+DqxSX7!E_x=Fht{)`PZw8p{Lb1Zi+o*Fx0Ykk$9k)f9l6?9 zi*e@SceSId(K2ldJkIqV4fCT@Is}HEPw|X7K~QiShE-6ZylGD02=*N&*2h>l9M+5S36(=^=e?IZa8Tf9 zpEQV>b~&nS&-tME^5-WqG+^IvdF|0^AYYlc`&L_TuE*A_@z>U+qLvjyAOgiQB|x;;o2=#Qac2`7n%!*KAkh=%A!QjG8tdV^ zLxE-f$TvxWh4ZM~qQp>pGaj$R;CLhbxyaz?xEE_J;*hJ$;Ki)CmM_M{Nk;Y3BsMm* z2bmi9tuDyGVZ)$VR@JbB=IF@sG9gv?M9T(H8$Z%5-87Xv{L$n|RQHn!bZxcy33RI3 zktH-Qu2U;G`;LH-AuUix8F`1cd z2=fg;(N>=OFDksw&UdqeW?n?^R%6{y+%Lm%jy-Wl-R3D@L1m2?Efq^Wzfv%y#%UWh z$BrapaGEtpJ*OGbXJmeCCgoC?Q3+(ulC_HAvK zIoc;6Ron?Q(Q>0dLo0;;kI$$Oc^`0>v0rAJblIK! z7V&eF3wuHUPDwzB$5Z^I6~dS->X@wcx5vj3Ka+@yo15#C{%qc*BOOosyY}RT6pYC# zvQ@1X&!_d9&0cY?qm`q8kNs(-%}c*RXD^`VJG~2JcUAH=N?BB7%gf5rii_hp=M*m& z9Gs#?`>fPBIk;TT&cvO0upTdHTfY{yFLA8bo`3N$EHw~=o>}f5FnOHWJz8`Z$kk;m zibEb9TRNsI!*M^WZ1*$?jD@FXoc52LZY&L)`;c-?X~z@D*3tBnP$lu&z-db9IqTxy zK7bCRf50;}+%~txyBmFUm9APUJ=L5x=gmPLw4n93Yk@;~Y z_K0h2itfa9cLY7%j~;h{Zu*+Ch}D}%iB#)FV<LTjZCtj#j*WCRZgg3XneGqf-W8IPvRKA9#pJNUiFH5t=-&u;9IqeDm|3!~3E_69($s15w%tc=g~w0G%FIlReF4%>O62^HpWSj3ZUV7c<)jLjO8OLhp#w1M~CiT zhdaAJ1dj#z*YEq*WZyo!K6(~g&xFfRi%a7dzfTQ5>s%mqXGIcle9*bx^UF!(QDuLS zLq_&|(AnNw7GwWa?-*EyLo@_5#%(72)y!_~w2EqtzX>ySbKX$O-0eEzv9(xyseCn8 zM{KRsfY`wHS~k5Tdaj9tR_dsg#+teddFN7#Ib4plTA$VY>aeGI(4?m^>oL?qJIOhg zM{6>(NLzpF$MRd$5oeVv3xVYL58C;%oxV&^8@B#U@XMXU*pC=4rW-Z)OeYhYQF;-W zw|k4R!$d=!@;(clT=<5D3!7b%sAa?X=gw-XpEu?!YxX7WY29q#k{jn$SOR35n9dj6 z7rIPuGySUAnzP=braAlC>vc9(vY!V5VxU4N5Kc|X%9*}Gp;GMDCTx>0p) z>iqOjwfOt_%i}ps-Gw9RvUOhTz`m7WH-^7Iv{oQ=@@$T1b^6t-(%O{Xva!6} ztALkB`{aCkunZK9Ezh2hYeim74Nu3N$LaV@jm>W`5~zS;)E^e8T3Njw&JH?x*LQ(C z2UU;Poyo1O4Q`haixI8ApHdDLcbwvRPtvqJohWWM8&$j-J#G$88<)$sxtSH9Tn)CD4PVX}7B&)~o<^HYBN8Z)r70;iK*VD;}4x$U6j#rdB z%dx&6pVY{2*UH!E;Aqth+Re}yH^c1?UstAt4bJDVak3jL$Y$(}cGQ=IES6%|+1ob0 zv!d-iUL-zQAMKcH4q47G*I;*?Ccfz?@C^y3HP$3O*vkqp5?-z^NPc(F5TS9Y{0*zt z1w#l%gKXc?gWZ^M=8Z3^a;1)`i>GeuPbs?151C?U>c3ojp7< zRE@6XPj>L>=%|KYC`El2mv1>{Rg#hUYWm3>oLn`tuKm&BhM{{?$)zMVQuxt&^R%Gcg)%D>6+YL?mm>^9M=oqts}3`^lY=N0s7`%fV26@@bU zl}*?JB|Vn3E9;q$PdKsayte*OJ`&{3im1BF z)RqiUY>%=w{Cb8R0&3*dGxLX6Y0sbYf89>~o)7W56blAUIYFh8-}ll~&1u(oFI(*@ zxp=vwr18)Q8%KG5G)nhazQ^Zjc4PGr{}~t)&cplj>u;?wu3P8totf0=2a^(s`akyR zD}ODX#NVQ#5Al6|{)NA@`Wt$E>vxma<;l(Uk@@+~$F_P`cQcqf_Ke_?hcvj)m`oGS zUY|nEEyHbXN`~K4PP(=-w$-qRC!02>TiBJ5_EflfUEeOY{x-enKGt_rVQ z$3#KDk<}p>?7NP3CQQ^up(UA=%<|uI6>mZc3m675VGIpA+DeuTup0i5ZulFxidRQ! z(gtBRU(I?uBLHidD$*6QE%;;@ah zokN6E8o2t@up}7LYdBSUdflAP^9mkvmB02<-7Wld$W@;qME6MslbLAJWGVP!xgL$V zc0)O4w6}!3EJ8!x6TdEti`Po{-V+c$*Q0I!wxtY5PL$tNZaURtf0wAZsZ13}G-8HW$d9&u&M&IsH959eeFo zow11cUum!(gx+!%!)rrBUIZ0oJ z!`Q@)NL9y|WR`_QoLy$Fl;Pb?975PcfehD}tM?oKo)C83cYT3wB|sgDkw}eOp!i;l z_>HL`fiwN>CG0Hdl0w4m#ff_-K?9Kj*WTJ<{uu=TI80{M@KqwEU2M~P(Z?Im3 zD0oM;$y;Aljn@YGSqPDu5?<}=#cp_8%n|Hh^&@<9k+H+}`|;LIpc zz?i@55Sq-m43d~+)JX8(qCtC~;FFO;gyCfmLBQ82(-#zqK}{{iQh*P0)Fpw#S1W%c zpU&y-V>!ZIoRG~h(%#aP$nGJ6}boDXfhn<9C+S^@V7s{7(Z zp#!pIXx>Se8Hy*7cZ%ro?bW0-N)c8Aw?{PccruItPiH5i@n#buLd3T5hJ3OLSyKV) z;d*;#jF0n9ri2ui83vsKxS0v0?_1ea=#9kuQM&U2%Pv&ys}^!@F6S)?q){$c{~*z0 z=bdnFW-jL`5W@~&SdRR`=mIg!KrM)O!?_`_%+J=wDfqcAzoC72U$dRcc{6pk)<6U> z+>rqWXZj1n1;o$<7!HvHPDh37@?TKuNi?Py-wZ_f2SmUjRvf3}j`$MGx*7(cg^6dk zXaBVN-DLA2t^D%pjGVvLOM(rRmbx!XR~Rt@#o*D{m+^eUhYDNy4+p4vyvG$nY|ZkHzm-79X_LBfERku-}vR-9$7SZRA3zxr1~7giXdEp>VIZ31M`g zD0JnMLRzA;DL*=%o2&D?RB)PpbUbHu@z?lUxO$7cqls@t-zSaaP9CtkyW1nl67}_M zE+>L?%KF|0Js&ehUO_ZYAyAkV3rC(wH2zT_G0R^h=v|Nqvcx-TCW#EOt01{FlT7&y zp)6^?2_Z|=ub)1k=n_ql`djpN##J2r>~&(0G2!sTy6qh@W2lqDb>~)(y%3nrtkPRr z)Pve}M_QKar+B{2*Kl^G&|<$>(zTGivi+{n{$1QWnPhZfgQvxd>;mN@DvCov!vasY0Y^ zMm049Z@pAhlvaVkVT|hQ>%1Xt@cFUP-*!G4y0y^<{$rY`#0JSY9`VD++WXs(bXwVo z!&}oLJSurjBYeG|?&Y>!Djkcv0l7l;Do%+@iJTtYeI**_6=#x~wLhh3KBczkt<99& z9X*kjYHEI%{V4N!-2PD6-M5pJhFkLk1~x$30yIWHX(^uO2Nf8Awgc#*5mK3F+V2Wa zlPdM7ZAU-RRuLV7s%{6jG@q`}PQYmzt-4uLwKlFE8QAA}Jp3D%qO|IcnjC)MNTt($ z|Go|MIz*dysM6kzQmQ+?na}3s@ogn2Q^bf=m6;9xG}J#tk~}$jZ)&WZI$-HvFhnS^ z#u_Lt!5DCK#Z>e)SttZ~;QM~)D}~um0?wdeinY82J)eshPU1FjR^mPxhbowQ=!S$0 zF8Wa@I`|Q&O4N;FFslWc59G3|j9^l6j6O%z>_y(mOCit$-+uux2LMdN2*sL&x1NvP zM>^uRE=~ZZ9e@$YOvuor8-k+a9tB{oi@{1$$Ul(BqX94*0L&MjB5z8LVCaGF-q2Up zTM5J@)@x8o{3&rERTtB!V;=~lOVeV=ql?JMg-X*%t^@CfQHK4|{c#sNr#v(SDulmT zMz4=eX~;T|$n5|wDu}L~Uobh`4+0E&_>KA?-Lm#z-c^DSuqKRd$beCDiE(WjWM8R< z?a6AE(Yz65Y)Slb)uD3AbX|c+?L4-@;r21mb@!0^Ak?z<=bS4RJJ2=JIRr*8xdf+H zyL1@n8or#xGG|2TQxY!>>dIZ0a9uaw;CX1+3v@N^KHd~mUgDt{Ad8R|a7h^=-(i)s z8Ow^?eDJo_60i+JniYrq)rGteIKe-)2q%;L_>$Nm6s1M z#Kup4ZLjFm*>syt2u$=@_=|_{M@=WI6KPs?8_wrf^=-G+UDD}U$vnf3lljjbS|3o= z;{-CZ0dgu|(-tnE+u@C(fz{;y{h%&x2ikWS#%2YrD+n%`=RiA=}W80Kx;iGOc~( zF(B=zqpHcODd(Eh8hRCze9~54?wC*J?}*WOn|^{|PJ414t+}_LXZD5G$XH@FGC2us z8)^~e`wusI&fcE^OV#M)q5}}n^UVpV=~{wT5^yoZ+dc*-+CwA9rG%`hkONV6Uy*ER zjSj(pzQJ#{#Txj8>M^RA{muKNH^18DXcF)(h0MElF>gtD^Ra)TL83kBwxHg`w%ZoW z!2O5;g@3D8i(m)eFhMLA9s?`%-Hl#DupvNm9ECh^xQ4gQRh5F%queWtQnvd@(!pS; zr7tHOI#!{64xK_^L8KA3GIj^?<5rDAd9EWyXsr(pQnM^_U!{#IwRX?-Ti_@CVvYMLBwl39+ zN`n_+BvES7;s~uiE;Oz&+M4?>hOBFSkD6<|VUDg->uTL8Q`D`&+Sccci`R`tt4$0~ z_ZRAV9acn4H6WLaRv08`8YYn3|IXh9QwzhS;wfY`;TWV$380K6NEzjPh8$|=*&m;$1tRBa(m}DA4?XS1q(S7Mg?Nej1TiG08Y;V2HD+6w)kM8WLAbtj|DAeELqB7%wN}bF(n^TJK0z6NZZu~Skr_6F zxgbQ;D}u@Ht?mG4S>1y~B{eZs+4p+thrUTROMn3Cd)QR&L)#vC1CrQbFI?GeS)V2t zvucxwB2ELvg9b{jOY4lqO4+h2Aw1Xt;`&rQ?sFbzg=oWagWx7Ey)ZexXs&%-CI(8{a#OjC{i5x5OZ0j7c zErZ^k6j&yOyX{yh^DGDNe|Msf0wXoI8keHZtQ4GVCuEIQ&9aSBm0ywVqFC_!fkA3T zrWaHxtS2nbi(EwhP^dlCGbBVcAJ4BR{1M>n-DQSJM+7QklLf?uq6$(5qsmq86eOw( z7*0uDXKi!|Q3auj6|tq8y5flgk}ksI8ci@Qu_KEC>x~XKJkH%ZX}`f^;fn zv6mVIoKT4tz{-g;u*k4;*xx?ONv2;Wg4bldB97h2?I+FKy( z&#})?A<=|S4LQ?i&tUP0n(g0#I1B(M0mKmyH7lX7&PJC)+{;3noCktF=Yn}MF@FGE zx&##U(y6m_5sKeaX6NE%Sq!w?wKo;B1c>$6DX1}>#P2k7(e@n;9`vbO!}PQ{{c9}> zs%W7W#70_<2FWxkKJq-;QOIY)SKx-BcWEJ2LXb8RJYMXhOP%^elA2W$#AJ{AjDMT^ zMyw|&+7*C-Tp<*Ot{D7HPNoTd8~MCVsv3w-771kA&miP%>iOWsid>C~?HazohTXAKgR6UPbbVf6Q0Xc-QRJ%z%GJKDfAU0NbF7#;aBR*t#fG`=fv7N$1H{4#T}b zJ?KpukMi{p@pysBpNe44CVu;v@(>Dwk^ONBi@h18A0vw3JWn!RnoVcdX3nWZ+*^Rg zzQ&yT_pVk$)QcH}3rJt~xPMZyM1c*xfOWFmG!a($L=m33Y4R(936ZsSKC3b^*qxo) zCd!+OAoz2-g)m@dP%w zEYk4;ZGxs!U;$c1EYo7SFSABqL0DOga~!AlD~yffF(>yA|0d9CS>Xbf~S5& z37Y#?M~o`F-dp5G3@N*=TR;GtDsJ=4-((>(v1l2y#y)XXtTvklzu9o#xcRX1L!U)1 z#)vW~4zARzVtIHp_P2oxgT}sp6&kq)tDVWewE@Qbf1&Oya^pw;0?h!;;NM1^{(q)e zG=kE1k(zaH&fuf^2)RbTp57>i;i~VlcUUKIe;OnTym3MBzdd*l#1z813qm*WwJ?@Q zbc;)rmTNTgPJ5ZS=F`@<)w+qnQ(%_2C08Af$}cK7tV-ZFSm$D_)cJr6b=VTiW49_n z+hh$<2t@6S2c^eWORTo@D$I+GAC&{{VDy~AO;;nQ0%vJ`UDHO&68jo&0EY^qhBr(Ww%QgE~>h@Mzh>ASyC(b- zW@*6fI~pqaZ1~u;cEdCxS?Lua*{Icc**cwvj~5jm-^LWP+nR5ks&P++B-Jt>Iqj!? zLnE^c`^8<9hkL;O0IA-zi{zrH-1EJ%4~1ETFeZD<#}dC8(dj{TAwU7yfLJD-RD*s; zRJC^JfRZV2;DB-}6g!lU8Y?V7-S!%-KuP&?rqR>^rJSVlXZRYuIS8}ZdRXRc<-DeW6oAadB)R(}OdJdqv_pEB?xbb7Q8s1;SBpfCbVEl$`89$@#D3 zheO&831oo3lOv17kCH>1%!KG?;5`j}^l1Dd;rop8TWyuh&Cp4L-N_k7id(PD#JA|C zf>*$&Bv#&~ipk&)HV2IeP8thXe+ri#!mg*+s3@^$EFY%jN% zCeL>WM4Ac>wF5}F8l#xWpMnL9`3|PmC}k-QQIkJY&#GzSN)DwZ$k;NCs#*JG4zbOY ztUm$oZB0Z?$zko6wQ-C>O-VfiCyOgNkXFxs$S}%bg_gC!Hj}oV;Fz9;KbKA1Z82wvt!~j$6N`|Y+0%|v=SKU^jO0Z&CJ3a~SxRgmNHzaA4i&82Z(F}k#*9jGZLvReTpnqA zjc{K=0r#Hf^hc{6ci3+Yh(LE&WN>&!c~tIFI4RnI+bcF7&RlNxTX!{AAv!yLKAk^Y z*p%u&7zgFcw1&5EJf&XEJe|hTVSN`!N?&<3WaM+dFAY5?YmKy>&ng}*s35yqz3zab zj&8p`mV6M;d6Q?2HGhqRb?v*(I!){JOL~=|{E(II;H{v|kIyHVDJ{9ivt`CpWz5&+ zmxr?zhqLqtvxyH5_t)n0*XCH)htf|EzaHpO$<*U!t?90DE)IL8(oEE}TgRuYhsy97 zzh)V_Jh9|?CIgMWldGf8oI>oR>JD(y249T8es|K|+D*kcst=0m)=c;pv3>2^bV$oH|c=5o$;u4kaq+P!G0W@lCqUoxq-&IvaMz6UtjYRK+iyEfVE`(vPN|A_F&eO?7 z?-x!sQYdwB{W9Sw9RCuI`t$mfEH)aAcSxDk!Aq)^)9qmk+wZ!^7qh(CtmL;uz7!!? zS!d7_|2WvYicEKACc;MM2w=;|xLvecRq08<5CSvPF;mAn%D#@>hfZPiz--w3(E2K}yOXWnQTp$V3*2 z?4a39y3+I8YNw-me@H~#`Vs8{v2>*^C6}_g3!Wjp1Kx08p#7S47z6d)?mhc!lNbO- z0t9mlg7E}k3;`Hr5R4}PvjV^vfnef5FrNXK-vEpj2*yzRwF=}r)R;qWU)#goo_jHx zzOa(-I2s~W7P$?`uxoYxsecnlNU9ALhFd+#NjMd+UVn}Kza^mI-vvfyBkv1K{f@&a zVs(`B*T{bnFC*)3^~n4+^8Y0P4Zjx`r-xsHn>@eOQR>nhqn$qOFqP`7R_dd_32*PV zfiP}j)|-hT9|$O@;{OA|t9YF=#=(>ff+{!}BP`%C9Fa!oRNkxTj(-z;d^(14U!&9@ zO^w>Yz`>RO4+I&JIuxBtG9qjxWb3bU)_I^iAt8Wgkg=QBM;W^Z-R_e*nQW)KAmTi z4egu7mIgF&aR8dA08O&!$nWSO%*$JoM}PL=8;-E)hch{I6jy8IJROFy>^S=xw@{RS zbG^Si(>)}ri(lt$G@M8z0q^Gdb?`Gd$FNCz#LJ|7IBRS$wD*n1PJ6p%g{b{D;%+c| zniAv}QrvO0FLk}0`Rh(6RW}i97X-M!qcy+mr3@*Zye5|1IM}@oTCaqSlwQox2htCsy`A6GSKRu?GE z$q_aWtv;sW1iC8Y`rP^fD8HWWIjBwU74cQ8M~#Pws9@q0=Qp<2AyM3Z74+QU&GkeBU7*N!*lVg*s>z% z#;|XO*4Uc-6j`9D3=@R&cn@pb^zn!uQxv(}kLV+Boa=ZDmh8MNMdi33^7OHxwxZJ9 z$Jglcn%6{>jI|U;(XT>Gu3XMzv2@WK#k_@?9)|SYQpbCj2)^<-isBpl=1i!5V=W~z zO-$u{V8bD40|G0{-x>IU)?$9hk~WLAdQIuOTZS0lo71Qgc~2<>x4?(D z18olg1@wQNl9i6Sl?Hs&Z!{&HNyQRK>WUv6Whd_za&8&Vj_jJb;(&6KHfMM5)(Af^g`@dm1|3n2zUd@*y;Ihv7t z%fdcF1fmx7pJ;?LPKZ7dAraNWeZ(M?bBcmO7DLkTju}KOXcY5=>I$Uh9`JuVrA5L8 zUfGF`w;lcFfF>FjtX+37%&y|K14a=1*Qs)Uk%pnRBa$Lc4Ffh5UqZT!n~o`pzT%aV z2xe<*h<5?gNTD8RRGm2dLDLz0gwgT_r-iQ|1TDdPP<#dG($-#8NVkvSeUJYS38*f-rPFrkMO#5Zf;p9A54j3?F9 zabS2ZGvBU6LcMuycaM;#E5B#hE|Xa3n@)RgZ+ACpg*CIJ=}8&IkGd^w92ZWNqK&>P zVW8?aKiQw&l-TJ0b`l8pbjA=-beZ>Pr#jf^<9nTWQchPDj&$QTyWuStcv9s)jow|< zZv<5*7=VSXnvHk@_DKghti=cr2QWXZ(GWlYz08}&XUj$Kmmq*wSYvxQ6Q|dp!(%jL zkG4c1mXV0A{;E4y&WT31ZPgq@aaM>ex>jXX!V@o!6I)e8JJ7C&EhrEc@Nau^ijF(q zkn0T=w84l33+Y=u52(0TKs*CY7N_S7rYQbx7}WA0QME^Bg6{fN<=sx5?_!ARlEq|I zW!MW*rPjn)&Fu8NKf=RqFYDik{7O6{c*%v#c&5;X6-*9F1jj;u@!j^yA5>4GhdMg> zfKp_~G87*nmTqPZ*UmDO$zC|)jJoz-g0M?t-^|5QBQhLleHL#Aortut=Q(WoFt=Be z#c!hyLOyg_24#648DI}b&=dX!aLP5*U7oM3tN?(!MQP|b`wvFYal$+Rd|tcPH5hry z{6^P+jO#TtOs5%CfO8i^6S5+C{Sd)cU0?|_X63kCy?zisA*O8kxSj&t7f~HWdU9}L zSoC5`m;f;7P#Q>o1HVLimh`-J;b(ID9xVHupnTJ}7*W-soC^t?(p=J#{6X?@E2<@#}zZbp+(Nyz`T3e?#0AfdwAV38OZ=J40!8Y|B8$JSUb+19X@}mly zJOV1eXx`m^EKFrp3z!?wl}j0jgDFC!Otn!Q5y4UY!E{zQkCd4|OA#FP|;3nnMi5HpvMp zHp!=SR;u{q7s>(zFh#%m;E85JUb4Zw%e9@7gA&D-EAPdc(s?iXHH#@68)hBz4NO1O zjTU>6Crx!^(fE}b(=_AM%&hdL|6u^RYZO}}` z207Y>Hi!s22&>MDa3$wRnHE z{F2UYM9xu*C}MgwLicGB|6(7nu{r+6I zO49zznZ6-n>OFXt#eWdlbZV}Xy_akYm#&!AqfK6JBBy#-6KpVIM{F?63Aa>oF*ik- zNImiyI=%7*?Ofqt!lt;)?>eF&-yPXPGAH!PXOM?w2MDnBcndIe{9+6KW2B*|58>JW zO3}EYh~Y#!=Z=A(P1I5;SVgs&Y6Xv@{^i&~qmmyWoEZ<1)Hl^W8sD@`$aLk};O+!k zc!Hn=eY{NA*|BeUeiHd-f_4mq3+dQHJwm`jCktWtn@Z$hE5dh<;(Rz-CTxlZ70f-? z$A9kjD-zrz}x4;JESwJ;Gh|;ot&$8FC6CBIgPr%;=mP zGsqnr1PP_6`PyZu<$PVtUI$N1>5;=UGsr>lUh%>26he?oD1>Ap1>j}qyv0*^Lsql) z_kO4__@@dx&ZzluzS&T!O}X@-9fPxG4YVD`_aFeJI96HwAVedmI{PZcugTtG=v8t^-iG?StJN}3-8{!E&+LI0LC z0Rl*xSWi6fUAW;iI4_^^UUon?jL12U5FAAWVbfM@7~NJd{~Q(HCyITweCxz!%J+>g zYhO%S8vC!+{2hpN*ktv8eJ7$Ce3`>LIBnxR? zcCU<3xIs-Ethi4O2B0#95C1EBDRM)0M2(1F0H@Pc?(8=Kx#pfdUqfy2LFeqUUvYLI zcj_dnbZvyUgfl;M)ji+=h*6R zkJ~0gpU0L=7IpDebl5idZh^s-;LvX{^y< z71#OMlTzHEz&s)(tvik#2`(_6nq!-10uwUBM+n7tauv$RuAo{C3B$iCFcApw`uV~^3!?L}*!n1)z zHwvUTke_fNU>tG%v4=Lv*Rn+o2KV5Q0KwfgNN@@6ZU^_^ z9^Bnsg1fuByG!t(!GZ?2-rRR{zxUo4-91K+em@SoYR%fKX04i4yN*zWZvqs+g9@GD zFsJl4Km_D47M1G~!#9#{JAh}l#qre!ReZE5H-dA$&-VB0BydW|2im!=DVI10-ZS(g z%)_VxOV-8F-NU&m%HK8o=qZ0KNTUhG0W9}@zy)|yeryS7 z26)T?m)FPKM_?rZJm!E4FaWL7U|(0H?b5)4B-i?R<=8T(7x)CEXN4SCUnN!nUL{^X z0ijtZXEr7FY6RTB_Aqb(dbr(^_;q?wyJ*lXa8Co~>J?y-gpsYcH2k`lc1ioQRIx_? zYpL=D-SUwq2I-%-L_DbcXhp=%>%%FI)W;!j0(9$^fEA1U$=?eXlfM=&rGx=Is9DkB zRZ|-H_Og&jYqkR#ql(|rIh%s>j8>=r&TvQ&jXuaacY3z;q7}&afC8;}wd=Xa*Tz+B zzmKcPFRgu1E!DrSRSnIvt;)4bU5#`)>^?TD#6U&zS4|^l?^?e4Zrb(5kQT+zGI5pB zLe*eS_q`Eq`QS{A8Z=U(z`%?v?T4`ZMco4<+V;VjS~V`DM416*7g~t0{CQn7Bih_S z<{Gu+oHaV(zM6BjkF;0?4$cUu)k@g-QvGAkIIJ_P1Y*x^$Ca^DXQ23TO&|^tg9Tdt8AKrFl*#ksTyZv6URzf z4e_yv^=%DbYe@(k>lGBHtr+uj82%SKojcY)?B0&Fxn3~Dy4F)%ngjPK8)i_6%y3M# zy+z8+&BnjT_?RDT3iz)z1eO2s|5}dikN3D%qv^zZ#*H0&@_X^2BDE*UT6F=(?msb|-1)g&yyE>90FEehe zz&~lAZ$@KX%l}~q#BTl%J37g|`hVCN4Y#TMVfR{#`5$(PWS1KMPwY$#@mI$`LZrg% z5vMG=GFJkhB^PTXxFe=2kVb5ev_+C#X5nARn@XTg12Quh<}M0y$4Gru$RjVl|)y-h>-kQ&G;uBM$+w;2zknu_t)qkb(xI) z(H=PWIyxdDPu0=d7E!+b)q)>9lD;?p>VYOSycsf<2a72&doRMf%bHG!i=>@rBFf7> zuq?4Xq>M3KHD+tM7}tNXv(|l$T__AF@m6KjW3X%5XjFk7A({$8i5B zcD*as)$flcUOi9!(FBC#9?~C80JXFU{7Vz6djc(n#$HG`W+%v`UZ87L@#ol8K4_zL z_bH6ww&^%m63M*?YUas!VlzC|y$ISAn5*cUIw}$2?V+|9vdho^FsdUkvg;W+#g1-p8v%t93MgGPnv_-+Hkl{{{NO;f3p|$;lN2;-W83#OsR{qW3ydQHRW9!ajnrtsk$Bx7nRZo@Q>MFjchbfuCk(1k1(-Hw1lDH zyBX~Yv9+B2@X9NeUL^Bwgn%j!Aj6N;X8A(%nOEJA2 z+MoFSAzH@GK~2(sgni)euupNpYE;nU>b$GrUjx*^;~0{)dsuTGK!h+yCyX?wnDjq{ zRg*q5Rn5iFSf~|Q5#bb_8SYfIeDPYZ|Kb>etaXip5^7i^=`vO{3R?HhR;bgMQcVg5 zxM~7i#r}=!)!$s};9m8z@SlC~<-hvi|0npr^#TzN=;gt6u^P||_R`;aIY{|OFFM-9 zfL@LPZU4JoCjOJ_EBxt+3Kz5G2)kN+#K zukgP~|9=Gkci3}HdJpYxUD>OEuum`i9d`BX{|YW;KH5b)r zeGYbr)J6m2NjV*T_CSfdNg$qod)rfS&S3rGboD&j`pIQ)HtpM!(nFlQ^gC^gckP~z zH-}5VN*7_$5p9OL8zV3!#e~wi4&oF;9H@=1sRr>Nhp58+X6*IU2)d=Gk z(jaA_-iJ8fK*!!hm0n-Ufk5elAC@~0N7_yIQJ;EXSLQuG$$2kD=xU5*Ma6KEg&2$> z^{=9Rb{(^#oYjXe4j-d(#51s>GNxuEs(m6)eq+ySis)`EH$Ie(HUj)xhrShTF8AKP z3l^iF_vgKM<4C3(40~1!vXtCy^)5sWoD{_i&H-+@vFX)l!^lVzFqR>6Lm8@rcb7x@ z4IB7ax{;ATsiPI`vjy}?93+$nv)C~l=9=TCrorfZJ~v0qi3fb>%?O1f>4Q*@{lrxy zvPvY^-mzcv)Zbec4ACo;+!?nXXG87)F3hL~=| zvXh>r>jS|CF4&EHfil`Rl^8*~!=K_Ox3`Hl1o;|d)8?2|3puuQ!$29dlU$8bQoC~E zD-G5tve(MGv>=%y{$iWhTMuW1Y+R;SOk5meqC=7LJezLt{%1uMv!bGJ47JTwI3 z_UlvUEa>zS20wh*AU^q5k-YtC(g z2U^E2+P)z6TSMru-c(D{PIRy_81RG>0yr^#+uWF% z$z)WAKGc0-t`6HaZUpk@(m+1(OAP|k`+XaryjxnC+-}CFy;x-@)9q-V87BsE_UZ%p zW|)X2!v;#gk_cEDO8^T+*plJ36LJvZTn*rd2=K!rF-BgC-NRv+6|@#MY-!RWc(SDT zF{K@{iwL6s2qbkvH;ZY9j8Hp#tO$k2yvpiSS->8BKy6kWEg=x#Zv?9uq@=->7kh-V z`sfHiz5qlOBT*r2Ot#xDmRVb+}Ht}7I1?p-6P`L5SFpgOW zDgZ{P0ia@HS+y_fCdSFK>Alu<`0M@4}kXZ0EiJogSvX*0Dyb|2#4DI zwp&^n0R7_1Vp0)f;-Hkp>=1x-BCNPY^#ALYnjinQrRKrlrW*Bgz{?G=Bc}BR@9phT z5W90DL1z0)YqQPGq>eR#yT{YhRqddvTU_Py`QA1A5%wnd^VZEGpXbBvUDRO7rnBem zC9oeS0p3yY5OT-YtIgh0FZ|YRxn*?Vl=rD;SG1OwNIkGZ+{z92rl;ML@dsz*jK|GE zf@hoikBawTFV@(|9rrFbo8zsW+%C5(IvbtsPqT$lFAw8KFBa^hFMcN;FDtFZ+W0*b z$mM$(m^a8;73_3s^+GE`7bJ+XFYrB91l+3~cu1(QE$CC*r<1-v|K7XA&O(NB>)GPq zl#}(lqShy`79HBjhZ%;V=C|)=hdhn0drH<$wz_+JC!^mxxhU%4r#A7(Vm8}Q+u6!u z`uN3NhDu!qyOoF?DdjzlVRAlim+Gv`c0Qh*Y!~ksfV-Yu-Dsj46RAqM9{);?{3Ukb4eQP_o?;C`s4QX_Nf=2^PytOi=!hZ6*?as{j9a@yXL|L zR+8k$nY3TEN4JfEX;0@jQC{3gH;2w%Erc-4^Fmi@HxXp!EMNlc>2D%HYM6wydSipV zhi~-A4Del5U|oh*7s5d+h%rP{RH7imm4tkDLf5w~3Tk?Ihvu-qW z{!~8fg#5C*jt{BBSpxMYjp}~9k2S?N?}jWK|Awp+-z>VK2N+X?k$F>ul3d`rB!+PD zOuy{QWhSX9hcB&(aieRiDF3t9tIF%8v!cT8+e?$wdt194=DH|FXhR5j=)53B=sZ8* z7J0-nSOay#>!Lm_w=UEUPNeYzhLuZfYph$UjZ_zV&(XqpK=p zzhK08<#lW6%Eh*TW?QRXTH80D5;V3)S!HV8#oGQAw1(jalp^Yw;a^IHTj-pcZP~hM zZGPTm=$L`Bj?}!8wf&l44a2oBhTn=Hzm_PTQzg^kZ*7pv{)%&yXmvTiOQ6H=+#sJ^ ziF3>`>?)S&r;u%E!mF0fh%Y=8KJ-@n7CIUJ<$UPjqwB!8GR5V=*+QOlE}j4m1O_e_ z4K$NqNRFxgsot@nKCD@2>_+^1vNPTi23;E8izdu4T#_L1_^TO`>KZN0@L|4aOI-IU zf`7t%ix+>9yDu|;z9-juSUe`C%#-kbtpqztweawk`z>HXlu+hL5m;-%jxwT;RbBNN>R=z;rH&jOwo{&5#%}oG-2tQfgN_=2RY}(EP3}yK7lbRtE=9BtWJfd9*sO$q^x+DWkN^jJ!dd}2nnE(R`F<|He2g($^mJtR_iuizO zB3V(H!k%6JO27M1X^k6hzQXMy@ng+Jd?Xev#sQ zab(~%gd`JpnZPqFwVoEBkj3nSo}KlR==5Hh4#@%(tWM_ z#k2YA)%i8W$=X$`2V zmvDwlS73KK1))t`f{71k#_*y;CnlFC!^MIwnOE=i@1M;ZJ{wOQZcwA-Z%2J-9Y!W; z^bP73NJvLufSJ9p;j=ZK@5l?Jctj|$7kxQYJ=94!(wXPEU929~8{YlCGu}K{?&{ZR z1H%exss!`+XUjZNCt1GqJN|2))h~XN+^d~~R1$^rKb*SCR$(>D8JireYz|I1o4Rlo znVJy!Dkm1l&;8Z?9-KKoAI#z6sbohXtyfT`5)`64>T=0vo;v7H-X0G-KVXNRoNYd6 zubuwPDU=0SdGfm3UAH;SUEJ4c3S};Pt~7zGPUFP9%>1HF~Dg51clL(ltgQ_QVIuTslERk2jY*PbG^?ms{5iq@VS z3~F;-!Xb7cJi0^uC11f52g-5)s z&ULkg>2rbsOuBnZa*x%{_wAkS`HQv%{Y@WiYy^Y0^7O{T>a@xv(YJ<`2m0{pqqUWr zUW=^PmlW*4_IoKEXAh?`i3A%jcUCvov%n^Y>+VG`GuO3j>V(iT*ox9BqiJ6x+;12u z!RjWfodfrHiv}6Z62E05-8Mrv3Y8bS4kuAKdP>^bc0a*+_cZGerMmVEC znb0=%oXP)5!FA$}+~kwIR_+X%3D_fBEBQ&LZ3+SqG6}QyiSdL4vR;m7Hy5`)oS&02 z3@A5sQu(%@Py0pGv1fj0YxvP@YNr0)!XI$Mlvq?|);{vTbn8MgIx;W*;(^?j{Y9Is zw!UOV)Jd5#M0J?dvjc=b@?-CfRJdw!t3aL9ORxAI3pe>hg;oD#FtuRXN?CZHbDKiRHCnl8h=dy3L zE7?64feXcUBiiZ3Mc!NK=EI$M$-!?)gO-A8E2OQe;{H8l7kkq@MO9OTGLd@u!5;CM z^ZotpWz==q{`2JMiIHIp1l`MWzUFao5>&ox5Y|)8aqH!Mt?sZELPTZKfsF0bs~|1u zmz5WFe7^XqWy2nY!xJ}~(=Gx31O3p(gv<36#9ZWveO}I-gxIxkog&B2?3u(Ds1u z0wHQv5Jm;c$1`%j$9G~Gn7Thn*4j|Un;Yc2sZL`GOmrLEu{&M*diO4sD{8p7R>66j zet_r1*dIeeBdh4``R=6?A2jiu15?1-NcE{j&vcZJF0Zx}QRY$A3A+y^kUY;Q{&>Ld zQ^cb!wV7dk1D$j2U`w>VOR_*-wZ#d*)JDZ&ZXnbncAwo?Kv6nWvTKWW;+=4`NmAH~ z-%W7)1oaK$lt?7}?g6%!Ki9d#4Z+skVD*WX>cvOs+XP7VLu)Y;p)L}^*E6Wg$CMtfv(ICGOCcw89yv2x&5y%NKR?X(a1JDC@(eD27& zNGI}!ZEtYOXWw=cVmgf6$-N=zirdOPI>YrlYKVKShZCJ>h38G-Mc#rFwzhTqVKpS? z`r^;5)WxfEWX$ywAeHp+n!4V~O2s~|K*j_G`~XrHuc^^pAax6*)=^$l&#$(O*K&}R zuPNYOZ1~p{|1pq4sYG>znM}IO1m5M_-V=s;INlvEg(X5f?*tJzZ}2SfcDTB?^RRVY z@_}?-&a#@|41K3recDkuEqwcocT!WkQb{J$2zg~evgmWy5cD$AauoP>)j8xdvIO%#c~k3f!gnY?N3p$9$BH$XfLOV9u{*Pi!k3>i~BWpwKJW5A?{SM zly!L28s8)Io#bHfeeg{}VOLiDdE|98o;=~kv={_C8pzVe;4&N?0XrJ7fQ#^I@qe|1 zyjuJgTGzxIJGvVYp29(UZ((a`}h%ecZuf0F@~BxRe39&eE&JOu zF2g1Ut=|3nXk1*^V*ACkQRy|yl~JqxmXnK;$2ly5C2|n_?X>BOI!aTmcbrLCf_Llv zeNJcFaZA|2Y1k;)psh6+gY(;3%b*6!&C`Z@phMFqI zy%4|~v25I5WRaVGE&pOQWEc#q#y#KM@h|2*V?rW2Q!v}#%ISXb$!lwAGRKDGEg*sf zQ6sS-txkND8QMFA@_|9%=(x#+3AYb8keye)yS-y+&=WjBe3oc zMv$PHgu#-5gqB^c=0>e%otXrJh$#GSQZMnT?UFnqZFA)d^Y5!#^J#i+waxE?@)t@G zYi65=*OdkFj}W#;9Ot_`6NPy{)B3e34W<5`V-+ZB8EvUxU|<-vF2N!sFh~>tQJW&U zuaM>;N7=uc^Uk6J^x6$JzkCs`%=cBbKi{8bJs?1Sp@aQ0SYf!Y5KAyxAx~WN zp*j{!63$^s*#xqFdrm>mJX9`dWyN-%9@brJNZ?04mK{BX*zGAJ1PJA}$;Y>A7zu++ z*U!x6NYcd+Qm+KreN{~0$IjVY#3WrPEpCyoRsj{@;6g_?za0&j%pP6QT-$&;3{ZlHpYxV&lIDrTY zI75C)T7l1aZkX+hOP7(iXgY9^u4ph0zGGM76~g8(=dt7 zn7Bx6n7L@cHYSNkA$g(cAVIXI^&X4$LZ6=2dNvTi3H-3YDf|)@p0%Bv{Idp!!F|ao z5G1ljC!A4#bBOa#<&(p$>Anqen)OQSe;Y)6W*h6>B`J+|X1Xuk$cNLSZR}dnFj2qg z`g1u!%XL~>4&v)jBeX-YyG22(H-Z-y6z`5EM4M7ay)8D{J4d5tyL!;y60)lZxAzUu ze(w`i0SLY20@h>%%W-$vZkKB`A;J69=wlrW@A1)T2!q0qM6R>EbiJq}mviy@2k55q z!@Yu20&S2zekKk7U&_%M;`#*!0?{8$4JI2!C&6p3$a4Yoms8OR0~-L8Tw> z{ZO$6qW2*0dqWTa2Tl=v<&NP9}SlF0^g^ z_j83ywM(7~*Z4cZrW;}w)Eh<_RCZ38d7INs^$)>r?<#}S@G2#m3Mm}FB)TaCxw78j zITu%3L?$(0Cn-)YTnQ@la05Hgw$2%5bsRGsl|EBOV|Vut7EMfgB~ug|r-R9R?YEWP zKY($Tdb%rl>dkM+4jRu#$qsj?x$H9g2sS}#lX^I*xuNt@rs0LpA$>Io7?Qll&8C;#ZjzcvaiP??Ey216W^L=wik=v`t)>GdRlWK=1wjp7i}%Lz zbzf0gT^5Fa|D0~<<=brxx0y;6nL?CzPPUJ?ZnhcFz!ja<=xc3mHXhIA5L;6avsrF% zCm$0yOcK(KCN6S!|hVop0P>VdRG*a<_%N@pghHOc1O8^<5e zBbSxfKKk2Ipl-6`tW?Nma{Hj=S2GOb=&5m#i@P_=xWM zTT3ognOrVUg0^=bG3~;U8G7Wt?u{H-o%h0+X>D`*OJ#C6Q`WM`kkwf>?jm;3OX%=B zkHly=4Z}qaH%2dxNgds2GEzURrExai#=AF-km`cGlrfGAZ#`d@b|It5cKp$nJcOhD zTR0SJu4_Y(N_+!)p=gq)kR)hGv85gDdtLFc3dap1DuNt%KC;i-)FUcLp&t+_KNVP4 zq+Z~*a)`kRW~o12tHF@p}<0@@oPhxy zr2Dh%UBtFK+-B~arg#0j8Rk$JLCDAEk8bIsS#%_>*Y=_PAKAg^5=(AlfASWdiX}{m zmwYgO+e>Fl_;woYt2mq`-^eo0k_q2C7*nQ*>|bG$*4E%UxSO-+ct0W}r)YE8Te5L~ zz>)R~pRJEp&=X4xA(7>fQK*gNAf=`NZh~jHu>X2r8*wS6KW*kL*@LF@W)pUg_ooayU}UE>W3$z(KIie{NcE<>fuL#@gpP|2=uZ z6?APxw>GjV{b|3Vb4H<-mr%nvvTm*nIT+2Jlq@HIVESxnPkfW3L+bbElJ7f*;nn)>Y~|pGc!WX z^T$#U)L>qr&n0*($`Km!j;S&N#Vo2IUp2&=p@3|mD+vXQmTQGX5A#c#8o41UYrqUn zt^MQyF+3v?UHs6sGl=&3yD6dOXFXa>bWs#(RABF{YN@<8C07UJSrUeZ`L>SV?*clL zE_y!73>=m}gdFpukM?eu^Qi3B%DVfI#@J5omeFL<##trdbEhc>+GJ_yz`~Rm3Es|7 zXnWJ5_9P4?dIrKrll3$MqvW?baa-p5rJ%nLus}t<+Ub)XRSPyNDQPaMQ7^~{ty%>R z6`-klJdxcEOBrt}KBOPEb#a{^bgq1Ma=Uu|^?=+ux^{AQ(mXq#l*DJ!;i)OgGmV@t z$(z$o9K|@ZeTgOLCJt^+?a)RY@mm|)iol(+PU>+U?(I-l5=W7&P&S? z3Fo{k3^~;!EU87+%en^jCNpZg_HX449J>b&C-SOCbWD1!%S^i800&ZnKgKd0sWW!* zead{(xx?s=g?KhOLD9v)jR*nuymSZYvV48Xrt;xS+3itRXL~0}LrUp8FkQ8;J(%8{ zj9P3oZ{&ZZ{hF`GOKx11w_HXl4FY>-a*oe9v89Tj1>bKI1Ujo5XkwfU#+>VpDV_Q`TPM*(>j#QoB^W?Im zF?d0{&NgbdQW=JP;8ZZ6($OAT<;kdZZ{|&0WC+r|iRx@yelok+nI^EZF}qoNb{OoP zb<|p2(}{v^v#yV%`JAB=t)*Eq>eg8i7$$um4IBcc>%l&!U)AIGk#2!CuUtRuslV>s zmjX>XgJte`*-}%IZ?F5C2|o4sjfmT!uUqVPNTYBY=y;CLaqN>oP0KPG>am#AQQ4 zGmbYA9qVd+|D{?0mC4Vi`?le`OK5U;vc5ZRGE-*+jwULLyDG|Lxcso%Sa9;DU#qKe zxWqt?QXgWb;s9&yj&#Qd2zo3$bYms&@Z?PcF&AURk8K7SCZF9`RA)~g&1SMz29Z-Y zRu+h$1gQIG>?o(zwBDi)Ba9N|8=7&(m(bD&iLnLjMr+hI;R!lnB??hZi7~-YMCSdH zI=Ud|Q4@`I33Mc-G651_3fNE&S;|P7S!P?@lPAmX*rG`1q%5AD2hl(UuC5pOck_f~WjHxq$xGA2x#Lu2xG4AHWqX1V6&XurOFQ8noQa#h@`*cDL~j`vL%?RgIdy z$PA5AQ;_WJCdA=GaqjN;x0Ts33xYtL2hmB2G5|8e0hi&BM)h68zLhq+86n2P z^mLarWtq=y93?w8w-S_H>hl0K9Z?;% zsS(uf+5hanPiA{*B?-H3UO13KWnqXS2I`hVt=(hSB%O4()O3G(`uONJdAwn-Khz&- zpj=&Mo~YbgW3I09VYI|V9f`Iy#;AU{_I~$pesCpI;!)o?<^Y`~Ov)=+-SEC=jCdB= z7%PR>8;c=yWkfB$E}@#zjK)BIMrDAww%mA98R_MjsDg(giH6yZ(lR|p&}=&#?>1fO zNqxQ`ytYL8y@_&~1o3-`h>sKcfFYU60M$NHKUj0cSMRR_HVTP1Pi}jQho~K?(V}#) z5a|}Xn&aMQ!uQqm4IbIh75|8@>VxU9p-ZXn7mWt;(Wwo2f)>lM5~6UD?otqou}gxt zKi*Ur$s>HQ0-t29K0PE02ZvGR#)J|G(5M`y_tq#HX7GmHYle!JWLbievtYi1LJ4C6 zvZahbYLuZFO74iB?|Z@PH^9&B4il3SEAh63FXPWV4-^Ge)+H?uCuuJs1tq3&(YD;? zBA<(jFyDX6Q86?O)rgU&G8Y**F2ZDs2-l3c<24tNCfQb*W1XoUN&Y+o1TBUsB9D&Q zgUA3!T+{HLe}FZ%fzqt>_RnReTFgTG;f4k6g*SYhFYU?gS{CtZROiFJH0>5oM-|PR zM&CQ+8Q4Wq{ZFj{OdAVcO@h^Qr4!FcH~kH@xF}(kCXHK|D-%|t6OC8aV{{Eh^$A|J z#?_WB<>X$IcsM~+zi6Lv50-dbTw1Q}{A5W^w(M62Beabg$0Da!It*;j=pPb@q#sq!Q*(77 zQ3m!P)PRCG|Pzq|;vA;eyh;P``PGcfh` zY^J!cTfoEYvMA3Xh>Xr#27l(@QAs@Vi;2_vPPeLMhS>?pZ@~yk=#yqpu03$@q8ru2 zp{S&BXj2C`&Us7WD2Q`oE>p6_DIis(bKT0Ngbp$x2pC9IM;#eb-xAsfCT8uUp}YhL4t& zW(y^(mY zV+CcoBbnjrn8Kb=iGV#nNHt>2i|!q>oPwNUpqCSmnT%75YA4I4bd?@i2}rwQc?O_r zAs7(CLz7<%=M1)FXsC+4yT%=1oQ~I)W;Igqt9sza|7lL0o`$^T5nV=riX_1n>{4D< zT5MzWTKikY5&;ax;vauyY7-QYa=gWl#g6#^$gf(-Z-_~gnl}~MFxgT;elJ}5W}glr z4qjjng2yHa0TxAygosOX78P6V)umj>_YwEJO0lKigtAKoRKreKJxOt z?kF&l3k>hsY81YaT&g82%`pPegA=8das)z&$OL5KOsD`5n!KLT^0j7UMZOErW(X6p67opD|J%76Cn*H zWo|j;jxs1UB6ksQAh;J};uDKRgS|(>X7-VQRD%@kbAuR~RGY$Ll(74<7a_#<$*GJE zJq!u)%rg*v!MTg>O(Chx8437=ROS!7yEMFPUd5oFcK zx#Hd@7&yk3MPIs1q&+-ULmyNw2fz<)w$`m~EF68fzb8y3MFT^}vDe2_@&cdqudhF^ zG*|7;g(xb7hU`$$I_FY4PnEZI84&X9x3KL`lj~2r2wglQjCu@Z-wJKa4s8q%?Tur) zpjjWGjugtTDDMtS7zE??K!I_QiR^Zuh64_s4M^eMkaBw+hW*{9i6_} z$e%J~SNNSF)*>S!vc%+*Q1R`hmTnIH1>Jl}?7y50#Rlf^FjZH-c*ra7L(2_O=un3R zeZk{A`IZ})A;_zKr=ku;*lN){CSCD!pwy(U{@Yre){X)F#WW2wH+<&WJlW+8Yb0pa zdj)q%Thg!tvY|9%8yQmz<(tOvNlE-&5W&m#^UqE%Q;v`#?B;`Y^)c{fRV+#VcO&YA zH$D1rYUI>6E&6b<_EC_+@5LZ{#>N30Q?iSB*M@;Q(OYBJbe+@PHsh{;X`_}ew=w|! z@d`L)@7a1{>EdK+mo2PHV(7te)(wkjhdNmNj1q?C>w-wM$mARQ{7xYq?K=n$bPjQr z%>)MqTkdlCSq1CWWkf!Y#p9j}k;^G_-W1zD-C#2%?NdFX0dTz?MyKFCJov9dR&Vzb zr|`Hx`t9MdT4t5mvg4w%j=sMe|CY(=vTB(=TKV0?a0dnxk)-o30D;U%hLR0~io9QCnd%qam5KF*|YOX@9jD-s;q zVbbbs7dQ+z%Ab>^n;L>b+5ahBjpIgCtJ%bbpr+b zw9kXJq(=-}9e~kjQ|6XXLf@HUQHKoIzr>Pe5^7 zp%ei*s7H|9cX3(_J-kT$T|jm|1?u!Kus5?;E>Ft`Y`n4ow25?B>)RI=QHvbh6{=%B zZjTWB@wH?56&+@hTO9;pbVRNxjs#o8v;E@t1 z;O+-`%(;pjtKF*1IPa!Zn+}95+M}^f<6_&!vhTUc$TWnV225o0uu+n6~IsA1vEHOaab$PFS?3Q75!H>{)|a$ zfQhcuAZJ^bq^f9<7)-kTbATj_PfseHJ~o>sX;$%4)i2G3c=0zuXJHx?@H$#YD4|NQ zIx0tbg;IM&wGo;V`9yIZ<^gD)7+pk<+=k?vl0wGWXGTOUJmj@g?%OlmH{X${+|d9~ z@KXL(e>@3Jr|8c17i&@n(oAWCpj?+!E)F<(vp^;eHdCzeTgwZH2bVQ$;`32aFqzn=;>0hpd zeVe$vq`sryNpsZ1jeu^ijF>aYO|Zp|Lmc^o34xM=OIdgKYFAMU9W3R?=uN75jddLsYC(Ynr8WSi}#H z{r2F?TDtd95yc86F{(JYBnojP#PU8panZdlWqq6qrMn)av0uch!bgIv_6K#ga* z4E`-ght!2bXOT~KOspyzVQ$B!{H5wk^;v*AlRmaO6MW@xs9}mWxx4yjsX*2^?RGP< z=4{8-2u6drAD43l>$G_eAW|1~kYt)e^N-ZB&+}gX&_>;(b{flBqfm>6tdvbeD|xNh za2do)QfI#tKLq?x(n1um_*(4;av@Gk_0L>!1@!Y%NtYI@V{C!M!RkofC8Auh2VEl` zilO!j_S?!=3@w8rB?l_BRlTFj7V36Y1tJd2$&lhCCw9R zt0ul2A!CSMpM?#c=I;9i^2T7uAIs*xp;FO1H#fk_=9RUC-+pgT;rvXDHtC%3HR#U& zhg7s3v02zB3N&`>Flm}dGd4Q?$~8g5FVM!q3PQzmOXGCwDTFe_cj2VO`7B$6Ti;?< zm(XJrOxSNIOngm49)JDXh!ufO$VXE6Ja$|l$w)`xxpsqB-jLN#H*0K~-PXl_=GOXj zK^{aGkKHHn2yWHcMzzCo0kV32+&u*L!S?CO)x^_ujMmADeK~;K8>{C3!5k&|4f(U~ zNuur`nwRJsMWvBXp7&G-F{%!YE(<4VAC`*G&aIVd;^5oVUWy}|QPu>9nZ=h=5 z@uTV8KX5k}5jq8ptcw*XXXSO8Fr3r*P}5&N9b+EJi4c8+EDMZtcCw%N)D^!k=+lMk zr-|&+36ATqVCFy$=_+ucTILo8@4WinPZ?fRH>t-hzlZZ1Tx_R4%{%$c$WI1U5}UAE zWoLCMy_D%;`o1~-lq&HJzHKlv>nT1q_OzzU#CPyxi-SGinAD62VUL-ka}_qzC;Zu< z{NCmunDQEg%m$p4MdSMQI$-)OrX$TNlNi9ovY0|yL${NAiu{ZSYi_E-he{?S4Fr9y;kz{T8uo6D)4^3aBw&=BtEz-d5_qSh|n#-9%%&*j5u0S!(w zC5oMzqOCL*giEZ-pKOyhMyeE-!+T!OAA*~{O4q#tO->;iS(EX(yec*&p=!Vwep8yC~4ahSSnuBW0w^$9unkQGXF?77?c^hR*RZew3zwO$MY3W#>Sd z)W}*+Rz=DXioAOf8basgE@se$Cwj@nk<%9*=p@0}y4%NE=>;L-K(FN2uQ?+~ZC>>k z{hwPqP!h@`nug{0Kke=kn~f0(r|XTI!&^D!SbOV-28FQ=tSGS}(y1^~tjwn0Rmaw8 z;n2i3)R~5>iEGe@V2aaVMTFPs=Zae&z?-?nrB~`ajn4AD+&!)6ct!m9jphHgF4ee^ z5#fp+v!-Nr@D|brCn!;-Vcz@WX%9$bF{4kUp|RruGs1~&yFGw3c(NF6q~=Wdn^J!v zbx3RF)c4r{!rHrkxBv?$-Th(zMm zh^f9c0y9w@N-60{BELlQiJIcvh^7WP5Sq&Mz`Bb>ED1nZrR+q2@bVRap0*=uk^)t$ zDRALQ5a4OsN6}@4X3EOyYDjz&i6`6Nf|)~CLrZ1}5d<_kUBk5=o0O!kG6?7p*Y0zG z2Iibwi!SZdz>hc>you?w#cS*YGYdl*6W<;8b{gtcTN6YTDm));cvZ{;h2&kbpOWtX zHW%4c(;N6DN0YzKL?M1%>o8@QmxeL!P*^q6RBA>mh0z9Q_tjFT!dvmw4_=MJHk0VxvAX%Gp_G^Cd@Ccml0 zwe|wLND8Ndh`UlV6*OdJ!QQQs(lMXuoApP6lUSZ#OUBeOfs4)LaLLQpF&b@g-BRw> zvx>#kEU-6bA;nlX7+^Y=%6!s|LZ&iSKG*E^7(@6IS>92>zm%E$BPEXhZis5^A*H#3(CNes+0ZS)}a+}VM z`x`G4kMwhd!*?>X5Pk{71n>iB6_do7eU8pAmm7Rar0%QP3gQ@eJ0p*fU*3+{L-yWY z>^D)Ni?1n?0QkO2x?hK5^{u(S1s?4QiZ@MNNVp zrjgN1*D9|h%?)sBu%*^M%F z+lTvy(=7_a)zvM!vegQ^yC$%-$CgCt0Zj}W`<0!=De}$=qc~ve^;PrlhL0M=!*rev z)zFO|aE+u%Q7d3}pXRolC^r*#E^I#uS`BKfMv|?@YQ43p)T4FPrb+D6RBP7Wy|9I- z-f=8fL$j9e8vh^(>Q%dFQK1cKr2MfLN%l`k44c~i*x)CEUdHq+S9!ixBJD2oXb0CK zVYGo_ftmaWG<8b4Z;1(FJ#xScFn|u4;9;U1>7VuYfS@q*qv9g^qwq#g?s~F38xk&X{b`+1!mFJeD z!piKt4D&s+KPPp(?m9t1FPA&R*c(@!YaVzYy8<%XKtu9?P z%g1?p@}coRO%09KxtQSxvETSpX(oV~S3UpM zrB6#|Z*N~q$FiVOWkwZi%Whds2V5?jq3+yZF6llVJ-^bUpt3!WVLGWRBYX~n&q?=1 zcSd!6oB=?a!1F7G3M%~owET_bdsqyf0|ZOY{>oh7aNUl%C_aUIF5`PHiF>p)_^FA} zRW=k#4eUc|_lGPVSFs(!4}$k-TS#nM<>qt)@@>LCk8tauGWfPheQ5@;I>Axc8tlaT{te?Ws6PK#ggjL#< z0|w7zS?bj{77b{E^X`6vhqpSxQ(G6v{a!So2~I8xJFKP6<>XlU1RV20rnbt{nyeas z%YJRS*s-W$MB7-RlY?}-qm}ftsOpC`R$}nGhJi6-+@Z&5n zwi{A2$_J$36isbAZniW_Z$OEuSfG!$gL@GkU+o)nOdWe>?-w`dz&1^gtn1hKoh`S^whWa$QEiT8|aJYAE_!1PQq_Dtp(?m0e`%Q3!; z#xr$>KW@>n81O%b?*^g=1H?9U{eBwKbt_Y28d596F=I+X0v#Q}f_0VsNMO(mhrI$h za3}6iaOz$I2W;L^LEXHiz2hyRuEz_?c4Igu1sTXTe;;B1Qd^h;siLO%nB7P`tQ{Ta zN|oy516RLoo`q9W0I}i}EGB}zADp>y{m_Ea`|c8v!TZ^pRrOe(Vahhj`;c0Mx4u-^ zcMk&5`h~AALrV8F%e{ymuW>pE94@Rxk%d-JA}B=tGd|Et}r)RDyc|NV& z^r=iZ3W8Em+2OR-mM;Ew3)3JOGPjC7FkF`UZNfaHZ(0bz+c)RYeTvRUidTML1! zA)34clGc@KHj9-AL;b72DWStUT*JfWqKZ?i*NCITI?O+#pl94-Vw8F-vC`anI2~S{ zw$)QFdcU9Y$=SW$>B$$acVe(vG$Jq)Dx#q0M?e19AkN?kM{@iz&OJ;)Axe*9JuEIop0}UWB+A=c(eVJFB)QmMtQ=LtCd71|AJ3! zxw`WS0|#;1E1h{MyFU}l2ZO4X)_@nJ_7`m+kYz>Z$+u=vhY<+W4*x{l&84`UhOofQfI=! z!CHt)i$9z`%9Dy-gAv=1f&=A9M|n1#8NrNras0Tm0yA;1iQt#<2iG#Q{kYBGg)>=2XnJ;qpaT+7h<^@(#UY3^r;Z9Idb9~gXI_FK;)HyTRIwUg znNdlP>I@P^?}q&4pU(knTq?BxX3>sx?dX|E)&h?z+`Js=PaP58GHPfG&1?f|y0(MD zepXwxq$~WXuL92rv~<09-P)ScI*`ULfEZ<2;Z#%#;+LZ+Kz|x$#dAyOh;U;29xC%K zZ0~>>v@vF++y$UF*wN2Oj3-2-7S4=De+*VwS42+O7D@m`ow*U=mbB6plK8(Sj5};D z4ILO6+(0Sqv^8iB4?`K+FgM3#M}g1j(%- z_FJaOH%=i;uP$AHv(s%j5}zd^2)9SVP>{6&pn%^AP?%462@RXEO6KAM;1m6nrQt#a zXHy_>(xvtgm{$XkgnOknB3+FHc|(ZDXAn~Qms*lOZq=8@b7#uq${8Oz!_&%Ye}V@7 z2`;P!H}?PwW$OUpCB$U4q26E~ZU-WU_aKUwKJY;Pumx-;qayZG1Dk>XsCICmP?o^} zzl#8vPXYjj&CS^qo2w<49>RdFAY!nelH|m?(te_3z+hDdr#|JYbg>U3(!z=51!Kc0 zb)R8{R|`=6niq%x4h>MeiL11FB`0$YJH2ev6T)~FIG%t$20cKK9xH@AqM{^XQxO2w z-2Wnk!dP?I6h_a&cj~PGKJ6R1H@#_r$zh6E-IPIP_FHB-?C09wc73~Hfc}3CuB3BO zHL%BTX@{6Pni+M+63|;@0S(b1Ne%7rl;HR6lnKmeA4eU^<^&8_nFE@`@`l92qqw1Q zLJJ(m#FC7c%YxD|t70LE^$(+iH@C9;R4_nI)&Rq{%*bdHy+vUb?@N43@hVPxyV8tC zWom8L4DxV&e6%^k`5Tq0ly|f?7=UV%xtgojP?-dhxW+ax92(gKlfgKWHZ&Dokb060 z7|X*0J30f(E$xzn$N;ENm1+PBJhrTGEC$a~ql!!gaD6}Jagh(rNDr(N} zL{`)S4gg%wpj*nutR&;KU8F}eFh83NRIEc-tQib*f3X1bmqq(U@BmSXhE}17e*KtH zHhz7?oPfSNF#zQqr?M3UvxBILjRkW_Yd#+7&hanvk94$NJf=fA8?WL|Rbpos@e8X9 z?&S&;;e;i&nTqWJ+GE&4Kw&|fv?FCu@gS{f_?Z@bW-alK#ADqKx7 zNUE*YVgCx~!@~>_@fkK!rUwUd60iaD;kEmAnTKJ)f{_gHp@AeIKc?$61@;e7vLFYF zn=mu?fXg#;=3A~hA`3Scf-Fyq?;}ot{v4y1&H@B#;UdDXgXR(CAhIbqBJ?93gvj8C zaHMJTEjR=V{9w`|VnM+L+oZ2d&v=oSGG#RsTZjm+A#-&-Al34>q#&9}Vw8?01nA}R zCd`8?)xVgb164`kid;t`w2OaeZoi?RAi-X@u9`NumdandN5Z9-EbQ7`-~zryEO_x zUJ14ZcL3&lJ8~dG&=>~x;`x2s<@lHbbXB;p>AuQwbqpBDfs4$*RAC-OR(9yXdT8RF zpDLlysFk+R#JVep!i%bDeZ-#tyBsTQ8_a{x{Qyuh_Yh+NU>CT+Q7hpfiB+bBtv*2K zm4iS>RGx(!I1ea-yp#?~M0hr!_B|^Q^9LY^fe{xmQZ)C-M2-$QP<_HEwhSzofgTX7 zunsD46TnCnTU~P~RK_}1RCX?6+`6yy&?Z6ON<;mLlfYox;!T((M;0T8j3S--7=gHv zD(rTbFjrg|WT4bnL=KDx3lX6;WQGEX0(u#%LCRR|Y9NVEjKg|>#ldujph^o4=$+>; zQ8dN@;zRQwhlpH{7{ww35p{>Z0Zkzhp($tpVQ*IL3f({pcH!7xpgEG=lFjy!KMke0 zu<+mj^w+@y2sD$q&-5Dpwp$!wuURfaov+ug32KrGMM-&T;Ff@8cKJBpnMd(yB|D_zKq zc3n*Kk?`b7EyT&HwPzA@y~TFjxbo3_qJk&_-)esOB4>vy}TX9kGk@^-uUts zP~~nTMGdHhKHX|`c5<8FuB)iL!+~MWd_B1)QOZH$K`Uq3KQ#GKq*MQMl!j zs;k=pmhyi?uw%?UV)}!d3Htb#$oy~Rd2bjW(SZyH1t&$sVD~t}L=!-W1(kacBW*yc zJcsjf4~N#k0P%^};)$2<)`dIYr_j(qprZcA51Cnn1{|UX#BfG~w4g6+OtGIzMU&Zs zH5h^fE3AL+sgTr9oLxue=b&0=lqFgq00)SWx#64`G3v+4Yq$&!OBjxQ;{ zrg;bO6uy~OFrkH-O9N;zqu|@zn6qG}K%&jZwF7#^+N)t`fJ3=ZfLkzRuIRLGBqw#N zm&T%5dGBNw?a5?re4;sQ@E4hBX1W98dXeef@?PcgeFQ{z4eAoSuky+q*<>WLbm|il zR)J+>DH7hgSa;VFoBXn$uzz?rQlCWN{1|r{qPyxSZauBzFk-5LqcEX)d$_ETQa}J( z{Am}0&l8yE-<+5?R=y&rGjbQ6RIxFsJ*^4|3da^4uTW+W&yuznUwAW?P07r7*qfep@=D$+_*~jJWe@^PmtgEz(WTO#p zDZtFU9sHF5Q@0xWtanS+b@x@AF#;ut6vUARs=-z|fH^3^z`QZJK|vkcyYTswoy+W)k;N5;{3B5LsgB5&qmYKK;3BYzC7Y%g+J}{M z-dFWaN}t0#R1-^#@d2e8tV-qallM=&Z#$*iN}t``RTEPJMDRB?a{)oiNp-6KV=2Cn znZ}lk3Pm^ziZmlGmU?}rZsZefRhiP~BsW#{u=uo0;SwBw3zG3|e4{xncMb2+vi^iT z*~Als{~&9){EwnCL6D*m0}5kr8R5^M%7@gk2{!B*oO}qjYPeH^DoXzCeO@U*q{t(_1*yks@Sx zt5|ttF5fq;0=|9P{1%jnZV=dD;y+oim@XhZ|C>(b2lAQ{7||vdZjkPDW{~>G&7xu!j|*;{|dkF1_Xip4J7zlZwK4Ds&$9;Sc!sy7K<3KuW-eA(_g#I(p7 zrT=T1bMr*WztcP+i=!B~zAZB4_ceCe?jb*$mG=3hA%iglqc6<5cUU-R>+`7Kr#NoG zd&&&8b~g5-#zntk9MrLNMmu@GoZ1O47^F?TQDmsSD+vzC_WtgGZ7y>*KBkUil$Rw@_w-&uV}eTe;68tFF%wf zp2sc7`?IaKfb33_nV<$5^$=gcS$~MzU3;&8q0r&#mbSW`5rKZ0G(<}gbEq(r|G%HD zas}J)^i^8^yDpy%r;`In3A7Buh`L7)6Csm%=dCb@d5YiCPT@|VjzWa7LGqHyku0OCTrR-LzWxJlWV1mCg{QiS`HSgO_+z zPCJ!QQ{7>F8OTpf)R3QoOXjj?7-=V^A$1HAU>m(5y}e@6plc{&8n1$VVr=ir792AAnrMSZ!=P8fWcU4) zC(M9fu*BVYI*n<EVGlG+7ZZMHyv#1!%BHO|QD)xfAQ zbs`cCssn6tQrd+8X_cNJ!(&`)37UFb8R^liVN9CP-^)Lf;a?onMf-Umn>HdI0D1k(7be5mgS2?;q1700lKdnSiUapAyYx9g0j|wZa_kN|IP>2o`f6lsaZ6kdB}^#^^x}*%j0SJ63Tm2mD}-5(XNjiCjb0 zmb<_LFeY&>p^AsE9R;$;r+kx?5)vf55c7wBmXswbk&p+VP+|tf%wq;*iIzcch;f7& zLVz&zVTBpm;*j|<#KZb*2BQr_(Ln0FDFY_+hr#8vj}2i-6-gIQKmpg4BYmKNf)IHc zK;3Bs`cr0!SARw#lV|I#bf$*PfsujCn3slJ7cnHhYtybDXaa5R_xWI&8MPl$Oy03Z z1mPo3bYMZZ*ZCn>RE%(rF$0)zWFU23;$VGTVn~vCHjgFXd(4%~QZY3Dk_XvZg6ISa zqKo9RBtb6h5H$x8=S|A6mFvBr_wQt@%Et)rca6q~sO_@mY%+tFrj(f`@Q~gbL&Bqw z_v#u@JvWebSImZ%(Cv@{{qrDx08a@9+z@L@xZGh`G2nYn;f?&vc=J$50UB||%QzAe z=xARJFaioiNK}pMR>>$hgL2D?b_gsvr6KuzF-g;4uaM!<)3g5#OA_Iwo`iPm-F|!r^6x^)9A2E_-&JTNl8<1Bf!Y`3qz?bg^ zSC45AdYG+5q7{OZUg?u$U?mI1FX#iBBcS#t6;v=TsUe9jr9t1);_SXbxp_z0>^i76 z>J(@njYVC%0Ph$KAs}iMG~ZX%OZLau(BqPEpvC8YL3LxHdIgwM6%ViH2~JY`tj7~uGS^c%d!Wio8nP{#1nmSbP!#qn zB7CnT@93w;aWRqyU<}#;q3Os-r5-4{h5xlSbdW8{lxQc*Nun3-pd|Qca$4{`=*6@a zg1=0H4hbtfm0<;C)L=Pf!~ljp`+Wg8eS4)8o&Mgp<&Oj>Y-Ij=V(7h$&(x4BV?ls= zx5C}`32|~m}1e#D}xNV1&XaR_5WXcacuF(@&JJtk$H0(eG)lxG#f)=G%I+O>LOwjc#$|9F?xA)aOO>f*@S#%Z^2bQ zpOI?4b~Mfx-8? zN)>-`3&`rf5^74&?tCMOM_qsIj^9`o3k`b3;Fd!l8(IgkEpf?{`Yd7>u_<(ZBmw) z*pBse@lrB9eEV`%d8z5?@Sm!Pm*tF#Poz3r(ax`}!*vr&9#7 zn30Z8S~YItoisL&JM$87Z0LJdx~uji&87j`7aQW5JrU-N-sF2%H06gl$K!fkd`XzG zZw;n<^+eU_bcWUgE{ZAt6x(Kq82rce9a_iY#S5BT@jot5?1x0UDhj+a-hdnWz2 zvvT6OkS1STX#42>w{Nz_{qGp>UF$CwcSA%uUaxn>P3tfBN#8by z+uZMZz1n2tWS+XeZ=*7h7eXvAd0umUwa@p=hHiL&TzhU3@^ZVsR#MV`J&bvODn7Kc zxibre16}BaR_Rsq z`_`t}w;$=OpwoNB)gm(EjkLw*+0L*1`79I2v3Wexq{90&cKc4O`0)Mt z)M0%1R;)MGx6SL}p2cF7zd=p%w4T6vKXTcfZ!SIZOC5o=t>mvY#{|oZ1^)uRy%64ALbG{O4cF?SCdoXm)m;>fpi?N+-D$i&`n- z1$t`M;Rnh~gUNF;tlR$I4&*U#&A(DoD;Du?bEh38OW#2b`Oslj72$dK@RXdqp0dpTqlQB1Vjr=$r(+akAg=AXn^TPTdFY@MGeU0tgxh8mC6? z{EroghKQRfW7hVmg71v9EWTc+HxoKl4xKt8wS6wnH=Kwfq9t8aygZb3g;$1HKONjI z`h0E6X)&=7nyFyFIK7h1VPJA)W>>}H?#bpEH6r&+Qyn`ae55Md>(bh(&NZqnh4ul@wMh#?$-xz5 z{n~aiJx7e#anynN7~k}S2VLvZg}!s6A&P^mr$&DRwtnlGPn&~v=tzpkAzngTlq1rA zpzT1Q2THzod|I<}=W5kEmuy;D8Okuesx1YAVADo^mH$5_Io3ER?d>JF26iZxP!paf zK3~#HHXH*RcGnL6DX6mGAJ{Q_rs>~N&;V9d5tVbFo%y~!hfo>pblDsnMq}ou4}6tU zO|)*jU5@kLI%*(;7+~nA%p=j)nzlLSfI+%U4>Z?_8RF{SzMN=bKJ22+2yS+LT-sEq(D8!{+`}{td6J--=Un zn_WF_)}~_As)V(8Njqy+`G;a%jcoBx*{r?2uUY#{WWV;A?30Gad>@~(zMK@gJqlbZ z^hyEVF26p(cZ;naSD`ppRnXmlmN>p#-J?uz^(~wf`My@ZzqOg$_;QTBEsU+3)CdyM z^@s=FPKBOv%CUQ0n@HBL(NABH zT-?)_Y<6Np5jAZe>2MOd2yo*c)V=CH0%ti;n!`kS_$4s(7AtZa7Pic z6HGt-9rPjDB}9C;IjWHHoPIknDMBBk#fdZiEZlmEg3#%`!S~X9Y52ESmI5Sw9K1tz zxywt>Drn0ee`~?MusJ$7()3LNy>hkwXVqsa1Q?ObC%{b<^N(44F*m0#l?^7aL_Vt? zupxE?AQm&RE2~Zv@lisbRX1|JK1Y7tCGN*Yshz&049+`_~7r;*QKZ+jGZK&6p~rHxK1GuiT)_1zVUBfkvpQ z`W&O#8NHKVYR?>7+$igIDVg0IOw{ygnCw&lYnbf*+isEVr+B4#c$PITA44eg&dIy5 zFa8ooA3zdTL`LT9z4_FR$0=s%X9Whh2}oxciSnOMnU z(rgHvHYvK54SgzWiER!6xzRTld5O}b>sGAs>!17&ES5(ZNIPx2gIe%AZAe7I@)Gb3 zf8-@be^qgiJhHEkk!3b$=AAeIGpXU^ZIm#M8HE zy_)}?A2A%qik1*U)WkD3k~1~-S@F&5?*Y9*BRjQkw2-}1(X8>KJpU>4OY=k;Aq`Vo zHksMQ;HgeA{_A7xEli#eC_|i*nHbPUbGD~eG7n8xskT(+Hiv8{Z=_rDsROH^R(W@K zrcSR+6|VNb=SzK^Sx)^ze#X@xt*i1Et;PMpVJz4?5AnI)s_!qx?(oHS5e-w!O-y1B zSCd_qBl}4->xtb;a2631{{G|M6c~KHE9T*vBp0=6$c{W_R$t6Y=5AsMZ*R^&`%A@U zEcVR@k!2p-GuX0{aXjayj2jY1RS@{&$MlrZsmpzx>_Z}-mzayR7D>8C z)!vuJe(y@(m%WgjkoUV{lrBXGlmi2y_Q%7JCZ*1|*K4Y-$Dy{SchGJ^T|$qCry)e^ zue|ajDE7WDEH9{4Zx3hdpv_(0*EiSSpY~nvyV`y4n_P+hzHXmZy}wL3b;z5btEGvu zH3S2F5SN2VL0c91@?Ww3e)4#HPVvp$^H_hIHE1hV$~pVK`5h3TzRKRV?JgvRYlR`u zxhWNP*Q3;S2!5(0;*OgxHTp~3{k+%<59kZtIhG$mw%*a-bbWn_{C=}wIKP==^0a?( zaZ}n6u17UpwY%7M9dR8I@L33P{=L%ScM&#bn||KflNCzBUjgp5;4iG4`%}qhmAJr^ zSpj81Z&dH6KT*7%DkIX*#M5u4bteU$1zryik5ARJB}(cHj_6-maK`XePp`l?pyHVCvX=i(LXkvx}JG?Ijea(6;)(+>* zpvm)+^u>Vfb#Dv*^&6&MZS1Te4MW%QL(1>ulC+D@prZ7hwLvNCtO`Oi)wN) zujVO)p4Nvo>n}4VN(=&b8G0TkrG-O2b#gJ@a=0#ZcrFC37HoR<1$Hzhc0|LeLSTA% zSwb((8m*pN;sYS<_kYN4vKI$F04}8M2E^_b%2gEKUwJ$wOuI-PM2G**0{*nWy%_Gy z7j?cDCRrBjm`pNx(@cu1Y-I2_zo&M|J8Q!{GC>ARWu;;b~V|5g_@r5`cb*}y&H zT@t-ORbzQ{cmr~&V-~K@1MjhTQd03ma+11}JrmcxT{5GFK^9?2r-yXwHeFBY^-WZ2 z6zwe|%puCerkk{sCee+}m2{5RX;*3{r&x}Mb&-3?OPtq*=zP(YPMtH;2PD5PV`Nf)&%lDl#5OBH_y8y zL*iXHC_H~_dn|_N(aj!HB?@uhcc60`>@d7rTy(~rnqKsp1qO|e26ae7LPj+w-hnO&xuQjG5FS=I); zznG{9q8r(QCYtU@m5{skF?H9OcvmGTr9&D_zB6*q2#xLs*nhjW-jUOOzWj*{E#$_} zXFfE9uJ`z$UFde#ouNf$X8iekBG;<@pS-DlUYiG%Ezde^1*nQTV71+1Ufapqc$_0u zSH`0zL+hBLK(vpM1QCpK@Bq&uU>dFuWhZ9x{+N=PdMti6}ao@On|kqE_P0ptQ zz{GqO(D1u)1amBRuDhqDXua}G0!;&~>?Suy(3<~>oZydeA3P!oeNfZxGor@lmP=9= zB%5SYzTn-WG_XHGI+qT&FBPgNou=JiWj{{L6q44p8~A|qNhM+EJ*w-jWvvsM8cSnlAcecNAdAY%2#?lkQIxoz4Zr5m`BvY8RD>P;6r6j4I{6M)%Z9u=;OCULrQEdarvEIa+OX zGbgNzq@`f>YW{N;HUDpWAfmA5H#c_8YJMD65P{Pa#N{lxPrK2U|4CIO?EZaW}nuLe)C%w zzY4b&SQkk<1(u)Lj6SzjGYM91tKqxlQNRg|vxEvsjr_)f zG{W3wodi(yC|wHhsFPi`ARVq0nQ6<>;FGz6d_u`jHjlIuY2bu%`*!YSHbs6zsAG+tF+GAp=9|Q8+1u$7c25PLB(wr7GJ99A}{tu6XdXI@r`03SQILI+n^o{ue zy9l?GNU-N~E3x2ssE8p5F_KWn&~GIHQonnMghmk9L1qNRjF_!m%IXSg4jqFaTYYh} zHRMT|nqW>jPs_k0Znw7MgVz=e6QU7z1Nw@=1I(85OJ_pgY}LC>4%R85Dppctz+!T} zadQT(lXE7+@IsYLIePb_KVfazNK@PGUb)qBW7AwfMIo_u)0Kl+nWW_6Y7 zQk+EP>aQo1&W|D=Cda6PVks`iYrT>0QXoW|;!;L9!k?_$@j|>P4?#pH?EM{{IC_;F zi9eW)O9pBfK`Dwk*&ErWC#vBciZO%rW8bH>Dh_1?8oN?_+%?Kk+ z@j+LcCu7Q%mv4)wpdeuAf_SC`y!r``kslAsulkR7eQ}MT5SHJq);*AL3r_=J?0G*; zxc&5^4xr6En^xy0xO~w8h06_Krw&$zK-kxEt^#HF_*Wnpqbnc2d#k|{k;+F3EBEMv zrG4Zphy^(&rihn6@95&evaFBnzn{83?DmxbQfdU~RrQ}VE^6JJ5k z!Ea$&Jfu6RIE8N)ClginT^;LCW}Hf$((g}ej0dsy4IgK`ClAjvt=c{s6?`wV23`2? z?z}G;Z$fo=8RoA1=Mo&Mi7OOlJ}P=KS9Xt6n!uZc>5D9*9l`zEia zGfz56w_2=Wd%(z_JnSJCzI~KJem;<+2{_`Tc{r<@2Ql_@ndTgw(rTIWvMi^p`6%MI z)de1I+GTA%I9)hM#4e?TDZ!rVxON<66WyHk>VN{u`8G2&pZjVFH=MHb%^bN#59!gA zB?20u)sx_Ymo1~-*|pl8R<&f^By-n=0^jAEDY1z&(y6}!HrXA(s}^s=hcz13hee!%hGuATkmbD>Y@WY2Gsp6OGb)QG(uPOb$8j?qYO75Xw zkP4{(ENnXSbpO#%O1PwT_ld%N zRQhTkqAZQh&esPqem|1D>scqtv`0Rtw;X&z(5t9yO>CpsRg`E^JP{B=9e5yI4>R`iu9B`%eDR9b zvZ|fmUewVNDlYU>{ zb>l!kNhaDb&jk$BD37`T&pvTB?g8{^ot~-tP_PzkH?TD>8ubS_>om^;N#No?%n!s$t)|&J)$Stc zph8&~`A@=n;;wc}7NsA5Du#Q@j;0`QOQ+d0{>jJB?n8J#RyuqaB;OrdYL2UQW3N=i zbMtdLVT(@5|3)TtNv>OHML?v=t@q{*%J@JB&}pphs#K09Pqxt9C|gIYNh#>z;#yBt zjxuggH?-9#t%ov3Yv%AL4RXTaa&=r?>#dLi51te*Rjim@jXYj+Ze8>->`P{tm^0(q z(^eh4_gkmGXlGB?olHO7*6iglg|=TCjl&mS8^tf#{@T+O{r^PdBpC30*`0Vb@a^!u z-ObTo4Iw%g3xUBXYof%DSgB%spHlp-*$I_*jxkkD6kF1$)S2oN^9f@6{G{TKTCWw? z+qugx6Kb885q@fW~gb|N+-BH6zPgr{!oGTFch1rKd)Wu?-$p((Z69H&Ys`dUSEBo z$liQ?eC}sggr@ACGYouu-bqTfmd0eNHrMMGjEihv#F}E4U<}T-0p3*U7R#Zr$YI|(q|EpJ%zl@&9`@Oa$%nR!JxBDajt|?{gdxJDNT$V+15X9GikOA9a z9)JSAQ^4c2CRa;!L1m}jiBck zrdoDkD~l46&VYfCf{21pv-=BwS%BG#c6JioH~Q&Oj#y~!lnmjr>-LAD)sPv$u2bs8 zE+?o70xzkG;oKOyHzD8*^ke!}E0&Tz3F84OE9W2*%MI~!`H>?b58O=Lb81}{N8gP@uUNsTj4hv3|XfWrrI_@@zaUnwX%Sp7EE-24SsGr zDIv@^UeSH+FHsO~#g_;5J7)(Cf%z-QnVS{I?ZG?Rs~|d3sS|X6<-P20PlcBIbjNd! z-M?Q)J7&?J6K2snz%#!qVr6D(ZfF}(wD*K+p2`N|R8@Ds`&>6Q`93!_o#*iTKAOHy z^`dUv)sZaQgvvwMWc2eQhK@cNEkYH$0J%yn3BH{g;lnThD*6BxY{-G(pO2Z(aFs+* zlZy5njx1r4!}c6j-*}?cWQ0m?*kaU%nhZ%S35(+i@@jwcB9|dgY^&E2sULkF5`oHa z&y>9jw8q)yt`266hL9sM&xEJ(<_O|nL7EC)^Qu86n`*EIE)8(>QIobYBd#PhA(N%> zmKm+mn)97L8Rg-0f3I@K=h3kmR8iM2GoqCt3xSu9RBR-gbqotox{IJN0tBDn}&0N|}-A`y66E>{u`JKT77bMqjf= z1q00wqdd5;va)O3j%Cx4`P4KTed_U&clIFT7H9sS#>K1tGcGZ))nWC~2>-)4io$=! z(a`*7oJ=XmIMx4*0}UOWS1|X_IEq4$aWbVK<5c&y)v0N;#Qc`tJm8+6?xzml&m7C` zH5uk3>&uSRqepw)uOk|Fr!51%bfe9Ig%VPdzfAFr3XSBF|XCD5Opu&>@^X% zW_H9m%T&ZoRH8_a#M#2t8$1WuB>(<&!69i^JAvHR|U`vI9EEG!PEzGwdOh$)lPz zl;BBZlFn1-$yrOriB&U)*M3otA~m<2r`A8EEtfD#v}_KyR^f_FRb%fPp3nPd8sRTI ziAdFSmDTSll9z+Q?BNR@v(y?DS}F`j!KJJV|M9&8L zLk6q^%J2bQQ0hE@vM=>+51c|2e=qG4f?#B{qnL7fnge?6f2kw;t?QN&02tinAh`9V zW1;=*Yd&bErTBo(3Dvf+KDe?BWw+14M3gj1PbbYG|x; z5PeDjkUHqAXZ*fjXK~}prC~ov1zKG*^%gn5^6|)wKr64~%imBhCqY;=Bg;a}6g5}4Yp+WG9>wDf#)K9lc)6#IC?YB%2p;mR`%e{dn2k`pk|qln<1%r74!HR7>yWI* zX422B6?Dnucj2eSPd-*yDXUF*_mAWbAQ?8V`Akl4>@G~d4MipAU{+PL2u~j~%rpx{ zB_4L@n}Wk3Rj<+8e_P!ThPHm?O)}4GgGw^KL5tWNn0)psBqHkzY5YGL{LmNq_8n< z!7mKjCEOXi42UW8)Doz1wul!PCI1-7J4-&^Hz_Za61EnENr7e9x>pG)ojN5F$BX@V zC;kP4M1oy}733f*O&LlK%RC!a{fp^}1cj@KdeZb^%UO`jwB>bQ98nY{>#}o?j2iow zB>O+PaCl@N>S|c>t|s8w@A-t;^e(0ol_HPKoesA^8X+v(HwtJt{haGQ`%@kW#o%PJ zm*3=EtHDsP^I=-eLS^qstnMG*!>V5OiXq3h*480O@T-(4;J9Vyc^Q|?%Ue`tlcpOI zaO!`X!CWw+&{P(!llsZ2w_)|=7j{-f+}!-Lnrw`*ZEN>6<}QZGuTg6{ZVMFN`u|1R zUq;2zH4US1a0vtt?(XjH?(V@oK(L^}o#5^;*kHlkEx3D-0Kp0FBso2~?)!S4_g&xj zg@w;9IJj5m=c8@ufY+pt*#<(^ZkR?^dLMOGu(O8jJhEQ%F9B%j zG)P33{CV<(;PoYvS1uK~xCH~At^!3p=qni4`I&Z*Vm&({CRLg@w)sTcQdB~eNLMn~ z1yf|5gmTPjQDIju78Q3FwF?PPxJG)&;Cr`oj9CE(%n}VJ z*rw@;V<@v_15Ql}G#m+hOQo_~Vw{qKgj$MT%6MU^I4Tw>uDL3UMsC|0-Vd@_HF4j@ zw=tCq%d2Iv9!t+r&a7ObO2>GOW%HA$n6DwXQ$%3rpLfU1dp ztrF+_WIHTd`&kO%n#lGu?#HaBRJ=dEWID^za-!3>(QbPcdalt|QOru@@QKIth2AF1 z2vOGU+r?4cc$J=0K3vOF{Bv4-WsCN+X=UXsJzjashD(&HLupNPq${VK2Gu9x+3J|s zp>u$0boW@Dld{#brA*E_BGwD8IGxv|ac>v6zwR6$b-MixJ(gzKu)W(Y_N>vpJrEER zNC>Q>a8hQdR1m1MvgXBgDy7jH6pzPL@KY~@0vGsAw!IauhzA!c>erNnrMZ@4>Jm#G z*gf%$is-8}j%STnhh?&AhF1QHsl%N)jgpZEGPG?+kc-uQBB7?6&utX_G582DM@af{ z@MwYWZ|#z~eFh>tG@)+}C(YGo?g%UgBxKTEM-rik0vUO+eMszrmF!+Oaob`>^kFG) znO#7_oI1Ny5G7Gv8{-Yp&lP$+3#)zhu}{81K|8yLyE&3Z@55iY)Mf8^7-yss|E3?? zZo?skq*@*523e^T)%i3AMUdtFgF1SO7sAxsk6DtQPA9NcC59ypS;P%0AP-?i9)(~E zr}k}-KJA=NfwxpQ&|PecaMK7Vo$jW_fW;3f4CPr~?Zjqy<;G1&!3^D&LL|Zx9|3Kt zv^Bl*8d&`5IFRKNt)1|mXQM;<7lyX@-(?1OWIeCiAo*9SXR6(Jq)eV0NnuF0LfDk~aoTg347{>w3> zob3U}sZ0VhZJ$g6AyKLT+xdR-7VCs^1L>0&es%8ES_GCuQD_pxDkSM2K)DwQr$AcQ zH%awJpyIb}XCM(Bn=N=5x{&5l_ulqRc;RT3(mcq&n9Shse)pawN5TmB9c zICes7ZMI2%#%LN;8@mJD$<_Jw+;IJT{S4Qo97A-zGA#E zm!(~9B=hZ7YYp|855|Q@hG&NJ7+C9mtUB)e8f(?kdSxP|52Q1bgZW~rEkm}rhd=seQLvzB-Q_x0K!+xf5&Rg3v_DpIFUeg5$_xiooEu3e*fj5LUW)|lF~ z=>)5pb1qA>Szs>JTHvGxJ86?QR`ox*9Gwdh^N^eG(4st2=+JtssQECrX$&w-C#2iP9aR0)Gg% z<&KdVY9?O?=auw|K+ciO-PhHvQI(vHZa<7>7ohk;~`n$C{V?H(9QaGYcJ%^ic%l>5&dPqsS>uU7}idy13 zzTKo^mv{a0plt$10U_?w8oRggJrY! zTS0HyIY8f$U$}z&^Jb1Ps$0Q51=f-Ktg67LM@M$MWyUC*0XBSxPQ4I!;{2cA(DGsj z*yw@FpvFHK#W9T@a-2cHsaU|3etk@%wp_?$wp4rn{^ZAW0Y;`RMtoqhw-01;ZpvaC zuk#$+wwMEj@tIiVO@Jt~-F?s!m(p~i3NB;9)aI?a(%P+O=_*@}cUcU#oGyp+vV*hr zfXk44J7j*d{O<`XcDvFrHj^sxb``X~`?a`LHY3+0mL)Rd3Y4=-Y+7N>Y>-31*zb`8 z8FdGAlO82!iYo8?yl9u)B0)hotOjd58|K4iDF zqm%%HM8D@)!??fA{xZSOSTr?G`F2F5mq_<5g9*BAqmJyf7}2a$V1_kiP|2b+G(qLM z!_X``9e1IpFif>4ye+h=)I16mel&2%6wGq2h>KOgAK>t(wojk_9FL23;Rzjz80n94 zn;GK8?%JJN>!QGhbs!1_g5_X~(w!p3+&guln-61q5Tyi>DbW236=2isxkVQ$5Yp^D zOu>u(6*~8aRH=v)CPrqj-r9S_Z^p91oWY|{&vDth>B6rautOW(40B>cKCM$+7?tPT z88I;UD1%|You8_+Xzw37z2%q@iARc@N{#6aKEey~td`mCCYz)t6Odtno}~=LyolVq zWQKlCM#Bc=I@ozj5Q+*yWbBC;5NHWQ-@-<5-MN)gr}`;RMRe@CtyZ;_CD z@w8x!nO3|={Giy^lnJh8upBJ0V#8q=Ut8Ir@o{CycKahITJ;8VSCugPIS!D_GOt6w zOn6J^?F*4Jx#gj!@v9<^=g@{)O<_S#K2rNluuegC#&a~Jb|BDPYfViGc&UlXWt<#< z_I_{jM&Y%eeACHt_B4|as@XZs6kMx2=m5mUeB?(;9=FQgMk=O4MCE)(D`(&hBd4>^ z{Iw{H!}&9f64UEGr&j(Z-j#zx*FW~`F?~Xx+MaGA-?1~O+*O15eS}V1w?TZn ze7lx6&^WZ$g~M<@LX>p?il7e(_HB|T(^oGXIA%TiI9jr|DcA_4duJ}llM%zNWJB`PIlPVrW#y?U z&y`{1RwB40K0^hAlTajnehhAu)#36pde^R9u_;jkMq%ovoQp-2vL6lHWdgk?LM@Er zUdgpO%Gfm_(i)@;~V%J(P$!DDS9H?7uGXOV;qds z$w*oLa1{|XBm6l&S5}Xzu8fs=THwb4KVQ~2?p0|U9&PZsK{vq5?Z4jN3p+bLNDVkD zXJWcupcRTg-$b?RWx_fY6~r0LbarGQWxd%fyskk$s(_yyTTw_jI=#=ph zn9xaZuTA5cZVyY!N-tK8XvL-yE~mzsa8J>)RTuRydJ~)s8lgKP;;jvszwxsfVvDca&x1T)I_MotQ4~rP4Kq* ze#M~SP0gXcu6I!3rfZ|Wx zQ&PXexO!7;syg9unzechsJ58fN&m+OeBMf9mJo1d`Yru|k`=udV%UZOOFX?%8#7}D zBd-%`g9w^llp&mN>z46SfkW`Mh<~B-jE-whr4#P9s~lOfuEX>1`Xyu6^?R1wGhYL% z=kEI9!FXh>BJZT_!Fc!5AX8&!hMj}2z+?Cy{qJ_lj0vmIq;z)=;=^Eieqfpb2HinL_I;cEBt4F9=_&bHig5-vz=FyJKOcqFizMA zAwblxQ<%w)#`0!99u#R50YJWi}eJ{XwQu+?7QG1~_TkH?%*S$pp(sWYh zlE%%J--mtBpxQ3zQIU;$g0O@t3H{j+ZaGt53=N5CQhInF%SEo4N<;3{nQMfYcR4P7 z=qyjlqI$t1tBwt`{OV!xJS@(QV3G}O5QJKZQZ^GRTu7$0*^w^utLw47mjgOIaOgZy=}o}Ie`}WD4(m@V=;XK zI{0>*FIJb|ii9*@w0RnSbbeo(5!4BD4DU*3OttxX+h@(qQ1S?BN?HUMPS zxaccH{qikUY+F_vI>_{gLP2Q$R-Ivc1J;9f4Xnb0_J!leVEfj+Ye%2g77rWSs|4d; zMFg54SqV3rF~keX+pOabSC^P}#ywcUBBT7hx`>6h-DsS{EDS}yQ+6F`9Zw+lO!+(W zJu+;7&j30_0Ke#`LDGjrj8EIT%sc7VfYZJ4u@5jk_82`m`Rfh$9eU&H7@u1AE>EFU zeC{qf`WoQIBfacr9_2u7Vrx$LL8L!cgYq{4EZu=_ABVYaVD#evb-vY%anN_K5UX}iGZf2FGyp13(7fAN$aa=A%K*0D4soBI9sc~AJ=o~Nzm?R?~k5mp}lGNN_ z>^@BZ?xe9_%o~QIUynaNTKonadITI|2OQdJKnSn|7_?&^e*kJdzjaYtbe|XI34biN zarP;fwZPwrK|`Fi*Msl!C44v%HnhN(etAiAjqD;mUb%7^wZcbeZ-FEZMR~$mpi-5X z;YI{nbdKWn7-OS=Dnt{3F%5s%Ikg zMbOh|O{^7;D8eX9ebqh+pywMgRma!*5A}=|N0(1G`iaC%ttT*(-!%$HOl78i{o-&G zc8>QFI9!I;og$%aof{|mrBIudjXQs>_b1h4|GTcw;!8({32Fmv@z{gR%~VM(h^E-Htht^QWpz}u z&&P6hnkK9qS9YFGpchx4RvBzn#lyw=?fA(Hss;HjAxRAQtyE$GCjxACL3NQ2{0fX0 zG>3OnbA(Bwmz|^PiG!)3;nU)6SnXEKT_f(9gKuJPHUP%rp5>ZgI|esLKbgSlyc46T zU3#-1*o4_4d8F9HLOIVMsO49!W7OFE6fsqk^Q*>PuS@R)@RAc}{@PbFL-Wn;5bJ*R`u2`2@=cnEW2btK9yq8!OppQ5{_fjW>Sg-F+5ovQqo}r&=?Lv-{hCTe|&J zQ!7!{?+5o+0k;C9j6Gu{Ccw(sf)SnTKnO_n?+7)8n;vEy*lgiA?Hl{jQiTFHE*FM= zC}%dbsE2>|&T7R_Cp_2;d;WTTh+f23oW)tCWZJ|?ru1w_>v=V%!9(8vN;u1Cgnmnjj(A=+uY5TCNf3DJNi{|30S z;-2afUgQsWM8r|@P4Kf$ys{9FL*E5+ZvwORQ< zw_E=Mbd}2>Q*JcY1KokEOg~(fr)tubPm_MQ=grPlvy@5q2OVEAKZ;NK9RT^67w?V% z?qia`eeCy}D`QK2<}GhMpH7i|{VSrM{9l+vA_PBY3qJGN3aiH7i_;DvHW@$oFzxm; zyIsC+vHxv6Rvj!1qDmn4CO~?75I9O>TUnk7mGrY$hb>{WvMEm>GQ0J*gw~Z6DAE(g zydhT;-_}+|A1-wl!M2X6s=K>O10FVdTyWc=;b%#y%bRKh%d< zc?n0Txpl4=tu5ZM6XaY^>fwG!;a&8r)o15#;S9@^?8dHXs}63CIsUxBwJn__MpwHg za7<_xWu*J0cWGs5U}HQq61|(-4udE%vnj9@(xMvx67;~yXJk1z@G9rLg$t6pH1c_W z#=+r)e-M4Jl(di1mBeV*W0j+OuvGKHU?_tm+G0gCSBXeB&WGI-z0JX4haZtuOM%CY z(9bkyM0VC3+Z$gW3*5o2urIC+WfXHG6|vXnem_`Qu5qkI|GHFnd-^p9k=R)I`ytiT zX`F8Rpd}XO)+1Qza+_fap3ezCVy#5qYk{<`HR;Z9*ldxr`>N6j9}d!{W4H2Yn0NJ_ zejSZ}ZL}g{4EQ8qH+8Wz4v|NsyOj@cI^t6UoU`8@=N{|j5$ff2g|pqCrhcV1ZgB`C zD7PQ4f`B_Nhsp|vipV%0)Ht7ZOYG)KFB<4qnk#ggEBHMy{af0s+4!Mf+AP%gA=q%( z&ZcSx+OEY4HXsEU9?Zp&`Rg3pS&L2PCCimfx|P+g?7C(Z3anZVteR(oFP?$VgS4jE zd@Im)sEgTy%yR9GQa_Mi^TygZW?TEY`yYvY7nQ4Bi~S>Bc$MZgs+F-(lt-~kY&eia zs~J8rz%i_-I*xxLtis2(Yjx_{WmoR`?Itu0`!`Grb(r`U>afZt=8E}C|MHDp7G?l^=!gBl(D{ruykVcYFDyVPgo={`8-FVm;yW)A%{B@A=l#RM^^&yNww1fqiI)?euLqXd`=n| z)x@Ln{?VPz=_wHlpp4Y`gyrzpIxu$q+6kq{x7wn-t-=wH7wY1({2%k~4eUs#in_1355dw8k zja0P%RR`t%zhJKa!VGoaxdQI8`S`GqB2G3}(1!!-$c?Iq2-mDDvKHB}=@^Qlp`_dC z_@vsOwhLU-KeTHz(Fu8t>#Mc?Y$|wgWUVi7scVMA=S8BC)~YLz!_`*<8LkFLANerT zknXGriTp&_GpDM~H=Bp#M0mxa+}LT432%l-JHXV45qBR3bW>ZU1*=*{y2Zo-wca-d%Jblu(dw-sDuyUi!GLZXT)!i!dZjO z3~fQg481!xTa@EkVvB*d3k+RgUhIifjAs>d8k+Z{T*RMVV&;A_K7-K8ree6KVT4;! z)4|Gu>2XRyx(9*$m9|Y7hK8Tl0+#{8YScDG>S)?KEIxWR=D;t|-ZoTYx=|Wpf1q4% z$7soLO8rQioQfWM#|5nTYS)4)4 zv8X{#KUUPnniU-1si?~KPtDIS`}VG=-QT!(nl>=f4S|XB^A3x))$Sh}li#Nv22QD} zx}V5I00q+zBOoR`TTMtZcC-|Q_FP9Er9wUkgq9o#tyE}bs)baGslk9f9&$>G`baE= z1s*kpg@4A(LtKa}v10!@x7b5im7YRo;wmR*6pckUD`z;MGX)_GBD_?on4kLU9QdFSk%C1kIl)?g%r{FYjt3Dg zzD!B|(;#R?0?RyT{^<__mrz1AoU?!117PoickbZIediPqZV@ei6WWT#CSho9dZ5j{ zLOWG*XB>$z2f7^0M{}DZLw*tLd7#PlQVL>i{Ow(-4>R$`?;F)n|EERqi!}PKTc{;d zfc4ZsF*}l=%6K&3Wa~1+q2Q_SgTfZ#-+^;v`|)Oi`-j%vF5pm|l}9qX#Xh2V9qrC& zsK##G0O#*UFVkJOGRW(C)ltDQ;pLlxVR#FP>u z8&mnbkc6w00XbJy{@9z9CQrQYLA+;d{jQ4M84pUY77{3^cK$VO*;v@Je6e9tm` z&$UDc+d8UB#T$K2A-jA(?NoP(Hjd?YZ<>ao=6`=c=%Zg~>}AA%#}JoT*yTvhwpk|) z#tIT%skRIprJdm^L;MC)W*JzaCAH50?dxJL3H>u$Lj9gjUat2|)2WHLQFMleCH`1Q zNiyUs-^_IQ!XhFC7$TPg%o(OD|Sf`@Rpig0Y1WHyDOeF0LZ8ll!rr+j|#-* zP==Z<{D)3}Anmxzmo+EG`MHP-JzPB<9(W&azJ>)=YnM&|W`pnDS|$oBl|2$7R@19* zE$xgMS#_zUTqIuq)vp_oy$lD@eN$eT?Q ziXk)o_<(I_HZDxcShAV~<-_VJ@L8^05Cbe(hRqcAlnx0 z(^KE9MWhrtHKSn_9W^^>L<18QfR_X1>9bt1>7_YiDN1a^)j`;cY`xx917GW;@nmu} zxOQ#-Z$=2(eYdsDI9CIRi$?T&BDOOnYdP{tC}fNv+3hLJFVd`%$w6U6iaOaA-OSh? zJuMC%6WMoyG(G1*A#7qI1B$)BVWTnXxX_c<)d-lRvw))sI9XBORB-VfD#DmW*xaD; z2UZM=4?7Uq)PCjd=d$gy!|GIalmDQT zK^}mC61gDlU4RO_WqB7;RPUN`MTJ@#0rhzkr6>i_Q8DOnau~%$X^t!?s#lU89lsJ$ zs!tiV=rdI=C_519+NG|OT%Og*4e}I5NZyNH-s_2q`P8R8xe-We(h`u=xqiN5s%ip= z5xcfYjX-&g0Ocib0A%kU#lVXAhY_f8lR}j_V427p@Tw3$Lo8+cAx|*#F0hz)9cv{6 zq!@F zQ);^+g%a7uJxK*AM#hNAS#C~IHxp7=s&i#2AXOkdjt5fuX!Y5);o{dtq}E)&1qNPS z&!KUazTpSi4U5wVH3OdTjY&Y~dG?x0k@-C3 zs?02FiqA%!Bij`-;W_txRC|Cz3$pzfI zbeN6yc-vb*+K>#ml?o+qhkAGB^XW#Sdf${Qz^6s8!62Xqm9J7lsS3W!p+FaoQbZWG zXnaS&{yJ9i39{r_pm&Y^G_|hR_n!slvx|Oi4lRp+Tl_=}%b|JA*K1$BOUIxx$%kMD zv~#+w>?||2=K%qf3xZv?1A%t&NishL;mM1s&dopn?1HrZ@xafx+givhrZd7mFxxwEr6+o0$1D}nq*GBgmvwCZjU%OOc)Y((z!e_an^-uw;R_t z?6K)!y??j4FCx^@ZC&c0VtmqNZTs@8JoTy=yZw*M09T!`GIl)I0%w!UZTE7ayG;($)=35kl^fc|`JzIFC=ZTP|Ua zy8a?e#sDP4^lyw5?&mIbT7D1%DM1A9dyS z`N9#hTJ1Mql30~*TI>S;boC8~p>Q9MXUzJ33O|-7JV)iJxi;bCY`MP!#r=HpyceDTimrRh3zOWq?94W&7b&0f(d{k)LS9a{ z^#^>b@`jP&0bJhYCjml7qx8bwOa)MFgQ#S#JfSFnIQW|<;bfiwo|V=q-$}qJUmDJZ zHlJ_~&TAj%Z{ZYgl0SMDepRL$CrR0EI|{`_bPr`xK`m^csy<+nSIncfW6Yz*Crxdr z)-(8{pOhw~IHx0|s4+G{2xoA`ByV`hBu~%jg)L?yZ!rBYnd4ZIPp2JoJa@qGU!Iox zBpdm)Xd8Kj%(kxdf5n`29Wu$EjP1A+wCuU|4O@`l_N;xu9CzN`(@$#N(NEH_eosg{ zoz#dkos`1iw$3M@IOj8!)c7B&y6N^d=Un=qe=ViA+P^dT|E1Hri&ucPZtUiP<)|G; znAh6vp^!iVFF$(6j!;56Z-8e{pKx5AP+Z!M{EbRCR{aAP_Ov}Z!4=UWnX0{(P&o#7 zC`lic<)t0n&j>7nE60fBj_+xcE^*;Al{Sd_egx4S6`|om*%f;x_CwVRc#$&>*GK?b zLQp3UmGMIl_{lT%rqO{Vr2~_kw-S7=ooVMij{czx{3Mf_nbra?zOI#L%a zeVa1|ic-SgDQCxm{fo5uSF-K+2V{K&vc9~Ek4X?I^1`f!JLE;jRIi1r;%UIFUVHnl z$(d{625T71ph}Dv`Dm=5a5})nU@=3S^0E6A(;{iTz#m7_ zxo~=R-ppj4aY(P}&Im@>>0g(xaDN5|pZmgruY~E-Y%$)dU9NUZ7?`|{G0x!ych))RQ?{QE;Kz(X^Y9P=>0pxR#`G4a)8xY#M;2{EMBgs`uRS zq_mf*l6JL=So?f7?q%^e-ukjjDx|xg6$HDxR`NEZ0lfKN{PUN3&!V$}mXumx0`2>O znkLQm*MCKAg`_qmJIV$ySYQv8{vlv|9pl*vH8701&e2O#Ez>xa!VJP^)%*0r?m2Q^D(% zcL_;7&?gLRsn8*o2MNK5SQ3+k?*_1?zI+#kN_5N^{sK>LfI9=-Tr+HEJbsPV*A5y$ z>uq1bFWfiQV2v|dR8;PKcYi}wFXKl>7L37(ZkbqA@#^wRMWc$QejYtiDFrbdRB69` zdLH^xJ6$9jIk4|4B6ma*>O63))Q~rV1G~_AJaJSebQ3yjZMb>cpyqTs)WQCm>6S>2*$_A3ChaYtLAMI{;yy3ZLwpnU(VW%Y>a&t ztR$W;g*}Q6|Du^Bxvv?lK^Z6iIQ9xdiQ_)C%`y@-?uc*H_G?s#+V5K@3X34bItsLb z2ECx4N#Zx*`5m$Sf9h)8RZNd`yXOr3O9pkYe@ja$Ra!sJ=e+9oZ+s6o`;k4)teP(b z_Sq+Yf)QQeEj$4YBU%D8a2AV8nk05%RQMMtvhlRzB4hZsTNw0GutksN4A3PBlpuHJ zh${(rcGxzdqN(@cWbQ_L!EB#nx;R<#bX+ej<6;`*rLOZN_X}Z9TVYTtSC~?&&M?~& z5P{cGK9FNXYGummNq;RSXWv`>*`G-l_~mn!UwlfMW^FSmB6pP@!rwh6k zGNF%#C+OFC#V@5fHG`)}z*%xFlr|=f{3e#x<{gSDr7673(ZF71WXVMXk;XBU2HRxS z2jcf?me@gG-$;>VsN+Yv%lncLHrOIUhD|YJB!wkAI(9vWocCAocD>_i5xL{(7bL=C7Dn^6L zD|=+sz;Dzy{&(BHFOMCidwutIgU=3X+2L4d0!aBj&a>(J%lDoY7LTB3?SN;C&iTkt zfxU>2bpjeF?^KOgfByQ@aQ}YN3vkrkYZ)lB&_AOcG0dq|0-R zci$H>k533X!1Rq&V>d@ia!k?dr@C3D3`VxBd4DbcG&7DO{(C_Y#CeeX@wzNt$C2yJP93ABdxBJ|zxoUo7cOo>xDs>jm}T7LBmA_QqB z8+%wqg9HqYHN6JMmFN8am#$@dYcyWyi;HSTZcU3O2Jw;JuezH@iKWcA7Z#25xpf!6 zCk*XQN~e}rgePTHmZt>^U~-u^$JRQ1Ku}jfUF6bLnrw2{t1B8XQkai`qJ92>1~Wy# z`LkRUMbA~X$6Pw%?x;sz{OM-PvghTfN8e(Y__pkitrzTm_q$XLFQ;U|m)(FB;;WHg z)^Yg2V~YFY4GaQik5c;X=1t;(Rtc_LOM+9<8%8M=O7{p&T@QrkBUw_W1t0aRf_I_p zsLXB2&GW@t7@)NQth@Df68A$z@&NAYzklMcYJ>;icg`Id#bQ6;WgTG)02L+UCZ*>R&j2 zbgJ9cQ-K`6fzy9m)+J!ypk5ItT7LZ#OD z-~ovG|3Ob~*y$giH}V0Qaq=&-T8XqiX%=KA!I}bGHW&m_5dE|9qtYaYR>WvV;h{>* z$O4n32Y}A%n6F>hvV$aM?LtMd+@gN9{Y)ojB$Tu9d-YplAfrXTfPoDti)N5Gt?saM zkE=n@PZ8ZN;r66YA0R3HbQqIc*!}r-0V~*>wYnO=eaiB%-8lT14WH9JcXY<14@Z$F-|#ND*<#faO<&&c;UwsKfVn`{0nf=#Zyq%U4We!Fv@B+TzD%zrkc40lL}=;6%8-D|&kmafYvq!F$EO0NO=v z@V4ISLhy%J@q}YPZE=po%HXT7NLFbAjin5&3nqzKgv+p_^X13EgDx{=YO%zDcya!( zgRw=O@MaGVEfQx#9xH5azC9k*kba%Vhs@%+(^(1RC6#J--PM);H6`rhzA>7By7 zQ=Yzu`kA#k6_W$Urb4=XR3VF?h*pf|a^v#!>K}mZ>6I)hfLQJE8zfJd|Yo5 z$XOROH`vCO=HYd3*_oU3WLoXBgN}WM6*T3MOG)6lx694cLZS&wDZb*$$lt1!$dudQ zO{nA9mkRp?=dD?*mgY5iFLV(wz>ACD*Rl(&r)?H?^sq7guv3o~ktK~O@k^xt@j8J1 z;FSD(%7-)(8Tx}m0QgLzLVs{%7ZRg6=Iul9-8|(gn#2i=2ft>Pnx=2-fRhKYWq<^MJH|C1g?=aLOmytJzY zCrCBbrPOki_zkiCNc|z>N&g?408bz;<>m3T@|EP2m0_>|4jcjw{9~>e;2XjJ&HPUw z{(8#*e_bN9P}w>7yZ=i{nP~h!QOl+O;SfMy%f#s|7i+l1#68ITwwoY7N>X@E&wip{ zIJ5?VNfxbmyl!D3n?-g~?L3uEt{RWLJ??N%sBS$x|d4KwfDSp~zqpDPXaeNp@o^Sj^;%^u6GWP3M!apv32#d5D zF^_S|b>1VQs4Hf`rb`HewR!i*t0X)8N+AbKX|0QUW{iK%RE!RR6TyjqQZho zLm4ea6qU`uP&?tDp>u;RpyY$88pa?Cw~Bl9sojDGx^YC%C$|mJ_G9nvAsE>(P$rY| z74aqByQa^t=$RZ~ALd~=F$#o5W=BUisp^Oti{k~~Xg0+noy%uo8n7MexbA-#VXJG(&mJHOnI=b6hU%* zeeUasUq;qX`&#yB4u(2Z&TjWgURFTpw5nhVr~EZ0y$LSWa0`PRiJdkU$Wv7tt^!qo zyD!i0O%sjW>?)YdTTM?{?L_;j;7eA)Qf0{BzX7pRZ7{M1E$;$cstNIuf#&kns|$}T zk(O!(I?|CZ{)zTE+TvH=nzP8f@eH)p92mT#4WwPvw61!uM;ng7!B?&*!3)1xpo*)=qBXHQi~EWCrE)ePlwd+KWVuf{1$Dj1LXI@=3`NJGfHcTBa_ z@b?&{8PS3l_(i$2Z)W4!3xc z0&u@UQcz9+&Ebgq1Z!YXRk$lBRM~TjSL+@Dcpxkg?1E2fUcmx{Q(Nr_g272a5VL}O z#9II#SX8uhfGiAMxTex1t#%4IRW)1jt)W8*yU1tpgdAWl&Hy{|Sl2NeMCxAzAWS*| zA{8e}2$=yUFt0!h79eV?<;6p0Xu+k5tEj5k2k*g_Km>w=Ctj&3sTDcEamY;ZTyYYP z)(hJ-C*oedFW?}Ke0iFGyjwmwJ2LHgG(YKPHL4Q0Hb?$o?G1x&rnFRmXtAG)i?m3_ zDlr`{u!FTUX|F5QbW)UrHz*W zqu);gl%bp1YP@$O(m5K={gHJ6KgC75CS|ulz ziPQC+949mxtHuO3U4J>HccQtEjyz>59Gg6T6pUMS-m?4Ks#yI5lK9>F0#Cj-RgF0h z6EWDiDHR8FAfzXlk$lk%HWxgkJY0HI8f*+pA`~8(VQ~x@mc8AE43KxCMrN_3j}yuf zD)NysGe{hD4?(G02Ny!7abAhL z-}~50@6es=^0)bm^1L{XVf~8CFZ~JDC~_vCJ!3r>es*|iyfz&)#ba}}VZ6+=_&W1a z;9DkUG8Wm9gG4?NQ`647B;ysu^E}ST?xa&tJn-@bssGLSF^kU=uzf(R@A2WlO_su; zaQNm)LB|1>#bFmx`*dW0a>6|X4HGVMSkMuHW^ovT{e7i3VTX;8z zI9j!%__vx(Q6HRQx##E!xPW{U$B$0wCW|gRHC|H}H#9-L7u;{wo8F&(!-~0FsXnu4 zIYz_^GEx?jOqZe3Z#=TF!yp z>v}G)1bvO$KHS>>=BaD{EUJDWoKOyadiB9?p{jf)f{4gF{+o3Z8QcgXrXPB}y}Pu% z>`J#?4-&Cs)4E?j1N`hi-3Its?S0kP-28nrT(%P6<;&O9S^cFZ^~a$Ol%QVep~m8n1&}eXf+l? z>ZV%=n$hscjCqHXr|Exvr zuLV-tvd>XT=`C9lf0Y3;&v1w0|H~_|HeDv48wYMTiHVBK1&;{?rZQU|N~E%CVO*3s z0E9ch8D29C?K9wyP)*zZv1&$Kl_u$h_wF~?U*+ZRiFamBe1EL;Tc$_3voH1v8y zypsJpXJ)^=n)rWR&ju9f{B?^&eQ^`w)}vHKi~8b!ucFeDAySQNhX#jLv>nd(lrZ#G zCBj*>9wl&~KD95cmmepTfL*b$)EA-D7Ym`ZOE%p#4@(Nuk`+U_!3rb|;`3!L(tX0I zeRA3eQrZ#lTB%Yd7*vVNut&v3_T8m2=@(7R%0@*{riA6ke=8F3=uIT}4G?j7F)?m< zLTzGnZDJ)YZ3`e35P~Oo%|mMJ2P6}K#y%S*=v#NtuVT)QQGL%Vz{>@ILPeus>YrPitduR%9yO@^8Y#{i3WRlKdiP>ss zmZx?FgEs?{a(+J!oFVU9ng4PmeYNZ3xXJ?lX<#+Eyl{xw-CTkM0>1`|LRb5-#M7)8 z{8~yI7jWnl_cYaLrTiFLeK9NwY<~*409OQIL~X$l_zgbpm(j+J1hWvRX&>XKbpCq# zUj@Ne#&tjv{zFs4WCZFJB19Sn>_Z36e+xeLVW#0hEob;te`=6{A^uN#g6JZcg+Jm0 z3DQ_>jf^fo##CP{$pybz8v!3C=Q3)giuB}_s(z0SzP-pj5mbC)#n3iht6+%BdCNpu zi!>KOx9q1J$MW4lvBCfd1{Y9n{5^~OuhJBnq>Ma9x53)4UQ7;Ntsvu2RVrfXqt2>y zVYQOsF>p00*S$Skq+Qoc*Ds}2l!{NM@e%Tg1rIg8!~dx4!o2eT@b%SUb^O}eI23nj zaVzfb?(XhV+#QO$Q=C%V-QA_Q6nA%bXMdai&N=to=ef`K$DUbv^JcANWhS$eNhXRd z^|E2fVR7`bLEg96s)`mpC>(pomQg4H9ecq*YsHF{?N6`f~+f5_ud0`ou-?p2C(7IFu!#4ziOe(==rT&H!vASlIIgspzJ9mraScea zL9G_L>BKNzSI6PJ4l4rIqg1EROlOkCauKi=wW*IH)Odz zUEIJF4yRRN4^9ilD^wJ@3R`r|VFtT^WaUMeMf^3lltD@2ugQe~s!5?y@U z3aXkk0KBpPwv=h5mC$@kuC!xegu+;*-Z>F|hwB~?$@uNVN^=~aL{wY?i;sbg5Hzi7 zfj+-}PARx3vE^-v{#Dt+poA)WSgGx)N|KlyT=GZb;x?-8BbzGqPa%L2E|O=%L*Ug4 za|pSmU$|At*k2AlT9AK27|XzXe-Tk-CoesA>%6y$|G4!8Ra}g7OAQas38f6+RM!Yg zTNxGSroX?F`$b2W+9Ip2{cUP|OB-tx|El?T1{}z{u(AD9D`uswIgK}a|I=Ff7ej@6 zUAEz6wR`VymU_iXJQfB0g!(io)%TkUk5>-m#jW1w02H_I;#&r3cbS;jC%`i2Rb2Fa zYtHAh3Ir4zyRWtEH?;zt9Wi*(_w(O?06h?h;R6B)9{{q=1!3*Nja5ZQ%v%&NjATRa zZsjwuK9)iFbkEhuysVwaS>Lf~x`pAzOiy~SaONqBOZ{}b9X`XZ%9uH? zgY~oU3f%gVcFn?r4p3Lehb}mvu23tWt}@dPT}(h-lt5jXzc9Rf_~({SmWIFCCnZl| zy47MXnCexGG;y}$pB~b$AEF0|`^7ZHYjF>@1Lw2P6>i*4<670VXOw zfI=X^&Rgd)0|XG}fItZlkOwkmfq=~iP`=cZr(VwHGH>Ia@@(Bu*k!hnrdzZ8u<%Sg zcmTkBGbp+_K5L6C%h*8b^tyd)JInj=8>vbECy(c0rM=VDjBNn-?a5p{%L!lyaIhX3 zsa}P+-sR=--RbId{D~fGDGG2L^gf3POGCFJ6z2Umu2-swV9QJ)V2aZw?s3*IU|7TywzmIP9bev?Ajk5FOdEc*0+Ue`QKE6M9(d)l&%n|`kpsvpB>|F7m z#@_bo`lsVt_1{*`0xw!kn{+spVS1jFF?XNvw;s1*dfj}^72ZXzd~%PO_tnu|*WvMYdfzF7%UTK5{{79#7aPmomVSAIkQfQW$LqjePq*D^ zjvvVl@VhO%l{)AAoa(qUsI{xCI284Gt1?$FQGxy(Aa!2A5&Xw~~Yzs{&K+_dS@35eJ|(^TdAX5CxSMO$9HKi;|+|Nhlezl(MY`Oou= zwc9@xmC^PW*vk_g!1n|zcZe4f)ym&}v@iGl5d8x|%LDs7r6UC`G#1=77Th?ZUToWZ zz)&96kj_U}@J1lV=yE}g2qv05qr|hT==5ym0ng)De8vxeW|wd-pXP_{Gr9Zm@w@WZ z_3tI;o1?#f8y=0)E_bvvd$@S`ps9_oV!c;Y(fPJ>bv>`Wy&R;ZthlMCRMFef`F1qF zzuqiviX1drRo<;YBf)aL>9cZ0CLhFPYJ+i$DRL;VhTb9?bg$|s7A zA>g6WPKf<^A?G^X2-gy-zwT?_=yQDwY=#*K@P2^0J?}oDn2 zmBY{C$?{!(`yCs4E1zZ4wfc+a{eElapyoMKMtmecjk&1LXd3CnL^#DrVs(UzmFC=T zFXw6hNr;)H+prAq_;gv_CC|0Fbc8k8cA4TU~PX)b`aw}Q|37c%@^be^$MS@_KrBny;+S``5Rdv^*@nqW3$!v+a4u2 z>9dBy7u!!$!S!T?&`QaU27#%rO-ox36CPV3+-J@5jF|)pP>gu@gbIC*ZAPAgBT9(% zgSWP*_qy)LNbg5p4@GZi9`!GLqORW!%Hul-u!)-=0&n7X+FrIJ=_S-(C3jxNDzNu; z-5Y&dQ(QNS9w(4w0w3?eg?~2@{EWrkK)PrHn3rx4Wc?7aJsq_@wX!`uwO#d4#Fy-w zo+>ieD%E93)Mc2}sjhD89-ns=z0O%gKp-(xbnV-o+zjz#s*qR`qg$nKX=|h5^BJUn zy>a|V|J*sO1OO=Lk(hOW$F{FFnF@O4&Zcs@$His98gCCTtcu!EL+8Q2{7id&H z0)NNdmo)X}?wam}j{?MV zuwx}rDrlU5DK0#SPkGs@y#)*y45<#6*sNma7P<}qfe*3;z_`!~-yoAdqG5kVj4pP+3owJ;BT ztF4{_Ms}Ozw*rxivS~pum8ImOb*3SDkX~uH!g+qY^s%6eb1t=P)kl_3{sw`n(9n-^ zblkXGN^Z{L(rb#9vv@vKE}HD7Qo8nYy0k86)*8p;i*B{Ec>gf)DxKZxr}mCli;3^Xw!OpE=e#zff3 zu`1ijkv+k%IzCt}K+@WrLDD*eygBgoJ;MpL^0`NGu6H8}>buH4w?EXb8<-DP%LN!l z^S~O7y*>L*(Rfr3y*>R-QN_pktFa5oPFI-zs+ECJR}fYY`s;O1*m2Y7n(`#4ifzhktWEU*KH3CRq+aq}p9@6#* zqC-QT_``32b}+#Ea_8D;$OpDX3g(NTo^Sm7hIqhFL?){!XztDNnERPPE#3&DyLd+f zfP~H^;*Mss`1r)}A^VrWz~pPmq!Gav)xJ%_LC38;GjV(l=oSEp|GUM{dj24Gj&QeA z+q~Rbw?FRyKE%y8$_h}13!Ql}KlTjtYjFskI|QWWWwzyVl5$DEyGwwCsI%{&g+1bh z%8Z!ktSI=W+VgBO%9UBcm!iRdKY zo5v0o(YZ$QqLSHn#g7sVru;-maz-)(D|49aoKGBteUYmVgs~cgUqpMqBZ`4lhzGpJ z5hVRsr3&UoGJ94(Bb=s>$>OW$E@>7M1jR)Rt^9%x@)pj{-23do-h^xvad{5vyn%j#C82DBi8Fu*Rj#n0R0y7FGk}C_scRzo789aEA;7Z@mVMt8Kwql@A zxl9$0EXV{VgsWrF@FJ$qHx`6^Ljuh>pRvP6Sk7hyyyN`snI##Jla6+a{2vLyx6IlN z2&rb)<-xB6p?b&1dIVGo53-=P1L1?T1wp_SHN}AH8vPeNUI^4v*s+i{egYnkdpGK- zIojqhk)QW@A%9ma;?A?TgK@NhP<20TKc-Q+PKAw#hr%6fH%vy_dT9`(vw7hHs0hC7 zgX>bJzSH^RoTH`==z`9y75sECIG35=U~nTh$4%A##q7n^_;N5laQib1fOW$eAB>l? zlH=^Iu~??I(JX97TYyWt+Kh%4l3uQ_9NyjJXEt=jADDx|GF7ruVBvW{ zyL(xg+5iAK>ZZIMPemgZ&fMXaJ*H_v`qrsP@)b#kTYhRxa5XSq>U{@ri~;nYs)@C! z7NU}(6A_0_w~W7GR(;-hNe(Tex|L&>BAzroOLeADGlfKG1n01|0;rhk`-Ny~(51`! zQLSqF#b_M*6T911JsfY&Y}PL{le&oO$)x#(ZY`n8d~QjHx!epe*$1*%WhqJx-QXzmmOzCRma z`)#hWX_d#z>0KIo|69a7yRr$pz z5vV!E$R-PUqQxQ5BG}Sj5Ck}YTRzz7 zU6jgcYiqL{ECaX+rUBFtO*eepae~aV(|UxAqM0=PkjmcS9d-ys18yI3ftoRP?yM2K zaH3YEVHm13BT0NL02BD4-E$dm76`ou8A#t#ik$v}ISi;8)Y_xM zk3>&{%5U2NjfO5C15%pWLR7Fo$APwjqPWAzf)!zeQC*+Ph}5un_^7{lT>&MJOGGp( zV`|JLB?6m0I<1TjgE7KDrJo|*f5Gy}iteS&vsmIW{rDWocEcJ8i7(I>xZ^Cr;6p)6 zSBf3LpX^(4sY<6jXKs31DyQt$-Zz%@%hq~w_YwxvO$4>Z?k{=((H#VO2&;gU5qhX~ z;J{70Av0z)d;3P&C%sYzhD)=?D2vN;P9f0Rmtmxx7JS!PQza#E{EQW_wyzwfr{?@o zp`9!}dIl=+r$1U~YaU!q-@lrk9?A&_O;U`RQc_8cD4~N=N-MtR(TK9<*P^S=k1DA+ z&`3>7r-@P~v8$vgJqhi>mM&rjQ!tT9s2R1s_w!1K=q9&kDJFsiF#=ySnk)q8=wZFe zkGO2@#$8Yv$?lpI7Z1&F$9sp+jbBH$dWU=u+hsocNiXjzD%)HrEi#C$HETQs$VU}! z03{t?;2_jJG~zJG3NZWvSd{xs-*wTOA$LNU_R<$Q2@8_g&G4nt6fp%*8&&oVt%hl6 zwIIVG7<--ydeqm#uc5SuiVwvw5aXATq+&4h!EyHHVC!PJ@bh;A&4^YajX2o)`#Yjsx68rqRi;Xx-rf*NPVHCGD_||{evzk{DNO- zg!vOn2lvgZIdD2n>RDR`{L{?^v)%8vS2B}XdJWTFh_I~R`3F9Nrug8o_onTH!4MKz zq4@{if~E*c;nz!qoCuZvq}i)YwgG!xw0&Gh%AiO4Kh%yO;QV5tX#{`-jb9)Lj!npp}ga4Ta zH4vd;Oxq)<>;{S3s)5H!rM5-v;apIk9z8mm9EqWo1=OluPQH;EiSb#u^XGV3qY-N< z5wG%{REx$@0w|XVD3yA7%x83JJVI@V1FNJOl_$6O;ht`a6yqE?)jY1?ozNtOLMl@N zqgF9iYDN3gMI&gejv_6~CN#2^EQQr~)Hm2NcDkBZ^wns2JrAGI z?T3zc1sW*i2W0Ppy(6MVM={~hJ8^RFWeY{dJ8R}CctX56Gt^~GEvrIqW#*`zbN+k< zcMbznDOBNYyYWm7xrI)FsVN{WGTs54!zf z>uMMqW|k(EDl(cwe^c~a=kVN~*(ypbk^aQKsYE|M16XR}@i65e(-^iRP zb?7WOlW6sdiQhE*pwiyP7dogwHlCpx6EQOe#Hi1a7CNgT@KL7I20FWfA#9;THE{?g z(}li?_`0;{txL7--)g)FrTWo?<9pDAy=>|OL{JDX(=(p+JN472fSr{`ImSVNXumg5B^Ht;IvEH;KReO}?yuqpcWFtYrM za>sgQZD75*81AA}x@&dd`_lJCQjNRLMGbVJIU$`Nv>4mz)+NXbCep#pEn zl|z354ED$&lo$~sbblHg#G!_cnnak15-XQdp{9|NkSS3>5D{r(9b$dV0;5dolP>&2 z?^Yp@JOV$7X%sAiuoI-p=m$@)R9j!majqGjYp5A+l<&uir*}mSqZ+9%+oz38k1u7UgDNS~|7XSe!0x0J`V2L-qD->UIy0OM zmB_fZAgH-&fzh-&jH+@Axwh0^x;15zRc(Pv<#4jnUm${pQlgM4RrX^x7hh6etqG;z z){nOv)#4UnTEX$DZ=WpnM5Gn!Q0$#>Gl}o;d_-u46S?aAiKOt>x{(Xy?2E(Ck?@qI zv!=xj!7R=>7ey(rWpW}?r6OQkYSEd2AGkHZDoE`aG;3NBADehoc5N{B6$qQlW4Knbef*+L4lAdoQY)$g>-Y{O$6C! zyY1b&iNl>RnTW$mfI^bvyoq#T9l3VyPu3;Wz4GB?3Uk>;5l-sbdcx+Xo-}Webg6a< z3gGiHN}{yQ@6wFcq2%bmAUJ&}Fu;@$Eoi?$?_fz|gtsxrFiWyWL4!z2-Sm^{k0zk6 z)`7nA0QzdTVmLYBF97tF20Yn)OuPz>H6~@SlA$YH)ks}p#}Ww!oOwF2D6BRb zV=aX_!$+++R#YxhqhOMCMGc9Q=C7jC2ha0|JbNXwUcP%7Gv5(RNhIlq-vGpjYx@{U z5JvcR*jz&S{36bE!ByyW6i20tshFlgaq*r$+Y#AP5SKr%m#~00 zC>MJoO`sSwRwKTdauGEm=@h#f3b77LfyKwEQbF!^m)%Gw30p8luaZ`7SE6>EGgI(A zqEP86!C7*r71M7VNi5~$v`nPlEUvMW>{Zkj&1+;M`P`gwRq zcnSl1_{9vOO>FC2#>Lb@zg9`mo3wZe&6E@)Y%w2;pC#$>vOgVN|F~##O(foIa?~{) zbUW}x3_cC9Ow4u^ymDZPSFjaL#b{Z;TnDP*nmf)?sCO$$-@%GiL|!~QH?HvQuC2ay zWAG+mQMT$b%!`nbhx&^^{T6JG<3G1LdqZf?>%x7n1_(Jq+tKgXZJhy#IzqARPWecY zoN3@t4lK?)Sp3iWPb$zv+}BY2E@FIAX6)*drg|N?gqp$$OR&ED-8VDw-8v>`prVv zFc32&+slrq4mx_A>2Acnw9a{!Pe0g~8?4vF5ES(|KDJqM{D=i%1`t{4-`4i-kC2r6c z{Q?xB`I8lwl-rX^#XdxOp6wOjuA;hseA~3Z&63qh-kxJt$!J^9Zg-Vzl9sD3Ms)uq za|`OziFVC?_c1-hank(G&jd{$9?Hs96y&qbq7R%18;)-gb zytegc-S3C;Lt;trXg^D4S|N-pe;AAuEFNl1L^3LychO?vU&uMz*TLokj=3TQ<0CMt zB9O!?tWpelR2Vp9RN9S*#*MAwq^JggWZIc(KP%)+%dBZJSRRGVBL>7zrp57YrNpIX}Inc46dZ7{%fHsH*hzC0^=2<58aH$l+EFyzeGe&jIgokWUqDOr%!GuX} zG>jdx#t`yPBGX#k)fMh-ngwAFblIyMO4cD}LwWLXEnabn=h zyZf0=h2N-WewiV@Q3)x`)aDGnvGpFux`I{akJ|CN_x$`AO{7hkRY=dg)(eSE0lwJK za(YRmwe}j5eRV@4gv_#(jmYG3x(AJ)O_}yBLgPH9x1@H-*@C7vvv%)NV=Lskft8Iz zN`2DudPW0lom)DPHugbt1k!A(fix%P#tPdlwnZ)NdNP}WMn^N7@p^n96#oHb10gmB zAY{E~vT}Tp3}sx_BqeDz?DJjI$>|F=&V6UAdkgQ|3A_I3Lf$U4PRPy=@`Xy7$cSIYBx{QM=GUL_;W2$l9dsKx7rAtRrVH94)CkY2$>a_($aMx_ zx&gN(JgSoMXYDll_%JqY=N^IXNc_*C{m(O$D>BoWQRCZR-ll=$HsRKljK6Bf(a(k# zfqQR$kbVJ4Hy@-dAZg_eNP7ApWdlhFBS4bm2Pqp!LZbqbay~TO07*CzKvFJ{L<=P8 z2}RTGNhq2)MdKvd6do!LnqBpNbEXuJA{xA+>e83UHWAH8N%XMW4=(QVy5$E)hiiE)E7w)MB% zps&OcXv-fX-hfAy5I*9(I zcxa{g(q4MgPMl7XIa~)?LLk8DZ3WR)w-Z85Qn)bp87%9_fC_^(=b4)em zjFn{R3}B4K1kKMvwmI4ZA=`&ykUkli37P(UwkulmK$LzN`U#rb+%j6&l$#zHBl1t? zmuRo$)dToSw7w?aD6O+L~B8)_NCC`&m5v_GoX zY5hoxSGSkppBtC$rG}2_nM^;OtbrY7jnGy)<=B<+mWNpzJB*T+yTNH(Dz^;qON4Y* z@++$Ks`-#niK`tYzY*2BPTq-W+>IiM!2qW*EJ!QIkkYz26XcPL5%O6FkR(xxNz8((t?*-5#Y_)u>88f934bM z!Z$P!y3-CAYAOV0(e~1p%?yHtEE^%WCvSUG7WY=c_0gBX^#fURAFMP*1<^%F&@PON z;4np%g}}`@^ddot(#rf2t-!}JrhQ4Tk8d=o@^%xrltK0 za64l7@wV2h4?qyJQ`>zRFY=usuei#Z$grzExU-_t26O`i(qkjLY^bS02;)6?G_>CC z-nXgwTNTMk$s0j5;+MP2S4^DQULV~7A6@W=7D)U*KDs0iD0_^66|?gb>hqH?&69X< z@~u^NyL`Fg`@SnAl_6F>xCs+C3Ch_^b-w$_}ZieZ6 zd&+!&bv1LO!r!_h>9s$bzPSFzIPYk0Z*_NYdb%I)Ibxs8@@5_l*-(pz7k{JrwLG5H z8+_lV>p9`xxV6h@(+gaS`A}(BNw@J@9~qmq(b@WV^>*j0-}%~AVgWco?|eHOpJ<$1 z)#sCj;#PVn>*`C*CWIs^p7z39aBg|nC-S~>eb`}VU#Q!s>_zJI_Qn<47`UKo(bLu2 z2r72af9&dPeZ4w}+p&z1x1inKYgp3_i`X-@V++4nCr%ODJi+PiT zuDY!aLU{}cfl_Uh*Y9ei9d$`0c`4&dt542fnD+eyymB zc+5SMb#wI=-}@44VEhz5hxbc;uSk`^LhVkxzT`Fkm}I=Ue+quI+3K zv0VR;E8zM45*kqTxw{9^z7E`-LcIAN5%fQk)pxc-WH-G}G8<6C zw6w$6j}pBcNPcbKampuM)Yfo&{ZYu=eHk{A33fOsl?D=0q<)SqFvYb>oq6GYaT&Wi z;nz3AL%O)aQTkq9{>i{y;z17dwn$GFr0=H94K~}W-wg^I|E$9p#BfV1a)p!$-fi61A6o1I7U`&!4XRPD(* z8P-SzQo5FJ#IIjtmlx|0{An8-9Y;P)(;Z$nt0w7Q4`wY}J`A7w+w^P`JlR_noGxB=GeNFXhKvZTb$Dt5dOGc6)41D08dltMlb=ULY9P7dr~6wO&jrkdgK7Ky}A6FrVT^0 zgmg&G_?6?FRP<$@C`cfJnW)?UkANRn5VFJ-ZqUaY)TUoM=gt=kPOZzHG5Q=MsRA8I zA?Sn~#=_DHRZ%%)#W!mfpN?rT^ z5uis*(EEg%|zv!=grVT## zH~`*SWLfmbAlq%G0oQI?<>L$7&cc{FJdz&BA2=4K+db*XEP}0tf1}AW;v6Lga4JPwbAaKb z_>ofj2Kulq`YS7aC#jfk#0zBQu1 z_YaOpaDmTbT9*_pDLpFVQ1^|DWICwn+X%sXrPBuUr{xrid<9qibs$yDq7CcIBwSHT z=8;aF^T(O;br`-uDrHx*GDwP~0^D?LD@=+sqpu-#VJdu?RKCn&1ZN70;fT}}xTy~h zby5oZFIowr%-ksWBt;=GCtiwvJDbVF>;vYgqo^phVuwOyVmvudwiy#9<;>Br9RcVc zzeX6$m|Rki&`H66^k8ar=bJM|Ny^}valH(6n=n8!%5+8Gp5w)!VLgn$FIqE}Yv>{% z%B))ma@W<#gqZwH7LmZNL-{OOu(rb@9UlfK>3kGF48-6=#Dvg=xqd7Y;gm=PiO4A$ zxv-KlXaa*v5XP>;!+zke= zqazzfr;K{=g>vJI89!o0q~HEw&5Mfi_vA(sQ8wlXlz=_3xUrN8f+ zJn*xqX@>DIp}k)sAWpWnjpBz?aPX@0C1kqmMFY~mIYo#tOGw725?Vw;G%R;hqK*@i zi5dmu)1uBMz!gcb1SX<~e@bYSP&kqMNo*LzLe5Nqy7TEEnbrv#yF*mf;D=pVI?KP6;8a~EJ$bgs!2Mk3TNnh0>^bk4~+Ml97Y z8cb@eAivVNpy8Spl*wBU3oXFP>7bR9^(df3*$W*91R%>zSNM8NM@QCsqV(#hh$u8c(~hm64` z$=H(nh??_}DH32?%22W|kf#SPTRS`L56F?T2L^(Z=Fa|@QegQ^BoyH2WaE*mkP!{k zXiy3?=ci~}8JS>PXvIt<*wWHitXe!S#%`|XtZMJXOFE3&jZEg+*o*R~t%c2nde&@h z)&_O5-KX>;D+$1SD%lq1r4b$_W>}%U3)!%>wn&qI*9}Y~aM?scpYtkfT~#iwQFAMp zX@R=o{G;oPD7~hdl@#Cb=eW{DYo0YVMG@LuaC%6$A3e}n-N#jQzJ1lQW=MbdwbY8C zhxko&fv%+Mc!sprJ?$+?Cb1QhnJib(QAbu*;z%aBwUtkm7%ppRZrG&6ia~q(nfj|# zG%C(q@34|fmqm_QI&bD!N-Go^xmo0}gc1s@=v_?ZWXhqX)hgE<8FOJJmuV??x--^N zCXAaPz^qafp6clEIVzlPMok>JX5``78?08X&mD7L(Na5mAVNTp-pcRQTu3x>cGK7c$Zk?PxqOLk!qZU~0u_%do==0z zwax4b+>$=a=u@!ixqaEQXA1oU`e*Xa0!i~~?7LhcuaC>J@&hyIuPyU^n4>qHEIOc@ zP7h34XBP^ky&~O}1cCFi;0Qr#H(TQb!60ZoU{(06W&ZheYf z>0rZv-+;*uEUYR$Jnxr1U{7qtZA+iMtE-LU@p@|~R9+rGs;ld7zwoANwd=L&Km9@~ z-k$@hxX@XEvbqfcpp_I+vK@@{-?FCz>10`2Ue zsfzBqx9{6V3O&Hiav9KS?fJ5TxY}cWPM<|)h+6V8S0oY;fF6|n{q4y6<%V^|vEgRb z<@+1V0%+haUE56nVDiCMd1j($RGU))$ABNwfZwMJzFW-=auGPMI=0EVzYys`uYGN} zv!&%%lDtoMM*+*M+5(E}+1=dUoh-%hIUHa?J%wK{Q~&M!{q1IS^sKFHbRmTu*qzq# zefO}wEO#nzTR~eub^`!Mp-|yc9J(m=zh0!we4T94&&1F2cDTNDcWv(4K9`5i=O^G4 z1k^#t$`QQ2ZLY`KxfwgZ?{BV;&%MB1J5K)M;qvJ8arOi}F5TbOs4JjWycBL@K4iGs zJ{?b&xH&Je%H8i?)rHDuacdGa7d>=&UcMgX;Uv5mVR@_D>31v-97Di+;}N}{oz2mc z($(U+mF4J@y?(V@KT@x=Sl||4*U{nkdGTzdexIevsubVM|-o_(m3ooOATgt0;>C7QK@7uHe?kGNK z8U-x5*73enA;t@?Ty2ELY@s&3Js!Uf(610|yl0)Y-2j(>q}c7(J4DcLI0Gi%_Y6$- zbpY>X+(&79S^RJNM3ll$CUq}eL@i(99)^DuyLb6MT)*yv1<73(xa7BfZ_r`9wl<%y ztbX_L^1eQ^UccI_N?E|V$fIKLHD)`tci^x-4)J**jIdC#ebbkoV=H4O-Zq3fBsZ?C z{JnDW#$LJd1Ivw{Mql?3RYLIwUvS=S==mH|lYgS~Dt@M^_Zd`^pP|C{9Xgd@(vzPe zlW{ps!80!R#;u7+k_19IT@1!nFjowwP$e39HNYeqS?1_7(I)w67P!CmLmKFC3_c2J8V_+Z8So&%Z_4Y? zW+rvL<5-Pn9J;=C+3B~hE$!da`T+WTLnZ-x?UEbe0LQ^Y0I;XRXxF*)>m6|-MN?=Z zl+rFGB3kfA*wx#%E5+H{AJx}u(uOVILY(VHKCH(AgCpmRpN%l?kZb)zE9~zytR&Ck z=)PZzCVy@CdV2#bocp$?n~*xWeAwWJk0}k?*+nBat6sEKJg_&%08H+MB|GCJ%j*^j z+tRTQ>epubWO7}ouge>e$gl4iUquF!b=0ozo7WNP`oBM253B3zCBJ@tpMc1Gx?0*{ z!GSA`%4_i1Iai*-TqALlzzEI2R9<#>AF6T0Qh3nsY;SGuT*tRrtIQh*JIfY^BBX5t zJZ6fQ;gRu*Tyw6HU5&uCo?!JbC@4y7V%|i!IWH-Q8!44t2CL!qa z#FA84_A|&41?iv=1}Q**N!Eg}Kd6BRO=Kg~ut4dqh+%?I(2C9GMkT&vb!jLoVMq{# zNjDR!I)+08k20l%qOj}EK7s4|{G=F?W2%4X;$s*J`m7iMI`V~~_*uxeUhw;_F^6Ra zLTTqFvH<-EvVa9Rn5w=#jDcwik>4LEh!4Dbgbrx?4hBk9U!$DHXA3x#rBVDG&4}sg*{peifbtXy?4oG_1+WCc z_0r-L21QA^z+9vMQNvT9aVnejM$eeXkXk+=MrWw}hn46oLSdjIttjBZ3yTr9USH57 z*uC}5kB|(1TA+J2G3PK2s4Bwq_GA?}veHZ2&}i);PIZl<0F3*qS?L)i$FGD^6m$=P zBL9{|2y{m)0&L`qg2a({c3nmRC4K9>2T=h53M}@561u{=pO6&)e;NP&Z{y&wst4!u zEnk$q(QQ998tPTILh^|)y77i!2MpIKiqhkXmg*5$EVXeekWyp?jt6D;A_qWf^@yVc zKzm6mmVC|*v} zv2K|fcs~$w(j}$UN~5j_QMLsA&@NeSzf3=~`2QaMus%Sv5iv-KSHX zXM@{!bQiEnv0c+Kwrl%l)-Yg2r~qLOk&9J@wN7khOB^&HK;@5uBzVV?|zuzqd>+ zg{bHi{D*T^24vu#i`#m241)l8neWqCe>#$&dkQ%20uVyBE91T4AQ0k+UDi0Ig^JM0NznyEaS?A41)!K z<@8^-ft2E_THj`H6=%?pAO@S!KXUZHIZZAx6IVedBt8|F`XFXV)$V zqv|tnw=a+x`(cH3lL7`&(tisfYo7Hli=KqrdBIBmDMn#ksEK}>WB*x;RT{~*aLE6} zbk=eIhxOm`M~bGsuod407V-bi$su^z9IaVuAXHZpnYo^DSa_B_GswcFNfo4O^8N71=je{*hfMGRE0(mtE#&1}oi)U}?R zvUGECKWiPg#VaitACSXc!e!H@*V*v@ASvZoc%!e_nz*>DDVW!1lyVN6iD7BeI5t#z zH_Lppbgs!HmgmmuiClMFiieJ+EC!0G!V1^fgvKg9v0m3D-Jw`ji2IaIT>~)wIoIR6UV&l4`J^Zf^N_q z?GSLOFZSp!c6iY6_Bei+CDGkJ?mWef)1qXxjI z9K6rhCbH1K7H%_FlfNKBCC>LD6NIw&9NKU*$ENRxJo2=oLq*yCHJo$4i~k?l_P^xw zosKh@xk?+`;+5u5&^&Em{=XO$xBcJpx7KETNX`8Tr@g+zmHm*y$VmnR=a1a5!mG9T z48j_PV1of$S?^Mvi-q zQhNPxDE=0LMaDSf-}b+y4#I*KBxNm0Qt6c!)@uepGFuTW9VR})-<-qt$`3GN4cu>Z%}TLp#DG;N?b1P{U8T@x(0 z1P>bAEx5b86WrYi?(PyaNPyt(?gW>!K;F-)&Z%?pUqH>YO+UTey|W9udy$O_oKyKC z9S)I2OP=5%mM(u4n7!B$i>W4vx1 zY5`G&>y(i&%E8zR;#NKcDEmlJS9?MWPEynPR>v!tPV503FHuehSO^#Sm!^Pv=YYsJ zz0cYLJj{W8ghwFXH+u8Fwz4OBo@1H0HBXWg5=@W(MN(Al3inIe;bo3%S;1EqwGoTz zMQ?bZU4jPJL`jQ=n+aB#Zq1`bvw@7&oMXXU6uk7fF+1CgBau4ypGT`{@%9q-4)gH; ztXz;WrVGe~=pS!dCdfiGYUS5C)0;zz3sU6Mz90{}vPkUY`hr(gLI-`>ibf9aU1wFh9ka?W|<9nv~Ixh%;%-OoEqfCwQp( z24ja0F_4uAn93JmI?DK2$}4(Sa6Rnk2$;F8=3O9q{4bl|3QS>Orws{gdCU7UeO5HT zzzTXJb;c-=T6%S4W4?uIr#wJp_hmg{YPga5X@^X}&8XwGO8aV;lq3)|p!#LUuYC$1 zlr_JCrp^PEfh74(V336znGy2`VI~+j4ApERUxyL0uNN#lp}bj+n%ZEoT7ac*y- zk@#g3N7%#Y4_o6MLF5MuYHp627yT$jAm1JRDCCK5kK zVG>~nd%S?xnG4ML$6-w2_&76Y(9z)E$Un+Yk_q`3#EF0nG=v84jJ8QfU?k_20utXe z5^EwxKM0>OH^?(xju0HUm;teS(1r$4w0m{{s$;&&H^kVoF?hvDqnmc+aCU&-%bM07 z=t#(bnBMLnqCmGt$NWjOFK`+5LY9B%z>|V%?GKD}Z4bfsDk5M9`4#vIU=j%zM0xBh z;QlMUBKs$>HVeq9i7RD3js&k&M9EyW_WMHRAbg9DI1X^Uo|o5;%LG+nE|>Qn1Ic&N zShwG`c)QyJ?wVlhoO+ty9yt~J^f~cKwGYn61&1(}B+2%iD3e#CgGN{rjVHp#QA!$= z-r%i|=P+SW%O(%4`Sdl+7<~>9k0q~eSU&B{_8*W59@kg&=jKtaW5l8!OB&*=zqr<( z?9wmzWc)t#CmunG+N+t$`gLDPxR@-IyaA!Mfb#iCdX9l6x?#;CHFn!jFO_)o6#Tjx zCFLeFJ>$x09SP{hyayc|iBLL3wI&(mx!Q`FMCGWo)eTJKTp^EZm)we@`wUq2@U$L_ z0C){Jc=ywl``a~6OB#H)xXOj!S9MJ+G;Nixn#ffmfpTpL5CgbLlDQ#eFd zu1Rbws|Yt_zPflug86G9Z$xpSUcWA#+haww#7RUAMo_6A@pS1R`_%O-!%~}v<2w|~ z@08X2ICKYARuwz!{@^41_UxJHXyL?hgjUySj3SHZrD8=hvC*Q5|4>BwWhXmp%R%~Cb5KKzR!&cOb!5tbd7H@dg`_n= zLG%J&-;vJ&lQVN+A+)NLdIM1;3rZCoh7!36t0YAgXFA^jN?rS zS6$i^;8lk)42MapI)DJ?El*p`_kcjqxB~5+=Iilv9DFGGY@e*7)&0*`aa~r`ovZ^y z9=tL>;Jvko(7&_0vUXQJplVl+7Wn1}IrYs5R|&^1PO;wD<)gfj&k@Mp-TZ)}Z2p29 zMUs~w6n*3i8gdJ2KA5i2F1u_T9}o{@!7qJbpI*AanR#{j6g(1k$2QLk)H%rR|pN2cZi3VTr39|cFA5;C8PA_qJMtBW3 z?=DqHaBA63#tSRg2>`9|fX>e9W!+mVK00jNwRh(EA@g(x>r4#~7Ba!G0ZqZ2n7^W^ zF<-`^oR}3u1=`O+++#h`?s%W$^Ag3oYgpbRa{o%RcpMLh_-)^kvjev zFA=h-Kbgkj-tsIFegs1-5c2iC;>mIwsQo5V)9;(0EYAm@w(y?t4RV1nHbiI zf=w2988zJ~S6Y28@Y2pN1k>HwW+#7*q@YM2Qx?Rt1f~lI58kJjNXt6@*_`vR{6)80 zmoychp;)l$Rax2%T3cXMF?UOKhV@gIHlHcVk@Yu~4fMn(%KZ0HlcCbGRNBcfJ@VvL zO$SusHu=FapTA}|dD6fCPF)2rjpdnw)4cX26$O(KSAh{Y4Dj~Hc8uEnMXwPV+12i- zP<#!u`@7|DKrT&`KSwv^+MwhmlREcOX2m3E$|5qxeu1b|H_<>sG|9aiw%iW{@^5c|Jo=RdmVLC12=na?*>f;HEso(KW4?Ql3u^k#Nmvm<ZDeluJ%@V_;!C zmgFEmNs8kBD-Oxg?1YSeQ-OubnopZV@ukuHuF+4Mbt8gYBi~3wE3hhX4(_>md*d=ope^*LdhX*_*M;SwJPS+*!F|Xrqhk9FV&;a20D8Gy<1V6EZKsk@1Qk8@Zy?mRo2lule1?64<+cr4dwM@=HjOa{}%Kt%bc%!BiLZXvFWV74D{Br za!_xklGj?ehSn68dx-=*eD1KOU)w;;T0_^6{I37cXn! z_QU-7+tyk0IhUK*{M1<;pUiq3r1-%jT|HhNehjMQ#GBS$O6T);0UbQkHm#a^6)nfB z1$tPiDPK(B(H&br&za&o5h+lAW6~bkrf>`wW{JD68tR*U$UQ1<(($I4$;T zUfNkzVQAJ^SQqGsgvGOede@1JO|!V5j~K=p5USQ|lgBns$3d(UHrW%vuZF;&QSS;H zL*DX*=iLEPW%r(sdNe8f?p9?bvkP1-^O7yr?h4eRdZ)!fZHsrQ2mwl^DO^HO=`b{(=`(~d zl5i6#oAei#26`k9=m!XC(MXIa${m`M;oeZnLFph{V z&dQR$WM`r-I75-?XZlMX-MdjPice~hA(w`%AdOa?(pV;5sGPcZFblr9;9#{zz~n11 z$@~5~NudBG9rDAt4wgmuMh>mIJ6dMTsLi*d4V?Zkdsx+cLA&{(ffmsrgQw2c>4n2< z&zOmh@J&~OzH>%D{C#|vGMhNULy|orB)kb4Y{4hr?3vm(sBJ6wDgne7r)l^LpI8Wg zyWjV}M|lfV@CjUCu{+}A$PVpw+#Yc<@^`%446Ux3OBr7pEwJ1K44+Tm2(a$k_*SC| zSzh9(l=6Yil9bw_#lWTp8#SQK+*#Nv`NCcjMbNV@#TQgh6>xH_BjCh&%|2Q|>f#4J>dnG_5$2{dZ~*}=pVOIT zxf#le1n%gBUIL7_;C_Mzy+JGx_7=3~O75%VsoCypQdpfN2;b7~Xqf8sQe|dje&8DV zc=9FJ4RsK zMtA*cKU7(jeL-|&E)5p}Mm?6vcwynH=>+s%h#ofS@cLRju=1RkPbUvO*#qqw|9&-J z`P$x^#!L`G?ZE+Zviil{(#E8s8!wBgjfJ$|%*NTB!4-LPDc`kbP2-T71$2g*ru}J4 z=3-yNf!FEc>hN@+UVVo%#LD`7vnM6A_*@D2qwj8n*?7MJGlw@m0Zm9M;AgyY`C9c__@Nz_q2<+Y&fk$Jn$r} zE<~)@ma$vOTs2J2R4mSEoOepv%m!38IZARl%?pLzLOEFhUoXAhHSX>w?;=T!DTD+V zcraA$O=pbM^J*o~a!A%fdmkD%QU_|~v3`1DHZlCe8Umx5(KVfL~R6TkX@1dDsLv=p;aE#=c zm}irJq(pf{fNPA-T9F9577oF#$5~g2lU6D7+0g7b>~OZX%)VEEDXr3%%|RQdc^nI_ z$F7&Yr4p{LQsPDEU>v7eAE)`(3!|*1lBTZG%0Jqe7Igxv`Lefcwzo@2C;!>nK`&0T z4i4P(YSN26k);`uy2_I&=m}-Yd?ttd?>_}cDf?Jq+7s-ADECuH@1qw#WD)N9(^@Lj z)>x%mR-8yUwEO!MMC^G9&`EkVZNazeUztz_xl|xh{vL-YFg*YSG6H=HJojG&IOIUu z^=%;lf%aDc64Vn3)^;zSAhw-Xf%jm5z&pwy_Q1aa?*V~wZ=ay@zXB)_fB-RNP(%1% z0U1Cb!^bCxVi&N0ow=-WbTjFgfFk^7F>hODS))p2;z&GlwL;r)!;X&|V-9QRD{nKL zV15@1<{%Yj-=#``pLiz}War*HP#9g9>BTU%>-i zK#&3uZ2b>GIzZ6uS@7oHfiSe>tY#te@#7A>BTzwN}D+* zHB53cDo|dudk@q@vxx;F&&-gkg!(I7aj(SKY z7^T|u!th?$Gk#Z$c1T9tAGnL4>!9)UZTZjWdxQ{wEb&4{K{D~7aIn-b-~9OF}6E-*528jzq~PZx>*>wq-xG6q41nz={s%@ zZIL@J#u{!LGx%M_c?>j$M!B@DClP0BOt;1m*5HzF7E(|kADf$0D<)ekYN5xAOQ#tmw*KQU&0k& zv<@&T`q${98xM3WXQy7cHp5*s9U%=+Di-^O_|B{l?gKS; zBV!CYXaO-D$L9*P7g*ME5SbylJB*!*ApX>FD!M(&M<4QA7*VDyFf;Sra*-wdwmCwdMWAk?121c^!^1X3g#g8x5$ zGyONL{}c8bnUI_-*6<^i?ZTk&oM!I;lF}2R@v;73=l6i)BcIu){sRa1UmQDpwiliM z#(~NB-#BzT{u>AAf8!wdpZNcuu%B@t{r|uL4yqs`LfEND=udsXhrukOHN)OCohk-j zt{8&}_qigEeyG4`qwBLOkViGj+CHTqunIL`Au+@t3Zy+?|BXZIU+j-AAVn)Xfck3| zGKnDZq2aoFzo`SGlplko+}Z&x@X2BLAJ~vUFf(O@XfhLXpfh2^+x`X(EOu=N4oBoF z`CR@}-`FBw_rD8d%-YV79M=>ByNnDFELi$>=)|b$kAqQG(Nq#S`?)ZQdC4Qql z{rpm{kf_qaU@|@W`oV@MudX`1NAaR2Cz0Tak$Ek!m?#i=CM=bI^eNN70f8InM;ZNV z|5Tq8MB%e=yc2mA5J_fGaY^C$x`CkS)NS>qfgl{C#OBwkNi@^|<{#b}l>aS%$24PS zjAVS1OaZ*oOoOBZi>1vWKdcgOSo@i*vYn*qLDuuLhXz7xo?yqpMk-ex* zI$%f1|H6Acj;ph;@F>$G0r%bv{EMFeA=Bfh?{E0-FFb)~c%(F3DSzR;iw58ksrD2GUO>ct#-4qfjNPzi=cmM8gJIc4Pi?Bjz5f)W?FEQ@ z=h$?jHjS46TB(g4&I3ov`l2>0$93458t4NSnX95SZb5d;HoEEG zfOhv^NM3Eo6?7rm3EEzSJu4;sI5A-fuIz+R+w1xUoPdUMpBq{z!auIDQvtx_%>Jh$ z{>A=V5rFz@JACz=?SDLn`ftyd|EC;rUdxf3k1D*a(%P>4qqLA6?jiy+uCYH-RJgFz zP|RCA5l4fr$|*j74psi)abWsv132Lrc|AYfF73A{BDQkuaRlyuwQQoDksrV@Hqq{J z-XrA!d{)WVfgBM;=^`SnV{+aRLy4`OAT^c8)#K^(^x=EoKMyGQ4 zKAzm1r+Q|Jz8_~Jr%we2+izC0bREFzH8s?=7Tlaqx7POVCog}9O=MTb-D8W2qb)&- z4!WIhDAQNEpX?mZug6&Ob8YZ!iF~D{(~&p%wa^%ceb^*nsQE{rEyJfo!FgK z=SfS_`KU^lb-*wcjZy9~dz6g(Ughxi=YGm}j3+yWGHd5ayvMKCI!_wW7~d9>75wKu z6cl8mgt&ARXh6f(AIaN)@A1!2sA0Hoi^8ce?Tm3Vt)RHRD8rkUcn=HWJWG z)WGMU&Cpe+BC~wf5M&zdeK64+-3eLYhQ+@YWIBP%rx{AUEh+0ww+ZN^G8$ow0z1Hb zQ)aPzkGQ>sF@eN?zTdScoSQGsaCPnQbeftw-^9k}m=w$bCbqXzX*bgMo&wSXov2ur z*=Q0UD>+fZi+>fWfy*+~YO(-_*(3sPaV&bprwhS~jJafef-wlrhUzUn{vkiJ)r%U zEk3BOH)Vq;iv6iSvjR;eLnS6it=cR8PbSvooPkr&ZBmC2I7(eJrFlSW9TtAJ2YDBy zKhBDHvQD{qKwv>eu+=k&XoDHklvScVLt=HA2GFwHe{^9mP%XS@jQ_t>#|>tRUsd&G zWuV`B~cpo2!$@fi1!()<*>y{AvunxjV48%tq)1=_QiJGe!>h}+!sEM*< zVeTtzmot=7TIf`aIpTA-z(d`YvZ-aXa@xXitbh1_{no2y=T^z>2r!K@-nF*IsJVbN z+JzI6&{_$nwUrU}p5!0deM4>iG8cwK11!?HX8{rmQ9T8j&#vS#pwhW}b5>!Z$pa>9 zF8s6e7_3Clb9|nCiEonu3?B9C%F8^17{>^SZ|lHQ`36f3IHP(iFgA|SKoTm;51=rX z&@xiJ1#{GAqAvivNtzWyPT=R4(DF%xka(2xnZd0=0s;SYR=)iQi!$xJe29NuAEPt6 zJ(N%wk_|M74>&T3C}*|uk>zAtQXi{7!B%|nEBF`Tb$x-hc-XVr9}8ly>-SH~@wde% zat6Pw|K>)Ox_=tQmV$}8pyL}gk(LDHjjHYu=_}|B(?UWmiJ`O~FQEP0=+HJygBxRB zK}R!8`>`y5MT48gi*jwFzbR7)aO;5-*WiS}hJZ5kd>APSFv7lSa%Bh;oD%J{pOS1Brlng7k-#gHrFe7s5-JyBjF zi~?3Chg^ZSCH)s+haxOkUDy3zgfKJW{2hvkynhjbs!_ot?q@5$91QUeb)2oU(3ujB zkI2E>3&fqZBW5$-zDV$OOl97bq77Syxhf%MiGp5M4DlPEtI;GcV_(s~#>UvgROno7 zeTnQfHp7;sMjoaM&9Cm}{#8zRjSX{qZT3y6_3^8_(OdP3%M)Qc-dIH`{%FdWVms3OpP&W4CzIZNT#6JLL+RP#qu{mBrMSPv%{~;Of3UA0c>} z!+jinEoraLHd-hsv;wbIo_6P_qs^naD_`@8R>*5;vCzP(M|$x4X!l|riUu~sMGCKN zWu4Vs;{=C5AwJSagJ)JBkq`7SM$j+*RmBxt5nrvUU{wf2Il8y(lnbz_B&NXSzg}nu ze-jxPw7;6SZ7hPvz?S)2cG0F!E16a_R^bhu46_GxV9L(MgvGGKk z-i_SBJjwUi8sbEZT8=#IHg#)FMX@aa-)LbM)A~JLK0}6(IF)sfr{1mbt3yXSmAh?@ z@XJ;fMEsgBtm?}7ED}hSEdh3rjdBPwwUN&+YmJ~t343pEqcS20n9YjpoJnLqL@0d2^_*8y?Y;;NV1Nzy1u`)7&<6F2HXsjUAXZ2A! zeSWtEds=Pa)#P%|3$%UqJdS(7J42%4p)BvJPYFs}Rv)shG&aV5L7Dy~VC1dP_`c#&6fMZa`sqzh;PWUU1SHA`CeeE98GXLux`{?{WK>&$~nD zs{Jtpy}p@xQ;vk5J}w!-msTJME`+Tk&Z_7wMuOaM06#0y4`2haBHl0=6e>|_&`ns3 zyawzatjKUpfAr)jSL;M-z*zI2LN%b2v8=7hmCiEuzxQhZ_7m!Fwy~|Psiw^^^>GB$ zWm-VX{9MBt8h)Fy!dD>@(F0olKK3-gy2wlYAb^%h)nBV-}nRI#OpiaOtlZ1ZDYPhGWA>W@1i%@AbfLIvZ#W8 z4~0cfxd^6I=3RN*D;W>yc0)evvM^q1Gz`4&$JB4gw1ZQB^0YLZPGd#%TfMu7%}|dD zrDr9W&v%4Kji=KNCz@S4I5jTJu96U>N%eTRt)&%Ni9!uS!&@fp)bDli+fG}xH?l{7 zcfXP>gO`FIZqTklqSpWq#dc(5XJTIW8N+WFObL#Jb7zzN5B`(DvQR9I?>oT{^q3Fo zWyHpRp!GUFP^Gi`urN!8C{MCbA!eJu!^FJMe#mkdPVeo$EaZWu=+Q)HWZdZtu_N_2 zc8jDQ0Vt|8&lGI?SBfSaMNcx_Go|P+rJIJL2eF({<`31PXdE+-Xq%Q_>&L629HvGMdns-HEC$0$<0<@(<@O*SK_Z&H* zyPi!{CITjO0TY?8CMI`R3yg}Pg^S7xNN7H<`z!F0e;gGH`Lfp!JK>}=i6|a*o}1h7 zJ-mc53`6m-!0&P06|<0|=ldj4 z6IqyAxCDo4Xw6@qlQs)M0JBiJ+9H=R6hrP1P=?pZ)tzdJ`bYVbUsMS21`^n6z;g{D zsgOD}fWPyXAA>Sy1n_&F`GD~eu^lA(4$+&6H#Sr!EIE zaX)3r6L`z;NX13BL|mgteXphOtZ!>1iH36vn`d69L0ztH(rPqpwi6m(H|tPnCiy#$ zMZs)m>eIYgvwR)--gq)KtDR{!AgQ|KiE74*Gd#rlqq=s+p=ZrRP7U94Q+kL3O`Ke1OrUgAA(2s^(~y7XsCd5e5j@&xDw?If9bHEhZ|* zp(49v;8qWp>aKE%EoKaCf61DmfnX?ssV$z-^YhU(oC0x9p$W$Y}PuQ5OpPShG zn2=-V&NVqY{Bv0c)xyCJIe>xqbRWYI!|(}QMgklH6$AtX2IQ+Qsr)-nt)4HyECl$0 z2YwVq__gdTt>}#{^lab;hK7eEA{@nN#lAWIWC*z$lAsqKmK>%L8?yd6z`!6u_x|?> zw)b+BQgnl#hXzW-X$OWztEqn8i3nfloQk>qH?~jF4#Oc2OfB&ZaVt&b-f0 zo=QALP>(8@WL~AubCvBV+!Z$gbe{fKK5p(}Pvyh)saST4&FGi(`Ry*es{@aIB?>~- zV~2sdH8C>3cQB>ZZ2ITtBJ-1uCDlrP{g#!m$p;EPn&rVvJG14+A>+^p6Z zwlhcfY5EjauCLl+MTPC8B*ke;l4~D5-5zeVDa>%OxB6&B#qp%1*=b6HYoD8Izjf$# zr)eh*{$UNNNi*%^nXR9VD0?A($N?m>ni4)SHhWrb+_morWHWXQGQ5 zw#KH(H^gw*MV~$SAveyM+;aE<6s|{#kL^#z11UGbrB`geH^^v0oV<@Toc+FQRO}N} z^IOVJ^ynpu`S)jCR>T5gp_Zl>Z?ojI2tJ^Fwi#-304_IVVPFS;~$8UYjL zJMWNcY^*?=P0K_Z#<_fw7&;XL*BkJ%+a`GMCEs#Q?6N!jm@~q#aNSmCax|C|5p~KN zI4(m84ZKB@uaTgX)m7b6om%J9ZKM5ySUU(OarkLr6>&?mTngGvj{WCByLd%HAZ>?t zF?Kwk`J6&R^|p#T_)4gVxMZKGZzr2HsqcHf>mEiT1P(yDiyx7>LFy(J91ya_#p*MR z7?E9M^Md|&{^FWa(B$NTfIvBefgt=x{@NPpSbZI8eRGdKY0oxtE~x#fqmRI(jNDjk zf>kVmd5%F{-AzbExE-rKT`x*GdhTbm|CwIC9dPu_nb3GTiQv6Ey?VNIeOlPP99_J; zk#f902}}#R7yC}&c}21OaN6#1#hLMN%|fSIa~*f@N#{}h^)X}K<2Fg0zvlWZq)c>S z?AA~+wxN7{j`QJYVPe{Ss9FD!dU$%-y)VbnuJLa5uH9i&P~)g&o93_;eR!J3tj*M)Aqs~PXlRqt*pb-(?<96W9P}`)5g7Jn{Kzu z;hOVY1R*Rp$*udzoS8-ebDNO^mwemh3!c*mns-~5Z8a#oKr+jZfpH zmNLJ~yxGusXH*8OahJOOkT&m1VA@t^^gi3-geRSMT7fWk??GT>0@S0jF)b~}ce=3A zT=Jf?{EA2R0+dc@o0HS2J(jnwUwRK;u-)j=qtU30v)S#uZ3JmSvN86GW|(bl_u*>E zlzV6Af%+=8We1v|Z9jd#RXVHBxM}vzu2jURAm<9Jw7Z<^cG%V8*ljw;k?YskUG3I> z66s>il}GEZPf@4E5%(iuOP0(^jp>fdOE)8yZhuIYELk1xTu@F@T1Q#gIFpWB*#a$3 zJ&JG0lhV@Ne%z_sio}pbPeQBP-hulvfQrXi47HNT`v4m@J< z?(MNBiz6*cgoE=*xCnmhw$AXn!LegXiZ}0B2cA~5NH?2Pd%_jvqBF0O-4IU8vf&0R zq35p!o~L?=Q`Y_wjk@q90(3eDt%T;I=Jl+=Bj-$sB`LgCPA9j$5LQ>mDJVV3 z#AeY%ddH=w9TFrUS-2IBkG32FC^XS zxR()rwDFWZw4Cv|j|$!Jxwj_jXEWosIK?+=8|XD@EMD>*K0Inp2~>hU&f2Pra9fXp z=H!*XukxL4Y&>+2Sg>|{jO;H*-&l0SJd=i3x!4b1>J}P9a&W#*cRhAliJ+1YIerV> z&2?tPWWLe5TFF`9aoEy#M)iGX*|~MjSqofN{>O1dXtKz!+wb^ zd46HJ@#l2Aix!VZ`)(P}6_?#r?NSCyy~|3a$Z_kH>hUPmpI^QT#|+8yvPC~hB6!@M zG(JwWo3$O4apHR&r23X*ae=Vz4@FX*a*Lxu|3C zc&HPZb6zff+jz0e_T%s-h5&Qz{Nt%(z_pyzePqybTN>uQdssi#eLpg!uP5WD&J_ZL z(>#$mm#5zg$wAQb&b(7jBh6`7?}*#3optX=S%?kq83G!(pKjX6N87J&pv%ua<`$p^ z7;3K`!=|q0EuQ2a&o7ro69>Pycv9?LGN207Up@L3-p_iX{PCYB9QE8Jv+q5gbAFP9 z_}a2`m$9|aXocUhUKV%`*;9`C0RB5{Y5gW1ycKkjN?LQ9Th`bjOlskP8~9HyJ1r)> zg*|SCfo;jfZGw@&HEs?3R)(i5nk%YuQ?d;AA?y>r?dHb@Wl7Fu=jtoFyc;M~z0UR( z`(ozhXv211hQ>#wX_rNs;c2c^a5g9Iv#`;$#ug1b5#xRCFUA)3hHccmzsFqb#urw@ zMcPh(X&^11qaFB_{c+jiec02uTAt|KS0IwyEIr8+dAvYBZWKQcOKZP1O1L8!lyGBa zpgOjww{K)4U>{XVp69y!MZo*}Mw-AxE-rrQK7VQnu6rxBt!&_K#;{fUsds(5O~t%u z>5F@j9G+&OrqYA_pP!S8lWIlW)RRwznv{>#6><;Ldxr9%Q<_&8TWGv3Bj>8}Y6a+N zs)?U^NRvNjlcAu+eAhjb|C~;~QHaTd7SkxlWwLz9F(?ekbcwWXOGR2DPW7-z0_x~Tg{Vv} zA%X2e<#F_2^7G<+u)?}S?SZJLO)puHhkB4b8+FkB$^%YT>L0!Z;^+sSTw3sWJo>9AGe1Sw`XVz`q+A#w0Ag}QVP3d*8}Te zlv68HI#jNjq*eRgSye-_d+O6Pd}+XBdp5doc02{c1&O((58@B4a5c&DcQ;S;F(=<#H@f_t-iEJ*OH|JzcQW_gjV z=xz#d{7S-03-`b{w+sHAue9N)kexEeYdM|zY*`WO4p=Gq7wkzzn zYCS5=cB1&{vRlwasr*yUtxMjmDw&r2nZdoU$(>1txV>uLt*fHxWOD8l3SF7;{O{}w zUS@)T=7JKnVyzM$m4UvU_j^d5@)yw)aeJOh^XTt3wG^7=BNSn4Ct+{>D0hki78MW* z$KMQ-+QsY-{Z0j9AmsBNPNw3 znX|`+-44kS3nQpbo4b2O`PsL@6e1io=7y$p6s4EcqNf+{_35uHo%tD5 zsdyC*6D{LB6*bfgHH$V#DoiVN1{G2*=|tp#3hw z%gDC*tn#!mA;Nhqr>XzgeqWCmHBGNBTzclehtTuhqfJgjjk&vZQY_A7+l}B!?Pi3^ zGPj*db#p4iQu^tiP9W9ocKC{(L9ysb z=9?Gd3Ku75n~7#+IYAwkhdiM*@A8R%{G-kJF6(|Kq@TVSy(Rb0eO zN)g?;q{6H^W$|+Ew!n~2YT3h8)%q#x=&^WpkJ35Vd00og(zJY0U?}&+yEwNIF4;nl zoLiLE&_|`mDweLYu~GuX92b9+KP+`6PXw1Us$W>DXbS8NG^PR%-tkObDo%X+<9Q?a zz1)`LX35Fx`KI%AW0U<~NGb9#izQf`(VC|fc(%W$6dZX*wUc?~G?hh11i7i) z>e!o+1>)24Tx@V3*$NFmae3xl-^6=oSUTKSd<7YHo(*o-$Le?awQ_4=@5&^xDY$=p zM)t7`mE;hf<#YO@n7v`%t@0y#9b~E47vRGH)!SvUW}WBOTxra=PI*nu(W9hnimh(T zX{gOd>T%116tU?S$y{*`;O*LRcHNT&@*{zNU|WhhU2Zn>^yYDB{nr@!4v2&$egt)2&B>-QJuZq> zEJtli=CApm4Q#u2^+1!gb!|0Ln zzb7cir)rAK^O1gord-{cI$v&LL!TBR>GS@n{bA4mCALviW{a5Lc`k9t{4+2}3WfMe zk3z>gars3`&;42}Jtlei2yXMKkvbjaHnP3fc5CtQJl!)9N<;bcqew^ur1ReQp7f*g z5brC~NoVG%fHBk6k+paJlbfn&gT_w3YmMhaLWZBnAeC#*86;;tEU_VNcj$I$dJrj> zOO_Q{SROO4x9+WEbJ}SgGB`sZFZY!c3RSPli{;(FmWXeb+ZBr{(a_w4%01~WUnfl7 zo%OggwYGSaH8m9|?Pq6uemQiTmDJOWf{mnU<6A!Jv6L&k3BR2#ZdZSZ*fYQ3u!+5> zigTF1vG_Bvme-^p>rLfwr`}Vdtd{?T^t}%$15L8NJmpW>rx=v3qu&H){zS`f%d?h# zwK};!_U&1_)ZWSeNfLlQRxHx`iLu~W9czU~@YbWPRotB0{I((jM%C#iY2}P`?okMZ z)3ekiL9z34a#UVT-c$XdXU&~Osdqb+$4aYG8UC&Llc#B@B2#ew6G6R^WYPHCJhQ>k z?!Kx^F^xHwr;^?6J)4><`1g$}^=-%x(I@w8p)MNRWR@~ba=E?=u@= z9|AXaO+)NjqE8fsIIsnGr-V4v>dQpyiFjhB(2XO`F7hsFV-3MJjf<%zGs-sdHvZHh z-lY`9r4+Mc4^P@=pY0BAC%4%R6R<8$XNO@NI6$0akmZx|ae0lC^05az|MCHTIp{*c zF~(Y<5Mq5YM(H3J1tTplEqsFvpU?aTx!h9SYdsuf6<@>)8O;ZTq7VdykdxoIro&o1 z2lBnRpw|&CpH(8Di{A~VKcNEBjszEp-;fQ0N!$q1hYPwEX9%rP(e$gIMNrHuzhz|? z3&Lo2&UA3!1Hs8N*ZgLTC(h^iyED}Ub%w=F<6wenW~_eP7jZiq`%Wwqm()qrA!4TF zZ zTvN`EKU0d>qXtsWgj3Y!CRXF=4K?&dB)>BB9g>S&bgahH*5CH*2=7fD{4UMtrdz0r zo;O}Df@-L(Z2bD5(HgedNOfSjmH*TF3oF}`P`$-^gT<-rL?C@%DP}E;#h7-zg&Lg& zH}>k(+}Jz~V*LtMao}~BmFcl4$M4O$kp1`}Q$MwsVLGf`tI#c`dNV$fg)(bW_u3 z1`FD8r4@(biRIpA^QHiHZQ2qOzxlpYEMuSfeA6VgTSbxukIr49cZ-!)iH$cY*sGVJ zQYlh4`*l8zU-tng2e9D2EHoYJT8IhS-}z1Ce_3q$%7s4uHteEaQHtiXdHH zH^@;el@E(ec)2&|_VbJV3$CRTu+_L0##*NO!{)gbc&Uns>*2SWLv*hBZ(B8vzfCkA zDR-bF>gkx1(5wuP^d}!r9j-tf5zSM%Ls}5n_jZBRqlK%k*JLf-S$~(rXj&LiTdz6J zhiZ6;p2!bfz}h9Y4P{`FtC!|*Q9HVfylQMG8frq)ej5B`d-R&GU@`a{;G|CJPVDLjd=G^YB8LBVGSRM;}s4T zT!ziuMZtW2b%|o)xM<2_{s*aLd$Pr@g(|!-tGkk%`gM!NDaLwzYv4!?V|}Msz%q{d zBgdYk1>3!C6lRny=OUZTL;K^-xKES-{oZfQEP?1kNsM`;lG9mm|JbW8 zV&o%2eWGEqlO)N9;e@n7o=vOJ-U@?>uO+ia({U`JTxpLzyB8XGm%%Jwq2X}G4h&Oy z?rOT}X3P-!5dg%g6Nb$n7w$bu`ppbTLhf58Xh)l(H7n{Ax3QDZJ$sDfH7%-JhNFe| zaiT8jamApUGz=&uu)mpp8eewUWBsv-eg@sEjq0gwW?xHyX~;o<={sgYb!^l34bism zn-<}sW}P0f^!F#brg6+b_omsoy!M`hZXzXv2*9zQV`HTC-}9&Z?1!)w-OGmXfp|23 zC?V%Os3sfYQTusNy{?2`3p5!myv@(n_U$pVeQI=<2$ax(T*@#{`Zo3U?qn?@s?dUW zS>qfcbN4Qv&K_dcbVG{4yN1{)XR1&mQ9Y_C#ZxrK>cb_BubN1x z?3f&+&eMY?pya8G_Z8E9P5L6fmEq`8HQ6`Ltv-kV=%Ca`aPP*Vs8;!XXo%ecL<;9` zHPYn3iX(15G@{zq=9Sopi#2oR{aB<`A-AC-Xq=N%Y|`Vk5)V$V>J6QYDQ^cnX*?Vs zrOS(8(L}VOIxMS;ojDwMSajI^TKkiVK5rOsect>5)S{V7CrALHPFGH(}>lZW4!qfxqff)gig;qLf!G58vHISmG1I>b(m zdS9cfJcp}~axwfGH_y(ssGCRJk#c;MN?c3&8jQMF{3h_-C{DpbsUKTP>8F8V5M|E| z*g1__B{OBk4}l8xz5rfbp60bOT683Kjlcvo>`Ui+s!YYp}|g@dov1xnxvS zygcSI){^XH0e&i){$)O6IT?;rO|v--VMg`XVMw?Xv(7G^aP3_WbXvDS$nyv}Y`XTq zaZ=?PFGz_1>^rx_I5+>dRCRxP=8D6VrB}o1 zg;sNiX7iWtccv?9Si)O;doc1*{k_~hQoHtd!ZHTk{hm;Md*IdJC`QXdU-4|D&FR~X z|7N@m{)9lwrkD+0+W(dFlKki7)evy`lba?HOqtHO&!%&>{HSdrAODHcvj}PF$@*WX z_BjVuO?#-wijG^;;xC*)&vY+N!z^OPy+H*4lH`AXK67|CWOMgSr+Foguhr%3ZPu~r zHgv2z?kA{tCO3T6oXJs?@@m-TYc)UXo^$wRPMpOnzT{qE&ah3Ul^tUg2_I(^c@)=4 zc(xyx{az`{p0-^WBW)q^4@t{nSK8zysa?{qkLD0ptc}>z2srK@;0hJ&Yb8e+DtXDc+2DX?1`1g%EvbQ z>s&oil3s~rre?a}euh?axn;}27W0fj4bW$_(czfkZNiaX0dut5io+5Mi^!t$rTL1Z zy0i4ol;psQaF3)|9Sh=S4xFt6?%W?}YSssPRu{5}-!~)LvkUM;MQl9A6TBPji)99) zDmYm^<3Trn*6p7w%q3ci!p^LFN&n0Jig_b&tEr42ZTL1?qQS}7WT zBpkU{3)eaHTud?m4tCvRe&%cTz0lK|XtpBRvb`OuLtKpt4BVunWjP@%(TQSW*=8bd zR`(NWdnO)aG=DQ6+cj_Lu^hCmKz6Llo{I`R`>Xg~Fs^Qq`94b;?GJosifAweZ70+? zsexR?9P)I^L8(%L=7-p)Ydz~fv+NIu_CnL!>D+IF8whxv4YDgB2Q-6Rd*I1a zHs!#H&^ri3Yq*8gj|LgyKkQ0@H!yeCrEvq{@3pjN!flAT)8n`g8edY>6gk|AT>c8Qa$sRGd>AvZ^+QIcD=YJy)ve8dA!Etf|A)m zQ{`s|{aZS2?n9f%GRf}}HI>&&Txzaz7;(^Jzx^3KnS7ToHE%;XFBjz$=}I7ZSc>1c z;IDm?q$Tms;%eAar{*+!+c(D;ondoIdw#N>i;w>4V!ma^ZHmycbUTa-snsLQA8scH zn>}sb&-c^f;bJL_8*p-M$f|v1Rb>{6k!l^jt#(OPzO0Y-j3{k&pFzQ2X1oabLOfU7 z&B#s`JDrp6D;_<|I$nw_-(13Z`Li>>X;iaq{}Kyv--3N%X?wPGNrN1Wa}JT9AIlJKOO*M z-S??zXm!2a7tTDLGe8uxS9+)I=ILx;$gw+>hZ~r8RVdT)y*<6n$SIV}s!=1fsA50i z9nMa+x+}nHpK@KfX=ZQwSLi*Ltk}ug(D(H_{$INUe))U895tC?^e|dMxp^i(`&P=v zU5*s!zouAaOea?9MpM?n6Pi1{$Z=YXoc%8KhlPg@bM)pY?we;}UB~*H7vn!>xK5nH zxq7BEL~<@)JIeZTo0cWtsV6;6#Qb}g%(8)F52*mWyg|G5x(_gb1(B|iu4TZiV9z5; z&o{dvn5!qEW_a=2X{ivkC>5>H7){&5*b69Yvtk>vY^EC7xVtI#!G*U79EbhLCq_mr@Lmsq$zpYCi@k{UkW8Ba8pddgZ6LN2a9 zd_{X^9i~@-6xH|bOU!s2bvC(Txb&=ElZqO<1bH| zd}3$haT9#ecs^u6F3#QneYHz7-?=Bf1mFtmu{XC5hJPz57G&HEQT){nzG!@;FvEQ# zH2u1H$o%ul!(uLvE#F6K5dA|suE5Xia*I7t@WD{3A4dW)wUi9q5(P&S22o{aq7=Pn zcUuoeMYrtw@BWZXv7e&e&)R5ec3$_nw836@Z;Cni7{yF6@4R};tHD(ZktcZxr<8j$psenZFU{De|x3L%Agl|1K(r%1=`>lq6oYyUNbHlP4; z*vlH4#)^?2!cG5!t4i84)6n&1vIC_9V|*6NEc=eQy!g-MmX;8wiK`7zIeA_wUM0*!Bt$#xf7duTDax81KwZ6GYAJJNob&1+{^sKQ`#68y&(DlQ712>N4 zqY&+xMg65>9t| z+#1cOjYm6Hom<4r51Q`F_dV=&%5eW0QCk1TtGQQ<)+WfNT?ZxZpG_lntYW%uW#hp7 z`d7dBp?z|}dJhNPY7d9lwcE4sbxNS~wj0a#WfSl5YvU|~g^72@7;l5+NUm=66HTnr&4S#Brtz6&nhrEzn z0&$8aTdXZy6E$kdo?hhJD@WQIJv(F(3eBgaIiLQ;HcyuS*dY;#1MiG=bo!D_w23{% zbY7%biG5koPTT({)btX@(#DlNxVTh2xY&_5xcIBDM|WYr&5+%g4T8&0oy^^4TlDS| z*BIdo5v*w!zQDbnQXI%$DWVR(_K%k~mGq49(v{U#bK)U8tLPJPhi%pH5I95K%0(G6 z{m$FT8uAw%=at%;Zni2%d5H2qxvcj6uElOD4d1#L5hI&S&* z#mbP+?_+j_z_F`K|BHd)qoETWb@?9eJ6fTWVy|@r^EAHL6)Wx{{#0jwxpL@^502MU zk2`d)UO@y~SPmsR&nAB@t|N}r2AZ``i7D*5d&|XBYyPN_Q4ee_uk^x||NZn}Il*NA z)>YoW!t*qCgXH`T<(1q0!YPOEE-dZT`8{|~7WKB?{G`@EUh9tSC&H1UI%Q-?rjD-( ztG~$axA&jCVP&Tpy5f=9ixy(RUN?gk$*Mp1Gi&$V*Xg0ErmWF%TcY!N>L}l;j2sDD z?kQs(Ylu60C>Z(dV~}cuO3#hBaElzmM2^sn&)zmU!?vEkX$PMOe17QCb=_P(>qc_> zDZR%&_uHsge+!}`S#WJg(pWFwxoXD*f`P0Q?q-sJJJoBVJ(J%YaJAEr}n$7$S_2e)0X^y!(>+zf8>sQS$ z>E0mzINkiq`mE{v<8R@e64~bG0pHW~f?O~U=Eo+JIgz(L_PQr0J5)mdaoQ3&U=_NH z|AIbIjUV!9U!)+K_3V3uZ(NL6ofxn0^!IlhzhGS3Pgznx5ifX5xBA+B{KEARD$T58 zy41Bixbu_iD;K9sy`G+3cza>$QUTfYh9Y_2?V}z#Vz$eZ3!#VobSbPpY~SSHUhthi zqdRPoCZ{mwrtDvCAFS};{Bney+30oKPx{r=@=Pq?hwb&RvT|PuU%bBU5w|MZlZjTJ zCk&)lj?SQuSFlvy2A_w(9R){W*2Jr{w+5fjg!@=%1&ayOm=D=sq0N1xqNS#4>l359)uGxwM)^yv zHtL8u0g_U%8daj6%o;KE-1&1ml*i#8^Kj?DV|81Jm7m2HAB&OrK=LwiD~V9qx3v2< zmH3LmpI+XBamt#C>F^(gj@S(|5p4ENu_O3hhsRH=-MhZ4tjpjudcOTElUnW*4+&GQ^Rrz@Icyr3h`z_dQq{oLdn_V z?6`ropAy=wuai<~4Dx7~Mj557H(Ls1R7<>89!^)(GA1BntS3WSLrJ+Dg#LZM4>LF9 zHlvs#zGH%>f?uq9T5eE+??rFw<3`+FB6IyATZTTA)qYUKRgBcw?iiqbG%X}&u_NZ~ z?e|!F?Iw&;eD&|<^<+j4t3PhHPb)6C?HC+A+Bf*(wQtZPxo<$`vtzIXn<>0~k4yWaP5-Layu-W1=A7q(x3ib2_~eYll!NbHcpO{Z{z~M@>uIPx zEp6&U=kcFIA5*t%eIN{`9cr(5Sh>Q1pOMJ^q_)BLx15_5W+fp-JI`x&Rxjvq)wkYz&W262xm9LmQx1&}d2Y6T z>+X3-vapi=Cqrz5NaVX^qhAx5W-H#;9#>SX2szg(^z(PI#La|=>L0r0k3edh3gSly3%TlzP5SDf7MwAzNH)20-zM*S%<^HSP8n4NP|dK_&vk9?$( z)Nwr6c^3FcMc4MZDU(!m^6h5Z3yE^N4?n-4lxSA!t<7G)z-`RQU`bqib7JfMDgb

4>U zr2GndVkK*?f79|EsR7pFqGoP&MEM~Y^9Nit=S?w^m^_(0&IQvucde$J?@B!GC=>nq zQzHIphW!@@k9pEn97UpYo`rBday|?y(&tIl14`hp2{>-x?zR?A<7PY}vOV%5>H8Mx zdq52KV*u;(+TU{mOQc$uW!CfV6Q!HYU*Gpe&!1XbPxY6(h(G>LbNG-|8p?HFImhNV zkR~O~JW782HgbwlBy`kf;I6MQ<@NSVsjrLI*n*C)(1l8vyZ8#Li~9>xiUU#35igr1 z`Nx?LtELda9Mh3eb&k8}5UZYr`~^gENu@dtbIazjwwZVdO^m=8^HEI+&EuYz{y$a= zCKle8T#D!wy*ijJ+Sq^_sByB0!n`Stp8{<;BRnj|Arw7v^KKEFS5RiZ#ms(9h7BqC znQzlv5pASc9K3iI-}BTh_zvwAkuoI38xutuVlJiTL$a*H;9HNmA7_u+em?N~>-<+N zdwk=-Z%RPBSHiFS#Kw#Aadx8Mad12A=`){YC##K*pQJu_TDJ|M4I>g<-j&`FMucTC zZx%KTNRXUUqtd-;ErM^G4*ILrT4O{TxhVj>;y-_o5)Rfyo zZHAb&OO)fput}qRl{G1#M;2``shx#{f&=AMf97L z2c7%Bv2c3%Ddhs0B175*-?5AQ(H2h}`G9MQ6l}v6&xW3{3@mYU3y|PUm#&;{I7xN+ zX?EZ*9LQZf6J8NZxI|ZPzgx@lW?J)NscCLVUE;plgRhlfP`}Q~=8SJ1 zDn-ZI22PYtjpiy-S4<5dPHV}K5z?>Ej^HWfAMQf5eLh{VyX+%M#(6E|q?g)T_g-m{ z<2B)-yBv_HY2QwW)BWqn80Jm8{@AaU$lN@2z?!(zDkVHBUKN_lxwe)>F!gbEMcu|Fv? zNfzE#3Vv?4;Z=6|n^e}rO(&7Qu#~{7f8h;D4>z1d-n>h>7KF)eAQsB#+!=&GQU^qo zQkh{&Zy^cc4N>5ugm3g2Z7C+BZJjB9&eUGRRF{<&eYf6#y!%}DO##E*;rL4A)ga_} zEhPa4GvAG%>A%nL5{C1%r;PO((}HN9TPnH2g%N$fC^2mF{+=;J3sNW556W$okefa7 z&qQT~5PkWv!O4s@MSUHVxIGVRm2mLjvx<@;`_dB=!E)qQk4Dt*?AiiZh{*%{C4T;gro8)&dzng%Jd}x6+B{Oec2Wj!qfs&F5I3s0%xoPHk z>8U*A*!LH?nkT#P&|svb?(`M#zYZ2*L=3dy8CyR@yEgG`O1P%zf*QHnR})ji1gmJn zNu8`HpX{stSMqD^L#Ds~fj5i*z381rz>;zb^_S z>iBDw@IX^2llzE970j65`Fc`j|>K$p1tOmD^DY57KYXw?ze6Kt>9i zeqclkjQi3HX6lk#^4*Sa43rDJozz&K)PzmxTvE3-R%IN#M~MG-DZrIpo!=14$H874 zZ;X+j{GdvRr_e??#6*$zQ)D9e;LrrNgcv?&0*X;5(-37rsf{*)C(txbGV~WvYBxB; z6V8D6n9uOta~;?eQ_s`&8-#AyT{Iz{ni|K~e@$E+Q>`{E!fIsrTM>Ln&VUgAiE7Uf zchje+(hI8IXO7coa7@d-wTS5VL{JVz z!C;Tsp~hWKSSr{vs7#4wW(=QH8H(}ql`=F1s>njLK9=vVWRqH2T2FRAW9yIq_BJwJjL-BXc#sv^a(V1cM%tN zo{CdWW*|r-j0sQtCmASnG7#&$s*r?K8YM#%3d=WgtvLQMO*ibokU+yv1yYsG5F#Xi zjxmdd!9wW6ij+M95$bO#;&8hOWK0-j*#6vG$K?yjLS%Aat-OIfIi z^Sg5K%8p^e+e<R;QfF;pT zm8FH!9jD()LfxmsP7moqLx)9-2ok9{gf1KoDH)c>jS0dbYT8&*DQK?4A;v^@4W-(E zSR4+yu8Spc851PNYSe~N;5rY)vOrONEC~nT4ruNI*YDu&+i=K+0hUB}k03#liO^L8 zozYm5HGo_IYQiB8jIg8{03`s_12Y?ANxuO^)vA5D5N{YVzzZvo;D;2lL1TlHIpYzx>m4A>RGDgbwZQ-F$_0Cv}_4J$AgA6ii|1hh9Xd^)#8M3`y8Y-{)- zk4>SmF2Y#SU?ys9Pn&QU4o8Q)Nk>H72jT{P$Uk#vY(o)Hdsh@o zD#!v)BOoAO)?^|)J>d`!D=cZ>lt6p~pf4O!ZH*<}HX{&c0Stmec5Sev6acpY42MI6 z?XaW|0R9H>2^>;L9Yf;0Xeb|_hWO13tj-9mP6Mn?fhE~Rq1JAx5Dtrh@r;47ZU8Y0 z@EI*Mc7YO0`Uk{VARac1n{KZ`tyQQH!JTExJ=4mvYFT(5r}DH#Z>2t!5w?`Y!dOZ^=zgwV`}9 z#n4>YIxyeiV+CTLQ8lkyKD(^?b(QlLwLeW1a{rpjv%)N;5m>!#;*UiBeY%OMXy{+ zMR-wb!cHmp6FAAC{qM=J>ntkV+JYZq)2I zPX{Kr4ehr8dRBqvdI0yJ{kcHTa{zk*Jcjl!0X?_s0BNH2Q>GB_S@XVuFvP8eE;czg$bfpus}Qr#G63u4;I!g zkMo)W_zQnRULdreTLHV?V#BQ+M6NvCaiJ`eKom|zh|uvvtjMvX9c2RX4uBv`@<52l zs}P7FG(Q@WFo{s z(J}LYC+M_5`va}8>+7{BU&>a7ve7nZf3r1qJ*p1nYtqW_>3u@%`Z;)=W=3e67Dlt( zAcHdrY{F;wv7`_!g2aP#1Rb6qG9!Q`4FMPoU?D$*34tZCX%i$8tJH>-s~F(MLRgY3 zz=c5kf*+D`150WHkb(~;pgKvOOQ8iDP=UtgrlRgk@S}4Wv|t)2XzZ;t)O~XR*??37 z8XI`6n5clVeJPDYh%mq*%W7B>1Wh2`1&|32;p~^k)i48MQpb{_K(iALQNsaSP*Zn7shR-r48S{pybQ6VtM>>*IzV11KzyJ? zc>%~N zTbXHNRjN?;@i)+HFSKD>RnXWq07(EgYQwT##E_hs!&ZIo7ZXi05noN=5L#0#Da(XF zbO+E14$(2glC}VR1fV?}l4y=4$pRKm2g=xjYd+A?73gS*C5_S*AKoFy{T>J9$Aurl zgM`N3HHZq+;OC+6K2ZZZw;IGVFc*TV&%&^Lj}lOfTIz)O7kp@x84%ZK!Wzb(7|lxi z6wx?C)w`sze8;(92t7hPMgZNXo`K*iohyb31B?gQAj8#p&-V22G#%~a+LYyTk=CX! z)WAGQ%gOc3dat}bR$%kF9pUJaSTg#KkUDF&uX2v0D&vzRLkg}8gz7Ubn92};f{!Cq z-5zW;QDCAPT>_1j0GdJqh;y}I#d}56kT7aJqf`Yf-wNQ%rw9BAS3hB5>TSg{qBuZ?C$`TkuR^&Cbl-zqqz6yN1f;N=IN1LJs5 zK#e^?`v@4zyOg19=sHv#d0Cb5GkICsx9{>e0zYuoZT^Hxo#)k~2YR4-c+mVWhveksGiSs8Y zvq9B;E~zpGT?{LdV1lZ@xrF8W9)n_xQ6=0yo!vw}`&mh+_fc4_L{etgA=Oz}uy~WK9$wpZB>L4{OGBOq!ewnj#oZPpgO2SyVhnCpX3^zKtMij#$vzHx^ z*+Fe|$##`3~9Z^%08QYO=>4 z#L#D9*@!N{&woF{AqIe>4S?MhBDhB)-)js%0QOg~B^>gJqt7zHezg(c2LQ|3#if4? z#qw>D6~|+qOe4;pq8O=F2=Vd2n;NNz|2%yJ1xv^W*brc$*`X298o}AditCkL!SVt%l)KR_jlY-ypCqBir%KYDbUw z6Nc_U)#-S_@jVsA$f`+*-&02r9u+%(4INfxJkTM;|AwRcE@U7GPqkpaJ^TqY_o3=F z5?DTWb8bsKYg!+Ff~I&39~IrP(HJW*3QI9f8l{qM z(bSMB1e(GF97<)iVPstJ1ZCYxhFDiV*tJ~#gjHRrdX4~=&prdi*ztm)j2GOZvu%{_B>NNaCuwzXE%_GpP0-E^%VWfc!(t@hD@niXXjJQW^ zX}{vp5^yYEO*)Fv9t`1$La1I+pwYO2j)B*zEg3||^4)uZVr&9!b~n%zQke)9jzXMEdy18{vG>aotS2z!$!}LSu8~9p6(jbL8n*f z{Le3Gz-8oEU~pveYrhl&jfOgcbnhv7Y#Gq$9?-E0=x73^7m5JkJ_N$u4Oq3cN=;}C z;6s2TP2!BM)W`?id3(7xy)WxfVrncQK4@yc#C!f4E-^0_3 zsb9{{WQl3^-jW?Tb$b|aC4A=r(rVM5ckDgO#Mk(ER zOJ;Eo9^}1^sinj74Re_dV==3Q=9S=@u5TV?>KpE^$QN|u=9gLU`So8GR5$3G&n;ai zpS@)f?S7kC?E6s)xnqYJxI3c#t=-^VXn1zhAvD;jcqtY?zt3#9SA8jmUik7JQkLYd{m-iCJbQOQ~Y7dFV}C zvCu)z*YlSZ-P>~<9B)O{gXh27m*kC1I#Sh>B{|n{D~O*WXp`r&ihG_)A@A=kZU@|%UFT8b3qO)J1)(?Mp!s(MAUju zM-3m_S-1(Ea$Ct>wFnvyn`?UT`BMn>kx$)Ho|CIz5#7lKUSO}w-{YkDCqwpGk?uNPCnm zMHqb3<4)}DmqLcUrqeUXEMBX7IlZpp6v{N8GJa~$9@lrSFM%(!w<}`dI1w7<6sd9> zoKUDR#m5Rz|LkTgVgGoi@#ntyC@0SiHR(r}eM&2nuXQ@};ELD&zC5RT-&XisA?$;u zFZP#sNe@$5=+F1FswHJB#-a>7INx{%U`&re)yCkF$pG%xaLJwEPd9I9sQqAZ)$wg; z>SlEhqha;so;Z9IH&tm?>&(J&{C7cP$ze`4vLIOmX>S#t*Sju-TsFj2IeZVw+s)-|4%$C5v6nNM~{mfjWH+WR8@7w4x)dB8UR9)?dnEf{!G=}Q) zsP-sTmKGNeVsy7~UGh7_LXF_5ord&i(DSL(jd63=h@|kU%mz46+IH zW-=Pm`xz|wtZdn0x@53#ynPVt$&iIgQ_JnPNBxP^BSf$n&r)1 z+g>GkM-b`87a1leIsrZCXJ%#*DZ68-xSj*fXi8F>k6UP!v5vD+N)MB__dJcEVe96H;Bo zI-6{pyL#9)mKY{g8!LdKHCmjJRY__-fYuj}2Q+>rL2J+C7&>q0A@*UR}^eRO2h zR31cGZj7j8VV4Gv1odtwW68D08?BQeF6U8+*};|S;CsWYSRnNr9-V~n$ScIMV) zq0u}dyvF8TycC1ba+6bd&Ow?KGW0baeOtx5(7VUKM_s>1fbhlZfy6Fwa{cbkzVrH? zspU$ii1&arVf^WW2(8{vI)z(3fgzo+_jVY8-_Pe&d+#?-doqxF$TS)jo;Jx+e`vOF zb$;Gz&eZ|x!)3%MXSX4Ss$^7-|Brjv;n{N{B-t#JboTpJxd#>Ywme_ZfJm8pQ|YgY|- zo;Ywe$8pyKf8zeAb5T4J0tMhpudNL#uh0j0TQ z7awV+EC;9mWVoT$OD03BPOG<6QV{FRG%DxlomKqhs}WL6?axCe*OqQNy|An~5qVpy z2YX%OxGg0ce6Xs8+Yv?z$!>(vm>Hdv12o1|7KnIQ90Cq)$@RrOK^lVD#lDwYvYnA% zO)$<}Jkt{jK=pH6F&fk`I*=wM*6#xC6N5UNT(oz?Uy9T}E~cYzzq`bx?KG<0oRKvT zo&YV!zdJJN>cq}5QQ$t{8}_s;#{>hieKI7Bouf`vtu#t;8zF5CG3BEplOg)-cu-NIPeJ8+z>e>-!<>HuRnSYwvZ}GB=~M6VdASVIe3&aG zyLhcf3i+slF8N0`VRv+L)sUTI?}pmOtN)pNJOvn}TMD^kh|#cM$L}H3N1Of20SAN1 zv1Jrs#m-S6rq*bLT(U{HDx@|u{r5_iyOf+2W8IqkaGRFU2X z87V8S`KsN^_pP?k%{&W}0`-|=EU!#|`R2?|daCXX$}|moh&EEbIvf1+mUH$_{~cM^ zjD>QsGP70Mz8^7WsCRu;=t50C3ImI$}4_a4b072eGKH=w?=j`{tiLk^Dpx<73g+0Z?wmhW11 zKbG(U>7v#Tqh&+69b2|vynB}MM5ZC}ZkkG7*RE4blR|L%#tN0Uub`xBhFRv)?>dpj zw<7H?+<^wsV=PoPdxGrGd*1ywzDT3f?~Ow)557Yh0*JI)w&UmTqj%0 z$QDzm)TnoIOm_IkXYFsMAA1R?z)V)>f7lWO{JP^SJ>;9u0?J;ocB|a0&Ube|P|w4< zC%b$xCA@n=lC=vR!m?5X*8b&wg{o{RIwTa=k;i5;&N0AbE2|>)n?37W7pm0sZDwq} z1LjWfv=1>RCfRrMf&$4Ap7SP+VozIItFE`%``3BRzAjmy3}ixdU8&5IVKwBLlA7Gb z766ZTMgsr*Ht=PM073Yw;j z3a1oR{@}N?mPJo?Q^}TDPB`&`l^-c$A10iO_&NC3JfgKGvfnENE29sz5_Avtl$qP> zP<*#v{gEnmk8rMt@U)*}MH+-r8rsb^m+y6*bK_DL7*61dy|jtvQV7qgw1ZUY|R7N-LYWa~e3l{YmxDQ_%E!_Me8nCt;3f=h!u`W`FY2(+=ZoWpT_-o&L7V zcZcHr`CDHCb>5Q~EIL+&2ik0#s6=l!Quol0sUi1SXD=TqSeF<*{;&DEVH-uo zUzKd-I^MZEQBl~!b3q#q!#n#ixlhIJzbIT~SByEtAueC@@a%cMjNioc8cHY!i#J8KFTZ1_^53< zUHUl#U;c888}w%KY<0P{e1d7O3^v0}hJ@3(+u&X*ls%C&=Rs*v$*_s|1FMK~ZxUkN zWHT0f-dNRu?^8+VsL;xHsXhWLOR5ma@%!>yUvHK_1!m$nQr2iG^MaSQKPumIq>M>d zrXQ!XP&&ISFRf@&{em(#@ma5l%s5Wx6fqZIEH=arrv7X!o!rIilI!=y({Nn&)3h%h z|6r5y*20iZ+;8^+p=|xl*$8m!B2K5G!~H4HL)BR9{qem--Sz;Q3KrH)8Sd}7`IZhN zWzl}kvp5|WfA?H)liwe!6>cN%oT#DDrLKm^8TjPde2qrBr{8w zE!=Abd@Xd~lom-+9jwLkx!Tj{R!i?2m;9myd?Tu5@lncNQi0_qj?GIrozKNPdO(v_ zT@^>B$`&@V;Z@^@bNpZNv$EHD*&kH4%3R8mXtv3a&@fa$V)HiLEACTD&GCB~Roj$X zOEDUC(z~RF)450qnuY5*A4eDc$gKz%x7)i(nf4kM_+~a&S9MNBkMs`{Hb~?%_6!`t z(H;{SpVHONGWN1>qI(nQs^>a2_>RK~AEaxB-WWJxm@QbV9$=Vv*e!mH>(bZ@`4%u z{gJBAGT2eO8xqX~tKuC>&@NXImiIC0>w`x+tUM-m#`LLw=!B)n(SPWLJ4VZ>*i3ot zkbwf67BZ7Q0wsnrLq1fu79GoJukOH&uMB0=yL2F`Y$adAIaOr(erJhct0#Z6Y#JJC zNjaMKBC+ATJ0`}$9lYh3yfH_nIt>sEGO-+Pax*rOC-@i4?I(sMbiy5sB^p2jt_L@? zS_@~*K|U@SZqNy*ZU7B#69jTTPQMbAnYu^r=x9Er1eY16(Ol4&bL>s1(VK%A3#Zn5 zKu^bK@Ac-2#k_FCFu(tHZw7RB_0LAfOLZ_km>h1Id4Ay;)X zKWeNsw2hUpwKLYHNZ4X_Y!I1#ep$wjb@MHs)O?N4shX&S!DpuSNgt~Ap?lGF#L9lW zKQVO(j_=E4YQC3oX~+q0(iSuH$x?ZR%*1=!&-V+uc9P$#zcLfgEH+zdQl1`QY*!0L z^0lj-GFa@x0%8vZh;CIH&Rl z_NZb`0UuNC8>s1`5kVQasEJZxn`P7^m9)xNjmGZ!p42^ph|ldP{Xy=kIhv*}Y*p>* zY)a~pY+B{(q$_v<`}(NV>f@uRu7r*d`95#${D~5gCl7_0RW#H1G}TRjgt!?LXnH4B z$M@uEowQ<5wg0)0b#e7Ke9T>+Kou8^zgHkSoA%D;PP!Nk!^_N%(ATjaeNIADSoEll zFX@pEI>U@Ie8%bku`8mg>nJT?D6ibP8A^-UP{p+O5UB+!YlG_jb2nM+(A9Gxu7GM6 z$V;|>O>S$YYOTjCX-a|4AvtER#%6w^ZdVjyB-7q{KquNvili%Ou@OBu9kF>6@7@H0 zSLJQWrIzR*``Ysz`QFp!or+Jfy#aG}|5&=K<}PHgZzf`-e{PtPpBT%fn?)yeZ8BNW z&l{{wTfK7J(fxp%8{KN%)UbF(&^ik@RYP79oWFt0ZDqQ3Z-Lz_b50^7_qTnunO^&5 zgeCpWi|Jla(Y_s1&4&otw^ahri@2EEI%MNK)(vCh2h zwQ2os)M}Zzp6HMRF*?6oy4RS9uhVKXF_lhwvbkY3j;t9{)SO1VW=h+L{Jxm7xNozH8MJPBPD77m(DtrWtL@Y@ zPfunjx+ctcfxQktXLT;5I9ny!!Q0klVkL9wb(?#hz#7!@RqbQie-j`lScDbYQKkw3 zDu*{}gYaXUA!cRgLcc#%*=Q*Hy{ZYybAPh(U<5wc<6bYYcGr9l5cv}EzqI(*^$5d;LM6b;jXSPza)i*6QTlcIb|A&Xg6cJCT221-^4`dZfrY`3Dd_p3a>b zkg3%87(s_XeSeIQ)8bX7^k8Q^S7jl|w}GSdR`2Hq zjvB4DOQzyq+~06Ege?*IT8DR-0mqcgT>+kcVgl}LC33hw3eOq3Up{dc8)1^+bE^ik zJ9d~{^Iv74Y~=XQVlsLdK`fU^YXADl?X(j5(3BzYg-a7mw@fpJDftH7q`EXWL-d?^ z1zn}OQJW~WAB*==(|$hm<`c~I`vtvaksZtE z@}EnJ5)oiOmaK7hhcaMhYobKL7(%ZZHG<5xGWCbN+z#aZjQ^-F=vN@*N|p9ahJBo0 zYCbpec(R6NJ8G3^Av&ACmS-S3ZLs#nKy?0f@)lz|${(X+*?jMxtgZ5<)SqQn#l1W7({q;Zs*V z&lx&QUjOd@sJhCqsJgcMO3F~u4FXCtbVzr1$Iy+$&<)Z8(%sT2EnNfB-3^2ENJ%Lm z@SW%9_h;hT=j^j%-RoX!&s=+d9mCp}Gr{)l)yutEFsDk+jsNs3CU8N=3OU*JBy1pF z#$`^OCka5ltFdElvJX$PD}|q=i@+7I<>Pm0{lSp9(R?|&q$*%nAOXhj%Hok8jNgr4 z__vjSZ17=KZXej79JNC|_1T;54@Hdk8^3-in%}H~jOoy#aFmDAJ}m%#3st$U&mxwf zb=7^%rwx?-u5!p#b?G+iC`2FHRLae+*^oGD_0YyVx|b7C$lxVq7xl>ErIorXNvD1? zNjCb&tK;%tsakH>=_~okD=b1P`{;7T^fCnt*4}BO*EuRX^jBdFC0|D404Qy! zo6Nt1JTdpRcj~lUxY5z9SfgFVgs~w4!$ukTFfx4*Rbgzl=1C^E+Al$Lh0C@0Nn1DC zf^*tiw+nx1;=T=bV2Sd!5}*mM-@q84>dEoksNo) z1fGMG5Kq3|w{fDMTp|wN&E_DX9-JNLt$S<6>a~2W>fQY{WQHf-^T1olRZ=<>+wJ6>(jsb zpq>gu+~F_Be%XdtFTS66GT_y7I!Ed-GBd15uXQP(nb`X9MJe}({)-Ld>xA|XY$_~4e2pkq1> z)4hk;2b4_lMdqzQjytny*m|j9nRd)(Z6V!NnEwKy%=zS!F{)vU*eH8WM7ZzwC1`=- zKEH_zXWVETUyK5ZW7lEcr%ellNakpM&ZI9rILr!3}?pTQ?XR2Xv5MI$OKTIqU>pgx&pGMLR^D~DR#yRTY2ilO*I#!5 zyCzv?diFrBBqpy)F$62QnYRXI-|2~$QhA^9i5K}p+uOJV-h@#r{E{v zb(Q^B+UL14)Ihk(?hEI5pj{+Qi!<>9^vcu5z zc~Yfg-$$E@=O9d^Zp@q`nGvfdNTy)1_TQ5XfIWhwi(eJA_Z!+3x30Uv>iIz8FHz55 z%HCzs3Hr)2C|@aL2LdSE4{&^#LLPx>qj7);v#C*L;8Ez~oEfXwOzQTl9vL&L2=_j( zDwtK@-P01SQWR{{QFq`LQnc28GA@LORae|<`+m~dQjy$`F!tgYEmkjJXo_YC=aCV! z8W&aHZ_eR?Y*ZHjVyziCWr=+M##mH8X^2?_x9sp_{$awYhg9DnogyiA3|Je;8WVIEq{#T7gt|$7LQYT4v=j}z6V}k|i z)q6mY7%WXh5q-GOtp~ENj~3q#C>j|;NvHz(6DBqluQCrE| zJ=B_-wFbGDGyAXs5Xa~{acr%#MsiO$q)cFRud8}D>Q}yrAu}y-rDaY>lQn(GvGESrd)jp?xO&eJy%8a;DF>_RyAx& zr%x7hhu2{QsQRHLa&5(=k9-)>8!W)=H$%R$j#_pykc2z-FzmV+JI^kC3UL2E_u4mW zRJHtVQZC=o@k`CAD01m&9q(M`_S{y5vG6=2N4%}K?KZiMjIE4yU8a$@Jh_97ZP`*& z4|~j#eZ|uJFBgZ&^MLwGYS2Z||9`Pi-wcp;to%|tDBdfyBYNKHkvbVr&*a;$@Nw}r zP>^(W=~Ba7-$do}U}(`V5H$v!qu!;xxx%1TXb}a7TEO|^V$f$ni_r&v8D28?Ep}9O z^3~d1W@(r9Z*T|trOc(hFLSl7EhB4h>Ab$boz8x9qZ%$qW8Yg3kCeDZM&%%{126Lu5Z>$P3%bv7D5s=#dNo#!v3k`ZyZZalM_rZD4B zTQ6Nv7j#;CU&h%@MOas;E%pU!jxek5PJa#T1S2+4mbKow?P!lgN7PQBt0Lh)wSXwmx zFFMf5If$?l%tG=LIS%e=f*w@HTwhcoI$5rIr(}=``Bt`$)OpjMv<9B_w%-l3Oy-ap zWrZxgM?^_NswIGK6$nfV21A697djUM+ABxFu@>j7QuuyLDAP1onbs!i>?R@+pmU$hULyrDyVi8^^S`+ZIuFAL4Vi}QKD>F1y}lQv5!z` z7g+_x8-(RysA$VGy3itwBHMznxUkQ>5GV7kK-5f>C|(FTsnJ(BH}XdkmiEnl25fy& z-P<@q2pOqSExfva79W)YNt(Qle?F=VRL9leogMZO8TgKg#jXzxdIJZc3Xr;QDWM9W zMe%MDk{Xr458oD}p|$}PZvqv6gY(mWGc1AMy_LZBL3vfD3#|y9BIieoT4rsZ00wu{ zx%8HTaFf7(yAr-Fam|4G2Q`Oplg56#7#=Fo9EFZNWlSVO7}1~#8${!G^nJ(KVgf6X zTd&>aLZR)OB$RJaUQ%Bz=g^#$*zU;3x27gI@iY9WxK@us3 zW79h?UY3VW$rE)G$#|;3HbRT`K-(BPe9XQAmxhA@Xv_IJm%(2VX<@iuTJBoHaFGl7 z0Jf`-FWQ8wTi$yXvqlVDP;KTo%o=0m%O83=>7}BEJKj^0TgPv^Xy} zBqsB3YBba*FK_EKECZAGi0bXiyei%hU<`XDw_ds1-+xYpijL~dN9klfXo4Dz@_Snw zS{Zu#hlrAx)c-3SikwTsB1orks{l(5tsVg-fTU)jo^J*SP)A4&u61CY{YQ471Z>_F z-Un!2==U=sO4m_Ua<$h;Isze9#kfx%ZT_GBmx@*Us}8>Z76rTF@2vQ%DvDvQrKDTr z(k$Ynr7rX=m(mAXt;x%xKHO>n6)v9F8lnoZhH@SYqyTJnAFjL?f!A%HNv;NmurLqo z4vW~ZYb0H5qC6n(cu`461suy++c`fg49d#sZegL4P%!55LGVd)s^D74AMKdiC;M~! zQ8Ou|p+PR_b1z|N`T7rASu;q03kWlq0R=YJ|d{K}t1K4-D_0nA--h4r*0yTc`oi#qCM}X%h%wy zuY^^)r^wH-u)gUvaB;TC!AyoVQlq-!XKp_dZCdFp)oMds-+YaF#9B-gH17kTPR&{E zD8S;&`P!m6EE-9doEm4nx{Vb2LuRo-7giEFvq30g8@Q~l1aIeBtlY(*|F%(Ot_{R> zDjGj8i!aM;w=!%&PWQwXY3z(821v>viljFMoNv3Lea*iHb5r7C*M2C2(-UZ3 zJD}2_=PY3!_~N(du5|7>z_0yK3$t6j5GNjse>27IcWX#?=eDDRyAepPo*Md6J&rC* z^76>HsJqA3=GVHY!nv&vik_aoX({IG$4hFsbaraZ1*Dfb#)`W_AF6zBI5l>_2?fI% z-#V{odcNrN{qNJZTy^2JOrb=2=QT}GypFh4qS0P@u<@&>FFN9o#OGnfC+`2DkyZuI zBO$9AKj~4UkyX+x4w?h0A>E%9M;eGBoZF%oT?8Wtho|ALDr^v07vc5#3ulAoU@}8- z=N*81fMA7YHzi?QXv;oY4bu`&byUM>YTlYq2wYA8b%a9tKiC6vH^MciaI3wawJ#kK zb*BY&|61J^rj6xvS_9WX{^dxNNBnnF9d;sVe4F>d7RAM0kf%Xd17;XnEeV>&(ZSYh z5Yyn3fqg*ZcK{grp$IgMi9}fr|0i(`MI}MWk!Nb>v~Kxa90-iFo}dX;oNZNu zm4#AR5kYL%`PDU`ei8+h@YwzuW>6g#uevTY9rtNx(SG$!OMW>V(Ld{nx(?_n)HZY% zHa)03d>9|q2dz4TW!0X}aUQA|!+(V;BZG^m%cVTs|s^~`_YX)M*k7d{0_!|VY3 zCnGIZek3;IbN%1fp3n!n08dVhKCMp)X#ApD4XL==(09JKz?gPX&_3Ub~|HKwDQgB%Vl-A5dH>g|FuX08(3jTWo~- zct86>R^p%c>IqpInTXPS)1I;xE`fZ@N<>PSv~*2K3PkjG5SHfr%GCQo*LOP(f36D& z*Mq@VQ5{D2@rwd%8~1kt&%ZsA6SF5GcI>ZByZ&qhUEX?Mv<6(9`G}F+f9}w~iNCoB z{(WbB@l-lGWhS6c{V}rjA+Fck@a28|bMb}Na!BK%*-d(#>$@P;kn7%hV>4g!<2#dp zEr@KjVV^cz5bekQE3cp*5S5yjJIsS6`ANPG*iK-dn5E0VrI5#}-IEOqkkb8av6 z!{1!j%#oSR4^|zS(GNYRFV#T>VS`vsi_rGascRxi3-duFRC)5%77dtYX!Q^%0cW@% z%IZstOH7y-ARD?GKlJ>0#PP(%2w1KDFg~ zJ*d%Fzxc2Pfuyqhzk9Nh5o2U5dXuhxVDN8G_$d02WP;=s!dH4JzVsa;b4#0%|%Ui5nPOZ$#vd5 z1m5hgg^rHIxb#a>(0&~e?FJq7Eib@(a-2IR8J)TgN{f%z5U-gIY7_bn0cqw@E{s@ z%iEwk-#1B1x);9oUwgZ$60sHqs`uR9ghi=iE&3$xRfRtAyNU2-REVOo-cg+`>k6Mwv|559&ahG@AwE_3X#Xj7)1BsLf7;C~P8yUpi#x%>!u)qOkRv-9$a zSyss$HwgUrdq+F?Pw!6@l-!go4HNlLEd!a5f~SADeUIsF+y9o$dT-NRpKnPz;Bz96 ze?)}U9aQ|KC0dxnxA84Qn~qs&iJ7B~Fy@N*k6(rhZNyhVjS}_d37_;!^|_4tJ&ySn zF@3>!wjiWN(2Y>J(r5mjP%@+m;vf_+{7AnC#$#IA&KvnG23q2il4E9Cp&Krh%r~M) z{)(U9KM0n;{@e<}g+7TD0pGG<&0035Sm_shda3-DE9a9q13Z>C!-A1v zC@#p_!o}VrE;=RtHa&;+Lq)?U*`g`u8g`;aW*wIf=P}s2#;uyMd!rz)`1`F_)M$~8 za4v&^FbyudiZ6b<&y6oHAXe$z=D%iE!IdGlpZ-x`VSVop|NP9}8f64Wc@k#B`2MxC zLE(j(UYgy&)c66{fCS9ZR>ol=U5UQ=Z^D4ZKxO=f!8Wqmg4GOmg$Omc(Z#A%b36G+ zN==RF^Jk?|30^C|Bk>0+DstHy!&jtTIP%T?kGQ3R;E2F*Uf&P6MuPLeGjY^IZ>9TL zz|Q?$X7Ka%pY@&>F<0bg6fctpezaa$=Z%p=hUFk0le^Y+&l z0=201whpyNYB`8Nq`yBBs! z+;o!F=a7+48jr*z;;vTK_5l;Wk1I&2SvW5L-jhx1BzN6-++em9`czR(N4B=b%0AGL zl5Y1}&vDEWuJ^);j$NTuDVy5vVn|Q;*DPaR0n{%q1SNw9W%y6*wmK=SPtSit(7n?C zt=x5}JP;k~Il7((+<+5wQhwd}#RbP-r|%>B9Y{3x9wAwIxCDH7SRs6A@byKpu&yHVJof@28E6>@R+NBuQzOF}bgEhLnplS}>n%Hi z64nAmxRs|0HBg&(!Amm>+3P;;nYc`On5OY%_8H29To z76EKmX>dE@WPbB?Yn0<<9`{t7xhxZGio`w`MP6F99ijvNY;I7NZKFbHZ-J63rRwVv zN-W#Jme>FB_UCK8C<5Pn2tF*7C4!qh-dtNSRAAW#uNIr}a?RBbMR^bdvRV7tTv0GI z`f2<%aWnyl`dh5Imtbh-*QnQsL5u|18u~06B__AX4u&x7B9uhdr0;l6!Ds4Ch5n&m z6XrUnkJv4!W@cu=9KTtb#lrY@Z9(tX-@xiLq+$}4DCk#LV`-?JW6kXoKO=vvQm-DT-{g%3d-p(j;Oop5fKvVsGp{1)|Fns=@I+?NEZM->^A; zfh!yb-nP@N62VTP*a@m#^jXwuP|14mx}Qxiv6_HIOq^kc_g&{XL} z?qule;Rr{jgKJODu;2ATuzx#{GMqh=pKtURbcL5Vu5sjNi>m4BIuoJyza4eeViMdZ zmp<@Q?cH_@Rj7>kcyZm* zM=EKhE6eZNl1aC!nQr|-wv!T;UtJpZagL~9_gj)vCpl~k@vfj^l^2q01woah2U(#V{2HV+fH)v@@gC>fBtoK3o=Z%?!wtRq^hjuqfYT@m#C?(?596* zLCLSdIY;8i{?lGv=NRwdDIRubL9ZBZobdAMqSu1DD*c;z`Z2Bkt0l$2mcSozzNCuk zE_EC<^0V}8{L(B7sjvO@ZgbnND_?&g|-EC99<6 zqm(OGi?p#W7A~wGA<14}EDgP^z+SPIA*Awl8|BlQlXVCU7>iaWQ$Zy2{JY#vxyJV@ z$!d5cn_I=C`sw>2I_sjb%ogwVv_k!5ez&lU9X4+9#K!499d5Pj6LwX*|7}?ij>Wd1 z+@eKA5Dfj~Oqd_s(A#11j{flN_R>G0w#ymE!E{aH8@vCfe%@4MLaZ=}r*_JrCsDUgv83r)z!$o<(% zCa@0U?+jkL*bxAn>vD2#COW%}^*XV9bnibB#nEVAa^4a$c$l%Bdyoko+G< zf0;E!s)RWGKID@R{YAufBdNyywDrQj*j^|R1Zwl+rH@5lQk0Fhm2+zl8e^TSWjfbq zbo(wS980joqfz?6bl6&4DdABpXp*kOl;Pk)NKl;unJMzq+ZRe z5>D5OTb6IEa!hWG5tdK|I}P?Q)v6)T+i5Ie_OQt48>HqGXjZ>&U^?+Upn6SA6q zJ2uR2m2+!Pzx}4NQSAFSI<%B1>*9-3q9u{;$BXo<7W&wnYB?ppL~l8_djEGgd~%H~ zEEPJXCYqBW+iNuF9otkxtw$bDd**CsyH~$tlTV_0G;=UTbK%Y=#st{!XMgp z#L7%?r>^E2_xuvjO+Hw(CsH~{rZ!hjpy^aU07o>(5NJli9_JNJp#x;##0+gnzrIzw zXAWkbBUa+{r4-&Yv^_&)qDDh-kUjI5k|SgnYsC9RB(Ve*kN< zi9O&piM7-b9NgRPqa6AS++TOO%bmA-*?7X@8lg)XXxlmbQH=;qAGG3!IeE|eh>68F zckNvDAvW20U|-L;6Xw=}(Wb#Rs|pU1VqbMW(IXrjXG zj_wNdu1i4|o7{@CR3(zzE`HQp)U{f@`7|-af(72DzH(>>4SF5p)r;zqc5{gxTGeLRvoe!BWx^+n*)}ni5f_b}Fruqbf|DaKO{)=K!&bwHdiP=<^2Bg!_D?bG3 z;4=%>M6ntd<=8!DhvmP2XSmzXPZ=8@qR=^FZ=zim-$yyrN5nJNvwOu`XyhsxQ6Y#2 z=V!~+j1km>N&F!>PoA z8(M{=Xwhm{?#2j;!A}T1%I!KwD?u{Hvc-&tA>G!!bg?6 zl8`r5qh7(WyvcSy;@EHPKJzk1d2#qxg|W_ApI<4NuJgW+8Y7}ecW}fKU}5~AzF^r- zf+$m6up<3aoXH}VY5QZAa(%uen~O5IvFzDC(RPTlrnm-YD#7BE^;uInCV1&wzjwNg zZD$34X{4c6)uu?+!_t?MZeKbG%WD0f7%~m9KW`#QJ3ss0EcF_%cvPqOP4MiZUQgqv z9_Ol7_(o}@NE7RXlhKcl@}KL$?1%ZKVd6r5#OyH^whB>{M&yIl8FE1uF&V$z7qkx1 z2jsn|_1JBKg>&^T=``02H_Fqw*j(3U6X#2Gxm2n*XIZq6)vL#)gw<=TNKFjw{aP5J zg3?tp9N5*vGPuR%a+iM->(bVD7RI#{IJchK*`)Gca_bjWnL6F~Qx7icvwU8XVV*B1 zm1|i%6+W1=Eig-`0xuAoh8d5?j_)s%g4-sOQVtx9vA)wv|0qA>x~XN`N4U#lJrin4W(oEok|&q>GJv8B2y=ql|9lYt&IB9c@|Zu(r}$D-&&HZ)T`h zP7fLWexpOiHaABq6!kjJlPxNoz2|o%KULzcmIA4b5Z+*D26m7SXpwO6cAPs?t!ZBT zlz~PMF;_+U(26ye`Fl(#DmdN7Mrd%uUO7Jj5!cKG`FIk?!8-q;j>~8~P+U}qMmjp< z?w>cc30$htMox5xnkLt(eTn;{lKos6>2fO}i#n!f_Mh%IMY8(sDfOqUg-KSc6hOFr z?Cx%HI>w~xoTgghb_>?RT%;Tv8b4F=q>p-LC-{oirz+qV=Wr5_Pkcf=(>`uw(m9px zF_Bg?IhRj*-l|h=9e5tUEAu%iv73t$7mxTP7^_A)0!Q?7(Z>m<^x3j#E>DM(TADJ| z#P(K)DqU5|MCy^*DjR7tgHlE=O2;(~FYAJWVa_slgp>a;&)23}2|hQ7LzT{LwCvel z7BwB4Mzo-9%Oau+p)n#@cfcYrsa+wMpzC5#JneQ9d;lzQ%r^bm33_zh=(+#p6a2KT z-n-5J*WkM{CDqc=#0(!t&jD^qo!g`f!ThFE<<`&ROUL))nd@jYFz=(hmK#$q_CmwG zW6Hy#d(KunNYNw+W2%wnSmNFl{=c5B>XeDbI0QRk%0YYfj@jEy zj`|cMgR#qfe*%skhki3nb}CxD2o|)ood5Zxiu|*E#eKALGu_^tl9i=PuT;`)64ztu z<{w#%(Vw0}0td=AX|1dZMK`wE%?6d!J<@;CslA=r zsxQ`SK1}TsR-lg|1=i(U9Wap##RQD#u)gK&`=RFH#iGm&mXDZoqU<5tI z<+!P~?$Nr7Hx?syLvC$!V>Df_9Qbj+$CipioUJrP)u&_Mg&bfgc>HYFe+xzDm2g{Z57qOd|ctdSp@$4A_W_BwQh|!yoq=+wP zm!TgLniXlgB;tOqGFo33w+be_lIrUqH^i3@Ps-<*pBG$~k8q047;01-J&gSL!N)>x z6g(d*_<->QCUmf&l#cAthT7{=4wjzc^ZnprrML2U-zt<)rb(8oU(DAn_u50N#on2c zHS!+3-jX07PP^geAew19z;&EvFHM;l5xfC*-v1_%l^U4MKjfx$ERZ>r>sL>R0I#;Z zPau%mYLz$kD|~}!ooI;EM_k(Y0Bow6kHThu*!e7M-ZmrQzY@YcTBf6G^!14F{brl~cYRveGJW_~eOQw<7mJ_cO~uc4HqQ;#xf4P1NS91XA%c+) zYn;FP9^Cm84%`fri1YWhw1`V}S!VAArza>IMRLKHjY|9^PBjW79T|8D{oV4JzLDvE zme;U*cS_^3Q+$&ctK6h1vhMMKG*3^GWAeJptbJhofxacSpqTfop`Ib1`bT(t-TzH!x-K1 zg(tz`asQF4@td~^ZrrU?-yfvK`V>ZDq!WJ%vc=82E8hqv2%Y@YE@u=`izx7=7Cp#j zSTZTLa}&w9Ez@nSWC-1D!ZJGG{;m$sQEAJc(T+x;eqG`zipc6GHB9r~E?2|2$#^)^ zIzqt#JvNHnc&fsafa^Il+EDz*{@wMduZe~dX>HjclKV}Ic1%P4-O+4x zYo{3dO)>IPrz~^TwR#AS-_@Ktw@C!y3vz5O_~zZH!s}*TXUf)59xz3Bo9+8hTwVu6 zuT(!{IHetI90mpdZ-&Yutw0)?AnWZ-*YVs}6b6v!F1aNX=_#VKqn13SpNzK4ptwlH zCqnb8%GUrXIrZZtZ`A<0K* zc7mt&dkGT=Mv4qEu!s?0UtZzR1gTal%^;-k2&X#R!%K43Nkv#$2gWH$lQRF5vUzvI zQOD1$LJ9TV?tPH!tBcJ6CS_uF74xXs)G+BdU;fwfL@SMP9n^$~LxRZ^lwpuvj&^Ao zh6~>y1L6u8f>t!BEf@FF- z%LN?MGn=CpsYV6ij#iSp_k}3r1cD5dJCMjTrLW;SZOUDK-|*$ex)uvih%p{hSP?xK zSOkbeo-wh4A*oiIx!?k9VETB_@mHCxjZuZN`gKkO8&BRG$&7<%Eefus_zOuB-(4zX zxr$*>s+xx;O)+L!VNenszALQQ!}x;NbS9DyC+JLaKB$VZ3r{j>II%`bjMRKs5pqkL z@6aw@z`!E%|Cx5Ig0xZk(J6bH0cw0KpznM1H<0<}2kX3FomJ#%w=4<%F$<)xBdUG$ zu?%W6(d8LaBmW;|ff*Zgvn1;->)th}2>C!db0k76)SPnbe#dBz9$p{ZqC~wG-MzNq zDfF~Cwd_?8`1|gy70F*nVCqp>n)BQ@6uF62*}6*?Mow*@H~A=zHm691aTg4;viuxz z-BO#%=5;zg$>)rhI_sIT{{0@N)xc(C9a{JZ@WF{DQjK+ITz7@2nt4LfRP$d&q@Yr# z=z=RUjdAb-j~JEgv*8`)w`P-SMawQu`U9lz>XzM$i6UMK#r0oIm^K!d;Cj@!g@V zZ2r0C%)3?$;Vo9p`ga*1?WvLHZN)DsP0EXmG1N_OOmZI>+-jE+=5~DVLfUhF(9`D5 z1V5#;Clh@b2-+5p+51L(BKKVyIi-Ecv4Wz_ggom@jnS#3al+5jx1=GurHE!nZu%{qdEOIsCxM z60^n4eZgJgx34JeX|ICMX!NZEYony|-Fdl9LYlo3{;#qGLVF0ls+9b{oht_57;1}l z)2#lkFN?|eswzJd*yLu46cs1msCkH)s;d>tje`An-^cWPtFy_OqF7ygC)Dz=TVUIT znv?N^%|hw#YZ1{HMJfJF4W1N1wmiPg4?L-Y?|_x6DJ4sjnt*8A0OBb%%i>wrZNFa~ z=5Ov2jEg^Xi?;f|;nrN|6^QvhFlXZKKwZD4rS6nd_(R1at^0@_{veg*3YH>q?~M%h zFl=Fp`8BY;duzePvDt+8D`eMP5g*yw>BT#?Op%SiU+c!+5Z}&*?50nuK_n)eTm9d! zG`-8s>mE{;QELnA3-{Xdm2$6#yK|1oigsTT`!H{JDR7BiC-~E&P4WR`1IDnk7ku?GrDa_&gsVz z_+8c|<1e5aNio*ZtFw@v2Mv6Zh=R**k-Q%t3}=8VKcxI1TqC`C*|@jIKeFMO>T5qD zUD1{5!$cJ-_`zG`Vw$Y}ZqAJKy}pGi#O?JO%|vw1%;d5jT(l^l{3QVz;h-pY8MO}?Ob5{sXK$@k(ivKZD$dYhOoYYo^{GXIndfrF{m-iVv1U)bfSuu9yNvUnCtfDvk7J z1!eq@TjRYhq^(sfN9 z>_9%&uO7_apQR7DSbVw{xmDYZNSe}BDJ0aJK&yF4-|J=0BYv1Vid-km014;oM+GxG zk<^8h(cP+B1gi|+0e@r}Ao~xdLulnt;|$4{U*E%5U9%aVNrcfV8tRwSyZCaWE(r;2 zf3Pmh5~^WXwNR303>4|T{8DU;+MFjyNCPpK3oCQYFq8ZxW6dKq#$#o1PHN{`;T9;p;uV|kkHy3ze}8*!OQhDuVTVuSmA^A9kIchgW7?JV z)dZ0kJ>h;hlaC?3-ey%%D3VduGGNr6k}of-HR2EG5H!vhkXnnlF$+*F@-S+-Om~n4 z2^*PwQZ2r2;f}21o_cSTJ|McAGM?^?tUopIKjoI3Oh&_y>trkJmGARKI$ys-R>p-k zUoEvY2_KajoD~9S3V! zfvh5(UZ~Ou>&6dDUmB-fz<(p1M#@E*YXS8z_n!%HGHv-&)}WeoQ4IX{!4WBXk#;Nr zN{s02p`+U0%hG7etdI`8d1ISIazDr{f|uZGTAfe|H9Q79gZ!H7F598>Q6u3rrdI%% zO5GxllM(W&08XF!UreboV-Y0~Fsd`&lfJwDAck18UFMWH?KXocpKe@!&5V_Lau*^UKpQ#I#Pno#XR=RZIO z0y@suGtO(G_(mMsJ{1r?o2nIhehH$T#Gui=C~r_kD8`&~86PXol|M4qCNCvChct>P z6xD0^td&QL{Fg6GZkZy{rM%}#MntNu3;q_)h$-%tCQUv5)2{1@bXqC1%Y*5?2un6& z@-LOnNiSbNbF{8kGy+D+X9dUMlmv0dhR7Ngqs={1tKh`}t%;}!RW#}x3l#2lmAWbO zb3B<%c&hHzIpzKB+c?P>=B{GI@v`fS)Fj4Sq>m>Ua2wE-oZDB- z)WHcqfrV8)eEyjEOA~w+&bzE2I<}C+OAWFMKboE8CC2m^2pDYO^#+N$#qo;7qg9=A z$17MxYxpp$(=V(tElx3pY68Dh0NT5F&SCS7?QE013i(Dv&`G;a<}lT+;BP1L++lo+ zG{|nea*1Y+WZdxeXCg%FI4^Dd$b255y(M``9uNSum;O^3plu z$_;M^M{xv?4o+|Ufr3-s zD9p9zm97N?@C{%N)X2jlf<0tK1>zS53VhgeGKa}%H<%ax@$zG?d1n)saw%t|7TYXH z-5K*Q#C9Wte?DoA9(FVf#!moFR(ZZM`CIt&1xaSPo9wc%>_mx8_r>VyGsmmU3OBW3 zusdHfUA+I=QKRg6e!57U&8bwCN{z32s?F?+m$fvxolWp#AFJsU(RY=$m#&|D4wOVq zezK;y!$H}MLsA9HWnB6hsdV*?zZSB91qUUtv%+51Vk(mJe!ek3+SAS_7bUBDPR8za z*TZzx@~%;@r3~I>NF4@t;@fNQ5 zT<*T7oz{z=vC^x;_ef`Idnp<|RWbqX)M*HBdyYg&+FbQ7kE;ZLTHJi>&`OCXco}I# z{|jMTID5f=ep~p;<^%IOHI>p{cG}tOF7NupKk%Wb(12I^r0dI{Bl-JD_Uj)ns4raG zaW+mbdf|Hxn;DHy6a;FejV6GmFIPQ{Ni!)n1?v^>vNXdAH_U@ z49N)atfAP&sOO`AXrA(3J0QKME9NBmtN#TEf}v;hKr3|q(G_+8L+FDGQQE84@EI$g zfb3#;8G}s}_mWk7xGv^(r@yMSg-8$aZI@Y}(iKwFc?{87Uz={`$>{@*%P+nsS&n3P zyFYzib(!7zdK=0b@1oTz+qQ7bWAc3xOViFYj*$~+n>D8z9dJ{ zPMvZPHz~)W$xWpznpI?{vS0NSJPKI#s5C>H((+Dzu$whGZnInXghdVVB5@|qg0U2x zTPCB~aH?ei1{p?%MbflIhdpLqJWEiaBF$4t(qs}H_4{u|lPLMLCTPvHK+YO!mgUN* zPS0b&ws^L)moI7NfW}UqFG)kY(QL1Rh8qza0A6ZzDcVU;welk(^dGq0YR7WH5M|DA z2t-qkC}{V~Nso0M0LXaORbdmz2^tfa8aqPz|Op67-5mi3&jo@Bn1JUtHoL#_Y{UmPELQ- z%W|zt`o$y2cKze`#qa5_fCsz{0MAeXavOPi0pdBgppR%Fp`M1%1;@ zugOG7B;?QQwZK+L8q9F5;u1XbcZjSdb4n@my6s&!ZIe?Mgpqy9{Ogx9Lmc+KYNcfX zaN)m9y9QwQ*$W|7Zqj#g^LukWDf*IR8wc9`)mVIoRc;xnF$;EalQh4k*vgs$m>Pj-rbCsKbW&$G(5I2BAY z@ijWh1bXa?#u!(>!@bJ*INmvdo{~zYLLf9S@=2Rag{bKs?w=F= z)rmVzaLev56AL9nUP?0=Rw@xsfp)1!_uo;Wkv*(}nXhX62ck12n1KqZjmjeI9PVjN zSpEk{CO^xR$~QbjI`bs;E!6)0&o`2XX~E>D^AQWK>srf=??%V)K@6u-y~GNS0r>jI zwUgtamNu!)S`h^V$fOC%0)F>^0**Um-!6@C5{unFq|fJ60^Y~W(*S??zYAMVqtU~u zTWXT>fwlgj$tNs-r6=$LvY0oj&y~3D$Y*1G4H=>xmnhM}%aCGq8b{ zOg4SN-mG>VhBcMh)y3tZM<&#Qm86Ox&Ou6DBy-)aQDzu?z>Y{7lCw~Yl|^I?5jdpD zBGP1|^+HsgqTYHJX|CRim7mec@@HTkoPzn+S7J6+s7i8u4I?L$modWv*A^)taK&DS*9u{n$5 z7iD5iX|SOuq5n^_gZv?+qVr-^YM;MM z9yQY%vZ))d^;OCR1OI94n-ySr%xuItVA8%nEu1id`rz!X(Qh0nchBI?6dShEUGq{W z%YI|iF-4PiH?>CY{vrIEsX$oK&+_kIaiO?}MUv(a1}90YruK{!W4LNDIPQsAv;BSM zu=~1Mxg8aG=8$IggM0Qcur^9ZjV7YbXziv~*&{))=I3Cx#>*UM=x){y&B+_mxhDl5 zIe=U}IOg=7EFFmhOp7B!a6ugX?iG}|<#ujL8FO0Mw$^j1-jp0fY&qFOv$d<4De}!t zt6-mk0AFw73De?GEMf{PSn?j3H zWfwb}QPPlCGV0XKk%I*>X4x<20%Dsv9`IZ}J-o3oYeLa4#n;3zWhgK6HEe8Qo=xqw zymw57jT;-CW=2{uAtFso0?(NLqv}1Nns~mzUsR+> zD1u-@M?jDsnjlR?K%}ZvsUh@UrGwI<5b1=_1*J&`=>kF^bWlRCB25HAdWSdP-~YXH z-k)$Hw1|9dNTYt#tMP$Qz%@a1lF8(fV7 ziThY%guX>dZH*HmGz!>6XAIvhxmr48IiddL=T$EyhV^BwZT5L=XMYO(*(Xh-l7#f1 zbO$Q}LSMtlSvicW>Ur+n;_7+}vk)tu)@H+4vgKUG3RplCY2H&uj z(9Gkz{e}C5@qMTiXpMgAD{Hupl~P?r^p|woNLh${&SsBg-q$N^HxE3Fc4_6^$s1#b zj6CRO0#2(9_h|3V$g*_*noTpv6+{=g{V2LTe-j%l>@b+MJkc}~|EfWO(z2=4pKIUV@#2dP z+F`gDI4G$0&4{b3*!8*_-So_lLjELs;MeCF{!5_|Pm}A>jV5)x-;V`UnjeRWns!^h zo#AN>{)+81YanlWoKl57qP<&xDrD%Z6aA3P78zfxf^ zmZ|(F8TaPTtbMxTundZGG_`S&j_OicV{rB%&f_Q;JL54m{Es=9_HJEst@s_( zR(MZ?u$>jvrJ#mccU|5y>A-c0No_rhac~^N`@w~fh_#=iG5DqLlDdPEe>{%1vU`F{ zax;{e)0LXOujJK=3!&H|Bz&fakC=m5$7Y0T^T?$Gg>?u2@T~kZK>U$@ljw1j{(WVp zEz$Gnm7(Wm_C4vd4KR-jxmmoYHLy^JV4P^Rmp&8M=sze}{r9RcB;yPwedb-5QLP|` zy4-uWp?dW=pf%`l*1YAEVtJy3xy7h$M|)>#Yf}2GOJo2uiuvQ|Tm0O!dT*d-Aq4ea zR(;@c^vcS=!<@VITdT|e%-ff~G84|2gQ0w@gQjsf>h{12%|n6y1vZ@5zXbJYk0W<% zhAoZY;DRu2NwTYg3$+z_{Eh$h4#J))~J&ctQc9?9_dXi}LU*&WoZ zUJQl>J5z-m^lYdGAI+8%Q|xS=8>iqp#`$}EOUhSgFZRJQ7_{)|r0aY@`D_zg;N@)H zWo_o2vqHi0yL{J~e2q&ALs_P}r*)NKq?PZAW5@k`N(wJlTLWVSvB47=xXN*b_@G6l zmwPe4zGIjpzg~c~U3^d-&AJtl!rU3ixU)1Q;2f-JaNw{#cO5=p#^`xv8$_{4Yn1XX zDmIFtwns$`M(|7xF{LVE@|(Xt7g!z%{T)QO)i7Vwmzo7fec?gT3D-L&SPZUPVDaNnZf6J8>y9D2GQ{=ppPy9SbL-xruw^qjhiyW^Sj zpX^xv_`w|0@)LV!`$z$UD;baHHs9;>37Om63Zs%9n7;Dmj&w*7KKNv}T`pda78DmFK?R8gEOskJi6jBmL(-sm#!*5G#e(ZC#O za07ifx+|?w&h=|~`4JVt>=G=s5suQmeCY*8T}ghqv*UIT1sg&kBKxf6@5>8|L=Vu* z8^{Wa1k8^z59%?#Zu1WjMBOakO*__V%Km>Js`zX^7j${8F-z$OZ{THEor2wMt14-f z;2Um15zZAAs`SuXm$Lz_XCGz_z#4^utuHUmJ;YF@P2Hbd`5%RcERn3Sc%|n>93OB+FlArZJW0omu>}~r@ID^=0CohezfA#V#2GFba|Hfb7ZoIjd3(wPLPY$R<_9SAfR?NA3E5FCa zm@OzAUmjg)J_sSwQNKofi-?GbjL6!OOSdcM2ZA6u-+qk^YXUJ%LzMpM2dp5Wx*fzWR@WJX_z-G`J zA2a{uOxtYv404R5m_G}eRuN8W9xr_M^_kR;6W6hm)Q{iG_vf5Gz0V5T)849ezEG@Q z%@Z(5;8Wu^KT}>E5+3k7tKkTHz*2HPxcrW1D0pl3Y}_nlKcbGY^|;T#-2RsTgImMh zzqOw}S0IlF=B4~I)_r*~WbT)vv$M^&dOOE$W7+&HZ!cx2b>H&d#p&+wilA{_Px7O0 zJYpaI#H!ugYxO&CNMUL{K2fi+`ulNedcC~GL^Wo;kL~MruV?Ftk@K4H(PI4l;N7Q- zY`vWie9xQfo;vhc2koENnFZuzIr&3`9T%0`gg(uFHt+yS<#xEK-$i&e?b&SD}WLhd5x4>-HDwv zY3%gqJU?&C20j0f>O{?&gmS4OTX*IA!x*r`K)F<({g|+1MejGC(q^wv)cdm}Ti4oc zQ2VoJ{ZAe}n8{9};S_-%|AM>K)mg{7qvrEl{gw>ZBQ?Fj`D3uDJO9MD+7$de)w67- zymw>KA$1h9)3xs6-(PY(H9Q#bUQeI*@4&jiWz{`rGe!qqdJ>x^3pFKJ_dQD<4@MW> z7gF!Vlh!g+n0f`Ykd)R!1>kqxvk8xtjJoZsas(E{^?3V=;(2{!C@FE>rWx(s%^A6? z*ML+4e9XY#SSA+aea>6Ji)QE*yz%3&>5avRo!9Nem_KxoyA*kx5k&%PmKK?k)`Ie) zs$3XXj8|QU4rZ^m!wsWrXvw86U)^CB1as(^qV9AHf^Cl9*|+{=u0E1d87ydIe)ptC zHz@T)hu>N6Kz`38)%pw1XZtjrvJ#KAA10H_!^j4Ysl2Z0r30MhdsaUC1a9gxOEODT zqda_uOS_S=68uu-ZeIe&#n??Rc)PF)N6daS{B7cyC3BeOAvHrevr|K>_f^x9gJ`w4 zOlOv#)q*B1J5Vi-H8MezIPNDF*}`us?lT#A49>r7s^7Scqj5b_-d~O}M_X3x@C1de zC4UgtH9ukeCo(JY6#AQR=X>PI7hVkE$wxU8k~MkT(Plub4tu|GYfLQ>$Oj& zMnB4NeOKZM%ar5Npbp`m)l4MOPqY~@&e1FEc`@Ae#qNo*?>S`Y{4Aebi$S|bXD-%0 z=UkTiObl#u>XkNYzpU z^<{R{^j50d;8|T?16!zmb=ww$4|l9mIAGD_D4)f^N!N#RY!73VJtjUdGuewOdk7Gr zc9%YRJ~rGf&HQA>j~L*dX5_}Ft-o4J?yp{HVyAN4tXEZ*UpA6_=F0d~RjEoamy@CU zp^{kjK7<#O6KQR;`@P8$#@Z48m)A#2>Zpq;!QIVbD~|sKb0ceo7>kiVsZ&gexImD~ z4pj2C1V(`hFfiDJjPE3jhzmko_n(4@*h*`?Alr7O_l^+L~%agU`W z(-$RbF&Wj%QcHcc8LtCzm_*H;F>w64}PHOw&EcV>vu~a>o(ze7aF+61&_t z)=D5go>F@*f(S?^Z*>{{syR9IQZncej4U~htNPy2VEIoe{d1L#D9)S2bzkOkGSlhnJ$c8ptDcTQS=5C6E-ONqH~ZQSE^IYpZlc&Fz(_wo%f8$Hi5*3`(R ze4u}uU>jBjI%D#Kt;COsVw9FbYawdTHuxEJCL$O<{3#19YFS##Ch>wEH49ahncN%`Tr`4pr4|pCr&?}e_K#Y=`;TU?)$-1fH zHi8QxkGUrlr$}KrjkT`X>`lL9>MyDRqUW5^(LmwDMGi=rHI!|pNcMB_OGlq(%%{5Z4d$fHmyF4it zHpq(Li_J`+ojDPSJadIJ2*m}Um2Z4fy4L>d`p!M%yQ^!8hP-<0&E(ORU$3B*;iTc6 zB6B+J357wokcB}4h;``kQ4msf7{&LKY4)sw=2^=vVMwgTYq)zl5gf? z0SS6#qY+Dw-!tS82t_usAq~f`L2+l4cLZW&QSeAvRgp+(Ms>rhep*%#KP?1g-TE#< zZAlab_dU3d?A}$!|Ln7j_PbBUF;Wo)AE|f)FBx)1=d?7V5rUuw5hgM4$ogs*n?bqT zD^hP=a@OJgYB6sD9l3scGtg5qWlY$2Yfsp-mlC->3tj`!>u z;~jSR@2gVypZkyTB6GLe+o7%xkWg0!fDpnz3p)h;#UL7aY}^iAac$`A*Q_*BFD8%v z*rJKf8Da_Nb{m{h$FdSos}qsN+5+Q&2ZpzVCL^3yuAy@xjQ|bk1z*rJgV!lwN`KX%N`L!cIObAI zi079`5xK20TgalbB>(~Nss1ESH_?eTdjSg*CM6>Tq$;7gqm~O14b-Xfm)i&P6RNlS zYS?3rw3IVYoSi#HG};V(pNLrB-|ou=x#ibgD29Ur}AIUCJT%ZM*s> zW2E4oWhVQ!eW!uuHJ$SFi#leW=ug63l?iuXbgwy;MPnDGo*VbM)B2hdY0>vc$GmX+ z8%z{KueQbJ4l!1_ZZRRsLxgNAmz+>oSW)5pMBzcbx_?vd-FEq+GiT4rO3u+dt;o#Za@x8wi(FBl{${8zc2y+* z)%7m$25K28Ez8bq$T!34dG`{>144lm-rK6ME!e!@n@=}%cj*gJS)YRuO;^<)bh zuUgx4weuw&3%03{kwq7!-}=UBXb^q1QT1s2%EGgfCft>Uij}8C__YtXSo^JQGA&!s z)3+uy$$nuQgu|r9M)B-1i@z*rR4-COrB-UsTbE4^Z__I>@5fU7!k!%~GE;;E(V#*| zL#57|XgU_mk6S5z{wsF{SxAtTe*4?vKUZh4cMA4oZg(uqpA%(04BQG~1HH!g7I!JF z$b3;w_3K}VHVx|1HcaZQm8oMPWa#pt-<@}T+hn**R9)oIuZ14k44A?8Kdv%-lhj!A zw>2W>V!=AfvAV?S}f5MFahFBIYIITGp$2+aL6#sBqOV9Do{@INg za-u({s?*z(d7!i}zZox>=tM84F`zS$MdNI1TR4Ymud-H*{1ZeZV2sg!5%OXg=U}Wn z{T}AfSo;^|@L(Qz!4IlA|Jx9f|DXir9TKymO{5)1c=$$pwSOcpb^Co($jU)^E~P2O ztaP)WnET>SsidQ)zrJ>UR)X(;8SoV1V1x1V{BGOKcHdRPVTC%k7Ij;$1w^k~*F zI_*grAV%b|RYsCY^k5P(jFBg$c`6^wsz!K6)-jA>{?pGFb=V9Yt~#J>&m3qS4AeCh z{~Boq`4aCB>JXwom`A3W5nmG<*}DTx%gMjpRtS-3CcA4>xTY*3p`sCdLgN;U?%c|!vpVv!T^Zqe{7S^kpLCs?uJ~fsO0z@<^Bh_xXr4SGcVV|oTCJZx zKBn{|uEnn*zS8%N6ORU)@0PU&TYICC;rv(GHs}d>+2EIlLL!ljW$;K77f}pvoD7|E9955<3@H*`@05`nbL=1<9LIM089DP3-kO)YD3#==LbJNr% z!8=N=G!(8qG<-h4Y70?!BSkI%CmAd8_vOh=A48M*r?NfR7E^6(OR{ek{F7fQ{M?QL zX=vjE!+oiXrHQoP>V`UO^<$wKcvifHQAu=+m7m!<+e7*{8H=h$gJ zmy4E~(I*&_N|G?&K3b&FbJoM?ZGknXcRd1Yh<9K(Y+x#0*SjD9b9x62`oyhLZ57IW zCvOe&HfhDk@{T%#!EM5Pt%?1+C-%)CzZCeOhoU~lciO36-f7p?y-m1(JkMC^AuoGV z%)e9r#{s6)Ql*a$6E%H2Sj#wo$*KjZi`pBcD8?H9MqZ~c+_@F+FmH>}T;5?n`(X;J zE#Dc+6${)fwpH@}5kM`iUTbvc`4sZqleIWdS|aA{)X;wwc++h24tgE~Mg#|Ht#98n zzPv;7$NHVfr&jLvxEu_bl8OOcaqy5$F87@w80M{PYoSnnYgzpS?ah{6Ku#kzn6Y-? z?VRQ3Ta_OUC-|mVtMmkZC)P$?51P}j{7^p0SJHyy&QDC(iGFYo^Hy!m`Q5+PZ0`0; z#Zl$09&-%3;xSZej5dDwmU;(Yrt`aQ&o`hXYs}luYCLWH**FIKSy<-1;q==%Lgz`< ze0jur-?LVRBH@DbmtR^k4jn5`JWA76obUhXV`+`Nm{M3Ld^a}$DgVpDa~CRrt9W5EZLzOK!_A^v9(=AahC?AC1;)XxF*bcs^TQnxjV zc_2Rhzftiavxlsz{Bdk;Mt6J}m2@g+GqcBy+hUxyBQamPrr+ZVgFY*%{HfP zeERgCnH*~i(sz2->fIVAfV~i7Wp^bpy*?lO7UZs?8u+Yu!y^_j$Ig2Rs z)Iwb4!J&**$@xbrnByf{No65r;|s#`^wOcIqM=#@dv#68&N*rPrhJvIEKd_nzoaI=&<=F)`giUE<{Js2YaN^|9 z8P8;fSzYdrz34YYpLwhgTOk(~p{V>n6Sw7t$Lt z<{Ps$2PZ5HoUlNb;zG+$rGHMofHTScLeno*uu{*ewo^ZT(#2TWhSZrpHM3&WH%Quc zWU93HBF8!PF!)taLq<`Kcg~E+OMS=l_q}+w;D3nXdHIx!_k)ibtlL%z3SW(QeN4YM zQ3xiHGMxFH6i8C!1tCc_e5QX~C(OQbSKN}!(`jt@aQLBE$G`EzA+%WM#PHufid?VW z;z7(_$MozztPE+R4hgVJ5 zHnN{LNIt%Hgrcvwcs&vmi>OLg)U>-Dmi9fEWPjlhag9yv-)-yCerm-9n%&~kJ=>3i zjQj7#oYQI@cJ)VvHI{q*QdkF*)oWvdqm~+f45;dgUhZH0TlU1o$ndr~eaj(@?L|5< ztIJ_1o2}Q}k9Jt5PfbPHU%nY!JGFwzwVAH=#`qQ;pVGs%%qh{qfb&<2cq7rduaZMi{Z(XZv2mK)(I>@$V&}OnuJ9q@Kjk}u zd;ewho#kHe%^L(i3rTiu)`mDfO+U}Q5SpTDEo_ah9T)yX?ZhUY>z82l_#D20louTR zgvkibafx?dbC>=oe7Ul`IwueW!qV%#Uv6)&QV+E9OWgdY;OE$_ziL?nW{DUp;Y0l_^vQ<8ul`4z71i%q2E6-wWxi(hpH={Megn z8ZkPh&C*!Awfudw{E?xpr?d5CV~$QI)zaCY-p48%vVp1l?Y9P5~2k78rpo!V+SCU@2h6 zehyP#>9fFX(C#g!a%m_yNJYYvQ&rp<4il!$;Qi6jDIL9vhU z7OSH83PXK(%m}b#S^sYCQM<{BR{vKpu)>9N0-Iiw|Jhu?xh$?aonVYD4uhkoDXAgJO}mB|fAf|2#aO zt;H4+k3>Otid#Gv6>5i=?kihRfZ8Dy=oCtvy+02T_dCo8TDkAWm`*FH&s_ zQ(9XE7y#;^xUysUdn`p>fdrQP(*hUtnhofv6C(WH%n~<(sBn*vE?icH? zy9@C81LwQGhNOE=o%MscK4!8g`Sq6$#0kw}s?|>@Xmt zbRLM27&nSV%ASHYkisMBx22JWH$OtEoqud4#)-_zXdxlB{Rl{HU=P&Es28f=;efa3 zQvsc>52O&_iX}yROuWb}Tb4DX*2GeywYCH5)X)jl@4JTIb5wVwBk5~|ll1w6a!8;y z)1l3aBDDDdT|dCdCP(M&-9zVmg#n}i$7qDZZL!Ga#T&SiW+a#SScB#d^)&_`)XiC$ zO)E(LhBd@-nFcAcA%zrK76&i`Xpx50<7#WX3qs_CAnPV`y2yGha9nNBm(4I7VmKQv z=MF9g5Q!UBWwc3&5$6HTGSC9o`;a9hD7X#!)Vv$|)Nl}>`Sf8*`V%asE*akO*9uZy zkpd5D!9y7~;dqgN0vL||lKCEWkqI}Vk@RaD)aEw>vSL{XS&?_f(jKQyinma|4WI^? z<16lvh(^-GJ4B{MKZ!<#ubH_pBj2WXK%ZjqfB^tFiG~_azqu7Z!EWx3iAGLNgKEr! zYD{!OhiBA>A5S1?!TCp`Ig%5>tu`o{KW&bW>!ZYrP#i*){IbvRrmXGq65UjU^A~J* z9slb{Y|b0>+WBo{WgtvtxFgsGLQXjEe~fpF!h%L(yFhvU08sH)EJ*C+0DA40739U2 zZ1_f445Q88u-s!0ymNlm3*kl?^dI@(50H)TEFd@~`{X*!r{w%-+AO~<0P>Eg; zNwvf}r@D}UCSxYa&Idl}M=7a!ig&L)b6wW6`V+G#D1e zg}_v0noUmz8scosP2%h^8e%cYo5W(Zbi`r@jROxuNM{wvvF;h{Sa%E{l^wgyqF8t& zq=+rkzG!0NIMyZ)g*5}NL8|&6ei!l4p|wGCklARk1Gvd-`tOkOBd;j_vxh78kW|f> zG7MrJXBF=)wZyYqRdzm_BL#Dz6V5vOo+{k!4SP&@FCIKrp4=9)I_wI=HSFC%N~M?~ z!JaXC!Nflsj-70IH_ebVH_9%fp?wTOv}w&yLK7`MZiO8{mT=bwAxlmuZWG7r%xM5+ zz>MG1PX}7@Iezb$8(HB*2Ovc@sv{v77H&*VIDtze+rZQcf|<1dfas?85nFg$l?&+R z7Jwpp%?u4UluQH6{deU_zYkvTWy$3`CvL`}!ViH75)e1nPSD{MT zDL~cU2kQ3_$iMU4kXkQG0MMU%aG;~brnAG>E_}$471qSa-=4BS@&W$SArwH3S_1e0 z{+7}5i=2qYu31p#WEW7Q2+(RZaOX<{X$`)i36R6@$sftp2|~+?6<>i6M-eHKHwPG< zV;J%zDHYyajnL4}1RB--lPu(Z@_*%$0J|xP#P0i{5o5Q(`CtJ3kAX)r>H|3j&VwYN zmZtCfvJ22U^XK4dQUWXj!~hfkeh?R52fe)on&}2wk++_0a?~uuBg0$xS?j3Ta0dLYZri^H^P;GwlQ0;XfjR8&M zk0R%Rl8U(*9yQd+ukxMSC$1&hFOgk0Fq>WXtmo7vyh@&%j4&U~u4|%5lfd?fCO?E& zQ3P3{h=Gx%ZBPx@@!8UbN42t((F@-JaFSV<-sPQqDB0-QCGD3k!yEB#Mw5nN;Yw)S z&u5_`+y&&J5v$~(TPdY8&Dpu#DeL-is%``Ijyn76kGQWoUIw&Rod0fzmOYb$5lA)B z3(p_K!A*pKbu5B8V@+8PJm}Q0RrctGUb%F*M%!&Gsrst$@HM40Jz$6SfiDsRuWv{M zWW$qu!r@Xu34pAm81Zu80$^tlki0rqEv%3z-YXuSUk5H1pp@FG769((HNOFoX@*at z(cx=h*amQ)UI%o7t8^Je2Fszi{xVMP4}F4M8f-l7K5nLmHNg6dBEMZ!us9Q8$3a4}}6ddXxb|iD_`=KXlMA!V5KWvUH{P zy@hXAfu0q`yJeKv0OKAHU#iN4Cso8I#kG^ig|Dr?(S}FTg2*YXaS#}HAtZ0}IUAYM znkf_)x&^Feb0|=&;ecL|KGEi2O*d48dd0Ogt@fW2Ccv)6Dx*1ExRGxDasYk+HPY>b z2EYZ7MY`?YsJzY{sMS zrJCXfvsqr;$Zk(c(iK$_F#Zz(zJRAI~NbvolA!II|<_}ex1_gGv#uC8r%eI1Bw7(n(jat%*;iDb`+Bl zsw5a3=wuibx5 zPS6~C46K?snB1&}h6(Qi%tcAeF`!_5Ac0mkmxPm;*WS!$sxSpJmqCCq02nW&ya0gl zQc53y3B85~z3-7NGMiE3s*Gjj2bsVpNSOlwk1eGQFa%~NKY|h#p}2gP1jJarP?|6S zQmNIr;wZ66HE73l7&7bb@M00G4 z0>|M20NAh%R%Atqsbjl^5f3on_W`be5MZoz=5%QDWrl#qSOo{se{vx20a5{efCzv! zKo3yUA(~W?8ou_i^)J|)1zbZTzH$PY2ylfFx@dtc2Bf3cR5{;S0}Vh<*fl{(0w<^p zWM=__(H#HH^Hy;H{?j{PpixDRUSm>;oWyV>OM`LT3HvNyfuMl&Ke5%&zC^Dn z(ct$ufO+0v0*3aPB`i`#sokqMI14U!E|y;qg5TR4!p>y^GbwMc4s`%JRA9Xg>Qp2G zY&${;c)-6AAs^@4?!WuPoB3`nJTvL5ns@Q zf!#V3=ReVobzue$MiW$BA}%P>h{ygYu){RNg;Kjo2E87J0qW^rF<=^v2;PK8a_e8% zw(I!X;zfMqAk}?JY4pILL_sVe?l>@P`Uq4aSclQ~>H*RphXY<+H((H;>7+;w#(wfg z6L{cv;jmd3{~I`m3EDylGTy-D^2R3|d!#4D=uVw?vgF_X83S&8Fr_sF?rUWXym`?E zk{4?MKxinubi$fGc%G`+PMqWfmQ_i-o5`j%gqztC!kqzzF_}ovR=wv}2R-W4u&heUmne~be_OoH zBVb$}DS*fk7$&Wf0ViAvzqi(ec(wLFJ058ZMl;qhfCK=HWUOV7?lb?OHm121~I0~x(_^2C_4pWUAvlmrE)W60an>A8;Y8gni;JJUp)})(gTkbi~b7Xg;-S zE7AzPq$7VSk+eo)t?+pCg8R_Y$i=QK5yKU29Y92_9KHOQh`K9k*@h@KoKbCjC+({u zc(y*0Sn`Q@LeU$_=kKlTb;6*L6O1G5tgc*LR~f_AfBy0=SBxoE6n`#|Iep!&UYr-q zI$C=W#Ujo+6=h8X(y#emt@h=5%|qAeA@RE4X;dEalqlwelw{jCUSqF5BtETt4;e48 zS1}(#osuM;ps7e{Y3IgU@kYzt;+jD9i#L>Rp90s*eu$GHskW~FBU`B$=efe{DI)sz zBR+Ru^N4i$T=k`0Jp4`bZLw2S_I1I}p?-E&XD)uZ&1`m0 zR!Fi1{a=XFhZoEv?6>T>>aH@eqg+_R7=N0G3lu6s{cB8I?!%k6zCWa{<9I{aE@e+D`7lBK8WVd@=^M(prOFQ^?p%Iy6;~2AW~2+R zBrxBaAX3w!a(X>!0?K*zoGZPVcECaG@Gz;x^SviPBQ!XZSI>|1wr%VcN&JvP*-9L6sw+Z z{T%*iG(1UgtmAded7;b5C)ksaD0gnjtA4QGdkZ(^p~%+y7W3lNChDg1WOKW4uC?E# z2K=)iHRZo(yM`c(d?*1^O>(UKh55eJ3$$ZjjMDj?mvcMs$ekNjJ;8r6p=_hTdS$;) z=8yXpK8kiG)qYi&5{~@SoeY;)&+_BAJXHu;j_6wceAq?(Pu0HgjmhpsNpVQa;Q|Uw zMm?G&6%PKlp!$BfQ8nwoX^{V|&L8@EHj|#`d#=&A9yfk*4%S~j?R$wyyDS~~d{TdY z`9oFeW9);S5269gZIqt^OHza`y6yC}r~H;sdZr43s4m!A5$falM)GRN`N@!(JDRbG zr>l8IPcA$glT0TXKt{SpQ_SP|734h5!nh}j2D~NSi!0<- zPDfU}=Zp7yK6=j|Qs?N%H7wPX|G9I4cFM|{RF*BI@NJlNG#^#|1xu9>tB!uGb6dnS zYrN%i^peafwo?Vz<^DxB^_Va7dHS23-t7=?R@JT1i;>sh zp$z%w6wVZmqZmeQ|EKR6_Z`#+cy0^MH6MOga5=I!d!H6jv*ReYt=}UyU|j36+aJJo zmh;80?|UNsz(wFv_U7NSHO}8PE1WNTILk-;haC*oD_?@wi#K=|T^v8##ne*{r!vQK7(JaV6k_r8oMS6MvP6##>64 z8|GY@+DT8?Ld;u(PtOkWQnc^%R{gR_J(bE#vPy`!@BA{aJIXPhay9yvvu|xrZ*`U% z>c^k*Q?DXBNSjtow$@QV*@K1LU)aJouiY{gIfg&r#)rREPrPF<7A6v3Axdn0ZiY9$Qc%orJro1K4y&M);R6y4|0O~WMPz&1nhZnb z&g39)p*ba*^~EiAGLIdq&~4tSzIoiu^%Xg;*5hM1`bP2c-$-p@$Xg$Q*oBcs6Z>!a z!4`=iG{Yn2LOAMwZGao-VK0@L(<_8H9P028y=_{thH$q# z^~9Xi=Oc-D<9i?E6arYN26$A@a!=)JV}Cr#WP(u%uItB#YQNtmJz?u;Vl`V!dqUiK z`g?bK^p*2ZrsV6B5i7Dce#sMDO1Hl;AjTe=a&6zW@zFtcX1%m5$4mqSz>;5SWIBB@ z8-Tr`zBEq`UdX-ezhJO8uVKGu0T*ne?(0++YLH;}#7f?pW`6DLw;wxzo0+&2iOrtD z7(9T@u-RIyMTSqSjn`4)3%*@X);}iwzpu|WJIUwLTtr0T0LcIE*(_g6E94b%uD)qQ zCtrQB`^t~l!Jm~B9QmIV6^A2zr~U?!1~PRe-)GQ$10MBNe4n5<7HUneeus!5KFNxS z_~A|3v@1HDF`=)&C4>`S6~A$B%KgsaT!8w9RA)@4S;njR*`S`9tqhNbndJm^4~Z11 z=EIKp^w}#medvzmO`bpMOW7f``>*B#E-wSbTy0+YU{u?Ga0Z2)UkqZ7CDWa`Pmq5- z%BR=qXIR^2@A-ZWEim|3e_v24DZ78^>GW;i*{m#67P?`l+R?*OM73-cx&iwuDdL`nXDGUGjFs>&4rj80_9}iD&XMg>K&ok!S z+hp7j#RjcHQqnIQGI&rzZ`w!=lqbXjg3mLB>Z7f1)+qbj~t9Qb4LOR2WDz>Z7g z4q`eZtA4h6bYGC{kgm1m4&I^BP^Sna=)2UG=d~@$QFU_&4^c=aFYNUFNLt~Z(vHPE7^#`>c zL{2aw&m$Zu=?PNl-ou0B>hO)5FeAp-e!sgv#a$8owk#k0ZE!y+tLw1q{Z=Z05(4`* z!Y}MUM151T#a7#3Uz{VO_{68I>z{j!xk+l-lZEu|+eQ=2gArk@t_D{B7{47jOdn5V zvbBfsamZ3{Yv<`-hS17@@8=aspf{^tvIL*8g4Q$JcdWr6$I1LE$UsU@+#Z|+$FSZ4MB`WcxR8G~eH zL#e;Fqb;Rhd@uAn8jYbFw6Ug8+m~@Zd#Tzs`I5$S4QgkyEU%KJ^9i3x@ssgw-ipG* zf#|3eR^?qSJF|rJfTfjr)d8Mc1It`h4QM2xm{X8$%>b3r}7vA*!3${;|KRs3M$)(oKU+2Si zX~*|U@X>Q8n&~vumW4K)5f^=ZmN#6avvwtU{SRMeRc~y3Z@N|TGr99%9lz=l(U%36 z(~`l>i?<4fpY0sBHSYVDm4#pX$Mnf7?4=s)O`qZ#K9ZKItvr5M(}CL>B6KY;o$09* zS?i;xb%n`E&+2a_xj6GKh@8>ho6Xn%^PKnZwY+Jjr>0fI!g0eahW3VRU(Y{y@#r&_ zscwxh>NKjCenQU?@y^cbwEqa8^lMZF`(`vro8TC~&#&B@Ezp0iB24u3@P3lpUT|y@ zs}BFYkE^74q@YayR>wtQH`xwp<9M%D}pu7=l z8KGvhplYY1fd4@;FI#^gwKx@6e?YuAb@jsuSC>Eyh2s9b$j{ewvsbX*K{pFqB650n zi2oT)e0t9z=ch|Y| z?Y-~0`~0~){Y>|o>Q(htRrl)YMR%70fOnF`C9`+E-lphF-V0~w38s>7Y;_wpQ+JMU zD-Kh4kgq3!E|8WFpwRNh)b!vKK5(1-tqP(MZ^?>b`=)(aK|_Q^-xS7#qpWK{UBVY6 zU^8sF*OgY?iObX-=lcxR@&jGcD0{)tPvXvRN}zXt3cBZZ08cAx zmS5PnPiCD@PE}6xLx|+%u|!~i5kc1b{!!JauD$wQlZ{C?20k`ziIe6?f!#3XV;Mem z+S43~@+%xP=N1jVs4^XPL;A&nZmLoEdpu3~hD>>unK-x;H7FnG9*yueyB; zXPky#S@r(L#j3&9tge4L3-0D8=}YjTFyL<$L%^wThcU<}|0YilrEQ){JGjQQeBx8m z4a@FVUgskL#cV1&NH1O+2*e*f95CiUOPIiRp2>RJ@6en`E`@b*uI2w(ejqEcd?Fe% zkGipoDf7vyWd!L7QcR6i2gg~oIvs1QZ|YZ(i+t1Gl;%qRV_T1b8Y3RHpFhoNIN-Nt zMQi}ZT!FtnT#ZQ3ON`v|4rR=s#G7cE(ukazLzRbl6|VI!#_cRUQS#)wFyH)dEEM3_ z*pR7EnPVEiL*#(Oy_E=qm|HkV&sCaL;g~nN(#eB``*Iiwz5Y6uxQ;(7sUe?`(7o#b z841~{W6#@!k+d+P*?%;K-4J_|v>c)2)J>yg*>PW=NYjX0%K7~RJmf`xQSeFlb7#=) zIiut~%M`(*R7uWjWv;uf26+Oh4J7WYga6Had#%YlZxx5>_9&RfBQ$&>oSK z^G_Og5J|Renz0o7`4U|H(^k}qB^`i-VSq%R%$$wwgLqdXtaL?k6Vli9;h?m%CySK7 zN7IXkGJ=~n{qnM{WTkW!(%z{TCbmW!4q;H4N8oG# zObb9Va5@>rk~}#QO2!BR_#hF7L`X5%IPa%I7}#=lN+KQkb1{Eda`nM+&{Zc1_KXBY|A}F~95)|Vq!n|@L;N$t z(gtB>=a9ojzM=kb=2%*9>Hz6G7Rw)~9w+rM_Oj znjQ(v@)|6mbJ~qJ#VAa2)mJFq`EgWLZpj-pVF7LW{gRsyQD|x}hq;kIyer|;MR}AY zmx1Z#^h!a7yp=c=_Z_BtN~meF9G?S!Puvq`>G_1oR?wrL`4E&;$`MfOW@AT*0%682 zh6$IM#{QO`>^nl+)+P_i!Iorg_p$$h*;7pRV*kARA($G#MiclfXNOr~iJBiGK1Rc3 zCHHH0u`L+!Dl@H}(vDG;ZI|+A7|a2`Oa8$hLSUop6>Z+aldn-PUKrBuqjZRrU3bI~ zd|_zvzLi$GG@F?+Vb#qp4=b&^-sf4K*0p|e($PKSmY*$_(3Ob<2~yN26&&nZ*!w=C zDS9&61TQ*WjQ19%DCHx#%mpd@>EuNzA?$0#*&^%hwk7r>zDB7o7*rdR=UJgu$#LZy*BTs7jdob$9ySm7) zg3mDDIh}XJ^Vwq*YNYkJ3`qY*tDl&NHnoOue(Ypp1(KNnA-PrPCVX^yw2h~}rQ^5qN!Qs z(6CC<8Z}O)bgym&HKVa5s>S~lK~<>Z@b_=)Z%QLa`;ZTT%SA)jWPbd^`yzZ zG~?YIa8TF?Oz52C+VU+v>(pvfNtPSjakOS(OeYCdniB;eqZnGIva(Y{Nm=Y>b~sYC zyWu|0D9n>PUATRR+{?p+5Y3)~v>Da|m#C*+Ilw{-8cnYK=%>gGf2ujOCX;vBVW%Dp=?g`ecM+E`UQ$T@gK0)q)*@BQ!1DA-zbXE_V)YPshzzM& zD~Jhm*vxr<8sbRO0i5Bu5(KWWiYeHTTrn(Bl-zeNIy)nW!sAd}VL@~Sk1wdh5_r33$y3A>Mw_#WxOG@k4HfPV@E%u7Qd5;SH|Q?+?QH4H}GjF_C~=`vK>VgX6HsNRunx zlQ>%Hi#w_aQ&kv`Z`P!*8z)KelF`bq@IzA>;&mLNwgBpa6(Uapj>X>aGco6-=OtrFry618z*&lB#^*G2?Hh*XGXYT#XbW7900r>0ZVJC$<|e&<835&FoTuVIh&tDAeu6+9pNLI^;O3@nehUX(Wv|^( zeSEU;?w8kWBAnTjy0>pBxpBZ> zR};H4_Gn3yL|3;{mxQ}`Bi*La29%(jA^0!ZoI}hf1-_Dj*7eOC6o;=Vh`x~s?g0!6^*B4ak+5B((so!9s6OmUT|9<_7r32o&*9PQu zW!E1beT5JD2)fq%oSB)?Xu+M+8X(RXXfaLH!t>!;+Ij}FGYvSlx2hk*7`7-*A*&oM zRD_NGv#E|4_`9p0kUmhLL;ajp=7b;~jYlRu|RGns?29axS2r}z_;`}eWxEf)x6 zH~m(Ph0sGpb^{DVBpZLY%$#`mPk*3g+{BiT7ljL=ZZ)*-JD2>a(XUqfFkx5>DWQ1U zo!dV;`Z24ZE47zjS+zNa|MUNLmtK<^$Pdpql>ho;I9sa9iP4k?RakH`GIGcFMq3DaK}`QX*W+Wsj@fza`!Dy z({VXhWV+tyr{%rq`m7xa9j%hd4T~vLTpWK_5Li?%F%jO zfHa^apW~^Gsm^oOF2`ivO*2-=LHBYGnxThIM)h|2+<}5!IiW&1v~rZZaKxJ=SFSbCF9xF_>GtF8xxCS6uypBX`?<@sP22CRii3%29ZlfGG8fQ#aT9yD|O~5 z_x7=JAaQDTu{9QcNnVz9w9CjEV$ck22-UT}GtV z)c2)TIa)W?ob*z7-tuM<+CR2i!X#G%FapfPg8o$2+zBhgm z%JCJZwma~QNNKKAb`AV`n0Rw%^%jQ(f;MmcY;#`fOkg_hO;X{>T%^;TZN4!}W6`0G zbDA_4FuS-*!15iR?^`K$iF+IA4`Y9dy;v?@J=22@%Af0mpRxK|@zY~@ZI{H zC1)8!QZahLo3i{s%Hl6$0g;h^i1@P%ayo{!#&kxf0`*3py<02xU^j`cdQ&twNEBcQ zt1cWJ>DlN#D2-(p|M?qB!x3A?A-1g1c?LAPS0-4dFPc zP&G+4B5-hNmxlG`#4$sF)~pL*iIWR&flNn&0ow7z{>>BLq{yAxK!IlUCT@}|_$}l0 zMN32X@Vbx7!McxdCn;W~$+1seCh5?~u$-%NbtAt3>ad#eou{Iw1g=Ka$cT-T@xfl; z$sHy4K2m@Ri@d@Q+iZnjWr|CN`yIf{F^ zrpr6E+=Y}c;=eEW1Poc_4?0F>@$>@KFHV|mbch$77pUSiI|2%oZ5-D|Sh9zrj)`fp!|e{LMk(t&kb`!s0kQho!=#DBs{n_)x|<_g^0xH?e#|I$7VeGFo$%Ww zu^W%Hgswk?(J<5Nxcdp39bvuK`f$Tc*~9vY!>=tF_AP-{u};!>_4woO+2tf@Lo3h# zE41Hb+jsYgcLJv2+Ht7kOX8?Oo=Z^Rlh-~0tm4?pTxGRX_;;)w`G+Rnemz2%4F+zo z^>KaDCXRD1vGYDf5$q*@q8e@~-2AdRJ-qjmEx!33+v)y`V$|*rS_zX^o;xP%R=ekbrntn;ljpH-aT>Yrs%-1ERT`Wc;2S0V-Ky3zz*_L^XSCpFFPP) zH{7CHoQn{uJrA$+z9&4_t-O@#t?9LgWZjmPV@J~0#?z@xZ@f>GlV9nEQn00Ay?W6H znZA@D!j`yam6owTQU>kF68l`Au#!rS-rX9{#|`Ho)3R?kox}oTR`kn*3RcflYGUH$vnrS98Fv zlI>vEI(vH&~hglz@Zy=>Rysb&rKx(~`KTh;n^%1TE+PHXiAN;Vfiv z^#U8D5ohK9J~Nd)RgT6&x6}Qb(qLe%hM)u3zf(+T(9NOB&3g|?BMh{V=n8M${Oa)@ z&^uO1Xi!724CB%90+ECX{9`8qCY_$U=yNR*bg1s0O139l@6W@hL$r?mi+K~G&NHcy)0o}3FP=rB_rjx*Ke1QR1 zBX$1OBNw~gd)%%l_sEMR3R3UI-^tYtB+%9XTX;y-6}t^%+8 z%stM;W$t=c#Q#F1b1IF zBK%zD`F&t%4Dg*yWlZBrA??6Yt@GA*Z7O_5wM$XkC>GOgQFxu=*KfX|$}{ej z7ff#{kP1@w*=}5hZ;hS`152AM-0xq+KHROIY4jahLlfWmOv>Lye|%@$y(=l)neW)6$zy4V$i^lR(J_|@G!`6f3Q@70l7QF#3QUA|T0tOQl9%*HnrBYBc_ zFL%*BTI|*L-T>Qxe%C_rrB2~5-z${<&Cw&-*~@NY=gjm}MFk`ek+#^OwfCuom=3W) zgEeZ{!d)1+2pZYCrRKXQW}uVl5{CB#fE0NfSjMRvk}BX}&Xch?C@eu*QEri;4`YA^ zka4LWmN*c75Bc*(*i$6x2_)dYr~)#8_gq{aVXnq1Utu=yg8}+v@h*#S@MRS5>T}vx=*Z!2x@z7DH;dZM;_V1}db`h3MM2o$;#0;7eDrJZ*p%yk z{Q|dP|FzAw6x(3_<3WvM&b*5*vb~Q^VZI=?aoJJeP&@`<>9VYsN$ksK%kDAZR{=@s za0VHuW8}?6NYvdf2k25O5s1x^{7YgwuYR-!fBPb+4+`k3IDD}QJ)!nv3bFHH-WGV4 zwJdHmWT;1Te{W&LM_TO`E|u+%WXMO0D#}prnw^}++|w!4oec+MFt@1K77KYN}?YzxHspjBi&}gA0*Z-60ZH{ zkGu_z(GRE!^MQGtSW`nOmY_TdZ(5vlYd}M3=8-k*nkP+L`w^vlcD*M{-iDM~4brn2Sz`$2_O9^@0#@W}J&^ zKua?RD-BYIzJIqG*5JAP%L%z6_M;=X;?4VaS0y;?%$spKQtQXlsUg;tFtEh}AB@-+ zk}b)m3VhQeA4K3*!ZPv6l6%p~7DVI>!{h$JwNnVenjicWj=NK+8Xm_+E;tk~v|+k! zL99cp$WHJYYZA39T`9P?wBu1R`kR@jiTh^>oK636x2&~0@ozp`hE;GBt~Q_7WCM17 zry+aCU9>@~u66I|tVu2&(p>t9|6slOmz?1G+(pjG}GlUbY0T%0W0a2Ch>#bV`_XazTYc- zT{ip4v1&FE@0X=@H%TA{DvrU^;@jG=OYPx{#%MX5>s*L!b~> z;s=~hc3Do3y!vbHk+Fxq)z@_V))2Tck9rexZf$tGsxtB$u!-T|SrFv4-eb->FQoBa z88(l3+Z~GcdqJ+o{X#3mYCV#>_rd(^Ah-Cxml&yQi;^&*LqG(~{qH4k9;POpIXccO z-v~o5A2nQ39*rOSSfPA++?Sq`pL$-BM~j+1Gh$~ANHStdo2%SzYFLe8!B~yIil0`L zU#nhS`Th{#Ke0Q;QAU3pN^&5U+YuU{-v?e^?cL?MI{`h6fKR!h;NL?Z7en&cas@GY z`quN>d|^a6Q@Mh$h1@IYa35Y*_)phV#3-PzD4aVY&YwKnCq=SBpSy@dW+TYNJ1yrx zm^N=8MwbQd3voM!HwUjhTv$4n6In5Px%=Hb#AjaSH@^G?_ql=V-R|vaIZ0#tpvl~W zLZKYF#3t_`a>9+ckN0NFhf7lVw+)9oI(DHQp`qRj`y5}B)$8$HhvW~0uDE{b4sWN8 z&Q?xkkaaxhkm?A{jTvnvClSfo`ryR~f7zXFbh4`zO|rKLWO-(=tHRBCEoL~QK4Eq> zf0of+D@5=uXWr2wKSNSlr;Z>t@$cF7&8}X#M-Z+7*K7irU@`tO#kb8bY{2w4V|G-j zpzWiLfu`O70yL?FIkhDBlsDOj~bfrg_>p;Cr8(H!OI3_zJohA(AiGK^wWM*2jR(>qJDJHPy0GeMS7I} zfMrjW%K7oOcYkp|@zF{HuThKHDnHo`cE{zUXXX0t89C5aG1U? z(a?uj-sou1-v+Xb1<%X2>Gn>3ZdD;R(xighug-h6e@R!xS4Di1bJhl+$E(VGoPhZ} z2P)NYE@gG!=CanYBP3NSM*(f-+59e1{BPl1zIPw*rQ9oW1c)#kLIv31KQgnET4`(~OncvEu6LyQ3mTi97HRvV?H6#!>X z%R@A8hM#wz&9au-cZKg0*?4UyDh7ow>^xqqjq+I%xxXnVBb<>v<8qDB=d+m+TZf<0 zFp)N9k8qobDAcY0a1}IDdCP;6TRuJ8XDWSMrxTYdi|c zp{h>)K%yFMR_CuUC+#%;lId@qK4HfoDiSMCGUKFYC39i>=wqeI-l9}CrKC8)q^#Q` zvJ`yb4d9X~WpZ5C2L5fadeHGCAvuol#6@HS-$v`7KHS@^q!0zi6ss`Z4ZkI0(PXVD zB9jfU?kF@^(=RDM6yf`)&ccFv79-gP_hs{6JbB**pz-*c^B%I_IvH*jAHCf_cJZ!1 ze-)CMHCVuRE&@yVo2ay@x+tup^lUn$;_OUFuXQkrka&GCw8vw1kosq?(aljMza9d z&ThMi!J5-j=yz-_@*LIx84kBRwEEEi3tIRih0i5?T*#%=jQk2dwgc{YNj4noVNbp z)=6ij5@Yg%&{0a}Me(x!$CH*rjTvgEl2-z#G=(39Jlse6`q>#tNxsfp^PKZKa>1=d zGkW)4Omw!CtAS1~#-3gNW_wZY&y5x6hhuUlzO2qx9O+QGi)?!b{kZHqm@o&ED${w) zsIE9ox{#2K%r!$kbht(bvQ_N(fL$X?J!~PW??^j`Bf(3M_r{Ui>Wdq%WjSP>gzR}( z{rx+Q`{clHC&Qh5_u(2wBX~*!ovbR666p_YxZgj$H_kINS9cQ5OCXTJDT-xn5JX62 znrrA{>*u=u@{&OCw3o{=#yIFs)T)n!aoNG7LZYqg4%O?Rm@hoW2@GB%N-EI|US<}f zRJ09e>0yr~3RSp?{R;ijAN!QYHFF7jV;L#2wVk$NVuceWEN}iTZDuV#8mD$b3KsC{qE?Y|X7TI^p=q|(|V8x^Y49`NFiPPDj0ZhgWqPs}L zX&G4n26#|U20i1^Vw$a*HE@_~)*+~xg^K-(z@36|-eMz0(s(>e7m9{INf)#J!+liU zDd{m(c${%|64?mtbpRNjYH{RdTpj_GIx2PwOa+M$<{&JP)K_T2@UrQ66)mov@S@8B z?Z{(g{Nroty;(F1XCbsrB*9pO4n8k2kkBKssMP~P22~`e4D7>N3H<{%23Q{fO+@)|Qrc;y~rF1JwxOPSzSL2_Ri+ zlF%4EkFCu~Vi|w>ZIcaW{-tD>Bs=`$&;UJdKfaWL`|ML)Dl*=*}FbH`th!_IE>Ii@(Xg74b ze@)N$VV1Ur@|3-)u+QJigcIyqjsq5!uPpmtg202C`0Ft=H0qb~K4Gt*QBrW@+C2-w z!huU~ckI9F8VB60)F~A5(gVu4%vJtHV5c-{xg|HZ3}3&tBaST*DNsxcRu|2-`d* zGP_EBFswbWWAs6UIwK256Ku3Ju>bJCATNKyF~d#&XUI$MW=aD-+`*bq2mGgom4qRX z#sYEz&oXmpwC_~{cjQ2X`p19WUf;-=$vlM~lN3T!BM6=&T;?U_O&~FX4J!*aY%~F~ zWtXrDI~W|E7P$_53YG1@fa!vP88g~hy9^YJdl2|*QR=`tAivln`a2_Q-AxsHQIBTg zK?ZlSDudm6?Sva2ZE0zai0qt%eb-R@qK=cO8vr{)6g?LXrn2Fh*Dq0`vor0&>^NZ{ z{PtFo&NPQ5SF)EYGsdtS1|U$u6DB+Up3*Z7I3ra}EYu2sog=~m_vaPX)&0zOLV^SY zFdY*d(cX1xF(zfeQk@*?KTT#lqLzp_8sVVJOgxzzDw=n$SO(@K##41cu7Vmk__l;4MBa_z);gv2xX6S*#|n9Ak>s{ zr~oe$b#R-Kzvf`^ER%(nkn^m2Hv$;4y%fc1R)E2lA`8J zrSna72?}A9W8f7~P>bk@Aykq&KLbY?B8OEBY@!oJgyUu^>(Nm-`95v}55Dd|#5)3` z!o;d^B!K_lbbfFz49Ng!FRvHVBaA!Qp~vEh#4EnV$T+bv_=5-W8|)}yJZ4$F77?i-sq4F&EhjdoSCJ4FqWya2p+4P8cLbg8Rz(%NNHpckexmwt?j3!kGbMHWz8Z23F z-i%kflGFC~xfm|Gj2luYOEw$WdHG25!lVmbqK!QS9x|h~F*42Q6hNyvp>I8naM2aq z8QeMEni8BBFQLS8%@8!g_BGprnLp}Y#g}gpS4iG7qEXT{9HFk8un~OSQ6+UD(DS%x zz=LA_-kDmk8|^!$c?Lp>FfE2uvG~!d3(FByI7BCFHCV+@UnzltFO2z6ax{j(LFqxG zV^h)1+MonHVc4UXRyD>x@eJhz!7ZM9@td#hQZmJX8B@}O*J~uK$0M6TKZpU{BdXxu zWza~;ev_zdq$gsRY2Cveg%y6Am^3Vq-roxYfPlIinxn@x`Z>Np?1qwA!*izsFV_uL z=nIeQ8kmJ#*FWmWRT*QLkIG>#J6j}<2A3+bHm5`7h%eM2S(GZ_an$sE_n ze>N2b{SJp4@8J&MW)iSH`pTW|2Py2ok**GYJS9A5_CsBF96+eDAo7-TGZu?>vjCD< z{E?=JR4Ubj!Qn3!h%Ma^`&kso+o*-t0_X$)eIvqP6|})OCw*P~wMs&cfFI&`aoNKj;FMV<#sPALJsRp5sXR zJ~mhgQX@4xki0)S^<{MhdlVil$MQdO(8vFpgKqecJstde777H_x*IVC)tFDNg}jdn zpT-QCC2oj*jY%9b{z^>UNsS`}5A0w_wcwTeQRL% z@q>||A!5jI|M$)%Q#13k&aoudQAaXP=)@pPNBE$OVCrZ->bLKbI#`LHkmJ|Ku4dE z=_Tq{x8@^W?%P#d*JFFP-?fy{wC#to-?dKV_A2Nt%deN~+r%BXrQI z{hSF18pc!Xeq?5P*aYQ7Ma@eb`L%DpxoH}#2@2{dZJ;r=MNQD)`qXu3f+{7N!y|x) zQbPE+QOGZTB{QI~L90fBrqlf$W8b=VU!Udk8p<_2pZ3*XFSW;g^sKKWtlP%RVG^3m zCb9d2`@8cOu~}2@>r)|v2L(DYM`J>sE1jOLo!xxF>4q&&ZV0RAB?io5J}o}L_k%#B zC%5M1yDZyo&5$URehtOpEM!d2dM)=#`R=uWmk5v6)()PA>Cf)^u_S@E~RN@x!H z)CMGIYBJ5*!^Oo%j7{~?*7Vg+rExOH>SIiOtF zuF3=nx{O>|`>BL=em?k|VXOAKPcy#NE@6_-l_d8nKo}c!Q zub%EOQ{;VACrZddZNsgiJU7?Y3@+?QYerc_ZPbr&`#A~8(c-ui$w>Of=B3)(+o3b0 zGzU5DnosB3R&C1dOJE+Gr+2~)q`oA+sE^6xBYRjPlSeHNz}agkPn#fSQ>LLuoiB_5 zJM|>19T7KeWx`tven>p0MzI`N2<~5wCabSIt%lua@*Ypj3dOv=&(Fp>OHJ6~TC>$p z2;aHR{rR}wpTLSsD@(8+-o}1q{)hwI@oH0Uv&R>VGPY4@Yc=egzHgg9F0)&{SgNwB zEDda|4`8s@nM5!U&Sr6N@YE(y-R$4ruLpoiOdb8J7nSd!bmb-FCC*NM zp}jXb2srBe4w`2hz_l+N)Z6TgPZ6hy9V$CCYtFl%l5+G_?Dsetk!+3{

+-$6QG; zAS`f4=%?4d2=syIZw{97wP^!3>e?GGMC2)R0UU#+3>x($pIfqj!VnH^H);a{h9E4C zyqSLBw42vQ#+KzGop>{aOU_rs$y|yNyF#;O1F?`#7o+6op2D3@Y|jeRY;aonD;|uR zw6CxPX0Xt|xb>%?yCG-nOAU_Y&jxrWZ@WObWc~+c58x?H)cFbb8%ydvCt9M!AEF zxgd|j`AW1IG6NYupXYw&*dJYQ+m#s4GNN6H@inm#U~Dy@VNg!w$3dCW2ib8nNa~y< zi>t{T8<>2LT%&*8y?L0-IsyMCCHFu*)SZ1*Q#8}{=V@_fH|*`n_2ZH2X;Wwt^g+Y0 zT(*tAC?TC&^hgVWdIyh2)mD6}9N`A$Rh^xYcTpviVi7FXs+7UGefy_lISBxQ01I% z=Dhx3M$THQ+UTPZtbOZ)pJHZt(OAd)z z)CW?23a)vtXn?Yi2uNPm>`h~2c*1OelAKhJFJ`aW>d)=M3CG{Y1Ilamp4j^IrhQ>} zV*3wSPW|eB0jbMKr*~1P6es-iwuYaccJHbh7lHQ~JV9X15!HwJdUHW%Y`$m?Elj3ITw zRr^oZXUamYG z7O$p2Mzi062G-SE_RH#5*15At;x11H@6blDmHRrHy^}iX;MKV z=+oQVt20O&LwG*3zXR<>84T}iV7303!gfz<_xjoC^xFF%N~s1U`hR|SqQABbF1W&0&SJyE$7!hW^J&U{v&gyHgNOpSSLX9 zlh2AQgGZKLp~3H}t+fA#yn9x=ceAm2>k=#t-8$H>30u7un3b~A3>Jp_f4#iH1WL7q zy#)TN%BB`FgJ$Q%XuUxbm{b10ZBT3k>vvgd4{IEA6;xdtc*SX-1RMn0B|yFBXc_5u z@+i^=&>9xh(-hbZI;;<@0bY@6^Tn@R6F zP|;m*A}vEFb9nf6_V)T>|K;Jiy`LpF82HJMMmk+_W`dSSWNqIEvyFy7$#kN*Y?Ufk zpo$!6Mt|Lhf1^LuQtI&z_Qi!ipXlY>Cvn0j@!luV^Zf3X_u{a+B)2&exMB6;S$TZe zNObUjllt8$v~8jnf0ypBL(K8i+H6_yT92bc%-~j-bzP_r9607)bEe)@U`em+)HNDU z3tihXwh^a+~_^`H6hJ<}&5U!Ef!Z|ag<0a{7(4P&8AZX~nLtx?1`A0-z z_lQeGdMe8A0>PT+_V&F2a1ShMS%iY7{WE0QHYo|)oTU#eSe(Sc*)Ft`1UPGyjdf)j z?Qr|C4z=hr>^RYkxg0f?kCWT~;@M0pYb_9VuFL7cSbamhFnPKld)i(+YA4{dn_wNM z>$x}c2|n&Fg}vo$#!Q1$mVrm7Y%3v0is^{9So=32j1(zcLu8iXTyEm$?8GuY>S^gf zW<}`+UTO@@(s$UJV{@|twRN9@3A%`dQYu~v#fG*lMVCl{`_d(>>gxln9Am|II>OSF z4W9z=OUTO3XYr2(?CJ-8FPi4=I*C*MxCgG(&u#aXekKtG)^QJyuT1X~-?f*YJG!-` zD|vGtAKxq`4sSnxl2sr0Y;4+;q-0M{9@(4MXDm1f+E;JA>H2NlXEnrIIfk57mRLAJ zul_pz3G7#%ax!IB_%@ppPm>*gfbAKIHN&X*t)7=+;w6|p3u`8OC+Y_WS629f^KFDe zM!lsxSME_VPt<}d`0@uy^*IEpgO>u0CULEE6_ zEUNSG%+ak_SeirzV6HP@NqM`6g zWdcT1h#{Sna5ADs_EC`ON|qAFhO{ms-S~ekiI}^lE@z=AJMejq4uL3rj1|q{kXU!^ zy>LdV8#*cO>al+-OpK%(Ygg)OPc)TCdO}=OVF$dS(cttsrNK*T+u2X_2=AF8fUh{SLuf!c+K?mn3%3}D z6UCHl4U$JU*;a!SFQ+;Qk?gKD!|+O?l`WoGcze@;o>odt)gKLY5{61tw~lm{6T`(Q z#6l;xu5iQ4C`21u8)Wq1g`rdk2Tqvq-J4}WFsgO@``_SDb&F#|Fpj#+S(rV`iQsSb z2=0ITigdsXHrQOe{=oUN#nMZyZnuTWz~vB;Lew(w2ZgcX%pnC4SB*wfHN#NZEKni^ zS=VeP;xkom!5jT2R}sQY|{*iW*eY+ z#;hfUslWQ=D`UmLPm2BmnKM^5aFNuu=Y#p5E1iD`>w<>Nxkk#=ZY~74YJ|Q&xZC#h zgHL5@Sr&1asCxT!u^7iW{?Q|fzOHbrwhWW64e(F#^#5~JDTkhC8$zP48oG+~buBW@ zsPL@mn1nOTf7n6c3so;}x)H0Np;Wv|lr+L?7?|6&|DW1;BE=U1T*3iJiLm}SK-4ih zUv0Mbx4_*({~WJSi4>2%5k1Dc7>gW0vzu-PqVVcyO{O@+iim1i8=)}*?)gxXR%l4Y zwc%uKE$rDh-ZM8g8-&j`klt+oWa(PGa|bSSO&2c?Yqd_L3*pVH}=@w7X{mAZepYr(lN`x9*`s@3vRit*I98+Mn$O)MiI+!B6%M zyT@Kpj2mR}0bN7mRLfM(`!=D{Jgr_?gf><`RPIQegS1!YhDw^W#v&;tGIi@%CUB5v z|H-zu7qvdM)^FgsFAyF4C49}{c=$hjy#-Vp&9<-&f#49_o#5{7?(S~E-Q6L$Gq}4$ za0~A4P6+N!aK0h$IrrYP*7^Uns`lQsySuu(rl)55>E2b`C$b)9otx+GuvkbP>@mCo?kF348hOqn43qpD?$(|@=q=@Ju`TN_ruB|_)g z%Ap?aiv;|mIF0*&4V3W|?Mu_e zBKf$`XL<#-ZoEnjWB5z$<7BX8tX|HSN1G*(IeOCwf)MZDTYGw3S6B=GAK7qbqkYm*Z_QW)QVwUK6Rq2#(Gcqz+k+_+m%=% zX~nHMj{#FOq)hR1#{^I3)6*>`$_8&TgH3M^evZ*T@;s}3_z=`N8~yY1*sG6AT}eRn zyv+)h9vd>#OKs#k4O|DK!)#>TuM%EQy+z8Jdwx_o(W3$jUX zP(ZV;;$=@aAo|z3OV|$M1^G97R5A?_GbEdKm^#+W=ztn{Z_{5kVHh0?!acMows$(L zGHmWlxYyjN8kpb%5~&Jp#@fE2@2D?XWT44oN<*C#b<{~7lakUn8wLGqW-$YinR>*s7ARzLP$W#E~oA8JS`PV zQhCeKD|J3Jc0ztl+q;eXr+fSBMG3AP@qDgi@NrkT0)km@a$lPLo;{fkXXdE{WA!)~ z*Kr{AjX#QD55~k-6o+h+;FzHmieU7PHqT|enjAjujPX&E6f)*i89SyP6Rt$Tx7*q4 zZW#^ET*zW5ScO!>>j zFf_wZ+S*$?{k*XY;w?fJ7!q4$?o%R>;}v@()lJtM-NU2EI-;Yh;JFp5`6IGrnign-Ozqx)l*a7uH6GPQjsf0O1q64Pmet=k5qLXNGL1Zz z4tv%M*A)ZFKUBxs(bXr_XbH4+%4i#<%V?3LZ0361)9Fj(toO&L6h`JTqa~SF1dU7L zK@|;7x!LYV^EoV6@_zXQ_auZH6d9aeHZ zQccNZ=%7sGbh4&@G&20MnOf zJQ~nGVyggIl2HH-~*&5$r}4*yW!uNSLhZ=Tj_c54nlg?lNZ?eEj^{PkW zKu12B7{6;BE@KNUG2C^?>iZmaf@f>rQq-560g_J54$7i#`^g-^Gko6lkQ5oZQ-nAV zAYpQ1jPmI0^KkeyA>J|>9_*s$$qD>@b*M*Jejh0Uz90M^9`wrZQ2S1faR1)l^OnQ0 z{Ekx6G;qK4#la-V4TUH4u9~yYb1BoX(`vGIlhsV)BAvOC7&Y_`II+h^Ry`dmjo`vm zMH=1pKrAOF*q*Ue5mkx$L@RHL)*~=hHD+s&yXVSf4wMeXpcK>B2$mj@jU7Q+n}W0# z5@SYY$s~X9-nq?6%aE};@QRXo!!S6m(3;OiTi%rKe__Y!tjJcbK!4j1)srPews;Ei%C z;i2ofI>X3)PEIrG9l0yV(r_AZvZ{9Xo=8p;axx8_(Md~VQUAs=;c-UIm6qhy5;?wo zuDRnN$F<&gKnVL5^{JyQ#?s0j*`QpcPbWn^shO|;Su^~0G0go7ZT#jF;>8;2tTw^# z&R&t;R1Rv;b2U@+>P044w+bfMrGPZN-By&{GxCTMNQRABZ-^PDN%DqDbk@4Lld&#$ zh_Q0J`q7evQcX%d8i-HBMq2(fV$j3L1(x-vAD<>Z8nfkxEC9;v@Stq7-?;~p(QYls zw@aB?bRfw4^RVy&zcUM5cHZQ_p1zCx<|0SFe-|n5%jJPT!2nM1^GA!MaY}JxrR{nA zmGP3H68YFaw?&JKc(NR6AY>}ziQft30|(6$iWT8qp@LVuKoVpv5R)bE3k8c>X8cvd zVi}rLs`ioCM%8pVddvI7HSnJI${?~}2pnE#o&Mf3R$H6&CVvydh5H=XqdE7A=ke>3&(Uk2ME+`u%&DLvR}iXvSrM(pcxLSsX$UWJGbNVe zT*G-J&|$sqmnwf>i*ZQ%nN)72L5!n4(K1}a4uPRHgr`!PR;(dfG24l2V}C08xn(%x z_Ec#@NB&O-O$~LO%f2?^w@Mrlh>kcl>{nLaK^-Pxlj=*^HfpXCFvFq^BsCw#-tq%6 zjk_Bt>H~(}(cfYkD+f>~Kah7?)FyBp3|_!1dc`%ufsJ>G1aue{0o#xYs4mNRIZZNt zS*AJd*pFIbcB=ht+g(trPc@FRf=DO8PI0_{u4nQw`9*60j>Od)GhAGmmP&n$ z1#|9`eOq;UN=&sHc+6;JhdVC<-d8v?_{)PsZ2zuo6)-TL{c_46-<=;LLOBP>nyXBn zPr2!(qDg`TewL%TQ`^wF6HL?WC*ZkC4W^fFFCuw1STBt=V$M%-IUp)GBn(*CpgLAJ zG#-lNJ_D6<0t6kFIcuLOCd?lR*zZgx=x?8Fz=o05egrg|LP?r73QCRUD4_aZ8m!mQ64D4-R+i-8*_J1F z3*@w*`cowFS*|1zospMbl|N~<(NKECRehNy<1X8Y;Wy)uHDf{2AId$=i-t4mV3alF z=y9#~X8;&50&;VZ44M0C%pMlter9fmVfo7WvJzu3W9>in&mP{QhxGCC3-SZHj~6lt z({CD3+g?rsCOUbp<-OTyTRPN#dhA^fXzTOh*)7AfO%42snPPg)_oyz(ylS18>TCAU z+uiRs2UOG$Jm1%q1`=d+=(xNt@9ssMh%@FE<(!$8_HTjPfv7@2DqAeHwJX~Ky4coD z;sS)Hw%q&|6>8|y!OQuJc*}sSHjp!MW%6=1QbNxYX{4r57{f4Pw%{~fZ{iQr_X|}l zOPHh$9FFfb#qeplfEy^k>t@NG99P#hI^M$FgKOz8lpd^g&>N$aoc5<$japQ6m+A$1 z6X_77C=x*l9V`?h(m=&Zt0@KOP-)vOnkA+8Y1pmOqyWAZDV4=_f_fajC2!yno!Nbj z?Q#i9OHaBSk!nTPdFnheCI{&FPX!}=fK5{+aGio?LS0`;NRHUkh&$T3S{w(fnZ2EU zkODwiC44>4RVr0QX$Q^@HR_U@Sqj-0LZrUdsdEmO8`XqI<9bKa`J0gUnXn{RkPZu? zNT)RcXBWExU^L8W`KvRAD@;pZq-b1VY@ne4z<1^R^XFTz+ZVFu3I`(OA;r0SGdZ#Y z-lr5#u*+Xc8rEOTnOhtJoa5R)ZLo$F!SxUfn6QCOy`s_K#^oTydEo3A_@$;sKN zA|37vCQlMAf6(F2}+I+X}>V@`(-)Bs9=Ar-@@XvKS&|pitMCpaPr-c{k2WymMYWE*jR6=9>Ho zTR;lCu+zD|QScn?vz+1`t874`G_RiXm`f{%qU^i#FX&v*d|naA@4r_^pHr}D-8?KC zI&RBm9Kj33D!_2y*9|!gT80>`3GrCKDOAWm+kk`|7lILdNK#sXJg%cS7@$14p@)ZC zkW}Og2HNUrXU$}5q4G1PcVht7|3hC}n$#wsZ5A@8|3|f=Ri!hpmvi?0OHId=2UV&X z`JP1>Xf&K&zzNr_q%?>PUxL6il80*1VdhUm^eaeHoC-3QBEy`8sCjj#oEWqWj2Q-^ z(}zJ@u0PRHi&9_?-Ob)c0&%412+&kA_zpCy5a&2EAr3+f^E20xL^#Pvp$ZUeamk`a zTRngnl^sW7OfHBa;x`_At}sk0LyU`DBv0@S4jB-0Ql55mR0H^7VC=PS4RDvFBPpVG zJS~ty?IYe9V@~$ei4cLiLx$XLI2;77*YpT<7EM3~&*6g))-~RYzy)=ajnZ=x_P7}L zmS*yj19!RNMjV*+VbJRL(yI^}J|gQ;;RGv&?J+&TL4I{#B0v!XvrsfdTy}4P`EFdW zM~@|pi$l8v3;uft3L;=_5SA>c+h&KJSQxiC`Wf_hi_QK}#^VG8nf;nOv@N0--su9P zp$5vcu?(hCo%mod5#6(iNUicz6_>IE!dz4w3Ek1hyRxMDfh#~5FFsJIY7E{ zz56e+1gmEHhh3u3l*`nX)(`zBT~*6z5&=RQzakbO$azVg#s446pZ`<(c2gW2PDq2J zSxYjv3PG+YaQi24S|GPw5I47Kd4lfy zS#610hM(ZgtZIE=xg0js8~#OO_SCwe+DA<5f63A5;RlwDq5EL6hYW2^U=n`=RSpApy|5h4BMEYZWm^yeX8K_YwsTWb! zkZ$ooo>6eJ!LMlMw!>-rgpA#d#%iZifi*9G92koB2go%#x$IB**xSE+_)oyIR{NCl zwXfIw{?DfaL1-Rsc8Fc)AUjcCMu=># zd>{q@Xj`lg>PaC$tRD?c1yTskz)T)n`GE!uxJfGjZukR=!r^Ng;R$%+jjA6B0pY8+8ekS{nd!`|371AGo)(R-c zayzoNs*9M`ff@Mtq`C;Aqb%+Nys8WXuUb1QICtfbXCD7{VqR8Td|ZD^AK!Ir&xJQc z0d3<_E+CpcO12f5!F|t`bYw9Wa%8x>?juvY)t<^>3lCiPyjSV5Wc5hLg>kGXo70UDQ~a{XTqc{3UwNQNYRwv*kA593{A)#u zLclx|wxZsBuXv?Wx}iElq7ooO+-ZV*M4Bi;pC;ldS!len=$Wma@QrL}m-0~Hb2@q7 z14Wu;FHwD-hqE?bH z0GZb*qC7@Eu)XDScj&PZ*G6o61L>2af#u^H!8Xiu8U$t5ej+w|cEb48)%dPMCnZx{ ziO^(o88QyBM?*vx1av$6^UgrT*lm_U_Gxcf(}lrs&)-0=N$LOM_oo0rcrLv7#?`Hxtn>27TN2+egfE5sPF$ zn9_zqX-yq4<_UugKaXHXRO1t zS5(j@3GOX^PwpQmh)!{aL*78a3nVztSc7RV@Sx3|djrhd&7v8vDB~*a&M;psFCg2U zQC9{+Ge~_|LrkkI^wl|BN8F!Nw?~XqM5%|^L)hUnb;TjS!5xo84fEk18zt_STy{v* zyq{Hc>4e`1oh8>TYkyS@!fxIx^sM32wk+h<%T;ISvn5(K08r-Ub6u(A)0Gnajv#^* z7P*n0AZsPDUo#eiQ`#q4%l$Mmrjb<|xG1^ouJUit?Zlm_bTR!x*YYy9U31M^Twt;2 zHr6AIT%5wav^x4FX*UrDbZkzd~)0j{U zkpLVb9GikjI52$?273~4uo7Vgdqwb|rqkg_VpR8ayxYn4)kFeu;WR14oRj5^^-X@h zo`GqJXe)Asc{Oy=inpV~mRpg5(khxWNMflJWQau<^@5s!$QYcKTL~~~w}dWlP-P4f z^IyN|nR!+*1R`k{&Fj{%X}cQ5A_=M$X~1gz$5yp08#L6SQ{w8y;;*6AZW0_FX!Z1% z8{E;XV1x$JS4Uh_5knnF$()5gs|yl2JAPK5<8;pdY?o!*le|jyzcg6+J7@I3&T{xL z3QN)vw13^)us;REJs!(H$#rg{Oj9L9jka2d6iutqedaV+{XhD?O@5C)?=vom05MXa>R;v>}z48mTZ%Tzc!hh8WMnKt#E zW6N83>%@;tD(HX$4uE&+S%^~J`6+KT2(jFi_%P8oC;QFxmMpB)pFdH1b5zcJfufTy zTZG9;g?tQ#y;G6MDvs8gk4808bu<20%D;F}UNOM2gAeT0Ua~Vvfrgt7bgX0t>imxB z5Jy9@r>e(>=0KFJ!Lk59K&J!r#BjV8fdwlxl);4!R~H5H+2psuPk9~tmdS1OX^PI+ z!JMa758b`O`{ReA$?wux*6klWxt26M*oQTKEt7Ggu2w|=-NRCe6=gSgLdFL=BTZs? zT;%x9^hC=_<>EC;4nNQH!pwPBvaBt{6vVt5PGbV?if$_gX2eyrw4ByS zQ=+o1-!|$^zZr}ohrP!WaN;g)#hYK}C=tRO_2`YG6qa?GCg072ADGVYAx<|p=!_p` zn8pheHv%(w+o>~(r<%j?9y%H@x}EDoFa$NQ1V+mQSNtZf_eGpVs#NEN3sQ(OK+|$8 zEny?TR0Sn3AWSU?OvaEER!fQ>WEbf99r+r%vu98kCt+vOM_B{2={#uh&?`iaAeOtL zOL4PaSApuAth-XgcBM814;LzL1qzf?=DMCf%^B#vt4H{owR5~3pkzD8PW_e z(-OGipo42wIIHh+u!^s;d;L7@HpMoON_@*vh8lK*43+H0n2Q;Va3>R(p}=Wo`#hO} zd>gB;cJuGzs0j;JP5VwP=HJJ%z*#}qr^K#e*6jB7Z>->@gt1g!}Kx z76FqcDyXNI;~&-HS;f8GQgu7_3>|Ou#p}tvfP(N5uO)&$~9l+zF!PbMDVo^zB4Wow6_E|Xt(!=iLbbj;lVqE)SxjzXOs=V<-#)(F46f+&MX5%BlxyLV;n+UoOfJ`jjXb0w9(FR0{~o#1 zUh=$DBY2jBe?joSuo8_^>?I;rn^+^{J4vNbKav{JZ>S1HhhUfQ9NVRm!H~I=OYH6J zOKuZ7EXYf4XSjfA*BEJY?jh2KtR3XlE)Yz`bM}QIhpKNblxYE4(3hy?>JB88YM)3d zpPYghqzr;p*<)*u_mR{##%uL@q8L)NYYfCN$EwsBNTT)@sZ)_`_s&O8h(BLE>?NGz zV^a=KA;!GGZU2Xgb>1~g74QuWC;Xx~E@@pk>V4^5cI| z&K_rxVv-u6=EToDIPPBDVGeBYaoJZmWmgt8XgaA`PzxR?pmgJM;G=avjtzQ8K~D^X zT7Owc3$Hu`S1>YeTUKY=v%<=@I9{Pt>x_LrsW5=6cm%TXHEhE@8ZnL56eP76^Wfy7 zm|90ic^=a;Au$a!rd~0k(VCb`p>qVWRQw$#B`P;9O)BH;OB}2d*0FgrfGU`o)?;rQ zF~Z5{*kNjgjb-1a{aiUaUs5j3T_eR#udYo>j28%mb#nganm=&f_bc&Ft&cKwFW%Q6 z{?ngcC=bh_9xV<9eQ&jW_dMeW9PoGD29E&S$7WBtYR~J}FB1o$7ppM4&9g_+Zf8zo zH$z=Gtde>SX}(6~pu6fNkdKBp0elu@O5bUeU>*am1VOJec7t9lUkJ6!+thu7yy9}k z_G|oDL44MwL5L$OL-^$=K;i>^Yg-{c`5Y>MP(^|q!Un(-{_LLI`eOxh2km818PMfG z23)7B;Y0dCmqQd5zD%fsHgN5IDPZ%r#`U{s05#cpP_M)BpD6gOg=1p%!EmF5V|?Fc zb|HSvYQFN?L`D+yM>r~*tAIR#Ut4Kz*V3@Gb7k$zpPk&|y8@AhkF zWj=5|R?5kBER2LVb8%h){l3)hoTDbsr1Wd_9xJ{hWB1Z}P5RgMuL*!NKnIKC2sMd1 zbYXl2`0TLiCyQOGfFhBxVN&{N9blc>Vswum)ip)^tK?CU_`|nSZW8+6c|N@q-evbk zRQCh-vdt&7ie^p-w2C?QQ5=EL2tl#X0Ci2-ep2LGOw~_VyPvVtA+aLO(Ic^}EAfSznnft{VePJ5iznEnA zX9B}2`=Z2|bviGIy+zobn;6O@k(+3GDSn(m;|PrIeX~^>zN7GC=v>UD`C)uhfh^Gj zxu~8fFi#n66}Nh{XW0o@!|GgJSF|E(-CGzlb(-Q+7xobJNea!p3jso%^lUolC8|*luyz(Ka0(w(34KMmOs)#AlXq+=#a! zC1S9Sg<`o?M$~8{0*L~Lis=G1K*|~=xGF+!lb^VOVFC%ck|VhKEiUl0g_vNvqC&!G zh!>E7Dj5n=l_q*6zo5)l@uOw+TKz&tG^M(rSX&%va;dk;vX&yxn3dd#)1#6QaSRfb+8mQJK=qxeaV#>u^b@^%9m{fRVfQc0MN3DW1FY@L~Q zswJk`Fd+RDp`y9@V$onm)?K3h-(=4|Ye3dc8yWurfh$7Ui(X{$9Dw$6_x_qAa86E~ z>_)^s!}DdqX>^g4W>8_*-6+3e$xg2t!41hL99EX;`r#)`l77#wE`H?JNm|&HnM*JrNAM{wg#R?LlJYeq!Z8qV;}aX8!&Fv_%`r zY%t2~tr5+Z-UYo{1-z^s8WjYI-8~jdbP;DZI~d--GJN(RvfVu=j~zPKi_T-VcfZ#e ziLV?#(4g-;*X*kF@!XqZ4*9~j@yr65&9>peQdOwqxjo%+X>UZ>2j#iW)(-R-Wcx+pU~{ivwGsfXRtk+Jupz( zXQffNky@I=7~wle@q|@yXcm=kR&d$xCLHQJ7(Icy^*9>YV1?Fcht}}EKU=MOS%S{% zS#0R!dDRx;uFkPK-(=yN^LsY?)Z1VzCA!yO$s`Ob5$~If>!piu$H`DA7XSVqZi25r zj(|r{7i9O5Zd1C8ct;+8y~L9$>R z)Ms1vQzk|4digX(<+s#X8spU_1K}o)Sie_aock2|BWty^*J3{;o9^ll6TWwgxsIzd zVCX?d?D?!-`y*nhw3pP`G8xY*4q3dbad;I!7RrSt?p5{x(!UjDm^^w*!;;<0es2+P z2DEqWYyt4lQfs8W5^ma!v~5^sc^Z)~podCmbyis;?#8Wu-WQQpb^)oN2>%(z{wr8F72!*v!pPb+nP3N#a}7Z2bM8+`7)a`9(XH z_WIk&exxz3Ohk>?0vn52|0g;BmD8DQtiIcg#jxc;RTGV3KmPU%i%~&!0EtsW*+R?>vbZ>-G zzNV9(v*=*rVLU~;9i@^0`%)4F;414&#&U@vhDRSIqb>l?t-F-Pj7U+>Q27RNv^F2MP70$C+Beu1zmD-Y4QiHsigQ+e$njK{cMaitKPG9QHz{(W9v*0|2$US zyW3>ZCwr4L^05~52(@jxRXdJZ$Y2=CscpwO-D8z(chsbBJ> zS+dTmOO!A8>LZf*fq1Sr+gMR2RHS1&(rU|YedHg2iud7Ik#5xuH0>+9DjJ;a-@J?bdtQ)#Bs!OLz zPfw73|F)V5H6zBh5}+TIDel79K%6cOjFL$sP+MC;%~%1l&vaD4(rq78C|`Aqdf3j@ zn>pF{_bBM6qMTn>Pv;X80lq!ZWL}-zbUgJpz#HmLaRmIl?*hhK&3iS)^~u<6}fY0c4`ivXt6QCBPpSS`A9a9RvXB|AP^uf}{5DID0mx=2*0 zDmZWW%kvPv{Bj4WpNyBZgOp!G+#&7D*)dy^eL;m@40{RVYGQ4xafY&f7XHFQ zAhbRWHW7fxekv0Vk zK7X6;)PNuf$Z0 z>LnqkVnm7o-}>4V<>Lq^j`eUes|hLv88lv5fN7cOi<%kSCL!jjAVX@9!6k&?g?T;! z>&*P!LjyM-FMkK_If1B3PAb%fqsp{|QXPj$Za6F_@MRH{Vk{f#d$vRQ#ws~G?S17e zH8nUfImt#o%7x=Xm9a!6c=DoSc_}nGsfDa0n9tI%xUDqynLoM}X9A5%UBil!bGw`u zG37H(Ti$QokBZ&hH}xMWZ7=>}PT8FJkGjKD2w3m_t#)w` zJE7CJj5Z6%$9dI@HheI*N?qaom2VH_91T`%g{!A)Ebbf47AgUWsrMa;y;nVU380X? zzF6FR&3$@A0#5~-LDN42C(5y@Gb2p89m?8GB;I033fc-Xi|Ys)ER7LoU!d1gCfrg) zP~d%-fF254a1GDfH_2 zsOd?T`TK65mWA3Erfb8oXikvVLNR(jh`jDck3s4K>^eF4#wkNoSv)DiXk?Oy&#yY=t*P zD2%%(#cu;%7q(?VAjT66Utx3&irAkB*8G z+=hmLQ+hhtH$aM+8TRVWEv`41aPg^KeB8FRr~E5Xuh^6!PjK4UJ1EbiX~TVSn-?5B zC;qwZ_f5H91k_ANwmVj5^jCV8zqTluj;{2|Wous7{lWqLL+$hUXW?vqv1=$cZwRd= z^9)X{!|#gKX+$pBKMWJSqrGcP6l+Wt$3cE`f$=a7yva~X5ZA~Ye!YnR0H~ZJ&N>Lm8#FeNKqYr&QQ}12>}e&4=~Dy0UI$W2 z)Fb16)oLz~fN`RO zh*}-lr8P1*^KVa+$9Fr1%^2c2Ozij&V6cphv0T+c(@%!oUN9ZK78h7^Dq^uuE>#^0 zb|p#dy=O_+B@m}c*Jg;PNhQq^n{`dfqph(@P0hRV)ZZb_!zuS{{Fq7McTki^^+_3& z%X9SWl(Y4ok7&6xrCHMDWjyN3;&V6|_eP4v@Gtw59`7!8wvQj(UvIJAjsEm)?|1*a zAZT>=@^gE21`ao{ix_>8xN+k~FsS|w;QIldUgjbJU8K?p^kD)H{s75w#6{^>%?e;G)~f6bcuv`STmB4;P+5)P^XA3xfd|9 zzb3|1gZ0jHMeszxL;#4og3jCTyQE>kp6N&QO`Iz+U1*G){VcK>htz*^1AYSgyw^t7vR^JB<0>@A3$pXENHxJPC&d zi5~d!98=Mx4&(}(D^u+=)x?|nvXpEo*33G(<_S+y?6t<&JR7|&KA5J7cAV8A)8`gT z0&PaRwS2m@2)Yj=;$JCbaxJ2I^**brafkLFP2;Yws&}aAC0!oa=_RH& z4VKvm$?gRl3&zy&SfZ?{*cQWI%S*(tpG0Gi%i_OnN&K+0bmw6!FAzEzQae+zeqAol zf~m$Y+g19aX?{1~5NzGJ( zU`za&F)Qw29X5hWg~Bu;D&KbmERityd|}9$?I4Elf{Wx_l^A%dkq8$d-kou=y^;Hx z!x+IYg8LYIkcxhB*OHH-&z>`Hdc#Ywk58sCQLzWZ8bu_2VACKoP9{8j-Uql?OGa5F zJJ-u<&M{GA$|(B4f|H4NOw^om(JvBbg&tS2|=qM1jG=V6N9y3JW`(xt|wyll!eS0+E0d~ z2mzo0yq_S!_f=)!Z4py31X)vGKR0H68ks47IBE)RZ%)Kpm~wd-o7zef67_7UOsnYa zHv-OYe|%BFB@SKmC^@fUBiO z3lI$<$}QDDAn!rEUBVN8shlnGKq>!q{Y{NsHFlAiho99nW!OJwl?i7=s-Z_-7M@gU zyQDZ2dE-)9SvWjGLspftSr+H_oXgGpy^FF`6<|%wtT~#`4o7!OjggukFTLc1(E`9~d-T3Rs`{a-9 zum6z)tRfL5F$bv`ipxz1P0{O%ocnx3>j$aa{HzIC#^=}0F|8FP#o|+pZg&*Rdz|~l z2TtR7lZLaMoAL?9S$g^ugF$DQ~k|Dq{5yml{g!yFPP+LxA@( zL4wO|d&5>Ea)jK_wg~=ez((nnr)CduMTAE@BjOTp?)aQNt+nH=xVC$$S2%?`N88zt zr?02qMKtNp4F?JL2NR#6qyG}7&kD|tf#)qaDzN>Ee2#_hEhT(#h`?j!;Q@yno4}7+ zbjMZxdMYY4b7QP?Zmg4e@DcKp%w%;eKc}UmtIrD#0-4#;(3UC6pxc^A-O>Lng88$#LPI)KO^$`_SLAH^=8PJRq2-4E8nCJyJ3uDV$Y6$?RhkK~uXq2ltIX z9bn?bLT4IVa{-;n$;PI88rqzTYBABt#>RWgTAWRpV3VR5OrE;FhEcyJI1prQ4P{KM zxM8%{6qh~4R{OPQtMM#=EuyAXEvOmL@8f$!J%D+NbvVDx9$L!y~1aH#rLRq#& zkSC-_^tD0r&mD)Satazv%2-B$8ZESO1PNraAi?*~m03N81g@e-27;I*Swjs1T!=vo z0&Y4>jR8V8-cx@mcF~K|xl>cUR>YR`0D-J@JRQ-BXeJ_(}ob z{t7sRe%^Jb;Ftx;;bTYuL?hCz3JZu}+OX{Omp2k%?b#LDjfAnNAub@WAai?H=4!x4 zGOt0s&K{=@sKsN(1jP)x_+FwRN7u_!R5E`g!*Ct{CZ_in){kn4+=hv6rkcSJlfpli zFujxgt^LFO-_vd!nuJ|CNGW`<9+CxI(Hw+$O`r+si}Dl5d=>Pq8Z7YS(UpRLLK!IT zX|TafiS5wh^mp{vAqXi@JQlj&)DJN^1yYm@+x+69cI4mG=SXu#bJK_t!hFn2%mv#x z*C@5(&QNKG*>cTCY)|5GVs*TBM@iNQ#p1Fl_|oS_OLI~T2ZVN|&b*uc0V6f%IJn~Gj%J$y(QZ5HzDn6QVONV+Kgimlv&golpB zKwtHR4?G0-gHp|j(&!gQBJp_f;h`>xeyT+OZX;C(fc+Di;(5Jh?zc zEZe{|-e_xcLY@kV;H`Q|LHota|u)_%dibY2;)9_)yLFi_p?^>1{ak zJcsW4LE!C`TNx*RXs#Npq|#J<%euGLGjdJT{n_s!3*eE$H3sXJZ4^VUGoIWwwOEwI z8T^jtM5hn&V}4-_dpAQWS}-ol>D8#2Y4G}6S%XcWN!Iat7kInAIS!;G%icx@c!|Cm zeb(IKopkNm4O?(`WtUlW+WMEhVau@+yYZ;6hnEraHk4mW8%E1mHR4x! zsFl{FM31*s;@gI(mA)7eKgTDjsqM{0(0{G%j+mb;CvJRIEp!b;D|4pev$2g!VSgiq|B(Qk zEXs2aKd3gX-PzChJYDrbJ|Wx%QJ0XVyIt21Y~~2S2HqA39KY_;R^7i!;wLe&!g3SH zT8gizNN%kSp0)Op?QPQ^+tarsyDaU=^Qo0~9^ela`BY6qrf!Z~?8wh|lcj@==Ie8d z$3N|-PaV%De#+;wz0`iNaBi3gm~5kOk)1u}dRV~^2ERH3aAnjYT;QES(*tQ3s8oVoJl@1YF{e!YbRKmJZT0ccg)Q z8jBKbdNQ*;lGt_4nC+wtuVqS=plNx7QC7bK+{inCAN$tsxVPL^mb>ug9<4M^5PoER zVU`d+uom8}IC>SxAmbaDJddO4j$(5?YnB?JbO8CpNy`3b*&C@659s+0HcLI0*Rrj0 z@L-SEg)U~E@+E^Po_k%r=eHUkv*j)`>n+))3+6SqTpPS)w<@!0XJa7(yxlP_kogku zDp-N1U7{|PV7c}kSfayU`7R^iD#3ItnRclbz`m4@Wtn|^wfh&<7B1J;^vj8}@SM_W z@5w;OQY35*28JH}td(hOgV$Bflq_#M#-10_jC(6R3(={ADvUj-|7tTmvwz?K<;>Fdu`1nLmBywO$6qJY z-l89NT|_kD-h!&nDg$4cbO^Mj4~44lR3aX}XNn-?h5sxs+%p%~qDKzwOnc@OE?H1{ z;KQZa18+Z!yX;U;V88k9{5MTga)Jjk#lIiLz31IHLCwD%*wh$Gp4wbMtn_W}tS*b* zHuibYl}6WTRYy+%^O2Tq)#{7-k=~O2oj&UWYzswo*rdCc&hFdMd-f+_U-^OqtA!2& zJybQd6xR1<6pwK1jNbPnO_ygtN;T}fC2Dv!W3z0W2bM^ z95FAj*QK^n+cs>P>$M&V)7*jn0fG5#$SHM!QZzW3K79RdV+`I7_EOVf01uYEOHmRR z_^c%cx4UJhvb1W%TIQP#)Boe^Er251xpraPZE$yY9o*fWK?eqH+#LpYch|<9!QBRT zx53@r8Tk90_x--Q_1CRim1WsoNxJv$>||x-2}6=j=7bS@*CvGyM< zHG;g&c9-iWDb;N0H(kW;CECM#fT1V|7yWxTAwgS!9X(1~%J^nPhLIRg$ACGi zn!nzvxW7P^k_XL(dlo@+iUM3oGADz7t!cJ@vv8qVykNmxvS0yQx?o{QreMKMu3&*$ zpUUvNw z;Ay1lRy!9sTxermd=MqMx+I546{S{LxqfuT4t#=U2fC4Rh(7{U4I19}sTKlKnTvE4 z(s=_V@3Gmmf6tL?!H9N4VWhV1H;{^c^^b^=Y?MFK{f3xyG7+m0bvdzOUVy!kp$gK< zB;Cyy3OiP!M$^B-P{)cjy_VB886@C2!yR8lPNZkfuVZDfah0|(u$x#Z*?%*Yn#2~M zQ20?HIBK|sr#Bek+8;K;S0HVgQ%ChnX#_)uMnAF&!%A`qexCd+*kjp!2%n?ArY5km za{F{lTZ(#VQPaUyvY}?6y`4QZ0YhHBW*}2&{&s)gjP-}-E%0QN=}BIlgqrb*!F|(O zD&$8K1UB)>Arf(UGrg75^+>UFjeyeyXcVad&OBUL+FI;$T|VYH0Sj-L7nE)wzAakpfDnQdHwmW} z3AblcN+SNv4+0jm8xc<;_?-sR&3@e!KyXofg1E!!DEbFrZ?PaRitszeEyb`Hy|sWF zp_|lhbhX=l`WbuRqT?Ou$Z*?g=iS?YDcf(OGUU!a03O){wBb*XCVs~!KKTcqj9lr` zo0sl4ntmSq9K~PR%D*y|6XWD9UMft+vD^YhvR)|HS1Cmq7=Mp(eXad{{KY&e_=QrJ zU{UlOA!}KMhiufRHuU9tOt;0?Q6GEBI7&SoBD~tV7xUSInKnvCu*Cu$Le>1O%A`H4 zug6tBFLX(o7NZPR$YvgZh7tU8S1RWtDLTqL`B@loeH{7PzL&K_rE)b! z7EOOXfd9(7QE|`>$cGJU%`Lp&;n_8nqg-MZY-8d&TH1d&g*tC##yt3k_wcNv&3U46 zp~9ZwIs~t~T7?95dKus(6Q(|td=aqtmX>9AaOkdsR~P>A%DU9S&PYurOE23(QnV>Wbc zO+Wq=uAN_Hr)|%aWm`|R(;}H2Juyf;l?vRg$U?fvtocoRYNu7WHdUj=?lajinZ` z_&YhaT$dMPvMpnysF7;(*T8DzwYEB1J%UEN77!nbia0w7$Nvc(uibgVU#}PUd%X-J zg4>7&-F`!fa`Qe%rqe(m!2{??ep4A+1f4g?B8DDi7)-fzMet5eS^q34V|)`8|9cmQ zOcw7SBW1p|=o=jOC7s2=DLe3wiTMJ<e?93|JdfV*>IYNoH$JCE4M>*OC0%(wfY z(iUdLy=kCtHMF5M2y_C}X=mz!I&IzSCiCp{F_4KMB(RoqEMtjs*6y)q;BIVyqm1CJ zy*x;8)s6wmQcE2$HlWhn?Obg&z&_)3PaHQEqyZ`Q+S3t(UP_Eh&c?o#lr6fp+y*Ic zTx0g%k0j^*J~Ii4YQa9AJ;5n_aI!FIMRkzdy`7eCUsP5Ew$!F0Y<3&dd%Q)0G8jt! zC!=D)cKdLlR+-OiD0_Q{VS(?5Pb+6e=^C5rx*QN?O+n&!q@2O4I?is?C{kZbyU{%zu?#!McU^YDPeipXu37 zPpyo((HK!Qx4(BuN$Wi-lo)d>FrrFNfA5y_{nvE%_Lb+xX2=-&;a=jnl4D8eb`;y6 z;8^lER2BDRmIpA+iSoiBNYS=sXHuVNX?XObWZ0((H>y$LO_u{ZJj2Zq zjfsSR(^v^CUHER*L~jf&kbk2$>Eo#%3S_INU)z1L)>VS2;Twyy70WZ4h(;*p0QO%^ zl*J2j&`(T9Th@AUb8sBC&U9pCsFGP&mPh6zm{|q%GY8e*rDp=uD}|UvD^qWNO@ZD_ z*!9ifp!ZQtIe9RDWyTb3^nD;ahjbmBJl*~%>krGQcPeT|+rJBO@9P6=9!&|_%WYH1 zo$+k8lmCkdS_E>m`U;H0o%8#p79=z@P&vysIRNzAr5}kigbj0KZjY`z-(SoFVB*ju zR^!SFDbP>CgM|yti4(+PWn7=t#6HqJCzfW8MMOIFFTlQVx1eg3?3bV->%)fYql>Q^%I6^^L7P;KRuNn zq|-ug+_Gx7wRnf{y!YO_9oM$3l4t1}(SlkF_Kjrj)Y}!o&WdITc0+e_tg-8K_fkU= z`0|6s5Ui%X&p3=b<1-YU#ON+EjM9q)F_4lPEdqZehS*T@G$@wl{B3Z=Tp!`+U5p$1 zmM%nLyU`w>?)oHRyd>)zkx{w}ZB873lkTZwBIWE{NwN)1RWMKq>aES-FG4WVi;(q4m`~$u7q;PZ3u5Sb^E{u7 zYw8>VQx9E<4h267pP$sb%RXsG;UFMDoXgy(G$6evU(O%$X_n?qu`WesA5N9deBu7 z?4$@fS?hUElIb_ZXhMn*#Jvm8=A5*DA-i4P_wR;1-F|It+DzD;SE&iQWGCEv$WDLG zI7S?6r%8vqgn|eKoK6z4xyRk=y07**q_ZJLd zE*i>+WFSr=un60e6MGs|`Wi1Z5w&u!syE?^Vo~WEoo6$5iSaR4BEIH3HygM}b|Dl| zv_X^5_(qxNmL~zarWPnj7~aG+t+ce?>Eotc8u!iQUtA|!LOUPzU|zKt#kHk|`-SG* zZtR%0rqS7!GaHa^zT3>gxw+jkXz0+!)js>t_Rr($Mx$f9<(}*O&>8$A3iZ)J1J_th z-@Z-EFB4W?Jr04^n4vh6b!vX+?WD@)^=ap@(N)1e{4ZWKB(<)JQ>jVaJ(kXH!m~@| zldFF@dHzive>pt_`xwBI?rv8z3$U^#S2K0^KuNI2rLh^5?i-gpRppK(>F$wTETjlw zLp53bUY0;Gj!K=P<1S$?yD^(l+@OfiA`JWcTsLp!j;_j$&BYp=8Mp7We>_E9jzYE; zwuny}&7#qe1`Z#hMpvbTYrfmeeM!a9Gf#P3IXK7}<@I{Nc6c|i33FNN+W|^?F{H&F zF_m`cN1O|t@bosyN*7KM1Q5=z6`5RG+Fz!k>_)%4hl+}mEPCGA zre!(Adi<6K27tS>_viCH2v#t3I)?tx;qCe!&%S!&mh2(HyK`-0<4*I7LiD=3|1qO+ zpZ#jZ>2RcFRtSEyHC{;+f$a<)JpBWlr`q0$65ks1Vc*Uw)@+>f^Nj%51wJ^LXR{ey{G;| zLr7R_z7zYhcH7XC=f<(&4k_5sW>;dezvNJqjPJfftrL`zzFBtQ@h=!Ctm0603N zWa%K8ANd08z99j(BhOecV?Z4_keykI2~s_^Kq{-X{R7(OX+Zbvr4bPJND6f)hWMD^ z0_7{nkCAE(JkYfU1!~qbrCL}2uNpNJ=?{0Mf+bVxe6AH9TM z_ino6ir7WP|Hy6OwQ5ahS-Vs|bT4)_Ec&)C;%`8FlR98P0whWW_QzAFbb1qkfNE*$ zmkWM>4@37B{Co9q-?Kdb`Ca+bThQz(V0}#;P|Ygnc}}PDEq&>kxD_bqAnolWjWFNU zR7w@m@9%?I$AB~f8yF-Ahw@0uvl6szg!=zC24fK*J^L1hAAzhhUs)e6=mIrx0eZ0e zKvFehBl?i9@FjDC>2gn)vj68eHLtfYCddTsoa zn9oXL&{Pzfbl{D>88Pg4ZZd%k{KNwTnY8AAmguWmH?T6IJr*RvM?*u-y=dT-^o$0F z$m054vJ3ZYnnmF)n@)MMVr0blfU!fKnD8=TCFeGJl1kn6n)}E2X2|j>?gGmdivB)S z{-c@|YMQ1K4H3bODEHk+*-Dla@;}-KM3Ac7zk6;b$74exJ9K#tB~|+0KeC5qpwDTZ zBHPBoQx%QRb<`8S%fexj%>C}4=JxTAQ}Q5n>5N@2+&dc@mCIbf+#vG+AKwHmf{vG1 zOGrL3pcC|2BgH)(VMd=uvvwH-@Fa(zG>YJ!L>iik;mQilya~bmXF-%T(KLTq4NZ!V z@cE%}ZjrS+J(Nccdj~hPIGP2OEY?M6Mo$h7Nd4df2G%dbB53$Sgw;wVSk>>*CzhzM zOqik*iXxn47#y=y5}2z8r^5ODq%jCPW|~)|71FHk6a;-iel_R?NvpQrTcgw`m~$Xz zM%q=s4o=&M#ODF?gOaExZ~0mzS9{>Sf~0?>^H~Nw7+F?j9(Ls(JaYMtN)C!(x4>~F z!FrbKHp1JV99RGphYRVS9p!?ffL)F|S|p97{85XlQq&bNy-I=m@FEhHKo+gbdo9Tc zMWIJ@Ob8R40Nh@01e+8+4*ouya>51i4Zny29!z3wUxzqOc^~Zv@4*^tunXs48 zXF0Noz$?6?Hj-hKXk7w6p6GN-Hd=IaeyMX#Sq1>@#??wwqPJe97gE@W`%7II(n=o8 z;})FMh6qHV9VuZ5<_`fpXvYQN0F*5eTm;!+b5bO%UTHHlq?D|mLArg2N-4!a-I`PGON zhG)rUXF<4p)msuB0=rX}!9$MB^Ap*l0!5(KI{h0<3hnTnK}|wq+;q;B6V;sR-xua( zP%47*=E=Tt@+`6yJnGN~on1YBS#oEm zkPG@ewoCUoSK<_$z}7(pDd-g;pGV8HbwD4Fz3Y=!8Q?Mus>DoY+_ihWn9V`|4U{+N z|8>f}4V3VYeLzmY4xz0BD_wXyVNm6ZOrXNa|LuA}Rriam^O0`-+q$&h)9!6>kU4PV z&;MNT_W{)oN=PSEeJ{*7qPnnNhA>K{pd74!{{bKc`nw4((JrV_Vu_%FcN*$Ic@UEH zf}qL}qy}n+xv`%Ac7}pVrSt(c&8TPr^qWMJOM}`8{e$xwl#fLCFbyi({|CVhrEm9m zh~tU^VZz7#rBjUE6KG%=1?{_L|NeFm+P%W1cHbw@H}PO;vv@FwhLf%y#38f!da&c* z(^XggE@!B&6!5}If|xL!G?<*WUqduEnW0=k#-8UWZYo3+He&N5yy$z&uUkcgsH)3V zQg%K5geOk>pHF7vQMq%zP*=6W1>nHG|Bb@|6HrWfN&QWCD%f{gsI+g<|nebGu;*@pT0iw7v?}k zK3>a9=Mlv+SNt)8VCXS^59qNsA86gVslOK&i?*17IeTY&JNztZX$xWs z*P>Dq*R#?R*E&e#`1h9y77YH*zo8s{#=uPc#Aqexjdigy=3U%wnFeQ{M@ z41Qgsfgv3;a>?>#w{i*1;#lUWn7tj~bWLt7H%naJhCxDrfH3U~e+X2_JpvwPAyi9Br^VIT62Y*etzj`qU*f^LK3{X?nuVGywXCaaUY6Hq3l9Ws!m|!om-|)j z35OoehY&_op$r<7Xe3nY>?vJIS!wz$Z5W4oV>2yfF%yEUWt5oOT48*0Gi{N?m#Fbk zIEBM(^lg68B%Nf6MGF9XRH`*OeC9-OP^TX1_5v&JNb1x~v`%NhVnq`Qq>Kj4iroK; zst8;wZsq>z^qo>FlJ$$}slJu}W8#lVP^IEWsu3utS_RR`*|JKOBbPk8n+1+==VG`h z%-UREcO5}Z!k}*XD$OYLzkE0cnnTu}_XeXPa>Id5RBa1Q(Jb2Nd=nc{LaFI&uj`8y0QQ)$(k`x`lLUJAyizPn^7eI zn-Ix#JJ1SU4=QKR##ujwC>$8K)h;_EdITn`@oQOTfp52f#~WB=FS;eFuoJa)XD*`xI3{%vx4xBj$cXj0uO zpj-ofi_}%qex`X-vp&L{IaW(Q8kcZC8#?B+3rU9u@6F>Wf^t6*V^+t}&$**6f-F7~UV5D|gGk zb9*AMbcv%BL#+Ep_sdrp+d6|Ec$$DLDEzt8SFWF6g|1iNh3b(@f~eumW&&dC*kEED zK=mg(8~=rDV8mvHk|jMa-qRJ8j(uCKQ>C%2wIE!y96Z#M4=$o@V$`ts(ml$MR! z3vW&f_kRU}WlQ~`W!XG%7#W8c9)cQpBSfkGga}*m3%GK6778PAdS*L~4Ph#HXZC2N z*SmIDZMx>d41>NB-0~Dw498PaSB!MeCEL)*H}xxFC~AVOq+gWr<5ySlVU3K~(jziDHXL*z_P*ssn04W8=r?O=TR?kp~iN*3wd%;VL} zkXfV`=}*80q4GU?#aF6765`${T}YiBNg$Z{I7aalVe^E)$9V-^9B8hV$g}N@p#TG2 z@kZt!;A{Lhsf&?+XJ`Og43EBf`JOpvb%B5-#Fv2K&C!Y~$u0~7ohUDw(O=jPD6XbV zr$p4Fx)M*Y9GupwKfy}e8>y{XR-f@Qo6^odkS5pUr6YR5Yu3~aL+W;NNW#~75>941xF(Ng*t-w2x(HqCyz)0WNGtJCz)^Kn)7 zFU#U#)+kjneR=%ak)k}KAm%Nd$3bU;!652>Lnrrz3_0M#rAm0|GOoK){6XP{2Jn|o z?~~b2cRBA^b7+));(9F|pOoRtcYAe6Q>x4BhxTA!@;|At{M>M7*k2%qkqFsY6QG2$ zaD$%k(m6x8?2)Jmjk^efCZL+NWRXTl=cx^mN|f2($W^LsWng+Y(ER*bHliotHFy`W%?52mCBJwlWq9rLe1*?Eo|zh) z-JCtIk|gAy6m8Irkf9>R`oV*i?oi>qDQs!mW`Wj~s;o6^G>89vLV~oG&X`q|f;<@w zBB><&S`tn{qY@8-nnv36il#Vuz~phdQ1 z3qDY@Zx;9v^E`X2r8#T-<5QxAt8%qFKWayPra26HbWuTf^y~wj@@fe|xa;Tb<6_HI z&-`z1-u;%Z)UY)KE+)HZ9i(a423L# zryd^{&jK5XmVWXjH>nC?dh8n@qm^p@FH=T^jwO3F1X@tDGQ5EBP1wlt;Lu%Mjd8kQ zCXRkn0i8#+IucBEc~&<<_M)hFsjphVNm6q&LZbk^(VYvhaS&-L#Q=g17lmo%XnE)F z?L${4EL!y;xJ2a*?5q{Ns0%ydM`-tlo9E~4`>Tl{QZ4`Xy_e5t>w>^4L)A850U=hZ zk_vVhs7}$viRUPSsx?px-{sc{C17g6{kvlrq^}SfMhj`Hk1Xj$O+Xab?W4DN|L4LBqv1(PRXn2FbBg zpWR<~^1?DnG_a7^u53{hzdEcPyKw?GTf3&s@<5F*47q$Q zIZmr$31F|4N z1XYzk5&S#^RH&5>5=4D%luU;j^*OLyLhrDgF{H~b16--<8^E@WgL%A3liZ^k-NV9C zntZrd0gIMZ9U5UmBVfruCY;!3nrrax-D@BC2>4!5)6t#Xxqmzyg)W7GbMdXmu$$Ec zvjOLQ{a_tIZ#2V@&3)nI`TbStqO|}8@?6EsLy*NT#5>@`bGjGLX7Q|tsI0E|xed`A zvceD|5AjBTzFe=8EdVvdnXKSML>=)?7=kxC0UsWss1j17@i(5gJdK%?Z~5{vSHn^} z6z(@kyh>zXrvHvmWwGCc@%`f&i8$==-ALe`rzv>#IgW}OLGoXn82xD+d&}mpOkW&& zM?7AIgrD3zc?}J_#R}r_4u^I?L5n?!o3PmU0W5bb9bap)(TM6sm;pOhxqWU?GXj;8 z(VNN*E^RLH`Mrl|;_QA)C>AkGwOD9PYudn*R0vsok&r6?`uYmX`+eOS+c>GGI(5&p>Kh7}WYgen?b;OpSqo-j1U1YUYwfNXGt_nC!Ockq zB?e>mV-jOald9PvUpGA$Lz}e_J1>p%BemzhU;~j`kF%(T~Ledx1T1Tw{u z00EJ!tCcd>w}dM*mPW6X9tXVaxrj>>T-+wPi@Au%_|~$Ggo@!Eo^u;H)cqR*CwA%> z+jhhq^;fr0OfCIK*uvCtS1r_Z`NIToUY!9;QffxjIYuZoxamO*Fo)Zb0lclo|6^)5 z9DAJ-*sn8gx5q*7NnR}GvyovpYSA#3ad;5ybCcm8;;cX?Wg&wg#n{Yna3!YQkT7Fu zCOn4o1B@)4mk0#IgNz%HIKQps`m4LfgcIA26LVTkw!`0V{yG+miTw78J$!BxHHa(0 z@S@%dXE3Yj2b9&QYME{DXjdiug)^lkPww4kCbkqm9$ZD1Hd@245i)zLaGHTDG0suG zYK*26RI_9`cuubv;NV?WprvfNkU59nRqmZoe8hg>+86~MvljFB0NTqPO|ws_VRL2S zA$W+|A|hrIp|*m`Q@YjP;arU73%)q}%+xv}T=i^Z{g*RtCpc#U-dNG(%1N)fc(XO} zrkcC&P`9uFLc@>SQN5O{KzHwk(#@d<*`HVenw1&phc*F}&!#@OO1>hrR>WVqT+ zPi&oH{XBOj2WnA%GuXi9v`1;^aVku9)0|A3vLqC_X9f7XqSfb9S&JEv! zf%3cY6Pr_9aY%pNBMFsT<|{q07ez2T=EvRucyCvS)p%K> zVXU;P%~_s5CBD_(E=6^=xrg8RodlG=VO{-(cxGLtxD04N=jE&ed8HjyjGn$9g~OQb z@$ALI@QqlQlww>~=fVgyW8x4zV#VHgqez|+KScMV=;^1>km6umudq4V7)#$>J##4O z^N17`+(4U3-?@UL#8GeKTJ9RbG5CnCr2LJu&0qmRaj*3x zdoIy8=f6-d52buJ9jNNq(80=z|FT<9+V=Phh^7px9iP&HD|9p9ba!k|KJ!tBwQ5B* zytK_inzcO6=$52!Lf{wE4&btj!uqEmW57l)#ZclOG+1>q+$qS+m%bSy?KhsxD|VDO zTYw9}{Jj3%YFI?+%ac>QowV~6QNnS6-M)iEsAfJ*YXj40xv6&Q89XJL5ow%JBtGv{ z8?a3)MnorCq&!+61rLAQAtJyIK=`&X>yr~u6fINe-*4*2pL@93*X?@F?=MEeI(dhX z%uQMxmP}E$6`qWsCe*t`t+v7o-ED=1dP+pO2&AY!fOX-_hnJk-OUkTUnD&Tjd%6 zR#{8(yxF~xoxKChYreyukdbX%VJXi%AL;g`TZb0PQb=BAk8AV}Yw?lFFEo0YXRt;@ z^Tti;x1<|V0-x_6k3$A`&pgLnylY?SK94EH12Z58k@sv{kp!xXZ>7f6cG{!9m^77u z?I~ur99gtV-?i&E$zE^Xb;2iayUIteR@!a4=fIm?67j@Zg&*22crmY>5(`V5VUtRn zO$kUeJU;$eTm(v@O?q$@cb*QmPp-Y;@cC32hNG1Y3yl&X$W&?IB>70}uM0Y?{#BS7wpUWw1 z+I}tmRy`pdUYR6AsfXn?x?p)kj0~w@|9&2JWiNb$_rCsia(m~3$3C-`L5UN!ZffF_ z(AFR^o#~7v+Y#00sjl>^{-SF@+X2Xb%2jE-BL)lEdyV_?RKa8D6-X(N z7116M4X+9agp$8Ugshf{c7zR62#OiB}B8-X=GN&y&zo@&~K$G{IUoPnw9p>z6A;1|Vr zvM4pd{21Gs;kU?A)bcGjN|y`C6<8!}K>x&l%JnZhOiuxk?wbcCj$!7-mmrjr3Zu{@ z%|00dU%X7fTus)LsU~a5CFiFcPTpv%q8`71sn7cziUU1~clp zoG`lVD}unj19?59a{rfh<jvs56c^`F&0)G%b}HZ?Ff@si%lnZ=XXMz=mWK!#5&nfO_{zRk0Gj!3-4RN&X? z>{I>S@~Yi<{&|Q9wS&2g(+4TCh}<4upKinMF5iy=!*zDXd`~9j^Zaes(ZW>0rKrga zqNDRB`FUsO1q;L?EG6CT2t-0fCOqx*ZI&a7O_8V)L|a;)9`OR&>@M0JiN2SMPq_y+KQNr#usWLc1#WXE2>fbPQEy`> zLktWz2IK$M(L8!iY}4Ynqz+fysu?-IWh|&8$@(vS_|yKpP%EwA_yTC@!=$}DjErf+ z>Ec+PWWR3+R~}cz{(kk$cxJJKw)cQC1PEgNT@_liqf10UisJw=nTKTnKf}jJW@SGL zXq=)(tLFG3(Z}T%po;@u3tDX7rxa)6=Co=nnC((+wB^PEt8Cz4m??E)E79PD=~Dra z;z}Sx4O~&`ABV_Mt15~kt@28Vyy0dV9~(W!MWN(JaZgzAW1&CN`O@jO>99lXN#tv> zb6Qb}1C6rbFrzU70v*X!Se2j=(L&FR;RZ}luADu_ zc9bUn#|czFuxJ2F!uPz=_eA6qdGzH~AIEs~j|2&<_{8@#dUjenT^8Lz7)g1zIG0q54H$8zArleKOsAyVguyAK5J%>drtAb-H zvMT)cRtr;E_)U|BDrbY6Zm*-S(#=E;b8Oy3VEj3Cacd{t##Ll4gH=Y^(WQDSwdA;C zujL^GDXY`ThOYK<4S94uI$MG`4iSa)h2rcm)+qsbu9oIXsC*&R^lL{!CGO& z1IIwC;5LA9Ym^|PwheVapB9%zBNdQHGsMhqE8Pt7A^peufdQ@Y!~PheY74u5B4wKR z6U(kYyUnKt=<7Oe^3q9LX=Dnz(e$7jO`*bS2fEQQB7Lo20PS_LO(C>Du6=#!FYQ!D zoy=aPP++S~)kghtSmb*~C;^vm<8+&k^bSXst(c!TKmRf^09xVow10hFt_Ya-8@}>c zd1mYT{S7%R!hkAF$4?vj3@N?AY0y6G_GIh8$tQuOAa%f8_|?6IOebm`qnL-4rUemMo`Xvsbw_Q4$W(E<$0>5s@44+7uc>}6bs*gdl2 zvzvMp^(4`Q%)Uar<`I8<&JtMIYHkC&d9Qvk)j&Qn_g(tNXjlZ|H>E**T@I`-pl}iL z_@$fjn1YBANrX&XWhdDeCgSatE$M}r^ywqy=o2q*l`R~vZpw`9 zG2ZA29;T?q_?f}J&%a!ituNF3JA4)x;9+N!9&4x~o0(q5y_!pJcEaRi&A?__*MWd^^j7MOK8tt{wbV6y6#G#&}m zsgrb;XK;mP`#2m9_b!ItUWUiLVhzEnt{^ngD&xo`hR{~SMli{ZbxzD2F@3>QW?{i5 z<*}m-{3FdcMF!6yRLE+HHxNCcX*k(Dh+`thIN(zl-_gWQ}lTB0<{E36_1`tZF zFs&AY#Hzhi$}~|Cnoj#P6pD4BY0onw`)kVo5hdc8D9BU*zM?P}8Pt<_VzuuvbhSgt z-|kw$pJH*8C+*~rVl=>C1=YdlJT%5p1DHgowt-VuToYK(tR{?bMsDa?=4=2(d1zfA zXm+2inZL27Ldxz+AFhytK(1aIII>U0PdOLbt8`VmlwoYKJ5asFOWqqi z*2|79#)PAmk%I`>p|LZjlKrp^*$Y^lnCHdE>|2NHOus~FP}1_i%pz=}6PkeKsS&(} zLV8pnxv93B#=m8jow~w82{Aruq3IRIG(t1eHFAsNOs#hek z#wKhC?TzL-;wij^U|8ZQ5J~zQv<~Y!($UY-Y=ny{2(3qwlwy_ck{hBiJoz+H zxYCU`#u72a_lVr5bJL?nYB{IOWvAlk@6j*b%fx6FMtZKm08?QO+gms<;8Gufv))Z% z<;BdgiWn9yoZpPlh*Pg6?wQDzgL6Y~+qlzO z8JY9!*=}j##EG9%k@Dxf@6pj*%tcg<(+y$|Z;?fe;>T}$o7!-o(X7GSl*3e`{73Rv zWBP(I%MP zFHlIg(cl}LWKwq{U$IPw0shBX{U2Jk38buIXdT1fV4==^ zAG>h#mbih|3??Bb0P+xlr{=_NvG%gPA>H-@2!#^ehZ8_jFo}p!(}tJJU4PE6Sy!Tj z@MDfl*PoX%`OvW>aUkrbvbX{>@t+@zG2W{J`Wk68ujm0^ivo7>;>555063z@6v8V0 zuc%_oZI`6>nz2w$ysAed6sh~|sp1@fRF!z5Wl0Ga{gRoV@yFUdG}3puhpiKK>pnsf z&e>tJ5UL7-ZY?#BSBH|ZDD;k(W;_9EIfV6<3@dwmQ8jeN|jav(*-$1RZ{ei3fvO73o8QVH?j82 zAuNndj2v2rV`ydPrd7xpEg){ zXGQ6mxyQMiNuN>)j>uN`FXN4s-6psyu_zI$585cfXS0yuOUUlwOVvLAp-HdsB~(MS zYLZD(-KSk}ui^8NZLe&IemvooJoms&Di)#rjr${rMAOA~GJRPMODB?S)}-y=3q3M z#ZHs)E2y4ZN`{D3v+^ON!ZtMI`BOPNwzcP@eMGVg*K)ON?Fd*AeOPxcfN#K(Xz-Q& zvA#auF_LTXk{69}OCV50Z} zw#$q#`wM5I8Q6?*LPAi`Nzw4yDQiP~d7D zjo@CgTVmz;<+hJ1j5dw1&~uc(of{J5oP~VFoeA@=(YjjjG=IlT#1{@J8bVE4cm~ac zSd3-LWLMH-l2z)>fYNWXMukqpjemMdD?b32WU%)U#GlF!!z90e_qK(qjjUQOsq>!c zRuSw*g1_QKHtt{+1nvJ7PFB5MQC2DE~6gIL%n0oc{nVVW75{RvaleC_GSFr+uL}_TSw6=Ta3Ju2G3SmAPb3 zc86Ytue*HHm0kJc22-F@tE37_3*6WawkYo(a@sIGr~`+nd_qv6JJ_)#{}IZdvfD%U z=9vidKp8HG!=<3IH~McZ^;swj6pofXi{tm+P&OHQHcJq$y|hX89?FD67oX5kw~p%8 z9B%8@Sf3mOPvdsHRKW(uf*&2aiu`vp6mxk>Mhf!GPAyiS8Wz0E9a9VPioIHpf7M<1 z1ofh}M8E8$vI+lTKMd0wdUqI4C$Yo38(=c|*(y1X(gIi|yGWs$-$V8!wi|Kw6VL4+ zxYdaBkj*^_6FT5Ly3a8tpnPVCFcN?&$9Cze&!Wb8ut3&QLSuBC&W zTJ{NSE$Qk#ZQQt!)B#x^?MiswO8eVo-{BFRi-2LyKKfQNsL4k@ll(WC)7Q&yjj*+M z`J*>~Jlfr&ORb0hR~!z_Kaib~re13>ImR|7@q(NjvzmSHgeu2f+>4DAW(xI)n6V)jH zWM!)KN=CmOW5*iu&tm?M&JF_>Gm7{gi*I4nw6IwAR(h8WxZQU@1hc+er}wC@_&h^o zo6IXXp9iO%w|cuk?=*D@`mNq6pQ#HaC-iu}L@RyR^^n8kh1SY=NrANmE+0LwB?7so zk+w0$UZ4ebR@$WhYGuJ%O_ z*LTEaLv88~f0Ygg-eBl?oQz;AKlwDbPq43>L~NqG*jH6P_qes;hygA3(Dd|h?~hB} z(tLJyLC3d)5%tw%z?#%=wzzzv;3YKfFZ;1xK-CE`u*fpD{uJEV;w09Fq=drnx-?zW z41YC#1KCuN@c7T`mmU6RR9iO zi=pU{1h)4w(FQ z@VYMLvpzbhq1qH2nh{4@bXFj4k17yHQe?x_Muv*1v^*hO>qDwPZ?md_VB9e=Dn`RZ zx$(u@EooR#17`a?xho{Yu@C;c`t8H}Ar!@TMUY*t+{jz4g3g+81M`zJbN8fBqA;}s z2Ro6j+gp`9W0xO?bHxgN_P*$*_s%%{_BSs3xIwZY)*@KM7=D$68Lt-oR3+oEoMx&? zG5vVG+72{%7oUFkHN=-rE2#0{<+N={8V{icy&a1Az!jUiR8CgnsGjta2HBYP36v&@h+w90 zwu|c1l;xb{Mn{b-j;q~liFL|lQ)iLnfw@Bs&J>Fwe18xHDM?WVYxVhZQD=qZ_XwT7UkytGnT+oZ-rhm>n-4#DP)V z%|Vq7Z?GBWzF$YD-(h=CiQ#)opehM?i7QE*1T?ro)Z! zT^#m`>$+wc(Y@N%u-iS#a1tTuFj87xR7m{Gw&#;dD_z(z1>$wz;fE2X3nI{TvP=g$pIoxsi z9=8V+Yh>8#a4tSVfxD&0BR{WysUzwh)*aM{1THlRN}P3rNu1?ENSsN*Nt{t5NQfV( zDS#rD;_}P|d^mvOoPya)9q{157ZsmyvqbbP%U#l*K>*<9WQ!0r<{TQe`WX7EOIZJu z@%i6ax)YbNQtzNkdXLhV#%zTf6mLtmj9sF~IW_h{{_|owMi3_gDLOnRS3+U@%EJ5C zz9_?PgabPeHNExSpN^xRV$I4gRYo^0==iL@Jo<-SzVYb8)7`%MhDt}{bZYwJUBB`r z31yk~BrVWpY*GZjzo?$7O?wL8z*aZez~!Exc~~a>wn+LhC9)n@`6EEp>ksjXgrlQK zBld@nk)`|n!|be&Ct-2_?B%)W_K06sWrQCCtm|xCKdB)pj=dXukCJgix_HXXMhF^c zs|48?H=bCdekwqdcQ!%&^|aC&7E-_MCtRWZKg{#x)*t7N6cECKx`@g{g9@?s><%Wq ze@zS?ABp~B1XPhovpgl?q!*fcC?u>UddJ!lcIKLJ?S!A%bD zaQ&t{KQRrLLt%CeE;3|e4_`?_sMdpeja`;DX8pPE1n*X6{Bf=YeIeb&5#kAxe&F)D zmK5C8X7R@?(JT{N zl6c+o6RmQ-V`vHElPok(FYagKKEuiXnxDs%0-8ClLo*tX}Hj*`X zkXG;<7`e@29Xn}owvr-V4i7!d+x_OQl`vb|iKM{2Su))7abf&Yl!+u%F|eY#glzfl zz-*JRh92_V$`p_GIzsHs+9&i*o@!Q%OZ2#a5O@%eGTr_FZE@bAtxlIQ&&pnjC6|qt zvCw9RCCGjp^76y@d`M-!U|p%QO3a&+M5qz_Hdf~^dv!ge-EW760kBt|gxy}00DF~w z_?Nv(f%q#YzycaWE-*=XYvB|)`q;i-G?DXaz*nkj_!`e--L5=dv3`Aae7&kr^B9mK zsKFaqEn=-|JnJJ3V@PyOU-*b{Tc0L>d~0zQhY(k~+{Jx;<+1~T_gQsY8&Ea@yiQ%NxR7CVE}yT7EDN6B13$vIoJ zCE^!!vVpXsI3W}R&6~Hj_ow0hkZrO2MXyl*qE}!5dKD-7-_a}ja+WmqkX?2d46N}4 z>>zjyYF0xC%H~tu134=hFGu*l;MMKFz$?4=I5(qinrFKJskrJNtbMpt?wSG)l7{Io zhqxSp{~LB?mjYl{h$a6I*cFb$|BhYF)kBf~2X>_n`fu3P?bm=IHHCSOs^7%zKDtv_ zv|H8q8+Hs~x0UPJsjvo?_7--F$M>lU%Vpd-emwjdVdYvSN6eo`RX>)Pr&nMs(5tw> zW|~#hXf(>sJ=>&|XCzaCXu()4o|Ag6G5oB(^=x?GE!M>3h{h3XmZvZ~=4oJp=4a&$ zpeK&aZCnGz6}KhyQaIWStpuJ*Tc%f%qsA+(h=g-Nfy9FO`6kWY62AjDkU;O z7EE1c;Fg?*m#5kJ`Y(dzf78Y*_@qlj?8B&_msH7HAB*Kp7hJ=znP10vYILiJvXfpg6NZ)g@27$x3P z=ou*N&CKs)7gtwMUD}MqdfZ?I6ce}F+%$>DGM();>CN*LJwIqiG;ML`ppfph7+y`V z3tJ7|ak)8jB(Mo5$q+5fy8Rng@=hkLc09Y>Wg43gSB@Y1N+SrLZhU;tPOluhy1YY# zN!Z3>eyw?N`B8L!pl63L*sFJ=IQN3*HjLMs>YL*YI>pZSP>;gx%r!rBeB%)c7$*re zeXII7fnRMvM{LzxFAidWPGI*(&;mCxQ3D+eH|wqt#he+nY4YDBVi4lVxf@U2bwETN z6g_~s^zIgqVGGh>9k{;zfx^UfKY~w6;?(0lUrh7R z3-ql@5w*l5Q)`i8+xWCzZ>y?v_7kC>@b{jfBQdF28-(FbeO7)GwvnvMUVz@`P$pJ| zNjs$otQbzoFK?FLiqA8IK`qPX1D;(F#XvAHDKfXh%~~NxOenWyxflrI)7o7;$B33< zS)=ySV=N~)r%&wxmsg9F9%a*?Bi8(C9RSsW_$h0_vt(A$OZ!Wf0Xt({nBcs_N<^m; zTHlRDQLO3^E=mcejKHvHJOvykpOya90$?6-h{j1^nt%r#Cu-#G9w;^k?94vs9an^S zlhD?C8JR4TR+AwxG0o)Kv6TG)kaZ@H@y9O5xwRbL;Y-}wqMJuxiq0RWs85N5_d1D~ zQ`^W;eCvYNWHii)^31RrNMpTNkWLN*J%qX}|5mHCocV5JT5Yi%)+E5Q3+}9@(ohk# zD>1NV@j8&NGEtGQ5l;e#$6<)0I?@^tkqW-@z#t4_n0J^=3Dz}n9mf#$3)O4EWYF0v z^pv-Yi^jE>eZX%vUxV_r*K*DmAE5JzGI4DgFh(j!excC~t~sdao+hWAUpdg?U86=_ zLi}1u5#I?sl(ZiDhs`ow_*l_r;X!#Ti&U_iK5N4gUL~Y)++^&UTq5{`Z&tCgl-)|s zGG=|Yb7;mX{fc{ez+e3I_uCajCr@~VVX2nA&5@_DNn&IFZ}Sk1xr7cfT|rLQ{6x4I z5Az2p84cZM0vuSP@<+-K$tO{f=-Zxg_4CaTrT7>aA17`b&8%nfhwxuL zyggq}jRigw@@6~cFarPR+`A4r`Z|Ls>t5?;T~mK%A9tNOT%z;A1*@G%sXbEf1^qkd zz$S6LHmieu1b)~I1q3n02AM5yd2?*qn|ye&Jz46E9Nq7OJNwkR0<~FVT;$;J7t8{A z-+r$|+?)>%^n%E!9L*69^vL*%wT4s2es^q?dE7Y72c-b{ai_7~8sT1D0a9K!k__b; zVbC3u1O4f^hhJAW*(h3LbHSwG_%3H}wC}x#jF+)Rk6dP!ilEZt3H-u{4n1kHEv-LFw+l#CZuq z1msdNUr^dr6+X7V;GIi_GxZcsK#3nz6D2Q31Qvsro20_!?Bzlil3pO~xvQ;$Ti_e; z-mov|yJBuxb}~cn~hx?@!<;Z_#Am$kNg!S||v|JKapj zYr`n&2;BQM69~2pX`z032(k&_wnJKflDO8pJII`DW#JqDoa>Y3p5e#zNC#B0jut_5 zARaq!t_kfK$g`4=B^_$kmLmuO4s!)pQWo!>>7HRd2bVMemoze@lAaInX?axUUQ-Kr zl09(bW}@iOn3T^eKs_jT)g~L@m{0zeIU0E#;52+ighH?4MXKMgz+2A1Y_`y-8_}o- z!DUMz)0|V6uUgXmi5cE#2&A#5?_ABxfwuLO$;u>d34k z*Fnzzer+;QpAg$+s$-q!;c?VbRR7*WrEwF)R62UlySl!KbR+cV2V#6Li*NK5|6{Ck z_3j@#DS24Oj>}C7*M-pU8f5Y<>ofM^XfPhuU=!L z8Qul+hv2p7slgM-kU8wBF{@ktP+N5miisZ=c6)_a$$b$FQ=d7 zx;qm_@wLAK13k0t%5Zk2j$NdW)auIgpwmk7;ZgVH{HNAN-8 zCnb&M^oQzx)Y*+bj7mh@v4QB>v@W2Y!Z0s z$=x$64uwqIctuTq9-vaqn|Af(W_XD*O3mParbs#eUH)@ORe$&Iaw_5q8dHi2nxc7r zqTzucbFcn9k#_8~Kc)=KGk5x-lo?IOS!`N}(d8K9-81!HS?xgcC?D`Xy?3lkLdpFY z%gtx~OJimHrLiD+rs6~sx?WMZvLsJ>5*>oiK$f<-a@Hp*Y%qz#5qkT-4OzKfrR+=4 zaDFEtn*0u7*%lsLu55F_iu6HqxDG_Y#^jno&3b-ObYYJE-}O31&Zv|B3%!09U=E-z(>(xDJ7L z57x~6vfFVRS5wdu*^__NH`9Z7SBmG=q0SR&4jqvgEJOm;_ta5VXM{Jb_I@ivH5$0p z%#T#{wWg+#@mEtmNNWRAB%vj^70c*zz6*Cg{SZ%PYx+nm-!L?c%{TH=#k?tE8&pAp z=3J~^8%ZDd{r4u-Z(*lzv@M*>=PSF)Hnow~RH#!&hhH;~GBlP!bocj~-U$+7r{LOH z05VwwKF$3{ut{DW75e@i+R6u(e%!VoEw6wH{2vM{U1oWLkr03Uvc}8GCEh%jJNcNs z7z;3XbOHoN*-??U5*<2lP~P;Amf-aT$vRMJvU`?jj;mf(p4y%*zwx(PVS=lEYrQ5k z%AG+gOs=MY98O6liqSrtE(4;#dU8eP6oAo55Io2Kl=-IF?mxSbAEfZnd!u&{h2Qz2 zo%xqLY|SwT5+a`H1%Fs6A^*;W9?Hi4g$RnkZxF4|146wicZot2NeZvT^FE3Bxfa&a z9NQ*?hw?tWACE@%utPH_Rs3A%7N2fEq51RFB$+1u9vVIJb&`IW#lwH9ombF8 zkBGRbRA9gNA&HyXx0tDE#t>k#zFE!6XW=)$Xek~;Zn$n*-r`yFIDos`_he87`}FS( zOa1(DduuE=Q;ox6B)_|LsVnPw`GW!fDgBD)N>2PaeJa_TDxgfB5u+k;H27$TbxpN& z^(Kko-FfGUD2kxWTTImm)a`>Km z4{i=4bLZgnZhc0PVwsfUZ{pAyXJ2MG8(m7Z#2pztp1?vB80)=3a-m=LsT+3CPT+ap zEMd+%zAKBp^G%R5sL0Qs7aL4ZH?oZ~mAWgG_58_E4EWQ2KlpsxNUx!hDxH#B5;{xw z4N=8!LMe_be)55bVv(fx$`TR@GhqE!{sog00m`!KM^dddCt8uZF%&S}U{%Qk565io z9vP9{PD5UcEjNVABnA)r_4KW;+owLRS)m9iDxs$aJVAw1mJz!2X&athb*g?DCCypNdC@p1isA3DP)#1hVYs7gF zZ&)ZSr(VaL?l;*zaqtY#zk4gT{VnMg4e>FS$9itYU4_aTzep;U+hUNyGzQ?K5tAO^~M8+?E7JhBR2WE354;X>h; z1*GxWc0rt!JAN|$0PmV>=mrl{6&(qFTx9$VMSy`6{TK-!V9k-8wp|tsjQus43~F-%QT{4>d>yW?9Y`dfhA!OC1_U2UD$Twnxd32NzMPvWeXaD@VM# zVy;XLgwKa-V$M}5+0c0>(m3`T0ZS z;Z)EePe{W}o?;3S=EzKtu$HGHwakYSW!hv4L8D1k%sW{z5E=uZfYA7g$UG$_ZobDJ zknGN-U0D$+ZgyQX=(?yZ8BaVFH-o);>ie^A&MJ!=%8ao-lsTCoJBeKm;UaFuXZK_4 zB5A8A2h78%5wj`*5LOZbDMoSNkSG9Q{in(To=%M{Mky^)4O;>InZVKS1!hMV*4 zIf+U~3{%TwVA=*ED)ik{Hh&qfpw?4FLUi;{VDb5>BbsWoI$@SuLYuU3VAeP1gX^fl z^v^e)m zUJBT3nWCPaU=|2h4auuIm*l^~oAac?kGld;)+qpGtpHF~i&OfP%|2`X(uA3CWA%`ug?jWDF+A88gGvmO0mI2zm!Wx)Xn> zotxe*J|0|+dx~TZWd@ca`|lAVzRPr+C%PdXdRdm|*0NuOzSui~KBRqecW`yV6V!`L zwfF@!o5`GmEy`U1Q?ZgG};Jbjw5y&`J;DoAu+ZrRH zZnPxiF+xVxRbQ6BVW*&(rT_`xG^}@M#;7fI{N7;77Jn+o{Q>y(@Chrkuazu zFLjmF@{EV_y$dp=)prgk5Cd1YLy3aIq@J6Ma*xNqW^a?YWlx%HMF|!N2uKkW4M$%c zQoTSa^C5l0Pl)1Hxb7gyb;{ZEK!zBH`jh1i{h@6BBzS>euEvdTd;uRe-_dwNsgHg* z<^wL-*j!LH44yVT)xJe69dibLxKb(X%yb8D1ACZh7 zqKW4x;mo%($p*n}`5~$W0+&d_`vTXGbD^g#6>mgvDbT9K0#Vhx1}#j#I_!uh)>7kT zPLB5xa{f-xm4hKxKM`LC5#GZvYakicEJD`Q?Yk;CNr$Z_@L*%^pWIn8Ga(u38^Rvv z>~TTvS-B>hS$542NM48xlbM2%1=CtsQaBb{O0w+nPPd{| z{gM%ssZ?1bMEcC+?r=e_5yQ9mE03n6pJktnnK5z(b*CXFuKH>oZt-*y`a{&n z?~~)@Ike=c_&h(;Q*9LGeW^)ph}=jss>DmU^qxd@kpTCIZ9IrxY>8L4T!J-1nE|^Y zY&~aX&Kv4%9#R@QE5aaWPds`7=MnubwyI(>$?w}k$UH)c#Ya_1X;`d;8KICJYAe$# zF6zo4^({XN>|gXBSs`G2=$XKoeY?EtR70ubicrm-DMj6!AECWVH(+mA@;-q3+>a7P zfU>Sx9e@8XV(a!_#8yQ7yd#5}GTCarG#!`fQY1=sDX{wVR(@eLD&lwW*FG>kOo3VCl zy;){(^!ib}fC8lcU~_!5s{k>W96F3@a<9(Nh3R^)#+8rkA;D1@0p#!RCU6WZE zG3V~)-vJ2xW`*rPp6AfNZol(}9;107#U01cB2A876XtS1bOqh78`MTYyLaZGZgO>u zs_sPm3L$*bD)CM65s>NZ!2gQ(q%~9Tq}>u__x<4#8;fn76=6_Eb<8~~63vH0`3^J(sr6>$-w{SG(6&D*`sFAK3B0$iNF$A*l~S`KJYpn6 zH{uJFTa`zzyyb6NS2vAC+~3b?C|5OK4#~MD2CpCaa!q|z&mB-pAW+r_-7HhHme=&d zTch?+yGpXmJKg2l97z2{Z}rvF3O(tN|FTtJNPpR?uN`#b?lkLO{JGz1v+^ldt6(THExGNAZoT zw~a;fD#OZr2EVoLz8olP2i)tcef;)Y%i?uy9He(P;bCQyM?R@1@L{|LK&_gh_MYu> zjut)-JIQ3*1CD4>AXD-R`Q(tm%PEI|JbG*rD3-?G{e$K$@-=>Vb=)cM_2SE`*0=x0 zS!K)aLrquD@a1AUv!^m~W}oEjLh9t~p$N&}+=}P)<=v-ze7>?xSZ+KaN(_@+7u0v* z>6^gJGg+##yP(rM-7XjMLLUz2Y*`JS$J`*|5igY{u%pW)6q5C>sZW;KghCD(GDjqj72V&s4%QtDrHA|Vxz!zgWT<_ z5Ar11J`B7a1u{PXZ{X#+1*tat47KKFYODTNtKe6knF^~1Zn zcXK8RRXO#d%Ff%l=7^ox&?m{B`~wCspO1tndE56h%}TO^=f#yGX|WqJ3z4YV3d|zh zzD&6)!Opt;dIP9%B#)M@kSzSSYVr{07yTS}qL10NKZvi05+!7b znDIE0Yt@XnZDtYv*iSH1-vK4!2p39jkSbxyN&Yy)OZtnX&Apf8>hR(1ZSe3x$Xm=nJlv~g3 z6(r0yTU~2P9cedn)sedZ%adp&w5!{mF$_!0W}h^|#^;yS89<5xRxKZyJL?9FgsG;a zX=Vc(Lej^UFeY&?M^lIEC0og`M*Qpr@c~f#hXf-t3pMy5p`{Zj)c8MikaqPFY@(sH zrhc&DNCv}i1ib0lvc#<36hCWl(|Ivjy4VgYvfTu_yR%YJhq*_Cq$VMam_S7w>cT8Z zXY_vz;S(uN7>t^Nd(mC1^<~}JEtTx$H!_(e>^_L%-UB4!l3TOFVYj<|GWZkIx{g`sTh#s8fS1JO zIyd5!9}U}9rwf1K`&MV6yZv0ijltN0I9?SL%RWI!1A4!WP11}sDw`)sI9E4nu$m$j zu^ehhhLjCOcw~Bn14a1n>clD%RSYkZ+2nzQn(GH3>?G8<_5h&|2>l5)PE!drlrP#O zi8Yax5x#TEF{&~Wxi$1LoVKTU?8N};{D z82SrlCDIZ@458iYAn1$pP^-XXq-de&dX(`IdlBk%^_Ycf1Q2?cUme?-#4FRx5qtS( zur26%8L=`bI?zv)^R+;9LbzDJNezG@Jznuw+M3m&+sLgP8B;7~v|x=$|FFksSZpX; zmSxCJO?IVNNOq-h)QC*FQh_thV-~UilSf3`Z9+8yqWvaZh+;HKhEUYf0aQ9HR?AUO zOHZu8QwGbfev5nT{(!Zaln{I{(y7vs(SN&i!)cN+Nl;? zlIEN;*y^%u%@4VS%Z{RfibRmwCwj~J#-4u#*8QgC!fcQ+Q<9n00{6q~_VuDGKF#fm z?z0t^Jf$BKA1RTya=8iyt+dj<^uub=pKKJ%pEF(Mvzg@$MkM1Dw6>a(pg?JYl`!+ z<}k?|w`6+dGbO(4bY)HDpQ@pN>{=gTDz?bmXjydz)dbsJXH2@%!Zi0-X7i_kidRi4Vc=rn3IAJIU%eb>JLju< z*SvF=(DI{U(#)RG(riPZmMYyG87WQW z1_UuO%aSXF^7Hxn32JCbrfL`zTFH`9^4v?Ft?A4+-BPk_My`Fq`R{TN8Soh?^v;(MKmHU|IzvN6MeMG}!8X zT$z}!KehQN7TVJ2V#A{|_&0i;J;8qJUS{AiYCXwV6JA?;YbmH)Yp`}5tyyCDY5q6! zKa?8;5;5U8cF3$ZnPHo!GQ7>3?!(%|#ar$Oz5gMCU-Ln4enpNN}JwdC5Hn7BD7b#X!N?gcESlHQ+G#ercGr8d6_vSLv( zZULEDeUHQ6j*h-e&&k02}GRvqOZM@w?9iE)l;#k2{e~KmB)ZeIXrWh z(|oPSUdv&eH56wX*Hs=QUWC|?mtwfXRC zf(4qnX6ZOCf0_ow^f(!g`Ye@jnQ5DRZ?`fRv zNl`zs{fjj&D(QE>uPhsCg?sB;b%nbHf~SR*m&J%TF_ys!-BW6G)}6tH;$7{O&Ukpi zdtjIgcFB9edH;kR+xkJbd+p+qtvK=DBTD#Xut)*N8tBb5PeQS&VkC3cQVMSi02!Zc zHl^usGVBq_N3}%&>Q+QwJ&%w(l)HDLbzjgiSbe!%NltV z$bPWD3@mjVmM=7xDYH0Ul3S2V<=#hqFr`O*uz|mZVITZ|B+);T^dCtH$hm=aVvaut zegs~&px8H!?TWGRzR>)lIwSY4St+AmWie?9m>ftHA;=A!Oxbg%)g_fDKI9x>%BPnc8VA>XBEZbT~56z(n-K=&xKIdt{x(u3FJQItoe8gMM z8J==ghFK1(@>@CiW&?Z}m1X6Uy zmssgE+;rbj{AAdq!==?T3jlcwsTW&sFj?vmZG@=(m1in{D}} z5j$in3N%-B5_p6t)s$ADS<550P%NbOx`FJpXFxMvZzXTk2g*_|#Z6?*9nC}N5-XV1 zTUpDG%%~9AxX#CSI$9GOmGr#|JMP3iPGhc?33kQK4rR4dfkmCxqsu$-RCYqfi*9k5 z6YotayN6|ccUZ=YTs+LLGRJnlqz~J(CT^HMnf#UilqROXP_jMB{kP)(EXa8o>H9N! z8KM+FvzVu>)^DjdIhQg_3mA!F9pu(h3Vt2mq)3bFwISu_mcLn{)7Z624l6(0l2XY0 ziCj>xZ;D9Tfsx6Ol(MT!n!_AT0}3^}M5R;u8q`$iwSc{h%F$^avn)Q9n#%X$$Pe$Q zs@|Euj z*bmD9kf9>H$VnlCCOkr;6^VLAwG~AlA_p7K zx~+DIdDm6~@=7F<(>|oWYo-K=4>sC=&Ys`J`#9M(XS#gw(0ky1S6u>X=Ple`3d@i` zzKV|hx?%Nqym9CFlpO-Y^1$6yl^o<7(3nUWJT(qj$&OOlFmWN_mgcF7}zX_OSbujrBjI% zmQ&pBUwv$lO!EC@lr^HGlr8np8i&mG)voloi;tDmAWJKj(^Q1#9Hmo8XK6}JX@oB) zXz~lVfL~RQRJj3jhHMwI@0lsHa7=pee2vho##z^Q)56gyN$c>TQ^@O!M0yCX@s<%3AtxJHry=L0Za{)=a# z_pdwRfAT{BnM(S#$}Sg4Jav>>bovR+S`=CYdI`xC6k2%d3CY<6jURr#r5@d*P8?QKPvZmJ3dQxbCdH6y6(f|T6|0UF zBkZLW9ZnUo(DIh)xc~SWmci(y09V7sKdy!g8D$x(Oa*D6)8k_QxEkvJx*D7e0at@< zEGyr~)~`-U@CHDyb}rkkgN>=zwEB9{DPCQaG&0Hg^gl3Gv&7l8-9bUs#0od^0#+SyW>(-_S_o@IJ95{zA z=?!-pTH=_!hGCn1U&*fQ-C+dxQ^X$YUM7Eu_hVn^G z=CksgSqwS;Z^8|r=X8K|meec^7;OtEA%@np3!g#^Pv6FsN=W|G>be3NWfaNYiO_qO z!oKm31V{XvCIjUB9<>S@6eKX{fWD+)SIWz4_|z2*OhAyViK?xkU z)`j1s2rRv3hq-5E8fXI(s;mmgE756&rKohyf!_!?{EZuM0leGCvT9UT^#TL`i7Aby z#R@3c{djsl(blp0?`b0Ndr|(sO!R8EfH41P*gk-(@&(|kk3SRNJNSJ@Y<4z@Csn+pC`Z~ z@cS8sA<3<#UMEGuflua%OyxcQ5IQ+$7c3}g*zN+0$QYRbha^Ax8CG|G2akSx#BUD4 zgNFP)9RB1xyC5lL9S8&#@#KZ@?1g~$CFCSs_)eC^Ycb5)U-ZPtuMQl&KFntVMzTLg zWavLO$a+|kZPYnK@j(1BNyQ*Mcq_%15*W#z7DRbit#5s^4()mh1`OTgfNe6jA?Oy3Fy z>anCoF@z*HT#ttG;U^1lo|I%q<74%Lfl^vyW)|xqfjA@GWvm5y0=nqwl)jbyuT@Ubj^6AowM!c_v#0TIxJItsztO~`raO5;Obt11? zFMhC+d3KR@f>?%g{{D+drh3stOA<&XztA9iF?jn}P;cf{Q()aO)caDJpWB1tVG#1iS}{oB>2#t47O;<0bYJ?p%kzR%xY26w$5U(9%kZ?+$L^Y&Mfe0f-5qB^^D z1;}n5Kb0=rgGQ+^yCa23xL==co*aGGsBke0po98a4KMRBJV%I)8JWY=@{mc{lZAqF zI3zV&NmNNi%4kCs)sXTlaD|DGNIryqhZPwLBH0O;9mYrF)`I*XN!D>CKbE=;dcGA+ z^{0Oe6y4d5OI1-K(UwJH^JFcSX?%Gj6@LCh*Q9VHF^y>mT{(=#k z+iK9=Ibm|1jHwa0HyKOQt}sngdvliR;n{exQu}Fgp;h}*KQ=$piZ_l!(pe%WGw#>%Or?l;TSuK z)oLKkw3N?AK+}C?g9kTuJg92{eoA+!lyCGxC-VuM;eM~!%mX8CcJwi|lgYMs!yq@3 z{H!x6lHOYAKuj67kdp1q^+_;Ls@;8JGgrrjN8qcqpRZqL={uwci*wI2FJ~6(kC$&G zLIobCMDexfUE5v0BR)QHBTNu2HBKKl1blgLSYmofAP13FziuW4gduf|_BBSRg>KyV(L=YA+bcV66pBi{M+yn{? zF%K;9%h?EZ4ARKs#`A4Z>dG?;zw1SQ5agx#ix~&;@Yn^+w}9GDNFdX=+*0T}n`bY* zD=9B%1M}7Q3$w<0fU4%>u=eg_br9EEeETSXFIg>qvTg zbnn(xIdKOdpxYl*YN!$?Ts`Q>S-E;_MO1K+})+|w!6YFB)+WNj27rVT3Fb3QpIOR zdQ4x~Sf5NyJt(hpj1&517Ej0r?JA5&+%o+c%vA>wtfdP3%%9>QaFxV^GX!0|K6?Rp zHzJ;|w)t+FV7luyaMf)j+Ut|eHiP5?$1-EnQ(b5E5B8BtkV!9UrzaAR6|g~hK_jB0 z+_BUMt@0V-zmwCHfP)V_AI7zA55js!E)s$}^e|>#)HyCN8LUs#p|A<33SBtp3sUo# zX$W}Pz*$NC#9VUXVRx2J^1t6uQkzH}ZEZCmaiq^Jg@EchR4v7R!Sr!J%nd{r2^Kd-X2&g=RD{LqzEXjE*nT)^mH2@50q{o?796S9Y4Q||lr_PYIgBfGT|QYZM3 zpDxoRcI7aJL0TE3$}H@kKaxauUjO`2FFV&m+Qy*osd;j6hJpbOaebdpN|~Awm8Q`U~+-ORq8(spV-3 zU4sT53iZ+RP$4tQN@cStua->N8?omG!-%SIz3_#CJnS7^A8VP++}Cz5NW$`sEtpl2 zkV7N$dlgyOapiXxQVnVq*rhznd=(;yhx$EXz~P3k^5K!sT8{^hZNB`FvlU)n?;1yp zZ;d;C&z4YQ8tlr1^tNQ<>RI4Uglvs-(#-+B{z3L@=pI$%*qG8if%u}&U||qUAt5&f~S|`0ujYI8J|fq!FhMXSw<%0Cq2WBPtju9X}t{+ z(2GvK?^nK$`^q(b`@m`@&`#~=ulL)J4;(9blTC9R{^g@PU@ED@;3bTSCi@E<+^~z^ zrtygWS!M@VYzJ7wLxt&ASE|v88LlUuztHX5^Dj`HS0Jk>t02T4)tZ<=H^|jGd}ili zXSY(GZxfZur@Jko?^h2d_%j@sbqE9!THP;$rkXf#z6S_byPjXmFlHL%5p_(Xi-TEJzHkfgaI$^D8LG_N~X;+tWjL z(qqQJ9t7(U!2oA}D(2P09fh9FT6PU(hg>-Dz(!(02W%*~i9r8Y-S628B+%dn-H2da zotR+WUNm5e3D98D4Pb&&*kIJd5MUH-P0SGf$!w6bdL0=}l!J~_$Jehc7e*AAnu*;pYE=vy0ecQCd=@YX*g;Sqj= zyjDhTk|XW}G@zVs8!r05m>|%45Fj80tq@QdCnv#ky>G_=hhkgmC3aYPyg*LTq0BpS zNrf?VkwG$anOvv!cRYEzQFey%Zt>lRV*%c>MG9BPI~J5i1S@I+%PUieoD=*M!~)jj z3GP463E4r0eApvy!d-WmhGHXF0;v;lI9sjI<;FjXpXcqc<^tR*;y!wxi@EelZN3y< z8>@Q1$bQrO91sMZ^v2qK{CC`l3rGvp7(7OE&eof0V8B^|*9q30h1^gJU=yo%d`H3l zC<_~fSrR0M4C*BfKQx@~cQ)iqb~Qpt256<=U%0Xy&|ryj;Qr-mV0A2F`_~$$mLW>E zvdF$hmv7J4E5NK|^@bDIoQ}V?R$zGKY5?a27~cf!P7kLYmY8d@d2+$cp1$f@=XB^t zh@82#5A_}+Z*RA!&)2ZL7SuI7#O`grB5si###%WgTvNb;d851c0zW8RC0ltaN7L@B zq$f(5B?tOS)z5a%gT?cCH}~**8M3Js_b=v-VJA12n$3xFcDLH3iwll=)s^|#B4=51PZfk z2iER?TpY3|PIJOf!zTDrQb&TTpoyeT+3%9w8xQe<^*zh+Y`8R_q>e{jq+X$3L_-Ay zmQdA$QiAJ8>6uJ+{cdrce@4Vz-mM<`p-#10-|U9woHrS37?p+zmugcC!`XK)Y+PU z`OO`Lg?|@pL*0ct%6%neMAOnb3<~jROZIkMscOWvYcL=Y!y6WeE>2sH-l3Gn8q<%U z2zb#s{5mukS-j}@NTXMC2z5nc1=qDG^Z_zb!Xy-&Cv6_;R|h(#_?Ka4vj`ItYV(6J z%u%>0$`#mE>bYRp1t>>3lSmfGAU&aD3HsW_=O*9ZNKzY8C)3($v7J*HrLqJ|1`V{o z%Q;;OY#J7yxNkovAkxaz6Mh{qp>E;!C9Y$g!D2@;M~k0KA~p>*Uhn6hu*nJfV=-I= zJv+a6*cqRkk)QTw>t_1kCQC)J5adlEoRE*(YgAnV-*UeAr#XcmR783g`B~pA8I?S; zuOmKA>3~=TT@1UPqF(tk<}c82vxy^uw<9mTkpj}djRm}qF|{~D@|-pObAucYTG zDaLei6YLBF>dVN(=zIf;HX$7WY+TP)jwvfURpk-3R3i0irmbg@Ta<0+;vIiAElX$Wu^$Tr-mVGb&vb7JnQRpwE;u;4VLZCL!8tWDYc}C zVbf-eH9BF`vB{g_(Gp+H^h>@AQO7dg^<%^Z=u@~Zzusd|57nnh{x+f48DY9vk29nY zq>phf$eB?XLdyF()lU>Ns4)q}!Pl5#yl4=U-5XP2p7T{BH`};a0zW1oo3m?WzO_^@ z|H@!N?R0JZbf|%mYZ$+V2y;-wfmC47>+(28Hp#4*7nUC;Y8oo-L(ZHc7jx=p%iu~J zHBIJMb9y17Qmd_6DrGdvYBVL?v?)UQ?O9C8^?-Lf``JWg$t9SyD88oju$pzYXp!r) z{HK^+Gy%@v$~=hJK2_o*T(oK?UfwmIY9Z)4^6%h4l$;#QF+<5LO#uN6`(-tz)=Zc8 z#mFN9rm5n<~%O#Sc>o@c269;hd&hQIi-h8Q3-X$C?*1rdMhWeT+%CGa z2`(8DR7rVILq;QtVou=DWU&eOmG?LOnilo2FBT0%rZOiTD-Gw95(xz*K0EJ~XdfH_k%Q% zcnX!Jky`qfJg#W8hqz$oY5(WN9$P9r)}_gRU+m$8DM#EvOk&f4>cmj#eKU*i>7SB{ z6HUI3t6BYP0f$6`41e*S&suK-Tg(NjBh0`xw*PxDIm8GY7>*b?jq{Ixlr%(Yd0QqS z)wh@l_G0NtP=u5NRXfNA>P}}0BjzfN>bd_;t{(0*zzV4l!O|?igHxFPnQOV(nnHmw9-iQYA(I1jfq`NLj@0Hj zJqK#{5b()N>`hui&oHPl4A2z@m@V|X*UOm-=q<1jGlgcM;3zA>MrhjN9;mHU42bc? z^;>9yv8`Z%Wf?$&5o)@N{3#=|3wx&rR8A~UJBb@Lb`8y>f$0)B{YW>=>RmN~uE&le z1nOY#a~^l$b3x%A+ph!P!b0a67R|FYsLJPD38=*#yIqEA1Dv|m!&yu$f#X1P6agY} z27q41*&S~VXay9+T0<$%c+=MG@tCHa878N6S)nsY7-&&T*=I08z)v2w`wqm@S;qFa zsMRC^5)9t<*HTx^>Vd{%0xs>W?<08qo?5Ub8i9l<^R;b<5uhc$nijMHo$=54Fm%KW zvlh?33?vXr0#ap)%YgG8FFLFKZD^Uz{Ozj|HN2y}UeYkfyshu>wNKjddZXmDpkZ@q zjh&hm-C9{tiZ1jIKqNYu3bUP9uE9cZn?^CXYo9*kPH-a(#p@^s8jRFGYPmoImBNG9 zQZ*}xEcyV1(a|n}8o)5(e57gWflIDP1sV|zjxrNa4}uD998fE83MZ_#2-2VdsA2-3 z;>g=k|E5=f{y1S%J?~xTAYfnqs!Kxxa3`P^Zg|&#Xqv_s=a_z&+tR3ho~I6$jZZOI zBU?!!whhlnxg3{CAzt|21tF;T4@Zx*SzuNie@RQBH5396RH6619B3Z~r51IAy@y7~ zVw{zX*(f~11)w9+txEUMxVCnKSD|AMZ+*T#fF+RXW1xH~SsHArJXehbwFFel$V1v6u zz@Z{KH8%=t^Xub!#rLT;BfEBOuIGo?3SKv>2j2t66rwta8V+mu3F1R8(EK0R4witX zfCLH~lRpPS;y`P`iqim10W{fV`e@&WI9r(A5gnM(n>ruKw3@-Q08eNpsTomo;xt;G=M?J4b0%lfEhgIe8{%e z>IZ%;o+>sp6!W)%_cnVk(*f@r!OENIrH@+O!o>kpQ#%ACd3TVwAmTL)gLV%9y&2wS zy+AvDzy5*0d9xvX1ZaTaV61iugX!28S>Upv0VrdSGpI~DYXgkQSayA*mo%V9B!IJ$ zIUEqjG?H%r3|thf=v#VF5W z9yuVP`E9?I78v+I&Gcht%-VhGLa z&j7`cfPK8^k3iD_Lk_qM0CzKBqyuLRp`>YHstHny2Z3RE?XfVonIxX*j_MEy5zU3y zU6=?{+c~yhCYZ0e0^HS39dbt@8fFOG8aWDbnjq?dbV3Xd?d{?)3>w4+ZkDE0YQoV0 zBoR2kB~)6hFB6bnqi6GR_K8BOSOvIziIc?{0eKOV#OMH(9=HJoB2a9MzW^u7+Z6%O zS~_Z03kKbHmCSS+7->)=AQJ(*I22fB96M24?joCN#UI7(`P|XVV&^V%+g7 z?}ib^ka!i5uC-XK9edsLXPx3j$8C=yX;*TsWOj&r$q49M1@OuRJE1$*cc#C~z=+F> z32m@@fm;k48yX%Mi5OI>*LjaweZVm2Ii^1YU(L}xFFK`$Hxp&0~Ls;6U zSV4FBixGkQ9y=`FtmgA)JO>hgpxYz&fIRPy7-4cG)uI9MKr#LPuJ0k~?-*g$bdh+R zHvk3CfS<>CBr4x)On*y;+3pe#kW($xlo{Nm0yqG_zF)No@GD<{76=ED*dQ2dfC8X{ zty$6EeqfaN;A|=X_Ok89z%JcP0IB5H*kQF`ZZ|+~eWaXcK+^z`6#OFtKu9hiEr-}0 z2o(}B3233<&@;fs5~PPoCyn9tE$${&$zyx<`rT}i2*MYC) zp%rfnZR{}Qv`0Xvbiv*&87yE|x%vh>jCt_f(#I0eH=RrH{au$R#VwEpXZcIvGBV9- zFskUbisEmeo>c6sx8U(Ww*84LD&TIZi_}8(2=ogSpwaO}+sSGR&=FSDEhN)SK##r0 zsMh8E3IrN43~g|~90DSD-|m+{<|?Z1Uw}rgt^?}IEbl-I2+>J-Yjr;kAQdP;KU&q? z`GCk-_~)8J%`_0P!UagReu?SF(Of3#1ls1Ge9^@~i_PIVJQdy~3groP|KWBDdjOO5 zS=@V~r6GRx4@#%?qvgk&tXH1OfZ&0(zS)BO1B~HgQoc^78GoS8P zTb3cL&Di!4tQpm&iw~Aty5`C=B|WxVL#4&sb0Q(&oj9mUMdWEDH7i-^^v=OXiJyb& zB6Z6ykh^K-lVGC8Fy*?IyCV31cEX>!alNV|)q)U2+Xfn+k>U1PWSquU)hRjaC(!r3 z%teyx7UE%~KlT!G#HR;)9^hFaV-Vz1!01Z`=$IRW)Uq3Jw5)2NEsQD}WW*e~VfGH} zDl_$cZ4dl+tbgN}SzPaH`e`{b7ex6}U5o8)XvjR4`95~0h=Q(!aW+k_P-0v4PHFKT zqxkb-vo^IEw)SmFxSh*SkmD=2KMmp*@1GpLKlaq^`eXCUlal0wg>1UyWbEdz`y@TQPdRnrYBtOMGnffp&g<-M-6fmPMG_tj;o_+6U?8BkYYF(f2U zcq%c3&+$q$9Sp0aM;Nm8{v~El<%I`W%@pTLB_O@TP*Y);=ORa%l%Fr&m8dn7O3b8r z205u{1`rd4;R1MOAo%{1>pPnagM_6O-v`9BU5_pjR>*xr-kv+(F0iCmA+616>7OTO zm)_l_$Tgx%YonUHU(r&RS?+xOru;xik9WzE_!=}4Flt!^k&D+EREjwZvI&(M?&s!cCBm*-POGhH$w9kec2+}AQY z3V5RW>==HQ_f;GZR>R%(2pdx3&ehB15w9VQeyFG-l8plHtFr;~Iy+71xjZ|Nfs_rp zo~uc;*D7Y8<4AJW82ucNcww8NdN7>Mq2Dp{-a~w@=rri#^*>8DX6}0)u50bnEj&wK z@65mQIPrz+NbLV1OSnbI$vL}jFZ2qn@sLtUO!X@8B%P4F%vf@t3}iit+j3OZbi5p? zsB8%;T8$^a?CAC*5p6GjO7)BR{0>JCGvEC4qqeO~;1`1)?{s9ph!+IDce5qA6S$O= z&k`!-93?>oMLHdRVI@8v$a-N{%3Od~#_paFundDXMjL`)Z@dg0n6)&K4QqLN1E?kK zD>0u6cAu4BiCI_^XBubP)Kz=Xbx_SLVoN0>S=MhW9H2caWQa<%yz21RZ?|T{I#$LC zop?AZa^jJWo%q8#W~M={`S-%hOHRF(9kmQ1-jNB$t7rCK6pm(%6C;kdzVXDziO6e^ zDk^9=jE6w;NqaEStEdqx#$alVrtCm1Hhkji_hjyG$^aQDqJ76evWKsVWajHvBc@c; zsA;wFzz~#gFjj6bRCaCsxD&`dJZKP8U)4~*8Z=m?vLq|7w`lv{x1ViQ^RC+EyHzTq z+Ib1p0>ru=0=0Gy28&NkftiabD%H(-I@~<9b^!*??Z3XiI}?A)sb3z839WI8>YUgs zntpJOX?rf3d|)aZf8@kHbs5dIjcGfg`YBr^+3Go(t6;VW^C56=18Q&HkPm2fTFJH^t5{o%(TT3{$c9 z;{j&3j8)zuSHTfC2V@-}rQ3qXMEM3Dkv6~I?xSj)%9V!ByuZZE4ts6cV|f0sA5a9m za4c>6N13H*CgoXdg3^wT5-r_`wBxFjxweh+CPORz3$KbgB>F{d>Qdl6>|&iAF^r}P zogG!1QP)krnd6eicbt0N-wsWGDF9=LF@QwpLc>R|`@?>bQzctP4m*;k-~7TEx@N1a z(mDydhu_eD**OvPl$}{77B<(_{U5iY>gw4=?W1D`cMt52j*r%aKH{H}dxgdcEp-e$ zoA&}IZlOSadokE_5H(5jJZt&k)|t73f{Eyt!8_OT5B-K}WmKB0VR&#-z^A z-p9KQiISwK0bN(-+duDpU`xGB1QH2dpnWE{ou8>#sV@Z<@fIpa3RSpAs0sEQ;V9E# zrSx+1$zR70RmMh#I-eivMn6kFN5>J}h|Bvb!Y18jppY3Vc&2^QjRfB=4U9rP0n<0p zc9WatGYzLF4{}3<(^P%k!$B(};a~ZH4!8(0=w3jMsoZ$LD1XMg%kF(ZmdV1{gGPCCv5;i+D)*a^1JKpR z%ot87eUoCVRHl7_61jus;NUckUyISv$^`g(BG3W1A{705<1G|PuDr>hX}C6b5F#>M zbye;wcyxXv_*XLPD7Jw#GV4?j^^rIYn`Tnu5+3<6{pLD^1Xg)u94MuC3_84mYb+T( z4s95kKPnWxm~ReTGqEct1pX}Un*3@6_3HQ+uD`esb2z#VtsTU#Ga(th^oo^*);m1Y zTG^>G5|Saf>dKGG6;4hB6On7d^;K@1nW&>+;YPJY3E*(*xg>)laO#Qq=P-+uoQ&!- zWRY+j627YZ1(0aP;bPVy55s~K`%0){-Xw0M!l{wyWg7g)u+>^Kv)>Y_h%n;K-e&N4 z_*r<%9QEc~2_b$kQT@@f7e!2NI`rUDwATZ4fZM?_5PT%HB#nobcT@!jS)AHNBcbmu z9}Yej%@V~%CNQ@TzzW(9JP9#J3Ya|&fjxGzW9=ny$l?vYnPMh4DN1mu?rS?9z&)lk z6LT*np#ZWULjysK0I@V`(wA*;`p-mAieU7gr&aNiu18kjE$6<%Lw<{R6v9B2BRr4{ z6#`13hk-1{%mV=hZqLV_%Y($6iUl!_X!fIw6x~H3Ad9`%KoUUHw$O9a0wo+i^f#A>vW=0enh)RY^A( zFG;-aL#$hjb5+I&{V8$T3Mcm<+|G9*Qzr*at>xtG%1yA_ z2=!0B!y?gAs9l1+IQM9Cp__n#T01>+1yK%;(8IWm*mb)IU}Z2euOKOzDZyXMJ=tujZ6=;0{VVheQM zieonGulIVIXrK41JYbzAoiNCsElEU{oJmZ4MP^FfG91M1MD34uXGa-Th-~5soS*T0 z1un|hu72M=+|r_mC$~D>ZCT z#C1`j7s(Th5Qm4=p9lAKKO*iU5v85%U;T9Rm)Sj7SLNGRl9K4-(x29Af__KhZ`bem zh?6xw%7S=sE!7vt(dxy;d}q~4?f={I&MwH$&(-!+I?~CXd0srAL5e6e26%wKv4h^$ z(j~FeAaaFf6sTW#2N80{h;}=3)4JL4(FFEA;dr*1oBCFQmiw^=8>J4`WEnOzv!?n2 zN4Cf<*<~7Q@4>swU2O>nH5j4&$>7Mmm66u_fjz9a(N63h!|gR}k=jew50Tg+H7Fm-p#W7HY}LWG3P+WtA&rupX5c6z;fInu`c`jh-Zljee?=+U5AR%13v6(G2uPxr z&*-=bHB|{&_zYXN_9((bsV6Q2CKL|W$G{!h*VhN8HtOKG@fs*%oWChO6P(|3^38bq zLlcX*(G_e5F4~cm78oI$@8^reZov?dPwjPyx>GQ*e{QZWBrl3V_+%u?9#x`W;TDL# zMu2Z6(SR9_|bj2n}YJ-Y$ORzatAs7*S|uViJrAIEFDVnf-!e7s+tUtI5=Nv<68*YhqVC$c17)>=9iRde58rH7GrL_+oPR4OV43@jf#g9L_m^hN~E(h;cej)FS^Rfq++X zp$)%yWD4z93zE9?1e%TYO0i&Q#yC=wmQ`UXM^0C3Z++_^Y8!zKFrkG!yisc-W(njm zeE4;C1?#Wu=HnZx^FH(4^KbRwnHEu@fUbPejk?R?=gh&~llQrM0nwDkR#u;IPphk3+F3>pFSHl9Bb^fu(O8`>j2w^&5@=qiLCX$INbVz(V%C#y9?d3O zbg5c#cp2ZP?;KUDQmtUWJAw#!RNL4KL`+gf0%W~6-dR1MUX`Zpepee3oJF!_WOd*{ zXRA)Yaa-XV=iSKpaTt{y9vo07MWfK?q(c#s5`w{oI>K8IT1(4pEM{EZ?{nZ5k_O}c zU@*{tf2A7z0#O%1^s>LtVauWgL5Nj%5cxa)tbOLEB?S1BD%AtUc&b}8vYm3p_{rxs zgMUr$^qH}FM$gYvo?9JCa*GAdF?qo2*$Gx#J8>F6o zfpMup6^2k`;epKzQNH~OhoK8#rNDUNG@(QI6`}A_rGmi~ppZUF1s5q=oX8$3?Fb$R zf_gXVZX|9DQFvDN`-U^`{l|192sR2gqQQ)(Jooh9b-qXRA}_zs+&vlHzw#6cQI0yy zT+&OKGic$z`1SDm9nRr)G{S%jZAnlK{V%PUckPYO1@m_f z#UW=rgjtKfK92#mMQ6W{r<$mXwZe^!zJh2H)L;UFi3P(Og9{Fc`yah6a62il*+Qb$ zTl_~DhEe8#4E_Fuln2wf8^6=Mo;4Yg`xQFKbz#m~uBTt0hXfZuv2JL?1q^@KRB0jsplT9P)s@Z?>&5qIKN@i7MW)@txQ!IoJAk3D=uGgNge-V%nAJ$#Ejyr(_q?8K$`axkspRbnsE|sKWG90?^o3jPvU^m`f1~b4nV|234;RT?p#Nf;3&Ugq2 z4_A%a5OSu%3A<^Oq5shDpGIg2LZ0}=&5=w*XqTcy6P=sG!?dnN?TcWWBd5aDoU%n# z*5`I!)9w=^`3_3bFIirNgA4b{i^n6y+`%!We?cC#&Jpu#bhD0G4ChnlL}fEie0O*5 zkUe#a<(~g$8ID^-E&6g<%3!E6MiAvYp?8(>a|!tkt28M$dbL9f?^7_DlzmPun{=9? zxWX?|SJmT&zAHYf1iU{RtL?*5o&LoMF%RdK@UG-zp=>6P7mC|tWjgSR8GfNf1tmjh z{**<9IGRwRTRUnQObsboc4nemtyP|6B1fS7SvOE!`m)3XdzX$GBC~a*K{WGi_lc03 zcX9giG!rd8L5`ULth*CG(hmitMOpsid4*H53`(imp*th~j|&P8)O%y|o>Is91&$Q_ zxWGR5p1YDcp(FF&t#s-Tj%-eJNmRrl<>$7${HE>`C4m})kOpxl7nQmO+NI@G=hLs;cY6eW-$r;a3}GD|DcJ)& zza0Q!7`WV?%Qh*Um$1jG3@%cE;DzqC>1n$=-ThH?a3xmY=rE5pfIsEB)@- zhlNHv}M<_b={e{E?4iR(*KMiyseD=`|?UR#yfA~N)tH0b|c@xAr6qPt>hzs|mjvV3?gb~$1Qp6_YXbxJaNew{pj{~81_btc|&ugCZ1%&C_5K!M)vR0VT1Z# zK~4wC#V{?67=E1A7~H4%=~)N(xz>!WUKrJ=@pAJtZuvDN>dw?HV$-yXsZX^-CM%DG zzQKNsbL5UxBJs?Lo}85IzjYy{45GEOIlb8xEOX=}RP>^miw#@s=u{XRq}}}>-TY`9 z_U9ZiC>!=&QQBlwnlTJXTJa0n4Gf`uU?q01^rO!ZJMb;3(jDg`<(tnELdTb-Lnbs< z&gm+c8ik6!e><4sMipWG~Y6wK;n{G*m%y9ROGXZPxaSfOD1 z<}&Otq-mA~m|Xr~*tKgE+nzlj*8;P<4}GN+xU)e!^1iQ{3^1h_ei z&R7fP>>I7ja%(o~W=irdJ>o8FLH&+@pbtf%{%%9{>5deKqBg;*jO;DSgTM8oYa=?c zWz4F#U(o1_Qt{9(y+Qu>_5`CG^1{H{Hf-ury|k4Z_J7{;5y!|HKX^`yyZqhM z4hxg{VmA#M=-6?38_d)}Oo8UZ#eI7Id0U1d*%0nA&i+)rP4j9Wb<6^&?vca>eGH=W z#MTW|29tn*#=3!%%M6&=j|HA&sfM?QkFDG#Attp$IIE6RHud_94IexWtqJ_PlWl}7 z7_V&4m2~g?YQ%ZXlzG;a*Y9daR9i3vTYkI;M}~Kt_m1xEB$k`*{x-X)W2SgS#Dd)C zK#eM6xfnHx66M|5d7S!DKq0k`1+TIPo!z4N(^K$t(s7BPWEEs05&$0QF0_}Q9OP(_ zy%Ye12qOf1a8_0_A7fylL|hf#^mxuCHk|F(P;e|;s*Dj8kY>*EmZpyTmevNOQQHJd zSA^r4qFa5Fi%FWjJcLY?QIgC)xx=7Tg6B$Wcd}t0P?!o^v2`)3;3L`dpB3{8XG{Oq zcLa$XCvw?$BoxCN|5Ce_5XUxbO9iUP$3wug`j*riUSDPB@J>Qco4ezJLzW3sOoTNj zGo(`7{0~q(TFIYcrDJgp350S@N=hk@4zZ!|k*2f&l-YFZZn*wR>sO=6)W7PEpRTR+;@ePs~tr*KEESvZ||D^PQV*{vVpmqL3Y z9bFdTm0FbUlIhoI>ja7TVRpTZ(2Ivs%x{pfLWI^%{1?AEV%1Evfm(pd0)&~1J2+J1 zm<~LE8NaMcfXzkM#k~Tklhnza#7k1N_397SlIjHX z(qAPkc)Mcr3Wh5SpAgxrC}r=m?#94B0V9f7US7glaoq42N&xAz<-_n^ zUt4ARbH!v9dHPSzPaK&MS|dxq6Gp6`l*!|C1RB|Hk_?#ka+Vp$MFL{z5g7l~ZNE2W zOw?n$=76MR<@~p|=CPc|E8pO0tF%*9Vxt$WwqH_V_~z1?MbDx{g+ftF2o{DzeEB@j z9C_Oay8Ze580BcyZ>v&K*6MHD3=eKOzeQG#i;NoDsQumuv5LPA`i&`$|FjX`FKa4v zb1=BvVl1KkvRAQ@p+f+Wgev;^21VK+wqiAYO^ViOgBVk8X+353x-x(vPMG3fLV3+W zE^@^rI;KouzN{~4@?2_>$-(yf-v_1w#+c7SS75w6M==H5 zx2{LK*t953GV!eeNWyvd^Jfo%e*V6xgL1zASNzZrd*H*bu$!Aekg;eEc0}P|`e4)= zNxP7ei0HcjVwT=-MmC8_`flAqBE|_bHb1(a;bo^MV;RVylv1OELs@5}nzvf~5ta7M zGoj!6P=I9GnToVdr<^82gfmyFf1jO6V~-NAKKs_yH!DRBj$*jNF?=B!I-4*=flmZa zeY6Z&MTBbkEH*1Tvl+-5Nnt?zX%_weh8^xp`FTE=TJ>p8BY7`pJNo;vDxnf~7;XdP z(0F?ufmGL9Z+j3SQZw%5BdoO$>iFtzRN|615~fg+VxTP->Xou%Z;zZq7~ePl>GI81 z$;0W;YQZ%Uy_JZqnTGWFW!1jtADNGM-UZmiW84?fF9~B_Qa#jeY%$duA+84MY$J@T zy&Uz-*y|qj)z1-Q*-o+)vSmDk==EC6E=yr+BvP6R5$33#im@~iNaBfUsoScLziCuY zS_@9m3{>z{k@s;4e*$m9JDx_2;D^@eB{6q6d|r+NLF0p8qEUcVFTg=SLPCn^XJX6{ z(VcwBwd|BVJRQXjD)a*nagu?q2QRt*h9|>?dnEbs{dH*ai{Y}+p;n*iqUK?)3q8+{g;j(>{Sx~Nm1 zuEw3>mWQs(dmIu6H~N3Yg&0J{#;QiB*)}dL?0i{;mq*b_1Sfd_BSml3!e@o0HNtg2 zl^^pkfY}1m!bv@5n|;N%VMQwZFn_hSWfvV>U25JhzJdY%Q4Y$6HSb3GbHrUS=C&^6 z8E@*~_VZ(oGa`BG;Ej-@--&3W+cbA%Ul<+Q0}1p_$~0PJM6F6S^vb8?D`?Uy01$eU zRGHoS)1a@W9X%>Ba5EEr+`$A-RidVa~G{0yQFf@cNu?Cx6B4zU& z#XkUre;{SElEjGy@7tDotrlNADiVG9eEDS#qpKVP}0%pJ!znC4PTZJBk*wGNTXh>k}hlZ z0}K0mI>-bCE5e%S@{@^Ec%s{tWMjo?e-ja{sxK390{si4=A>cVO7W2*BTvP!?>23O zNXY4<5}oq}o>U`4)5ny8NH*W8)Bhs>>{Rl&Asj1;axrsV^Ffl21&8k!nO+kf!9#3;kIB z`)*h;pVKfd!4G2BZa{E(_a1b}q|6c;NP0+u;SOOtaEKEqbO}Gy)NSH8Ka9``x7>0k z?vi>wL?D;#C#LqLXDfiR~?%CPhJl3x-0cch^I{1mNrAeb;82+#MWg=13 zOa@(T-R~Q?ZTMs=5-?2vSNfM6RTOP9w0o1GzDUynT>*vNR63^%`@e_&M)|Hmq}1s3 zGwEYe@XJ#QRB9Y*5C{DaFgOl5*KRuQ(49nCwGqx;&H}i{U_1F!I|Zuncb}lp`Tx(eqNdT|s{RpQfg9MIBKnF1^h8_$P12O{>!c2Vo1>@lQlc0ew zv#DT19WbGTlrX?y=+N10Fu)^Nl50uxIHNBroLhjf^kV?L0K<<4a zZ+&yB3EwwlNZxr`Ijr2HGdKSL?96W)zkc0y5a~Js^lugOOD9o_vIzBB27mGXh=_R) ztz_RQ23IvGzj(PGoaex^yOI-jzfY%UO(hQ)On#eztd07Yh>i8Vgh;RSrmP*@zb*KD)c zBoKD!Y+wZAh=NFcU|$sl3{$6loz4Xt%`y^+PqCdldXW`~!7qR2^z9U`@%VQRcrg+= zs7aHEvJ@h@Kfl2~&ia&Thhw(-;WYf^;EB^|-qOG?xCQdd`87vR-qhK9#@e$M%*g{~;v8L%~A+!qULu#CGA ziHt-E#W92GTCQ*0&rg4&=1L#n*;Tx|9Yj@SJK)_bm$@+B2=JS#Y&Fz+-NS7eAOppE zW5s$yMVH9iCaNrCVgU9P-RQ+oiC#3 zx-9KWBizUTg3eQ}_hWuHjiuYEE(Kb^_^V;V3iwt&G)p{Nv2$a z_>HUMXr4f?3VXTCjl9O#yJ~LOBjuxDbTl&8lbmWv>@8P^oN6j!TCA2G0}8>wy*w_2 zlRNp=r^&OaX3ACwO`&+N?+8kiHcgEN-2Jja2rN&UE^`H;v9DN0x8+;KqR_a%>D!J} z`=x_YCT!p3Uc+V_hM0{=I ztDYtC&)U8RcY?fD?5r=9P}yV;4jcpJ#Gao zHxHeUz|U)khV8!x$Lg3(l&nw6Dg9XlOV%;dK0q>w(^#Q#Q_ttNC`(_a=vZuvIBUW% zlxi(uYoq=m!~6#I4ukFYv={-(mjg~v(yX22MaD%^kiOir6)I%k)#rd~c6&eWDJyPy zTHI$@>EMN>m7$-nW^a3Q@DYw=a`XP+Lh$B(`lXvYNcG@i?uB@AGd+lI=DQ=f2Z%eU z6&;F7>mvV+;q87T>~fszF z(_1<=^9KFjGUCEGy8&yVP4PI?BWX~Fgix)&aev&sb9oJeD)+)Le=)Zi=SjXH z$6G7geythOkz7}zY*=&&jKZ3eci`@_E^eT#9nu-}p&{t~2H^1A&&BLqet|tNU`@{fqMTs9e_eDS-Ntoa^4X`dmZj}Qp4UyX5IBkcd-_i~!-qJjh zfwcHeAT1O|FI*uC9mkX={u3NLa*)WS&CnayV`U#m69YhB1P*1*f>2f-%;<-hUGZsH zWOrFOO?m+C%>s-MHEz1Zdwy)Q<7^C0Ggk&8;yAh}*pvqOQ4z2t9J!+o*VZn1rWhD3 zIO#zHcp2w_+Ck+{a?Ok1Mt213cA1HS4vz~?KB2e7=GU~qNkTxE5fl?|HU3SFKl zc)-Mv6&)}L{Dsa=CZH~g1{nGsK^HR#;F^k!Gv??+B>{gH_yA7toLiuVlb6p%=2(%6 z3G)frBc&g(Wh}>gBZ;9vFkF?jgEj=bJ^Ea(FlPuE;CJ_wZ}x-hfc@Z(2kT$6!stHW z5HC7_OGZCq(#6&lqRj>%0s`7v*V@e_G`816@1nimPi- ziP`m6;)Kwg-KMZulR$VrBNkyEcfBLF`X7`3VLZ6=b(?;GWy&{Fgm8*e4IP z2D#2qh1z;$%X<6P{TBMoXi&|Z3{^mznLK7jTDBD4;^C5=B2NGJwV1W;+< zOG`3nI>}j@Ay-a*A=iSX8&IS8ukL-1{XRP4f3p^RvmNY2>Aaz#|IQLGZ{&f6%!pp) zkLB^#m1aU;1y@fhl(KEU%bs=t>qyvbRZzwyDi=p zcWL<#Gr^C$25S7o%oRV2Jb7T3Q0r=8%>JyaPi25W`A@A``DHDz$s z^dpN{sTXGaOpy6oEWU*wWv&{O-Si>IABFx}2d;xd@w$&oEOvyolKXNjObGecZ3-!s z+nW{8l5CB@7+*C;h@b>??F@x{uefSSEGoYV>>%EBPE>Vv!;?oHjKM}?)M2a9>#sM1 z26nnM*zLG^HiD`p+Uf&c0yj`=POKXT(A?YH@Lbm@Gp*_W(>(ADokOuMa}+Rk!jI4K z65Srl_EDz}54Pn%SG(Nzsl@q_;T%%wfBFT)q6#%hmr0!#)4k%zUw_Eq*WBCPU9r<9 z>Gy61yCvhN%Yz;Tinw$}SX+S41o<{Qh@+QD%`c?y4jb<2@Nw;8Urnky3`;r|B z@DD%)tD%_Cm8RlCONJN&n)yf)sQfRvHZv%qlStm<+2E*xjq%PGAAXujdNKO94zx1* z8*J|)pfdXFZM(!Izq{FrI~3RVBf7dGNHh(Fb^FR1e?Z<-dA5Wb?T9A(apv*%+4Ja! zzKe(T8YJTy+6#Y+8^JTYcfm6UDda_CF=YFJaPlVlW5QUg6pD1dV8vp#6+}_xN6(vMQ74-SrKN@WmzPg*~+5D1L!L}MpAjQ;<} zd;cHAd-Qtk+W%n%s5nV99$b>L0%O1P^NGU`0yeXf5Ih3(hX@+b&d zbGIn5q35W7iI*TzRt{ljH>W(|b-oBh%`smvdatXc5HMD~X4B&}vy(XZ+$dzfjg}A# zts)GEQvxkfZWc02tWQ=G6f+cltGq)x{QqS1ru~qEbtPg0t@whE*=7q4APCJ zkiU<^7$>*;fuz1`73FIfQPFA%*VO1RCvRjsE~aP+W5Ce()$bY6Pw*wUIwS&>QOHFE z^+QhhNps`Mz-Q+~(JlLwiFwSXkDV{87u-x0|2r0bBPBufd+fnb1-<2!sAll7IR9bY zhh9}8g~vmP2${#uIg8H;c#U6qmCUHjY>I(LXkC_C&CyQa4C2s^wzrERpIkT5^Y1h9 zUnTwN_uII!u28rnSH}@^l%Xc8NrN<1FCqqxbAzQ!%}*85E>W^7BzbKb#*a7RF%q_mfNqV z4B>W33M0*Cv6gLhQ|ad;sDJ8UCTP-2s5oo`W7_l_j>m<$Yt zbM4^+|E>I{-De9W#RrwLaiO`xB?(WYbPf>nn+X!A&f%N0h|`%Ouh_Z#UQ^xCfzLMj zuv?V!2vDK26?}-Uq2YnrFo=O+m;K1lOhT85lZ%B7xmiz>mFasXa6W@sza2u9CEoZx zQq*!CHY06T|5^V4N1&Q89ESk$2nAl@9%5x7YZecpEwkA>j$bStS8`>5(?JXLW=h(2 z0evY7FAXfVLVdcsWyLx{}>FVrJj^PcG+viEVI>J6SfEQ-*Wv8I{NulF}B>y;7y zSdL2g$P&VXeWR_AU*O>drQ42}YJYJ){ZvjH-^G%+wBw0ZhVb$-Pay{mz7nY1Fa38I zkO-i<19LTb`XajR68h;P`r0Rc`uFA{a5uV6r3c>z7&M*oLOK^#)@gDuqMhzy_DIs6 z1^PVJ)hFY4{eoMr?po4%HkU<=y9QDG27gxDD(g4H@eMe5q2TVnq+V*fe6KBuEvt#O zlFI?6Z%)pA3wYVMtLffz%fuK*(EpASy51=`N$2pGr`nw;0b2o(4(VH^c=O_0cCXBn zmp(}VFTFwl{~_&#A3iPXQ9ph|_|LJTUXic;$>n#HEnx|L;?of0WSqCZ_5uN)Nz54C z#9O4#i9O{O8R-Lkng+1e+`JO{{Y?CXEimXdrMK?jX+Eqq$=p;+P*SQ+9*qtgK622S zpKU>@p<9!?8+>PeB+bTTjpYKIxO#t6b9g&rX_%vK*uT!keD}Q<1`Cg!Mm_6?C@LPi z>-?;o+BURB41?q1VX#&VgLZ{DqqT#5@L4O9e$qQ%E5_|}+vDAB3MnMn9N#y^GlRy- z_pRdF=fA)6#e!}bBmCC8 zVE2*#2M#FpVVA7gq*cjkP}is+1mKKW))0~q z4wR!a6g=e_wj0G+QdMuX@7YRK@5XS`-R}3{wl^z0m)b#A|2TV>5VSXxt81dbKzg-H znl8t1xx8x}A;%E*x=5varwz&2(z|k4FW7shvJxjnHFK9;%}|Z|P$eOZaJT(D|JVLr z8~pbq9=(`<7x)B955K-Ep)Ww7;c3hJo&;Ii>p0pY&HqvOZc-o?Uy{d=Zk9Bv52X_{ za8(;8($TeewBtk49SQrg*Bo~5XXlG4%9~1U5i3f398^EoAf>^9rn}kR(z6sh`uT~< zq}J)OB!n8Cw)6t0>1GcWbu9^RS|))U@dG>9Vq0r??I658p6b;_j<*NP=-=sRETia-Cg~64*#{f7xI_77xuThhxxa<=gCf6CoH>etR^zj#Cb)b1P#=R z?8;z`#CM;Pmc2rpY)Ap}MlMJoj;xUVD|gT7B9r|W#O1uS!5Pfq5%@YrkJHt&TFrBwowGbUEOs(JVEFlYkGV9q@){zuE;!~!iq}Gz zDAGZNB_@QbEjVt-K*qGDs2yob=?8lytZWIiY%uCtC&_as$r?I&tC{SpFZgdmaWjuP z-e3>5g$vRC20z;c^}h{>EQe>>JUz(`|Mxg1WJ9;O(4mEZ$!$(`x)0HV4wagixKTA2 zzO+m#f$|qIal2{m_|kLIm2tbdP?lT@v~?JzI%8HJm1*l#%5}z&e&Y#6XG4@?D))c5 z-h9PhTn`V4^VI{kKan-5;Y5##uq79m$T=GIk7GX+uN*P&ClgM52yMtq0+mo#|Nk-H3;c`krT@eCLjD`yGx~SF z7b7q|4dHt+5WZ)FYxpI7n+=ueQ>DS6VlPut*z+scGkHSqFE5|*Lz}rlC2ME=0YQmj zpit?Ex&S4L8xp)v$1{-$Xn*L11fH{~)F@5FBa{~g4ZoH$Ok1W0z0<^^XM&wEENjO$ z|GFOGq3RZmYsC5eo#Ik@bnOQS(R(F(!2ic&@73H3$nN@?4Jsw%XnsNiV!v z|H@SKeM?!9nqh$GgsJZE zFs@!veajDl=Y@Ui&)$_V?E1vEsMryi*3_Ux2l>dN?{Mz!3Jo|OJeRE~=kPFVjq!H0xKCJ~5uX^wqGG=l zTlF7(FJ*2fcvQ|xcyGD!SD%Ksg6W7-7kw2Qn=7@dO0h|rJjhTq-?>=SKBozD!1`Nl zrm?OZ^8-Wb0L!oUzrVwkTVav_$9v5iG&@N4q8OvaN2OR z7Jg?hV`u;FM;=}OqPA(`PYt`?UcT*wfSFdWJB--u3>>vD@aYs7P>}2|lFfh3{yJ-Y zDO=83PlfhjXwY~90;6bRc^mI;bd78>ki+B1w^3D1xg&sSErjUA&=gl=Z<}!7Z4H9* z0&;s^^pV9Hc_i~c?|$zkDm$DnG8C_*N|&Skpk4gE)QrXNQ1}=1Smf>LpMmkfl5D&` zwXDGVTm5um4%ZflWB@S@O0Wc~|J3$}Vis8;}AZX1FPZD%wJoN)CVx3EvW+p-nB7aG5-;u<%zf1z<3}^IFIm^ z*)_z}D0;io_}wsCqcOnDP=}`dMEU<9^Gi)R0JyXv$X#R%TKUwN&60~h%E&QWc3eN7 zgM;Yuq0payXnFFk4zKS6%iCX{M44D1|A@eSGuoc)xoJ=h(5nrN^}Sz$ zCGtUc&Pj#hdXq9($b;Az@b0giuj?~Mt2XH`>myA^#b(h!3UsJdnFHUsiu|ra(fb9V zr-@z1dw6Qp;EoA+XZ&Wd7EzDtM>f0yr3^Dd{ z!^?5`0a9N%$h`%Uq~*rKitj~xO_Siy4lfBKP;k-kyyGKZ|F`v9B+f12|3a<(jAj7KyO$lh#Jl z<+{Z!pP=%kBb8|%Kjt*MVnT@YsU-aTn4u>3FKUDj{!+duvvhj(L@zHq;Kd#`j4lG}yEcZ1wh>3Je) z@0jG+<^I2Bce}!1Z&q%@OCa~;!$2&3SFp3E zuU~9ZC!4m$?yZ_ zCPsOz=I?k+%pnWMLzq;wD5BgoDKVfWx}0N%UWmLjk3OcGC!Xy$Z~qmDWgsAev52rG zjC3Q&TvEr&s39^?%dIhfY)Gm5Vq;feC;{$?D)N65-1Xh@n`={qL%p-b2J_up>^QUU z{(_vpCFPQj4xc4Qu;$8j>R>zd!X4%V_fL5D^0Vjf(#uEMYHUPAn0MmuD3qx#@h8yu zG-7a86A^hyEsnH;#7Ql*i6VTV_g0si*KeH=1G{EK16hpWJ^%LhUg;hN^sfw0nAfYZ z_19G(d~cXj`9~&;$Tud5@JvFHfx=1fOsCRq5LP13K0LVo;XYe>?Dffq0wpK=ZKO{BzaR`xrWcAidvpH@q?d|_NJ zvyXQ=13H7Ma<2Om>~UK&1cbl;5_N#2eJfg%Z>wusmiAh$vuMon!zI%h7pl)*=Jzlq!-0o4;px}dGS^$;X&1Z zP!*#Bp{5n}myO=MlhmJG$#h+GaoNnkYVbWZtnr+Cu~R&T4^-HM!G>FJhID8^iZl#E zXfE%apv>}s7Vp$&GlEiF%~isK=!?gD-|3orbW`6Xb=}MM-+Yu|vIsBy|6S?)`$Y)IzMVMd8cWFV4c6sd>vFJ+MVp%B6|N>8lG# z?f#ARAo||&*rj>Q)Z_Jqau?pxo+3LI%Hc%V0b8$%^9Kip8gzapmS4M-$owucAoiWfw`*8h2RS4rF~Je`2<>M>6CW~ z_UeUd*HU5RW=c3 zdExbm$}5tm?DX`nKk*&@91HPNjK2KxrjziG%WlDG(d41}(K>X^$YT+#V~u-ja0dgU za;rlnuh`_d&Kbi(+3NGd+*AKzz_TDIK1)AS_n9y-eEoSLF=sB)%R~#f+HE z!lBsNgj_8V&XCP)Amf?XbB&2CNmnUOa@B z0Tz3et9m(75RA_HRbm*bljhf?WNq_iBp?{AumnQWGIjHG3zb!zrct{U+EE<~5TGc{ z>>a9!+OX2MtvVaj4e^Bwau&+p3;auO4?_2nAO?{v0vriMkI7=6?Ze$P@vM|3_IiK~6tO}hJuUeIxavm~q zp5dT$6TlDkLNRmx#7&9wur3F%M|L;2c5aAn&`G+o&i<5@ZNl*|G7qH35q4EBshIi7 zY5$#&vW|Ze4lCz1n(HboMv0^9>MSfK!MO<0^`h;+%zWowwiP7?{dETW|I8ahtm8t3ul| z+|Y4&+?==6C~;iakXLWzhiFbD9bkt_<_JrwmGKo*P}e23 zVzYFqy^ABDEoQY;WTs{2Tw*d;H}bkF(>DUDATF5rfR!2-ypL#Ri0ItudY$1lHX%Vcpb ziT*JJb#n%6X7oV9xm6E7ECF%*=Z2u_XA!SvNE#Qq;-P~+kEZB#E-!qYtxI3$_M?f- zUv^Qqd+o?gT`TmAY{*|zmh}%)kwbKNMEMuzqG{@}a5sDD{j)$=uMu5ZJtP-Htm*E} z#r$6v-u^9iWqL@doIu-RUq(clvm=!iaSbKqBbryHXIvryG598qIX@iN;hqDr3+)=I zn2X7{Hb1tW_55lE2Vaqpadjo(?vRA2G)HEG^OP8?ek^mucv_P;;~$&jzeaIIUYUBu z7C%M|F@%QVPqNOyr>a9Xe6eD_|Fz-se$wYXepIR4F1A`9eR=Q|736J$v+hCwcOg38 zVOpZ!PjbTl`#2_GgT1Wi{DmFfSEV1~f*kzch7ZHXka6r)Zn(a`hHy@Z_%@xt&EWSR zn$JVu#DePXW3Zy$nq0UAJHVQMn5OQ&NO+!=7qQZgyh&JNeS>shMehFA&4wFE6!L!# zX*Zj;K7Qzk1Qnnt`rxFrZT|XVbeK29(0tA4Zkhj;(ao_sy%GO@5nuJAq>WW?<-G3Q zdIbB5EvFl>1z&JQ`gGZO3YLwmQErDE^xOR-_nmZzhE61XzDp|`OhXDEZpt8986y)o z!2@>JCM?{?3vP?*+*c3Wxv^h3064F?^z?T}r(d17ybwNVl?SU=a>nS1!H->?|43en z&w?aPgHU7eWZ*k8hj>Fp2T;HCL$iSi5@I_pHkKDWf3#8T8=fl7ikNCC2ZT%qK4MM3 zn`eyS$n&3Qgu00;Ln<{evx5``+dv;LnGq<~yuq(6+gOhj!hS>P*HEOb!e*&Oyz2l@ zI$;yz!-{HA*2(S0-wkRb)|V3SqpTn*;@DIUX}{pP5TU(k%2PH#pt)%Zye4G#NmoRe zjTBTExSxTq%E?P7gNx&@84G^qQMb+P;)id)4)N^dJ^Z*(HW0{g5WeXK>Dg}4<8eD$ zF1jHF&h@$JJ>06qwS-+p{)A3wIB`(yI8{K=^o<+z&|F)(4okL-Vif-%tqeJ&$S0q$ z0b#HKx{lR+=bj8XB*AM&iosI!X$QrvUgycJ@eX&F*zAA|o03S&(YioDTfN8BQtRc> z)$~GSK!v$2>a%Iv7w@gd5cIL)W7r?FP}?utR2(`du+U96WY9_j2c6X z5l$D|jY3*4-)si1iS(kccc{V+VS%6X5%IbEn=Kh0Z=OA^j0MTt6}09oR1r&Dm`_C? zpYIoQpOn~3{YCWxKAEn}8+AitVe^dN-S+$P(%DXmBxtyccSo{ZB4wd5hWUn##4~U* zmG`(XTMjF|thWj463j9nI@w89FsX9Wv$MdZ&x=M2`!=Sodxntjbt1IwbGh)6yj9Uh$T?Gcx z)(k@lbL&SR8VM=J;s~E92{rki3>^sM4(t{QqMYUCZRXqpZw(#L&IBL%rF-J6&iC#t zz&agu{^x2W8hDXiINqmb=b^-al?mr-<%o5B3C6T#diT4JG~HlhGLXJNOjqn?n1s$v z^SI^MX6lL{&-4MNxbb;tuE(-Z+W|#iml{kiVY_y39L}kmF8wwRj=1qtTm|fN`@qIn zOdohv1J3?OjJ@iR0u-b>jY%+w?-pR^_rtO4zWHv`8Sh`cW>o=Cdj3m2OnfS0%4vnQ1bOY#$hkD-dll?Q2| zcn`YVng9b=v-y#|v1J}!LU-w-v@kVE;VYJCg)Byl(+>7eehH5U_x?!%;bUVv4cSSh zK14CKs|KlqUg5}k?MF&lMIMKKX&aHwcnju6idmt3(;+4()&}N(RpXy7UUkDgt)8{W zwx|szoGPxDlr#dDOc{$r+=jDeya;z2u2$KMpUFmaE1EE~>Mg#h@Kutx8pt@dq~`G; zz)+UTWAwc*Zi3m-4z5i#)Rd{!T5!{ptK0ZKXZ`KW`rEqow~htOy9#RJMdhz*F`)|} z0(G}`0qOp7c}QjMe;)r)kXwE1s=M&ooNJ|KGFQG>a???n>Z)CJ)>vL=rFJssJyz8M zvPQv&ROwFg=;^A*8wYs$JinB&c>p9`z>$!$TPsnI3ac=M!uJ44R)5lo>qs`BLLsEI z)-1WQ2+gM((sg^6*k;B)L3zw@wFW7-Z4ABVtgzbet~*_;|Ce34M*Jg`;<=iSe^=z5 zycX@&B;Yx|z6*K71>{{cxh@bP6}iT)-_q(KU&0$Z&IST_UIT$w;R4;~I~@WW zHxM_p1j47dt|8@fkBJIV0DpjoqW3}3RV$Q6#FW~p9bor{S-%8711#fQ~vo)(Vb#>&ZJaR z2oIzTW2eyicaH1V**8Vd$@aTv_2Q2&Pgfqo)Z-*Z$2P*Zmt;;s?(}>;T}0R1c7+r+ z#fR-!bX^72{lKk@y{X}qgUYB z_pvN_Z|8=`?zEaViEF}3p+@JEn{AtmFN5Brhs-x1rT$JmwaR&XU@8du>|7_TpEI`Y z6`UUV-1f;iRRHxta`$nRIR1n;Ux;{z;Zv`4IpgQIZDGN0FL_CqP?DmaAE?fZ$qIbC zR1Owu74)$KZQs<#`2Lw4y++tmcRd$-Jo2Dj^_p3Xx@ONQmVaDT{A>j`Z9Hm@v3mOx zHew>y0L2iK%lgSFgyWK!PV|we>a)wmYPAZK_9s6|Vko~fyrAYybwgvrLaeru-PlAT zavf<3Y0s6n%#x z8;wl@y&FjSn4Ol_-$$YXyP!kgG-GHk!`W8nAZECkg2ujynSS4`R#?3;Z;}Jx2;#Ee zCnm6*KizcMJm_U>A^RDzp7Kl|djrw^RwZt`j}QEI-8B{Au2>nUyOJ4S4*2a19s`z8 zz|pj8__bQ01Ey z;C+u($Pb_Aii)>~!Wbk{Jdpugy(z|1Jb>x=MVNb z{-nXh?EPeU>CmUYiU(8b+HZnJl!gMixHbj1-m5HLRfE|B(lPO4(|7GkhE@!w8sNID zK;1t#M|`}w32$2*(&DtBio~KEZ*4b_sk~5)+8@R)_6j+r%mTU~hoOV4iJ_B4hgF&^ z{P~E$_+M6T0N~i}wTfpq-W(Yer_yWGaB0Y*`4gKzXBW#gO!K|P4{5H?>iu|i=#vpC zjtqRXThXHh$il-(xco<0S%Ob(0jONphKGI3{cDS?*>XRWq*ZPLrM2B(Y^?B*ni~1! zN{jYJMCj?09O>yX+##zEJ$*Wz*;E#+}Z^&+bjm|xXI8CWS-qd)xwC-=J5zP&VnfPf7Y zxv6BHpA)Myb&|k@MoFMjizJXEV}Ui!=BP@4nZpXbxhm~zR;NM2D5KF)gL0y+`-j+) zxGT^Fg~1^^)!2j?S#*;et%VOETR&TJTI|fI&H!1Lakkvb;G%e8)xH=N5MRJbNNP_v zT4t^=h1)$XzJOhFyZMH!j_|0D;cQh}xj`naiY&oq*psI-n;~5AX(vt&1(_e$yS6EO zmZPPDH~{*`<_6oJ3LHSfJ-Wgj?M>y70pD$K%p|voA3x+2i%jNITOBk%5rn9&1ob-3 zBN_%jA+ZK?(9bYb)YJs^_eQtInBV@KDSP|U)32AOVnZv-jFk(QqsEjyM@HBG`!!N+ zaLcOgwK8S7XC_#XlJ*j2wbaMb0X^F)U;Yycgx+)x_2+IGna7X(vy*H zxN6f|uT3~ZDaqyk`I9V|L5So6IiT6) z;i~7L9!e0Kr)3%`4EYM2O(6TsN=30jc=0l7Ui}Rmp3S%R4othY!}etZl&IjWOnQ^r zXsg_QJQEKk#`!M!2~SbgP{=FY6mqEsX^q_zzx~Az)9Vc%c!T;zhTKqR1Dx(gLumr` z0z%`qjj`*rJ9WZLpgRkn zQmbHr%%(BZG)6tCvdk%won5}Zct}e9_=4i)&(!eQ&Lu-E{23a|w1B7&(OF5OMS*=# zJKk|@De!xBc)Ml6xV%SW&Ng`B_i}R4+>Ig*5srwTl1R>YfDP@K>V|t9OIPFNwszwZ zb+s5RBjgHSin}68#?dIGN9jD5^I*)&UckA2MmU_onZ9B){Hi;B(`$(;F*E|1DT{w9 zgKb9BOywRDE2#|<+4p2vc-wa=+iQsQE-|j>n(d|DJ=*KEkU^WbE?6Z7In6gKC1UC6 zMO%ff2YF!7S**vnDJ)O8Evt!v71||B#`3Ep+nJ7!OUFK~fWO&CjI$lvzhL)&AW^?Bo(czXt~v}zKZL!mSKFOqkc~BmhRH`r%){o+V5a7D-s52?U|Hwt zb^pbobuYA?_;5ZqHDw%oQh(Xg_d&*gJ>X*5@^gXL>_Ki7A5V}_ zIj~85m3ORP4;ru^qyU;`70@-SfaWwddwL((z6#SGb!Lxd)n^lr6_+|=jjHSyF9HF<@YfzM)R_l9WMIwvZ>@qe0=J}M=rK`={Afmu%jp$ z1q^CN1_1{~f#r3S6h7<|7S#n3&o^^F$r>}o;|O)73g8TG=MK4ZUdX&xEd(QT@m3Ws zBfU`or7g0YHda5>G-W&-o5VN)=?z8Sai0T{#l|eqi&{{B(IQ{h#>JMKx z2)yV{lYVMu+nxS%wAW1_m-vpbZ!$8ZOxg8xwhRIyAh+6tQG|Ca+S;6At^mOV8ef`) zKV_;}Q-$b0_mQf10O=cQxt~A5qUC2L%5tyI)}vtWMavbA^y!XTGmp1eBYz7f9sXvG zbO%T^zQ}$*VvQV{BKrW4V)La?TB?rlna?Ni!ibm&C+mXjc$?I+#`85yuQ!I>_ z(A)9zCb~D5&y_auXoUIA%V+mt_z@yA11%P1@ym&^k9Me|KM!kZlN88E{Z^}U1QJSt zB|BSn$?gZdD;vktzxfDI;))t|_B}o6iu8KEG{Zw~_a(;MO4^z@R8Sq-W1VfbR7kOjsbk_^e(A&1`j}3N0Hb0WWcZB^RW<=On7Uqw zNlaaCDa5d!CjJa5ktnx@l0%6B<6UyneJv+#hyAebTbCjQILsG#qwJBu^)!gEa$tsUjxu!G)-B3_ek z*HALjP)a{-Uha~6(>nyjcmR9$^_j=6r6fUK2L2l9;j)or}<$iIUwdi<7ZFUvyA*jLRZ*uOI9-fOLBb)K>7AsWi;1cdSy<5 z+UJqlOhIt3y^KtZQe|dD)s+P+NA}+yrkunU!4A#7HE9EC{^m&Sh}pCMPY?6g=#x7_ zom&)tV~?(XY15(dK-jZ~Z2nv~g-d`X5mDlwH2+%rBZStET(CV+h5>}wV8(I=xj zSI-ppxSm4B9|p66)#35}FVEaX25>1-B+)%&4$tIXJ2iU8n8O7-UL}m9nMySV2wL_*=y)W4vIEZO$fshE!Q5G~u4$ z)zr`L^0(+etC`k?n-c9|dj?FY23R4#U_Fh_#$ITg(#rS@8`RkuLn_peQ#Q68y5tvi zo{R2iizex@1FU+y3>r}b2GPa+#y!fOLZxpQw7?f>sT?OK{Am=RS>CkS!NZ0~8g~oRpEwsf zTpd74rd9=qZ>P#$T!5f98*pcmpjZbMeSoxyq_!RR%O?`MV-zc_mrrMff`v*q;cVrg zc<}|2Hl-&0y~llpD)R@G3N6t5w`9Sl%sqvScKyw}0Doe}@P~uAgM<4kdBmwLKS1=% zGc8^fS%>*b$LMH{X>_K6H7UCUKA#+N(UPy(i<;?7Y4e?281oB{DSiN!7F+Ozy$f80 zx&e!6l_;F_=NU#A>yZ$wR?ItfCQaemU|!l~t-i$%6tt*zpz+7Jo7&=Gb~RJv!BZq9fxY$_&tWy`);)gT`r@ zx7k@#s5N-pYlrd7V?S->;X$h#?tolLlOCcL0u2S(RK~#oco;X zjDF)?#AiK!v5tCOZV=7QbjMK*DXp1$dCzr6j`5y_!Cu_sN!w2)tMWhd3;T$JnV`1V z0BIY`$fSLX9dwsxugz!bW0Gei4^U#gfAr`qH*NFmY2sm*@fOE1&(CevW4k2ZaJa4p zjwQg!A4)7yj(liDqAsi>^^2-fuNFvqv>zE$ zkYvRAl@sF6yGp6(Xz&}taIj)zI_RXr$VY0)WuI4JU|DMo9yDomZBH!8*wnbYHC47@=N1?5Yh-e; z>2-i>Po?R4X0J;<*nH5<1`U)!W4!ccj76t4%lv6(a4oBy2s6zUBbQ2|xX- zRkzxGs*}CWetq>{V>N8T3>wrG9u41@2+j7g?{H3YMLPA{q}!akv$Wh!az)lj!I#LA zD^1is816m&zim~01d15<_{RJbR>Y)zWX5Wi2?Sbtl--F=H{FUOEh)a(d#S~kXkz$$^#UBMy9O@%q(BP8jR7ZwL^*N+QH=Rg|I{fn5SyoZ~ zCIcef55UcC0n@zGdN7T=f$rG7!~^y2dj3U5NmQ#C_u~v-2wTV2r#FO~1eI=?5o1CG z47k%Av{EKVX=&B3N@zNt%XW(XzH%C$%hqe3%U;(Qb&zUH{qw`eDs?OoUW=QS+x}yR zGy8>24lpEtQ2oMNC?&=|S3FOw{lcHo(-fel@d#e_X<=`1GS#_Ldxj@1Q_(kKQbL5qisP43o^>aiGiZvmoYY27;D)ix$Kx+P^t=9NIRNJ<3uo*u>mF=gC0_DP5ah}J ziy3`hB}#Hi_-(mR##vg1rxt>t%cb>1U?o+f#giGff%&a1QGO+7vfJ1CX2nJF@&)+x zosvhu?`?`4?Zy=$+lxFp`sBSZs|`UjRT7Sgy>&7G9ic1+c;uYNiUG+^+r=?M?@<+f zx39dn5u2$BNj6tyPeL0*l6BoH6D8`6l{R4&F4@hdwVYwn(`T(3DR82f{_h*p->G0N zW$P2oDKMq*+0{cz;L#KrrVD@{ETe9J8FCPiLTG8CUxR6hHkPxuJSHYDq+0S3cqHb;881cj{csP7v3+5U=Yz38R&(#KNF7q`5et>U)T#AB5_*fb+_5`*|sL?8S+xt znm*3MlgC$ZI~o`E&G{zUB%h@QnAocae3NkZICkWj&@zm81b^vy@$}*>Ai&VFX(3Tv z&&K%cvAySTc;|R5v@mV#<>F*13MQ7)TU_zyfWgRn@;0t+Ki?`ae@VSZdTy<>*0Ris zKgpz3#o%{)aT0-r=xf<@Q*njJ5y4$s_0f$BzCB6M`ca$9#HQ9}=6UazKwX)UxW|Jx z*9sILlSq=j2z>(}&W=5($h*}_-Jd`0?8tSK7vSO1a~8Mubmt(eY|QD6^^^qb7k@9A zD&GN=9P{-HB8Q)Bsb|C+#eBn5>p363;%qB$V|^Fu#y6CF!;#tMK7A^%t&NR=ghGD8 zb|yP6S%s5nMJ~o2tLD%lU&IGmJTKp4IjGPbnO*Q%C8A9$tHEQG(O9;l_Dc{XZSI5o16eFh zc1QM8hH-=TR#t~t%ZUZ3L;9uXZWD`@=`GZ>%}%9{Rt_xt(& z!uv5Eg^-b8b$a?SY>~Y&bOAf26Bp^ynC)lO%tuCX8JAg^1@z7r??MMfN@#gq!P3Vw zSKrfapr95^Kz*;ERE+LqKj`M7JiyqK(}kO&^qB!xv)9d{#%FqN>f;T|0r5bc%hqz6 zmGO~~vq)FqNtlzli!QUZl*j~2^N|7l~!xO^6Xqr;n`;lYBX z!;)gG3@bPC$DfPn2Vcp>Dpqm;^^B;b(QW%%LtFO6&^lPKHDH>2r|_K{ax8OMJ4}A# zAv+Mv6L;;;HG#DTzq6y-(3aCw5wU`pl%7&1(tz8LT%W<4vBQ;+$W%SS^O7%@qCuof z6G`sK$>l-T)uncHUo302`*w~gC(L{<=eESa*#luqK}D>$`GhKF9+!66U2bi|?UM&P ziotI9K!LN_+W{_w@v3>*eRXRJ7g}}<{;-T~W?)tK>3C6VU3WHIfe3ToQXR~dA*N@t zzDB`JLdeW$L63XJGk#F7^FI_38J~{Pln}} z%@wKd)$!VOw6oU2!N+LxozH$YsnZ+YQJ?^L{E1? zOLy5CZ$oui#m3?(FQ<2x^#2uFI)Dv6yKe==Y5>QIHd;Zzc{8AZ-8c88k~U=Ko6Kpk zF9sV4-MaW4?GDLxUrz`yTm#zcyY{{x(=(T$5ORj~Qn=;op`kMU{*Jqpsk|OYRW+m0 zLfiG-E>1HvvDmg;(b0rChFxP_S6voJp9L1JaffHta>oCXUME3bUNlE?{Csn==iWJ9 zym=|2stll&@4+owPn>|-p@v{$?Clx=9)xeq^>*={Iq*Q-Z)6nZ$?+#U8xWsb6MhSqUS+3b#%?W zzj_>h-iU2gT~$4%ezT+498fLK635y$SWl8E#P8%xN7dE zX4Af{F4+Ig#oZNQrFfx!N?*CI{rH-%FZ90h>FO>Dll-#mSTzM#j@ZH|L(L>?l}hbq zrb4@-U#aGjVT`$@sr|WI&_GcBuJJe_QGHv|j4R&H;=$Vtc-5HR0~X7@aLNHF)vMrX z*BnQS@avQdR@mG$w>7tmC$u&5G}6kzACk|q?{JT}* zop**=#CGA$aGQv~fKa}ILbizbyhTlO!wYFqkM-73vqefxR5wLR`7`PSsWO(X%>6fg zbA@bnL=GA%NaK25AeXk;3B@)02=__cJzfjn&8TxnD@0!DJK@8D;1`v4KPeAEE9nW^ zFYQ|*ckXOY_QM4m5hp*3S1mZEw&@#=yw2omy$8n5lBVsj&kVLhulRy<#FxO!%{W&g zRZZ`wSNSaMc<#IK+K1<~N7I@p?h*K}la+TRCbQngvk--pBXXXL8jdRb#;Z%YI6ye` zuj8uee{+>>l}Jq^3ytDn%G!Y9R1z zB063E0DAY$dVt;Cet@Y3g>LM(wDuxj-)uJ=e2eB2iaJluBeUN80 z!apeXO>+*Qd#o50VQ#ejc( zt|$}E8oo3=8)DV_qJXGStB$~bK^m*FH}5>rZ};PO3-@*Fm-yKM%tJ z6T@$=(iu=zZ1KLoRk`)mihR0ym=~M2%}r_3@w|3b@E(f~+XA3r{BGnINzUh5bkR81 zM-u?Z@{lhD5NUw))ZdZAp?%P+`ZeuD3L+T=#pT<(2^ zkFVwNq*1k9B+_!dmZz0J0*4rM^s*g_O+8j3PqI(pH#*Az2w*;Xh8niY7_Bll0XKgys7oE1wbxU z^bq7q1n3AAqo!xn5~y$}jq>;tQJ>9==27CSjQe|cQt`n@20x3Oey3;2nl4d+Ax9fp zs;xFEX8@QfH01_&5`D{TmSjS~&@r2`68h(%kmkT%n4jl8K~A<`I}=KA*<{^R#_w8d zWrRa&X|n46tyu=%@q;@$HdLsKl({MTSowqc9oR8NYdRMWYzYFH@+(Q}R8arh7tFz= zBj>aFJwJc+TK{-r_poG_R9WiLz-fBQCG_O3)Ncq4yOV!XRTggZ+S+XSI-Rz)IALi| zCj6q>xNQ+!&3ZGyhXI$An76;H)m^*Q;e)j=aN;gAH)`FgZyU0{9ldkQKVT?qs$1E> zs6&fZD|&L^v9l-0TFF0h08=7YyAw}9DLQ=P+Pz`}$Ulr9EgV}{``T~mW1Id|7sBUB z2~~6kv2O(KP^o(tYH16Cz!2k<%#Lw69o~T&x5G^yld>!A&Mfd&i8~+OjftMTRs>Y0bJR zd;pOu#sf+@0zt9s7RVtbgfUgs$A)FZ-!&-UY&UlRyofgEi!<{8K0=-K_jd+=Dp`LI z_X=~n>(`Vsda|IqYl6;m4_KGf1DuT)b8rWpcgm#J9tRF~7D;kF2djkmn4@$;Jh!o1 zK3x1@ScOw~-c~2gr5QW{&r;7>XHDvB0gVV=rgDYEHiPZfni+E$hU^TR#Qa0#Gj&Ej z+@=|^di1w(R@JmqYwsPOR|y4@kiEZ6ubUA>#wKWgF<272V>+^@T*s->f4u530iYCDZRuysfPlAG z<$UTsv2Al1G)tRLz8wJrFWl|tnmBq>DI$p_1PN9UMXz)={1P(;z;_3)OIZ zfPI|P<)gtG$*J6EIUrZx;|6?~yB^?2$*_zuu)0=CDnpQOMRPd?(uKFr$b#A#o=p%o zmtoY*UuiN2(C?LOs6w-FCd9-D)Q57m%$c8~fF?06E!-I$jH-ED%v6wFS!rT&N87nq z#!Y|*?!s3Fom0c^EcMzo{}*X*0Tsux{f$O&_u%d@xNC5S;4rwmyC)Fb3GVLhPH-8V z;FjPpxCRLD4d>kZzVny6-dgXi_3JIQtE+0L>RsKtitdA_xkqnaYG;d58@9&Um$5D( zt&C@%GiJS)`7U9XvNAB}zE_2+63*#o^SgcFConXUwHUOuRfpSOO*6bLg9`52>1NCDI)m}Zkqy$H86xaOd z)FbYxfnC#Dpb;4+YZn3-@IF?lr&0<8%*9qRqiUY}C--s=reJG|DYr=5QR>;Uw2M2-cW2gj97T#{$h9mgNcH ztE2Ctwu^QPk{w#2J8cQPv^g&8Eq5gNDzqY>rmew+Qjtw8%mp~fd2{C6KSVpC3U-s5 z6GtBlpLo`YdjdNB|9G9ao+O+HGje$nTj(fA+b3;uDDeb{10PIcEujXNq=$HQxRKgp z&dTPxv~&iRkvbHz>aO_R*_fi6SZE4xLYJG3lI*U|w)Q#4rfpJ#ftH7LNB}_RekpSO z7>Cu%{>6hr3=W}dQF-xM3M9&Kk?Z5n8SvQplAxX$E{JkBY!V>i+H-K>7lW3X1MpTLFE*qtRTRc5-#A+CDgH4+X!iPI2sF zTf8)$A3NWk{^Qou1Aqg=f#C_@*a4ZiFK#^q+l6yeei+p`kCeXH=LgU2ib}1gIOz82 z{Sg^^wT@3~CBqMGO0nF8HM|SlOkSNkoo=g zU`X+Jfvr}@m)nXz?1DDqK3a5xUui0KUH4oX$RYos%kEZl{;0WGIiQhGDs}-z+Z!Vnpe{`k%j0Hd6 z&XdKLaaf)2NS}qB`r+n3QiRkFDc=6p;()V=PH|jVg13k+a9l`5h`sE`xA|4+ z^r70poN`TFb6tbMMl_klsHxy(IHBB-fss&Y~&V2 z4Gpu)+K0!LuKFE9Kbs%lgO7hPQpjBE3&AQEJf5m^x#-Q0t*KXy|BI#6F9-V)z5!*V zv_T&JNZ{KIMxh?gSAY3?{_tzRzMoGz6`In-7iaodE%Yy#M(D))#k#NgHg4|~5OI^7 zwBB0|Pe|c%lNhb}Ca$I0@Y@T?rj6eq1j%JoaTXECwj|bznDWK9rb%5@Nmkf$r zd~wD^62nbNG>0C_EV}=!FMD2;CS@7TsxZmOR%v2&RC608BUY)6`y$Hn%lgRP`=unN zCwu0U$bOV(s2FG*kd?Qo8fZL_{nId>YFXo7lSv;cc!W?_oAPPi+s8_>+UF1|3sJHH zrf!T{!23^_@!kzOdrj<}`CI{d!{`ssZ=@>Arq=Qkk#n$vzqk&AGNEEQf{Lsarmk_Z z&%lt6>p>;!q%;DF6j)wun9UcJ_jC-!jwn@dnn|qVQ+`Hli2diJhgwmu{jSzW{M(?$O=s8~QrlCoJ*NI_Vzk`VkDbl6382;&9N_tc zq!Fvj9is2&F=hewnH=O2HjDOBe$eud)26nduzfay&{+nya?4yp7CSAU-(T&< z`5{a#J*~OG@?!oF*}6EYSCq8cU_ zxVnuqLCbDq1IUb{REkg_ZzkL*6_V&AJTqmv1X{%55@?bDhBcH$${DlXW1B=Ok?gXYU}Ip2dzJ6e{zX>s+wZ2+x&Q(qSz+#y!50c zCuWGv2y+2#k>RF@pxnzX1ZE&4aw39=TvFX%CfQnE)nM*m7X4m4R8VWPQ`K zEV+6qG;42v(&_6pk=#SC;Ey_79D>4Vmfp?m(_F|3!k0Lf%xk6@n z!=?WNvy2a-RR)A%%m>nHbCN4H{md?JI>i&uwiekb!})J)gD*xPK5zt+F6=+DIdWL# zd>|TCIrM*GxKu4Xvi8b}wk9PJSUl>5-^<9M6|w0Y%cbL0)e$qxfj`ye-<6c(@TfO^ zFDr*uR0lYgTYq^qwMS>ss~r4rv?N#gbR&UK-YspE_*D!uzK<3@l$zYhrttx`Nm35+ zJ?#xq*W!+oQR`4wgtdsJ)!BzXS=LoOh@vV@q?GFaV%v(iO)QiW2%;*5r2mPLi$oF* zWLb->mEmycmIo`(MpWxi$l6EKwsGd~EQBTK;8M+&LVoCp9wW3exe6K;IW#pM{uhBi zG4H(aaV0UPhB=U;3vX#=XzPEcqqjvdME|!A6p$^xaHG5!zf(a>X^frN{_}A;WQzWIqN8#&2yPaT0E6iYe} zhYO`davLqSLk*E2)N`!}xWqHC%`_i4WVCF_qqc1{Th;edC45mUDKJE=F!vW4oh6Sr z0=aY{Y2ZItB%yemwFnes%>1t`+}bEn{5^3Jq_1Gf$b*$JP(;NM3MdJ`hG9v{@W7$d z)rMR<_cn+lWK*e}GsXvo{n+3Slb#O@Qv>`bCQ9-Zy=(&Vr$?t#L5nB<7lRZnS@=3I z`i%k3kg+D2xQ4InY$HBeg-&Iaiw0>%p%FaZ+cGSA0VMoh_CZ}rr$u>mMM|RL%eagq zdCH5{bZbk>3tWw|_-F~kK1)&n0*Q1aMjJi8bYvgwY`!D^b!4r78CI(p<*9g5D_DK& zMy@E=pDKE>rtsn+i9^39VF;`_YbucK;W4KjIqax;(jKVs1p0}I}&65s`TOmmG#^FA%n!yBdcu^ zS^=PVc>XSylw5ylSzBZwEwFE8vx~9=a^Zx?c20bvvt&;g5~H)~oRG$MfQYM6g%`gJ zW<7lpI5x5=qfuWHhA4Eb5o(Zup`yW3nY04362IaB5Iz4KpAwppJ`cP@+LCYjKE&KpfFfJ;eTW>I z3x}?@PWnr<1cEK2ilIq--vVuVR5@0&B;AfEz&2WCIvBWwUsQ|OL@KL>m@ocs#v+>^ zBU1#S_p!2&G5<{&GUmhEZ#vsBX*=7-R;9o6Wk@J{s?sAk_)Gj!XtuBYif|WP&FCBi zUQ6fKq|#Sc+rpNo(g&z-aUJ=GK2lyvb!yQ=lTl+Z*U5^6g=2lyn)IQ(z^E8FDa2^0 zmR-YdXB()B$B8x$*Ab(#M^0+xREwyL2PQ~IgmA9JKq16>D0>p22QM-xd+K3P#Y~h= zO3g)e>fq8|thXvOJzu`t^_%<{{t`mK!zdB3#VJl*YWboO> zG*GHlwo|`!z~-B5CrbH%m@cLLN3S!HPlidJb!rGOY{0C*FHzRLA0?X%Neh51amoPP zky0EaQ#C{wwsPqAc#sc8MOlBzd)!p+D95-flNG>F+qx*gh~-Q2B*;~n2K{4-!r}dE zHu~43^e>i%vnvWXZ@w1)($e%TqUif7IrIh&-FdV0mlg?xPJqf!yZCnwkyxHOk%XQ) zP2X3`p*J)j`K`aSs3Ue(0hg#V@$LHPqA=zmo$(;>>V{~zU)_+RJ;V)(!(vt%`mKGw zRpNZ+Noo~Q+k#OJClIG1rlcbgkWN^5;3rO$+3wA3%yrDaz)f?;lR*}{T&bGcQrDu= zzfiYcV;KpN7TJ^7$}3m-sRY1H5r=1ER{3Na|2s+~WWblF2=wE}Xc_abFFB35(8>Ty z5w-1^yrj5cwep<00pv;yk4V|IH2Mp)PvNjJvTG*vPO)WJ*X+QZ+#F@VHL!ekU~&2T zm%b9VhJ`DZFS+h4~ymK;eP^EP)ZbW_A8S zCYtbaB+J^F@?s?Gh_%j7-xVH<*vxB`>XT>UE_BMrU&_=7v24noiQ#<(@>m=V8RcC3((ew>KmYIq=$TLW=LPYG6t594)RImEO`& z3t!czI%S3Q<+>8VOWx3GN#VsUos}GkwsQz zTQoul`dyj&rDo^T^kXoBgRuColTg~uCrBGe^3QL@ur~a|`c_euJ7D+mTqLtSeGi7M?j#Sh=uVMfdS*=W zL{Z<`RS9oFpbK5=ez1sU&3bY}#vxhiErJ+eq{Lc8NFDLA3>c?054_*$&ndhx zQk@NKmTDq3mDtACq7tHx8_J3`yu~UPViZwDl0; zvLvbWC$8O)J2%P)z*Vx*1edm@KSl%sl4aLOw!}8pBQd0)&NRfWcB+4&Z48wUtZG39 zONEM-a(`OxEt)S3DMk)wSRchFEaIm8b1v$tyUS*X<5!RaW{T-=LuLu`^sX=ri9tYA z#9nR4T}bpDNTH@El9HHZPVSt%EFM|EO??Ynk?NHt=@ikvDKJ|5*Ko~F8-wI8p6GpMeCX7=5eTjiGr0c%WU*JOq?-zOrbo8d0 zeDQx%l1JO(rJg2lot23-| zd(7#m^DaG$gT9(XrbJI7lMuhOJHqOhV^A&D|QOxY;xQ!nyg_Q-ybqv|5_sF7v3uP>Kf+`c*g-LTmsq(4l^ z(q8CFl`~Rt_{(*P=&q#Swr;#8B`0+C`BGI#7L%4}&1T6jpo{42P<8riO11A+${qOd zxAz9o4uL=uxz`DvE=wtiq-d)tT0=@|48`Ga&2y^=)2U@jz5%dFoD+N{?N!Z$QT>vr1_D z{X_E$=gYFu3+~H~uTbWxiH-J{nHR}9*-y~t4fApV(BE3U+@1^@wK$s| zhWQ82pgl$8l09%riIwB~ADxlhfq!-O{81J?{;~Po*}X|pgLdQW(%#yP=5!iNACVWAq~Q;`hSz;`Ac! z_|a71#Z_0kK7#u616Ni-z4}ZiMP-wZpcQ@l~BK?3Q&u+Ge?bk&kicY2iuCWWn3da#OtYdAB z-Z(lpavoDzEWsq&+q`h&6D2I0fcyiSq63@Y{|@leuXFz=l!f)L?#u`)ewa&sVkg)8Jj#zb;$7HBp@)RiU~xiJcaXN@R+dRW&teCKY~6w{00 z`8Ajl1~d`fij5f^mv`G8*He#ARjxr49Z&aHj@eZypWCZ>8UqLOuo+RR44o{YBgGiB z2!Xv@(+J6E07rGv#y~1@h7s9DV=7=BMwM2$Mx{7|Mm{#fOhl69sBEJt6|f!ypdFrE zDK4jxPsK2k@ULWit?-a4WQAaxK8>#cNH7x&A4H!wzT<7GqEIO5Wr-lz3%#cD6VXV^ z)QF&O8tE0^k$@fA(Xi~a?_KCj?t=E|gZ|J3InxGR(zDmG?BX5gkf!qWvWY5v!e_Sl zu!ri(Ul++{l0sJwZ*n;P#Kt}~fy6MX#)euKqp-l%GH&iRo+`|~T|6Ge&(3Z?g*5Sf ze0QABES=>b?0ZT}TZ=Q2{ho;c`$%T&#dvP5&=C9k?R(rQq!oUQZA_?_N!HU0xrGJP z$|@2q9pTz$e?z;ck2x_;rD*+H6>n_7J_|lKmEwX7%2TpG8ZchPp@NT54n|t2Uyc0G zLvCbo8MxX7M9gK6obe94Mj`KYOcBlWryKb1tPi8q?G(?I z7{RX#&R5V0+>#+*s#I`Ji#Qct^S&G5H`~wt@v4&91tM=wN#cPE%X92g9eMmIXDDCiL9@D@|ad$#C5v~t|GFdE{f8b5h21Y*xR{pdPDUZXOi@~QJi7=5u#x$j_ zF9?CJ$5>YGhn#0xx@WYEo2b=2Is?06@A1|k@wPLYzpqczegLU(}8*<+g|f4^@yygW*YTKrKx5$we;1ftm)!Ju`#5Urqxw{5SX|cwpG9H2BG@Gi=cI*?v8XxBrFc|XO z!_Q_ekZ?hsO6eZd4e~3LVuiH*P-+~~c5l?zpCr_;Ya$@}T=g-Mf8QsLGv;P`X395`U zzbM$EufVIG8K9IeWy@`Q zcwPvWvt9mw%3OFZqTvfG?_%d}y!m|vpYPqvgZAq9UFC`0lS}S5a0VlbzlrHV>l&OG3gXEnwirm}8?Wx%naHH%Avm5QUtS zMoc9UYyW~1A;b1ZL_R1U-{hHGXb45md!@>G&^l1eTxXhDG;e!5Bb)$^bPrRFBI_0y zfLzVn@Y`X2hxNzBx)#CHA@`eY$AH3xj`-8_6Zh6l)%W^M5^n9Q7vSC7E4Qctmvx*I zrn55>7Y`B>wXd_kEq*=4Rrswdnw@x#s@#moq=Q#4vJ(>9Q@?fkt!)~pF3MYOCs zy`02dH8T2ECbobiPIc9*+F>hKu1Tx1EjF&cUdIfQGyZbz*vd$VxSoc5hS&ApJc(>b zJapZT`?-v#1WI+U<1BQYq~M*K))7iv6$NuQ9q`A2d(X*EoPRK}1Brn_K&l$N8}SV> zGBH39h{utvj+$)I5j}UXUdD7`McDHv?RM+(lfua2`h~z`+Sz3aibq7li_#vCpCSY5 zMvmg*lb-j1ckv(Tk?|FF_J-T>@k+?Tgw2P$GlvwLtO-_q#hM=C6y?j`droemJwL%G zm#xQ47=>o32 z6;F=5R$R#fNdz+@U8#(fBlZ_1mRvLBaKr(4SzC8jf2zm^MJgca|FFAQ1^Z{lSa*$> zTtgM%&`rd@keLKM^3dlb%oowV|C8>Xc$^LjMKK{OX`|aYz z=WllJLMF8I+$jiIf;`@upEG?&+JNqS6Bnp$2($Oj8_GPL?7KWWl%ZnLV8a_1`l?Lm zR8^~G#_yT3Hs){l)PO9AEG4tn+MfcYL{39++}j*&_=BFBLv6JD*ZMBEags?|Y6aSZ zcV_^MvGzt@*U*=D@9urR{OS7mtWO%i9M|(ez zJPF>o6qkB{DGSN6+LLm0#q)@vP<`10MbQ24s-sw>y`oBp>_zvQt}nZcX_%U#;tq$q zSCshlF2sw@?C!G>Bw3DBz4cn26Cn7l4z8%kqTK2*%^K)koPIeJyr05fbd-j)r+C<^ z%6FPrZo!tyUxdGaKb}R7EClI=`Pv0T{V{7T8y04>dAdS_vj_tKEkFsT$ZjmRQ6Dey z)(+}!{qPnx@FnPH*iZgI2e@u>9#Yz?R3vw}4Ybb5xj%;<=QdJfD#PNhhyK{qM2W!? zv%pdJH8hb!yDo$9-HZE@!DQ%tFb^um(%$ZVZ=&zfVCAZ9ooCTD=Lve&7V$D zRT5$=7{A2^Xs@3+^*m~NVOeJj&as7+TH%TRXhb5n2Ku@P>h-+Ly2zWo|K*)dKYs@@ zsr+=M>yK5g8SA;Ee(ui`-J)>zCT$|l(4AuN20(ml#9l~O=jZ+H3nQBC{)VX~(!eI{ zY8y9Rk`8&l2k8=5Puug(#0`x@wXm1V(`W`*X+t;Qa_`|A_hL@x|1j~TSU{3TRhZE3 zT(t>dwz8Q(6Cc;+%-#}&HKa0zzjwm4xv> z(x5Tc*}r+~bmw#ucPjYSX~X>_&fW*YiC6QiEuNm9?T&2kLKh#ONHfM_7ayX4Nv4Xm z0m+7UjJ>N3P&F>Fc9`Sq_I-1S>-|(g?}G$`iUMj3@mg`TU|NIi;2GXe^o@OPtYzb& zuS9Z0WO)AzqPC53813yWJ+|Bp+r3;-v#YpJ%EVwC&nm})A4L=mGQloTiymGVE5Ar; zI)ul0-|fOKhX~yS@qSRD%le>ywmqRXf?m;g>OWTy;x&zJv#V*|aO*F@^mtvO7nfd0eZ{)}_78K)0I=B>9; zGbpj33PF=_XTR%7%bL3@Fv$&Tx~yQL7*lTn>ix9rr|Y0>QLl}a;~bi0L8VJBB|)lD z+}VeA9&s~#{sOk;EafzesZ#k&3$@}!_9Fq{j}pHzl->LD6ShgzVXO2>{t%_2BjKd- zN40W^liLK@=bP59n1;oxmX#B#LI2GBQ{sY~i_g{x9wg4+kDPjlQG7f?=4rHlwyh+Z z3IQ@0STZXVeh^k+2G4;9J7q?aQ;;NaS?Jfze-p2eWBx>(LGq^*V)TF zINx9LJsswI0e2#1Q(7!BSqM7Wt{h{1ZYEM1zm=k+w+l#2J&DC=cv3cQ7M6u1g)cwT zvaXU)nLic`Nb{QNhsm3V#Kb$RA@T&jQqjMt{9jZf7~uO9a8a6=&)Aogi6xm_YpSt@ ztKFA?7h_^dr)Vx0lazq1!T3q5PR*#0kd59gDp5?b6;jxUC0<%o84<;9+(nzod>(_@ zSxrez%4$bu`Z(lvX*K7PX|<*VH1&X5eRWiod7F_ey!(6m)H@+)GEBO;+%QL5oeqH_ zKu5j4FU+P~RtMKfVIB@kQdgCe{$;f-NgUI_*-yR1ei7;F!3Bq~mUpp@cy;1v35JCb}mw9bIjh z#QJuFq>YdN`0JtG{BBB^1=ko?`Fal6?DkXWGmsEp0JY2S($l_tZZ0jUOw!2VO1XIE zAm<@+-+tLd?Km5rJ9TRJ&ph2mD_E*Z4_FTv{ndCh-In>t^b{edO~Y{SHhM|t#QPXk zrG~dYSsL}lLQQgvoqqceFG=|>YHZ0@QYW_Dnx`dOoikG#&qGNb=Qn!1T6f}Q#s_f- zt@w*}d??MNx;wj^Ezi&c&>j~(!v~n(+7V(hB4T4R%Hd-(Mv`DNf+(>W5$Uo2jwX>Z z#9uoR*JG%6>3%8VWUg#{2u|9_tDTL+((ukW>iwFe(Nnv%Spo6mlDZozK zaX|4FmZ`OKSy*YPSozKZHlh`=OU%lU#l_1F*% zL44qiB}C~~kA2V(Jkq%-b->GWXyWh#iCzwMY1wL*I{XD2?b81heA)5?&h#^p%*a)l z+1J2($a}?rC$-vpZzmY9K6Cx>(K29eS-7uhi(D)MlosR?=2`zmnWO3V(CkM3mZP)KRh(3OI?>FdAG1f9Rv#@0~wo77(cZ2NtP|=KWCai-lzTI#e zox`Al7lZwnj?*0fga8pN-P!E=uKCjweGlnfEhDD}8thpIXri;$M*I|+H}t7Z$c#cp zp1ISi99-OPT@EVd|J0(;WW|6d&iSLb$`p70Q$};b7d0`v71j917qmXM!+h?;1Id?H{ zisp7x?m}+ke<(E)gtLqID2F!4q1R0zVh_N6GQX-{aor0cAt4~n;&Ws>Wsmp*_pUXP zmHd=ysly9@UIu2p6Om>!hkN$ny-(oM&NJD4!{EcP@WV_gBSrhn(x=sX_01eIm$>?e zPvP|H{l!6@PvGYM9g{ra$xz{%(5$Gp@*?h6{5!P=1(y%*Gucg@_dnk<-}-%z^dmXn z3xnYwTk@u7`In+>_z9JFZ;-p9Oy-N(xGK;yE&**%e;GC zJh(;zH|gNV5fi-FKgxlik9zlgu^+y62DT>DN4_SsLApWpWqzB>0MyaqUUG>O?z=wK zWdgIf`s-vHyvB=1PsMJR?DzETjjcTYU!eL9_&%f-xbn_co+=LT-P|B>@!fbCR3*eY zz^gzWjxbVjY|S6(M`qL{#W+uB0mS8p9}g2|v5RbEz5H7eFA+>PX;o`$K1O)4lPM>> z_ekOT{Ii{7=&)Ta#no<)=dmLbHz6o+KlYBBXkaKGZ=;~KG}Qa?@%enam7mfIPfm>S zrtZgJg7(qM=BChTct%lC#D6?)Na#}i*I?qc3FSu^QK(IEU@_SugWv&2(J&IsF}6g@ zJoWCTyKtvzW6M^Ex(JXBlihaTM69aWXpw>MW^=SZca+cKYJYz0Q4dOfyVq!q#r{20 z1K9>9b*|iL@{J3S^Bm7mu|kpj{kT;qZ&iCf66EJo6=Tzh4Y=xs#2vOH{hD>d+bROH zLCG(&h$o7s+TfB$;Ei)484bqWG-<8q_M>U|npGRYF>Jg><-$KeVpk7_k4WyXs7}praBfa@W zF<@@o{QccyOI@&Dvsr@~c()tiVb{jdh{_x1C!8rj2c0f$H9&G{-Sh|M48dH^Xin{6QTR7u+4y716#%Sm5h`?Nh_EX<@DaC&d6^Uv5Rv~ z!m){$DfqixHp7plzWkcZ1I*-)oeuYom42c*!=uEriK!yN?}OxEG?A+D)>vB2&drE9 z7Sou;ULeo<$$0?^py{4svjc-Uw(p=uU)cq5IT8uEsRAmKsN|?DcCAJvE}-q{haVc@ z`BfMaI#dzOvRHOWv@7MlENd&)=*!l$<=QLN-Cm1C7abxCmzGwK*@ zBdVf7`hwSr=?CZw&?=%tqi}+80SK%>EaOJn0ZW;*VN03D@fFOZ}n+!&NAb;6C;WwF2-`C2U@x%SA)>-e7QW4K_Ap-H?-dw`CcoHz~u za~O9z8LEJalNrev1{+cvmDSR@9dTnB9V39bsDOG(A zX%6I$%rNdG?G+1^dinnN5fRWbR)S55A|?P`_Gt4bSR_0+02lb2Dx_kMO>0J zj{rpksi-Y)#LG@Y&2Vv;=}=g;3m9S)e*EZnw7 zYSb{0gWYIi{K^!)>J}BQ3}NaP)x)}~MF|V&23XVo!A!f8p`BoTaNg8&oRT5FS>;iR zgZ^xnNi*{9JJ%$pDVZI?K9b$G0HnpQrlgVAM5_AeE6DnR?f+}CH2!`){Qb7$2B`jt zV{c;=Y%_T&8@_#?!At4+;@R=iUUJO_3zukCij+4SD*J&x@Shc4v$E_pCqy3_oZESZEz$(BHO#exla{rNipC*K z<`!#SgRL~^F0Ey%vLn5kas;rmb%AA(fDf>I`o+pm|+6Gt8b%V(ggS|Uh8NN)S z#2VWaZUnQ|7di)GpI@FJNbr*rGOeZ%q7vQ_dJr-nk$&=}tPvJWSj-_F1uMnw+>}V+ zZS!apKLw|~nHq=kG^hT~&i*zVk1iDHZTzU#4CFa2Cb58Gw-%d|edTL1x;!*Ut0XYe zd;eumk&;fEzaFyuJn~ceOnN^I@B0Y1W3h<^R)!<<(pR5e>NP~JU;4H1cYzA6A8c1z zz>ia!_qg{Q$|~2tTeFxAdh~ZBz?@1B@A(CGLXXWd9sOROy}hM9avfBeO-f|7))ahP z{T4}t$LK5mr2g2bk~Zg2L5zI3i@$tX2hS5ddZrKfrhle6%%Nd*$l8;UEi9y4O|Rjz zh?)a&>bPw*?)8w@-=7UQX>`Q1dgJM<|Lu0}YeX|&=m-7_^ZlY1lz7i(U1f!*x6l|R zZUdC8;sj8vrEd`rQZE%OiThv?bW6pvO3Fbz3NO&vtRws3Q0#MwuA69C6m_PW;vOHo zLSpm8bY}QvqvfRdOlHOR%!-jr2K&jVnM}L_Ud_tODxD-HagBTG7n%d zL1V}ft@K5xyQCZVw?cQNb&oMPmO?8jmyZj8xL?YMnR=9bGa?B&d*2UNgr)%C)z|EP=5J-Tu*)Yg3+A!EK-0!?6jF@>!DnMN zeq9>=RL4!1VB?yk%>kd2;qYYPfQ56{bYUxwh?JcD#`3rY%+r)ur&6qzWwSo7B&A{M z7rq}}YD0sePutsk8eRRF!|`je`wk`jt2JQolTiDemZW<@Ye!}eagX1c{teZ`}OkDsM{Kn>|F4uq79gnuS7!qy@KNI?I`h(u1C!MD$ zr}Zfu48~Vcn5A>avrRx^4SNcO@>kR<#a@_XZNvifO=gm2=oXS@WspO}QqpYMQgQ(b z1ljYxATP=%C99Ab2FQ-9cg#igxlkfloBO&{0$-%Q7lGiXmxv+PD-OKO z47toc(Z8ZvjVT+tO@&tu!MVu!f^j5iY`B3t3mmXxL4(a`u2Mo-@wOOY)bd~A+c`aMmUKj;AI z{|FI# zUd21UVqB(9tmShR(|);lWM&Dje=F#~wcr$T75+MHm$Xk`C(=P*$!!;Osj>1_S%)~e z2t`^Ovw>{bcAy0yQJ?!kBVfG;0bg>=c>vg z{l6M@5I%ts-?-C2?DO;%u92wp&44>HNsXLFjA2Je>{P{@kF|RJZ$1Q`;KQ_{*)HmS zX6SRDfNmxKOBC&2pZ*n5tJjg&kDb?FM>A9ESjgSbR1FGtucU~2I{CPiP>XG3)5rDI z4!)rq{GjG5zTgp2d4{FENmn1EVoFpr!Y4ZuIZ&ZYl_=5)GuXYl_5817xDwT52s1&aC}eUwKjo2HRsVV@@r zg9|n_?=tH?^uA}6CNVEF&oH91{&#)R_^WqJl5`Mpur%g4!QD#<3q5hfVTmCS10I;9 ziI@Ex_mP@A^b#)c63#j8&aRpbj?4^Abd2J>5a;l-(_|-39s4qHDBJd+arOgJ_@g6AH;`qvor#>VME+^d#md4fV zNF{RN%;&^vFcV+dEU63g+gd&Jv3KZ`uA)dSF`?S{c7Wz03*BAK>S!Eeq?U~)+*N373kVAndP;rKS}Fxa{6H6n|@<)gjHe|$BCF`u|Ol` zvmvYt+Lx?VyeV7BlDA!e#YH6ls-u}PULbGwu3aBtD_3Dp3Zi#FyhFxNF_d9wY%~a zPO>L3w*(VPFpKoy5Q>+%wKv#&-mBNcbu9CP3iq$nE72obLKl5$y85#IW7Rf{|z3zQzN=m`roF}bquFW(uQM-eKqDS0oo9#TZ7s;!;R*XnokS)BC z9JFKtlna@xbr^1Qk{`3!H3F?rds*FuKTIC@NlY^#yi-C9l>BsQPT(zprpqgSu5U;t z^6ASCYMwP*AYW1NHC#m2T?3kk*>#hIDzECJWau#sI42MtS|m*IalUD;#DJLxMobkD z=)#gN{gOy}z`BcS=gye~?q>1F5(!|w3+%M!C9Ap5Ok}`dpiWi5`+;c2!le#V6_q~g zronv*gIlB6VHNWQ`qDoggm9e!?CPCU?GI`(X(Cu*eNwgjkR*~(9so)un%YzU{a`;Q z-|`G9y9(`rLR4xnkcNJq^)F6x|GACJ;zV+^#2tVCWXfj}!iySuwu1~kHRP1Y-G$%S_ z;08{IRa@VhvTYIYNt}z%S|;S>=5}v9l3f%5BBc(r_idYe@xIBI<-SeB=^({!1kQQl ztK8!w0CJzR2!!Klw!CxVHqa|0e{fH)mfz>PscYCLpD2bAI2S)`r!q7@u5x6D4n+{+ z&r^RE#Cd2uge&){BzVfMos&My6*hfJVe_nJE9IWG(LyX4>Rm`^EQ3+b5bb@U;#%Ny zhYI`^Cf6lu7)3txxi^}WyCgpV&aRU*2l{wO^9m`eqAR$`nwl_s=%H5s>lfHAvlQa|_*HILQ$%4ai=9_R4$QF9zrE=e~R;5JpFu z5-azyZ$E8&-ww%=t<&OTzkb^0*73IzV88y{=JvS1@FWiE@zp=rb(sU?@Eh{Bvnq91 zCOrMRevo7PBcS|}CJxzu6dg0d%o~B}N3C!d&hbPui$S59j*(-Pu!Gx<}6t+i2^Lm;G=d6M4Kd z0i|m^SWxP|SN;@KRutrnhbSG><10uxj7%mmkWAY+cpi{UX;Gl1ZLfSKyen1v`=}tZ z-?xw~fr=sDrZ5i$xaBET2a|P$I2Cs<^PK60y_zTMOtklx>MC81wfwEd>RDzJKvJ`1 zYt6uy0qc_t&~X3|h2m09b)9QoK~;}?{&GdBm?`6ETJ5oTg>$}{Ams!N*!saCU?<#J5CS;v|f|L;)udAt=3f)x#)*`G*u70CaK>ms?>~1!W~sohGW8n@;D7T>%mLAOdFNOAnCWFq^tuAe=Ou>w_(cL@Db2Hersesj~)E>(*sV|b#&W< z_JXBpH>vtO8?mKnv&J>WTx27LUUrhP)V8#bk`pITe2|azO^awSd_kE5zPt|U*cKf6DcWILa#q;qWvxQBV0u6;6wkJ?i zjC5IMkZf|Yn(Gi#0^27`8c%bXw9yt!0rtf4y;b=1Rd1`Z+BUzW1P<?Il94(pCNry3woSW)F8rzG9mkV;Pz3 zjyk^xDk?8xl@nD)NFlI|N_B;lQ&p=%ya@>c9v@%AVFjn`A9ti>FX89>`rb~hFA2GR zOAtUUyM3FRD83&gf`J!5kUuFxp}e0PzvNr|FR9dJTH4ntjBP7SBCANs7$nLz1}BmB zerU`1nKb=_0scyJo*n*5dtMd(N_`$Oa+QOno|p}WYy2O zdlSayF}kZEEnD?>Y0N{Aq9)8m_rmHR3yR~&CUs_HY4*X!oAq(>H^#4u`D+fgK|8|f z!a!~uZO#b z>wvqnVrn+5u$g;DZStzFO(EHQ{yr^g6=Qaet??Cd(ch_S6$(Q*tp25M^YJ#N4se(? zv8K_20O%++QrK0xUaj%Iovv$yKDmYMR8$0xB?={_=d~Pez7{0*8`)_=3Nxf=d$WfL z?_=UI9tv;4cNt<-e+G<1972tL{g;u-oR%O?ImWa%^n_N8U&Bf_cW$^5ziQ>#2@R^UBI&0tN6PMm(?Suh=-H9yMXxz z^JU@Uwz2n45rKW)s4tUDTxA*Urs1he^gYA0*29Bno8L$>0s7#cmP2d6z2)=Qp-T>a z4Hdcx)k=sx|CuMH<*-3(U6zP`!+&=op*QTj-J8>BkVIqOK%|*j1n1D8W9FEORynE_ z)MUU~E2x4vYg6`lu~NQb)Nn&^IQ_Zd+2UK>;FUS&h^2!!ZHLt*M-g)mKlB_|kx_?; zEEDoND5UX5Ov^kgJ6`11*58pV-`l~Fi!pQ`vrGSGmj;$Q{ZxV@9RYfTvtSIGCDhro zpyQA(xi{nWU-Hy=$e+V7g3Cs#PW-HJ4P z@_$KfJ5@h3^mxr)UOxJpMtb2M8&9mln3uxonU`LBIlQuT@R;GghyTivGda@mk}fX+ zIY?&}%3FBKlunv*g*jT<@x)p|{orgwQPvwYr@*Y-qHELg59I#BSH{GbJR)Md~k+&-YHUM&~@ zivwm{pGQMZhqwUdQb#MIA>XNTxcFA6%3v*?jy{p4qnFTXHlUw^GumHajDg!P=6C~g7TqTK62Et?7||Ldqh9hTAvj-i^@fR7viNjT+R!2~BU|>jT;Syu`qWd1 zVu(S#hkszod(D~L$OIet?P2vPCuR4J+_{eu@m~jsc0b;ACtYGJ5{bwzO)$3j09&WN zBejoDXH)`9b;Vqk-72GRsP=9%l)jIAPl~o$!KM1r&0EVCS3IWMI-103F6Dcvsct$rxtD)@qTRIP(1(n@>JmO>Wzg>P+`yvln^_h-63 z%ZS3fY>7}dU+;FlX5MigP8f0+vlz47dsmz=>)TLe=rP4$JJ${H0oyKm#!uwqLCj)v zbyW=^a6s-NWb))dk*^cz1vFrp{FC7*AuTVg(_5>&W98&e+Qj#oRyiH@WXv6|J0WCR z$tS5jnA7u=BZ*(2!W3r;R(URILLbH4IHI83zM4j9CXQq(L8E8P3`s!u`by^3uaef@g8FI#H01(o1Y$a{%NBiL`CQ*Ct|l_00JBU3izo7$V^)GK{>Mt*y3 zw2mBKS*A_g00zXslx@63f)@I5Lpqj0g&gWPO8X`?#1c;15hHrI3ygB|wV@D*v5abE zhhIB)`}haQ%C=Hv2YCl~yL0jj?=lbb&6GW0@z*(&qMqmrMk?{g7J_~kzhm}5ceZ4p zbTeY(rEp>TX&wE<%pIJzvKox`eD$Poj6>4X)7IoJXQ3&yCgVCR$67fiZY*zoa|RW) zzV=gilzdlOGuob?UY)rl~$EjfZUY$5We2GBy@5EtRurTI>-UP3z$lzf*yTz-6Z;HbX1 z6x7%Z|8-63Ha6&-TwH1H!&`WkBdHB{(kKbSM^>J0P^+~>0N8M}L@c*R0qymQ6Nviw4e<;mvdI#w&)Wb$P@zFp5dhM`}) z-z(k$yNOV*1;0y|6^&MONV?u!0pHZM6serkP{|c8J8Hi>xD61_T3NHU7P+)+#!2$I z@orIjhLYL#Pzt_YF!oWK4x23DU#SW?0HbeVvhRvFj%ljbT3_mHie1PXJEITll1G{+#c4Y}z7m`Fs zQr9~)cl{PQA_Lt$YNHi+FHs-GmJCS_5V!{5trsf0FLeqWz7Z93su^g&p_;I?wAm{F z{?29JHvQbv=|xx(yxb%xIIswxh~`p7@n+qbP^p~=yl+~xg2NyvX-D!3b?X`CZNm5L zCc`Inp3nK@7%s=`BqIA(L4o&^I3sRkO0?agmN{pNQLIU++X?fcC1H}lDK{!rQu_^) zcqDjtm5pdo2uCqR;Js$>e)gHCchJ1(HrF0mu=jKHb@1^RWV>-l`$J<}2*MuX7Kmep z2A1Ab8#-PG-xf&d6qbxDJ}jAcAqYp6oyZha!uNw5FT2`vs0OZjg~c9EL@udwRp$c* z&As0Qse3J(_BXn=bvjXLdkOvC^|+3BdwXd0IeFu}<)*z23p4gNOB$NkB#k+HvYT&Gy2d$@Xdw5|DWZRY9vP_|X#rusE z`MERo)z#eHwe8Lvx$xH4vU1sS?*t1D0mk-?cBvNIn}_4ETrJ&*xxe<3TrhQ!8Z4Tt zX79i8mOR8-bBee#4kW(kKX`#HiB*=k8PP`W6;VNq9_|@a5gp3 zp&qW2FNi)uHEvM1>4(o^m;c?Hd4p%B+xY&(qF&TtJ91s=T0_{9RDrN9ruthKqZOtffXms8UWayeF#fod`YUiGEAx;^)V&uKoE zfE|Xxsl~p-6}MOm0X#<}!u(zvPVp;FCd{6LD^%NVQQt3jKBvmM+`W|=rfpZ&Rdpmb zM{AB2)Qv=3=0ww#z-lpuur70o5RS>n2YAyQMBKsSzEB8L+z~aMc-vu1ho;C5@_A=w z_45~V1Xf?Ukq@w?JpQy{1MDh_BJX;KCGXl!DDUb^A@3?m55!sIUD500qoUa*1>&Le zWT(+B;HWBEM>B>mvk4yDNj_`s9rG`LdyDyJ4e$)zB$-eeg>A?ZWgo>aJ^Fw%ys-P3K zm6cN$@H4fxQt3hJ0sA?L^b9iFsSVXJZNB*6TB!t@g;F?ZVcnF4T0$vKKcHA~F>w7i zv2*nm8(BcN$xxQ8ceS}gNX~l7{4D<&$i~ZjHN-J9VRT9|^<&m4x zlW(vlc>7?~-CDViS&a?Z2&Jh@G`;j;<*VGm(Q_ty$;}+>0?|I@i^Xmf z9zz(FB+E<5Nay~4fw~JVF%Fb5Wx9AS=oPCqes+Yc-GRHb5tXG^J95^Zl-Ehr#{AP zrKU>Sv+E-g;Pp}G{CdFe^etE#o7%m$+~2!%yju6T9@sm?uGbW`pTfQv+uDxQJ7|Ff zdcO*+5T0oXn#|E!Yy+$4cmorHz~s%r_!%#|BzSgk^iDD|bzglBqnZR7D8W6?_i9^< zR0f!CyBxaAt>_WadF__2UL%5lS-6HjE*ap0lA*pvrY_0wdEu9uEX5&2zZ17x_VgA+ z$1H2|UU9?eXz07FmB`lku+%IG4O^?OB7(sQrLV;JAO%dJCT@axf`lE3LAy1c~Om)uw9eFl0XU*-8Lu z`^lZZ-)S^^cg?xXZ`A--dh>V8DFb;{Iw6xd4GMOMtxP*EFV!Y#TQZ;_LIMV$`nOgt zP*12E!f@9Ehc<@XP8u9i5$-$bGCY!G)*$Jxnn?Lynpb}sHC^jzxbM2>V>7;$2Ug_4 z>Ln+F9|D(wr2S;d4DEY(H@_+zBwXo98U_}|C58uv#fy&XTCWrHlb^jmzeIeA#*QYw zh(#TZtso)S=Mk*7c>VIrd`nc_8$!!<*8YA2vjdLWOQILP=#`y_v#W3praZHsEGqrK zytpfVb&y%mtoZ9as*mp2OKlO3*}lzVokrWMRc=SK zt)6?!?I(vTURO>XzCx>==KUxX~)ye{uQp|aytRL&SqOxkjV zLR%?V{t@LomuE}8v=0n#29`^jxBZ;Sha!f366#gLrL(Tcq@FH)Oz!EY-*YM{Pn2K+nHdfm*){I1t)cd?Hm zR7RBXRdEA)e~BmNcVE4B=l>`|W<(iV71yt)C_b-=?J*saO^yr*l?$h^3k86?NH%XT@dA?Se4+l0kvGt^eVuoIXx`%>3IQYg5dP^I*%2i{q zosJNCZw*PhZRWO~j=%ICuj$y@6z^ilF~u9_dcU34cc$|5hVkS+ z$tyrftc$_q1s>P07bA3z?{GnxucjQ1au#9C&KtOlZ*Da~}Ono-oZfgT_=9|F> z+PcpHc4>gRO1zUS$Byj6Hy{mJW3f1(uDwc>#IyFX2pkaCFOnaH3h(@y`Wd6tJI78F(l0>Q`!xC*JY$futDHva95KO8rRtc>uB`ximB&CpfZ#${8L@Wy{>KE+lNS9a5qd2c%TDqZ7e6~6^jvKL$S5N+}X zZT9FN{*5+yJ4kK>Jr+Vt#>2&LaekZ?!C-NYk`3cDf4DG=o4n}z)hfcio$BMD(%Gcz z{KL^bXOFh-{g}HrgW$wXbwnSN$o56Tyxevt6<#K^l`FrG$?j2w`Tci(WTs>}mkeZl zWjZf;dVpS}S%@*LP9l(8YWt46#UNzKqt}1Ju-R0QdX`e3SR_%`n{(7y!P5J|! ziQccDW$N*R(33?ouP>%o&jjQQ+I zZ(k`fpM4Ma<-SnD;A<~3`6{m2&M1?<@@imu#}F@n#HlSqj=3ST`WeuJ7PBc=585fc zHz&HW)BM8?{&4g6=3Cv3jeXo~*1KsWHXtQ&{9g8FWzH6mFG*NnRO>pZtd@)c`%Yf6 z29b&gJ7e;f$&CJ_I921ssc*^VL@IVJL)_6t{9@J+Z*EKt+^hS~89>o5?C>?dn?pKkE`3Ism=ll98rbmW^ivnKHkZGCoOvF53Xjs5-H z!(c;eH`4r)d0(HX&XWHM^nHDNbfctM(2L$iMVS*R@30g0vL{czXAMtBo@!+c|7xYQ zmlF@Y(42hR=20hc131qYi`6 zxkGxX=dF%;j>d;ZVVIktq8PwYckK`X;`E}|?OYVyn7FC3+l z#`^-rEw{}|4sth5OW(6xa!7)^CE?y5$$eGErV!eCTd%5(_LU` z%MNBJh$~@L3i7}3dNuE=Q~Jm^Wc3QE^9a*tjr{zR&@X>yAjgA1E!cy$IubrMz*bL? z8^nRQpd9S5!D%Z6Q1xR(TIcT{)<=O}3wP8em;V)Q8x4jfP%8{eKo6EcCMzs~0|HnA z3Q_+`!0jmTdijo8;_5MC97ykU}r|6X~1 z6xcj)s6&<2>CYa1 zn~v}_9OvDwMkDfn6IhW#{iagw_BPmN=@mmPu@IrX!yu$gBU7edHEa=!*M@ajI;_1y zL!Fdai*OegUcOvL+%ylYTN;5*P=&(n#}lYSf`UlfgDE*T1xJde0e+`&JE1__8rXxEeDMX?GUXvI6sf=6`Ir9 zqGs@rxQvNHjslg!p+a$@Ih5Q^p|3sNwpywq6SRc}KvNiO-Go8?YAIjKR{g}we%D&M zgV;?A`9<>KuThOcshNaT^TOYvVnZtDg$Ms8xE7p<>~q`1ro|gi5e)^4>969@oxB9e z!i4CwWQ^`mokRsCN+w;Xrq%$M&@OrUsg^t-eyfwX`5H2e+>izQTfJFogE=Slh^yep zW{AO9c&i_`cK7z_=-<(Vo?w|GU{R{d*z-`iBXRcV*80jL|4{KX@UH{0XgsplkETh*HAc23+Yw(p%0V zEsAtLY_^#CA4G=Rd$)NU0O~NsI!gOD2xMSOsq`(vR3u6uXeQ?ut=Cc{C*v;nQyFzkm4Z3q$eG@LZMV1QU zwe}ZsxXzh7&aV4$XWQ$!5T2Vh;+=qV00%X85d z_U`>X!OShceBAVRhO-~$8Ws7c_kw;Ej@RMK$BykkGJWZrpHx*%2WX@2-gm3I&kLd0 zB%wr}2AWy6D z24tDj6{97Z;=_`B+}DG$Foo%MfeJ0?$Jwmid-a({sYw3%eh}^CAQ?|sDS1Pz%yivs z57};5sU@FWD8S}n>~&3@S@((7vCgrEi#|6Y2BLA0N6rvx)b!>ad~|f_@EoxUv1VEg zS1M-#r>QBsv7B+v89go8uz;u*wC<%Fy?h3|y$uw6rZfuJuv57~BHhLHt;G)A;-%pL z^JMsJOEs9>u&|BJ@m1_jnoU(rHfKS8-6xN>$ngH##T_~_vOR~A=vS{cG&tpC*ZC82 z6WW@?IplXw$Pft21{}b^$0FmoR>AFXO8-OB?F@KuN(>{at}+aGTZW{Zbcx*h0=Br6 zDtdo7?*eKfIFjq6bU>YP179m%ANA(6riLq&y`XA@gOql0=wdWGWI7;*&e8gypLw#S zw39@hjJT;J54>LB!AxNHveNmGz2HsVr`CqZTTg#CTU?-ITVr&)C(A^51ynT!hnrkg z70c13q?X5#I<-26YBxN$I!3p6+SJ?-**~~D zP*;EHK{WBAtdm%tjIHUc04#2D#~C%f-n0jUzMR|r*hWei|0JDLVme;kT^RF2#Z6~z z3k7GgeGFZ$=DUumWbTqy0SN-aqQGTgtOaQqvA4PB`7$tXlio$PkL1V zRMoKfqicNE@;cYm^{_&g$UdVSUtSga&;0}&DN_T4NsM1?(NY;t{jE34{k{(z34*J8&OwbQdV%DBN6}1V6E04&pxug_}}7t3W*?EX@F ztn5(D8IqXp9I}rOHQP_rNe;fUz?(PP`Y&s7FMgOJ>mexuY0|}LX1H-}0kF_APBY_7 z4hHY6;&w;XlE-4p_s+eucbz!>dR=Ei#+gB{51z0tjFA zkSw&aK8s1r-tz;X0f+{GUr@FH_|q(|+~!9psN67G+&SRz5l^AQRW==Dn6flIGEu&K zZxg4tnJVHIGc&-XN1ge?m>P-~QXn(~OZ(nPdE{M8gB12t5qG#8c31AQ__2 zJs{Wh-P&}+WYrH=hBBpAs4!~b4-;14{pKipzv*M}^`I>=CzDM7_}_;SX>FRBb^{ef zd96-#hM9ILSl~FuI!*}2Z?J!hs6|l4n~a0{j@^+Z@yVcTIvxPK0oY>nWSQfMEBrbd z!;|_`FGRd2246eiP~R=LwZNS?>4^d^yV2$c^g6d;KK*K$#@>a1xyFZTyF25l%l6^} zPqn3^jE`%CirfM*Y{~?7B>Gv*ZK4@*;f05#AT7+-T@H)?Jl{>6&o!YEOgb4p$Euwu zC^lC;2mI+V;uI&LYP$~ifmZjwN2K{DBEyvlX$b5}tqgh-!j*|sFvnNVn?=fiS;pcZxCjm0CUTNYQfIuyPC#-c5pdyIH$CiKlG$RsWh4~H|) z;<9p_zTPznt;WLiA*CMO)a z)hdH%oD-)}1E5rH{T51g4c6MNIo#HxXis{Q6WIt**7AsCli#u3r%W(q7@1zVd%Y zj{CX4qmJ6#erRCqgPLKIhJL*f@WNr*-sXl&&dP;H({K&I;*k1!(`tgGJQkRDc60u` zDi`&`nFFO7q)ol51XcU0%)RLc89mCBq*fuKIa&yr5DIW(6u9Ib8e2yx77W)%Bp7rB zU=9gV$BIdVXb%CBz&O3Cxdxv^5AXEcYzhwPyaV69s{Nd5q^g2F^>Y}vRgY|}y+jKG zXF3a#wk}v%`G4I&w<>S`WOa(jJ7Z+ZdjprgTg8>`7j!#!Xe-d3JF_34_u7S|)mVij zcg0uv*8=s2aRUoJ_zG9Wm@#(t1(hU}4bG@73OQ_T$}{BB}_rSm}5j z`B-%ngbYGS$Rohr&SGJ2=AqR`;ISZ&J0(La%B*3?Y;}d8j2^b1C1sbNV2sbqK|sby zAef#tE~-#(5%a)XB0N%Ox)mA$x*X1WKrEWud*El^d`7x9 z_AJQ)Tm9WQ%uN^V<_7c?6ftzAqIZLPlDwSTE2-fcICdG7bmvx$ zO)wC!#JWffKWo}7g zB>y&!`jB-8UnKlBT~Z?oUxGMiDxmL|&|xuXTTyj|-}XKdIM0uohjB6EAoBpUfP9;D znr%8($UaKtWOs@6mLjADWFOa7_~VsGo2ZYlkBAS*CtCbcRdeMf zL4nB&^&&0{lTv>(`Q5NZBR!}|>4QBCif9AyKv?s_21>dkQ@Ld_EJk~3w6c3AfJ#W$ zr8{Lvi?=SINkq3hJ8cx%*jl6Rnq|nmi-hM6|Gq7#zX>nBq8gYGYJA_r zH8J{JLrBzmWuL*r$aGb>N#ld6<6Bb=H9f+z2M?1D&Tr_NcLx*8Hb;m2zL_Np-di@d zIhonfPJ4W@B}C;9O>Z@!N*_Pw5Zd+54J;DZQCD(U%io4Hsa1g+d>sARCUI)qc%pQE zmZ-cQXrsFz*L;6~`pIVeyy?O_K4cn%A-@~4h^L3}JM}hC5K35vZU4cDUzd8Lx)tXl zC>!kTVc)s%_0pzfEi*g8c@LhJda~2mV=r^%)~5N>Le>AmMvVyYQD)HF$n#I6;2wsA zkIOk;dzTn5n@fJSi9ee=_}c0;KI!h;R$X&c%4I=SYTZhAA;ob)ScY8gmj@P6rrBW* z;@|3UK$}9L~5S?=cDHKJv>G$E~ z+R9`5k~3d|OA>C=nhnj$|Ima?>`BDHb+2Vdaa`1QXSsmu-*j58pqU1(LIYdpP>(&GI`Rg$3(4yjZR+Ug1CgSOaO6prDj~6i=XO;;PS`_mvnLIEGsP(uDtr0&xJN}) z8?dG-d*#p>b>Ngr5hmqBJt0!NZ;rTwrq@@^fv2EO*lBfnf(2B~3$Gdg@|$O;795Tk zJvDFeBT*EdS(iXU){4I)p#X~?TDkxaPx0NrwGv1|&5H=7N=>tRd~TqfoW;@9!}fd`glB<5WBcG-T{ z-X*aHeVr>wEqOZkL@;P2Nc^36(8Lkd<8A%Ld_OAXqey9e=jd!bl?+24ND;EUY5 z%P7u?37>ZH*N$qXZ^zlgRl9mET$x_l#heW9;Crl4$DtQMQnubN555t}Y3U_u5j`ZDwBV$5_u$^1lj9G1u1 z+QJaZWOI>up3iYlJun&y%U|?Yj_PW2+c;L22lF_bQThcUudQ`oc^*IfAE5tH;TKAU zXweuVPZEwpZo_5s#+Ke!b*qgaT5^urXJhJvyjrt9)si6TdekJfgxA4V_zame3kYAC zz=D4(y5LB~=lxobP&3FdOxHn2E?19HGl-PP-p9sEc*q^OY>v~~OXC_Ly@8~>CbdJ( z>;#tVFP!qlZ&TX|(kEL#Yg;LAHS0<7cpm z@o%t+ajkLK#JIR+xr}-p!Oj|)x2s&DU5c$DLAu@^oBcB@!>V!JoCLd+XJA0$9^MDB z(=7qVr0eMt3ECLyn$H9AB6CZ#2z4$e?#`C(^PfnTGnoD5o@`T`P`(-LQH$daDN|IHL7@4yr0&|lnIZ%J50VhAV|qOj=YBN7XG z!J1zOAlA7T{#p3EY-FXgQcXzZ0vc68_Lw>jd4}*a|4$-Ee}uiz*CT^k1$5+m^^nrR z{uuUWto1zf=uuIsVXQ2Ay8C8pL%--o!9u(-s`Q@p*!1f3T=c~~tlT*XWwmHA&#YK0 z;nq>p(JDTB$rSgL%jYIEOSCo8Id|%(*GsaU&MG?VZB)g7svI<;7B|p%yTLXjyF3T3 z&|dDZ?3x2PS^q zWwdgXUf$luk&;D=&tV;$_4j&=&Joho8fNis{Ds*CD)2F9bum`03&k979q4Yn*hMLLhI$Wt zidr^TP`2A-w$&tp;jB7?UA&8|eG!t5=1#W?SgP&Ml=R!~&sgLw!9cNG5aC7ro~Lc| z1A{Q{*VEEsI=&_>2QohGy_RAgzNR=7asVdO@_eX_LnQ~Ae?2nz^2t{!ngw_U-*;Bn zxef^MqOYrQG4^X)6}W4Ax5$8$GaV54M0c_BcpTQy@9*IxyFQO|9iRQb!1D%d5-t*M z6K=B(v(JM@=~1sg5BmP$Ir+OuHw?w&jv3LT61XT@Hc#d zU;c;iv@M110~^;%7T(s=p+As|4S=`xblUlx+(0k5i`KvcKpq$*Yv9rS;Wjo0VLb!w zRE*#maW`>57Kv0H(ThJKYA*$sIRZu6WBEU`#**m?2l++q-Qy;@1D2BYxo1%EqC3*6 zoT3p2qx?v!n8%z2RQdB3Tyr03Tye+_qWrm8F)_geU{%$yAl<}7?#M(gKGNOF#~ZiP z_diHH!=CkXxEU}@E{FhBVJ$5QFhO1}Wjvr^wR$lHT~YtwA*r_bz;Ac;?-Bl)4)hYG zkaW)<{C3=DoLNkmHmhM%&~EmAKo9|d&sfy--%<2{8XG_n4kiSeQkJ%2Z8hEvXzFlV zm3}3osfp2Yq_Rql)Y8V$ahQb9!NIa0Vqj#%H}tY;F^dPuqs6{S(h_6eWyU@l7!7{V zBWCeoB6(bcHJj9czAT<3&)z7&gn~xpqkef!%FcgKX4yVu59ccH=~*@x|Br z8&%Dnt&4Vlg&DNWr?X3|FV(OKy!WcIjNRbnSAE!Q=lY})n^%!JxoiilwScyhv$?PG z?#rGG*?jk}BRezXsn1x&L6oZSq7aX7W{c9~`vZtmSY&`b{wG5j<@7z0UHoG-78 zTMpY@63s`o=EKl!QhPXGayJlo{0L6Bs6{6pAqX*U!D@E_Do7s#3kTM?%utX#=0D>A zB?|h1+z>XLW7~L0O^87jo&s{Sh;2*e3}oO- zPt?8>9e+!<6E73Xo($9i?=)R7*nroWf1m~*wnE_ebZ~|@w>G-{)`|m+aBJdJIcm~9 z|C1E8)i_Gik@=ePY^VUMgk2G2zr{89<-e$A7ubsvpoJuvmZl%5rN~m!?-k1>)Z6eDGx}19T;WJ+Wg~43G4__Nz-WFU;$ZN z3{qj2?F6=>LLhhiqmY~=yJm>Nc`u#-bpPD0fZL? z)g_Wdb_#8Y=6!D8RwA1yoRpFI;=9#$%(i%1SSVux=ERVMibB#)U9?4jN*(G2j+jNT zVFNOt?TRfYR}-HQ81$cHPF^tQ&LTpio1dMc`TsQmqNfHpy{uC2V}Lq?jgWApRp!KBhdfIkAM7p+WQ4Q99{B}YGqXO zx8K>u`PUDr`0#m{EWgp=cjSB&Z>4VKZe?yC{qE%}TX>veMAy5$ct7z4a0l=P@C1Y$ zlu2l4C0NoR3*47>>#?z{{&C-&>UCEo)zQzJwD z5G>#h2yNUcnC(&oT=Ss1dP6};dIns`%7)f6%P|!_AoI!cq3uwm3f2OArmAu=c}Qb9 z9pMjZJqbcZTeo=>kKmB+3|`N!X|5Tr>A(|d^-mdR?B%7s&YG3h4GnRaVjY5{A^g?W zNam9*MC3NUPVb)-nPiaDOO>Ta;8wF?nOabAe&pL=32EM zHBdeTHzmqI2u3)-MNNTN1b&9Tzod5NP6>!KqSC~o5tDy1#sf1IUSHv{q`E(*4p6+) z>XgGLmWP z&MOrqiz_Z{r*}@v*00P)lvmvQec~K=y*d8-x;OXtNl6z)m1KV5#k04KkD+GYM*_BS zkkTNGxtu0Mo%4HnX>Am9;$4#(JBKR8i$j2 zE(&vFOV#*-35$F7Mfx&Z(MGdGmv2$>{cenexpIKJRxUSVmq?8|guhJtE|leFX2Bn* ztkr<0%4l1ZBrvP+yN6jt!#T_<##eIfo`(dTt}!+_FsVYOtIr^zN(Uk-N&sv~hrxUR zj*S}UK|P#K;TW6dY=>%+r%iGtyR@{pvCEz?BJS0q0lLPj!eK((JN^UfaKcY$_ZYCu zzYVg!7b%QlkdmHcO?-l=R3QaNz)*FHqLI)TMK87HmhEyw{kbyIBvips(1!H#VOLtik|Ro|3^p{dfZZbqxJSyS zb(q20w^@B}c;;6cUoi9Xq*Dan1taOsKS3kYF#v=K@|Y2M(y4Kj!AJ(e9w=m13-h$+ z5Oy+Agr;&U41#IkQB6amV(5#MbQLQRJYrM14hF&Nict`d0jE(AkTuIOTIS3pS1jp; z&9i&;`5BQ^aPEmx1mt1HV-9LOPMd7kthBCkWsTztA`PMM61edZLA>^#=g> zzsMYsWpJzr7{DevC1o480o<5->rlfbWTf>a36NAFosu;UwSOqTiCH`;mY z#Zm)mL2;RIltPF-Xp^nG$)QTyDjT4$&vjSSWglMkp;M&3k zMbE2D%JBdy#id;jDVF(KdficYW<+uiD67|#BD3BJ!M$ND^TyHLdRY?3hL5nq57h&O z-y6b`t}17RskIoU>nL80U13I!AERrIVBG3Fjx+$e zekvYxo68=08(=u_>SG56k+vCno1vFNEp&kU2#f_$I)BCjHZ9mK&Lke9rbp_F3JzZh z2SeX_p1W8bM2I4Gk94_|&_Hg84+#+Xe)nVtn*mR;T+6^`l}pTQekdp2%sGSAx@ZL+ z!l%#4wWj_V(cz!bDWyvRTy``aV8tBkb5cISa&BwD*(ylp9T#!wbi2J6OV6~6QmtAB zYg^ghT#W6^iI$iiWd-~>^s3yzfZVoUwQ7-{&&GZxJe^0oLU1@H-B9;fcgw!JvlJNB z<8fWMSbIY3$ZYs+Fo1K3mI6bSmy)nfh=eOPwv3r zx>|ln%I8~AZwrjDietfmjt323>Z?#31Fo$$f?px~r8oX(!ll{gW)jF(L%h%ZpAg&Y zx9e@gJRfmR)N8R}`R$9f2xRLJgQf4%3uarEUK)as8xpEyOr!%h>fVrgpfaxBh%-Bk z`0dAAj*AuN5Bg;(@)ImX{)o3!52WzDU7w$#9>{qV_JHY_o)l28NSryK*+LQk`nU{` zJcw&ogcwpzpGG{`rcM&18yd*LRNVVGMSYoTAPmEW^AkGS6;alJhFL$5@->x9*#Id_ zLVya3vKaEqBH@0HLGlbK1|Pov{f~I{nR=N-d(CJxc`~IYs0K7qN1c;-5?qc<3^@J= zJC#0;Fb{95_+mO3@C8>slzI}u4&?Mr9Vv-D{3Cc;EM`sv%uFkUC)#Z|$z>X=Aj=;& zPq!EfF>BeCpXP&$%Gx66Qi{u|qB<^^)KbKNB-Ao0JyA66>KAF{ioO~~;G{6IQOe{f zm?%uI>uzd5scOg~fcNvd!od6ay)q5?-C?nBOmhC|iVLBoTxU3$I2YDbruYS)MnW89 zrqkT5)Dmy&u_{=!OlNrnfRjb?iou1=am6gBvqoAqo|nK4Im+hfeS!O@f_B5i<#%tz zCK>vE$C16*mLx0-azE8hBzs{i0fUP$xGhEq|f*=gV7X`jgv zF)&GDWKlB^4ahm_=7zrjOV|XO^r2lZ@O|ZPqXnw;5xPnr%RA|$i#-kqfNW$gHmN}e zjhyZ0s1gB9mKl@F0hs{~V*Pbc0CrGT{Q%vVSJ4R_bt3dKP3mCKhM*&(vz<(Sf+Uhe1$0>-i#+8YDPE?OTEB6fD z;2lmup=K&|s26qJM5#SCv5wJV6#uP*tWlyuprb9Dmg$yY0-#JMI8;s3Euug02@GDw z15(FFWw+UxiY10u6~AXABOMBN*fo~pHq?s zLGDkK>9%zcm`RNgJdaNP(*pDB!J?q`s-lFwF&bu)X6#i10IgCyx< z%C|7ybC+khG4zz$Q;nF%m2U-6Z&}&RSfhR*u9#KvI&%iXNmWS4iy4QWjW5LU<9ipO zY(*#Dog&^v{1P>D>@~^#+ZW1PDU;XtJtrU`<WlwyjqF?UNM!KG5jL+=7vQqiQOW=V-$Dj{hS!+eJe0hfZgTvl?;CW)n0Oq*U(W|08 z*K?^4)@21Mtom7cbuz(A_0*Rvzm2tg{RaVqIJgK3Wn*kQ`-P&RB^qA<@h!iG`K-Z$ z=34Dh8-TaU+JVp1L|u6qGGn4%VGJ081deMF;PM_10xoYWHC!@*UtVx4wk4Bo?BaIC z#Xhs!t?hH?476gYR|cW9szKkpV(0!z#FyN~Gg4w@k*kZ9C%dQ$TaEn{$W-Z5WHmYQ zR?i(ee6o^2m-07DDb){V_5^$PB8*0oCjs`DAu`2S;kpxC-hT%FH-FD&zV-r=&yuS4v`bWCgw$x)EX5gAsC4Cl(Z z#hTlDShWmaB-#r^s zsL8TTT&H^j7am1t?;Tz2l|0!|H2*5GT?f~smnrn*6L{S}u{MIIJYxj{D$k%y2beL8 zWy9DCsaL8$WW6gljC!j*kh;*upI(JqK}|0Z#d6x}Ap!>9!60TN0B48N0oarU0^l&L z&^)k0kHQN5sf+?C%A^-!QE|e4ek(} z-~{&&+=D}q3GNWwCBcHr;0!ab`SR_)&F+8SJMW!S^Q)@c-7`J6uivWb5(9c5?ZXUX zL4a_rpwfQjk-WRF!`D6UN&Qve?OiJ_v*nN3`|Q)|DYgjG_G&8w**R*-#0jW58O4GD zk>*}36AM;u)7Phadorxt#?;FxbzVaCw6Y8Mr-H1{HkJx(`cnEk1fa{^zlsJ#P6A3^_fg)M?d%{>DoAPUCwVD_gq z8j1uUin4RSO09er8!%@wQ3U>ex{$LPSJ?$%HdDUHnd5Z+d0NI4gl^<+bawRIh*ay5 zoAX7N<|r^)jd|+UJ=H}phnau|GLn+xiCW&ca)p4-Mhu&5)24BRNR~E6pO;BRUs>wur@?eqahW&Bf42;j#D10j39}V=Z=!8kMqBSUwAtcH?E97W zJY*vI?{t@^nE|Bv%8vwy=6-ZZ9M1CrOIl6EzWnlt+Y>vYiOI^zzS)HH2@-a;J*uc$*&!Z6ugr!8VGm+OzOADZ zz{%OfrxJ94ydkIBC1nN1+US_B*vmksdj5LoNf${*rJKDChShtabf%`ED!zTp>HMQ4 z!pNLO&=+%f*f-EtG46Tx7O;%kWPAKV8W?7q1rX*&?OD$zF5Ifkqyms*0gKs%J+K_Cf90_a|vX`zkvX3C1U`o~f5!!lg-1s>|a3yYA z>))LP%DK0nfAqT4?6-!z*2qAwS@4OQ-U=$`Chra`Uvd~msCvv+crvMiAVDO;ETNPS zh)?gu5J1GXbIq>+UnkV^_&aTFp-(PBuhTO`oSY#=@9bfEQzM48P1i1)TdbfZ=v`Ds zN}@dsuW!U~9|(Oy#^Zmto;-i)L&?BnTP2Fn?!zRG&@OlnP(}b+8BJX$g31-VFl?MX zG{WoopbXs$BnG%9c4#>$)!b4n_$GESax6far(Sq{vvx*CJ>SQ0;N%x^oK}SroXqJ~ z%INK=)xjk?Q`itOCgW1P^WruPn_BB}xk!FJs_unyohd6U%9$;5I}PUDjr&XUPi^{q z0kdZh1`oO>##9uhfVuCFa=ehto$0Pz#My2xZRz&d@P}LQM{QYB-B~i{_1KPdfNJ6+ zJ$j1^6jbt$5QPvbQoMBk`uyug`E>t>1=sE>IxAU9`9V5=xhY}40Cr>LKLW+fGin8> z_wGrg&y2&u`coWBZ4=DUP=_owd3YhZw-EX1M83@ zykZjtR#t`6u!hxseQ`VZi$WB^!1BA#!GiCu+I9wR+9KyTJxAmA+0IP@R{)1O@G9m+ zc4u$-{HU}=8xFJKB?D{*pk%hR6{-UTm6dR6V-P5yUE=c9z=Td%Zc2RUx7rA(*-_R# z7Wdmiy1;uE%pK&xiOu$0PdLplW?l^gXMLSE1(S=J@bzT;T}_hl7jH! zx#1LjqK;63`ikOTNK@D!bN2QU9!C~O&d?&`DdSftVljPY(aCwCC9Yp5Ec2zGotnS? zIF%>s`*@e4beo!hQ$$Seb#TnoJ25G1Udr>UMIjh>Y^)r;Soc;u@+K5LdXP4vdrQ>B znWCC6ZjMCS7VQN$B38zu4~w9r@x6MQRNH47Dw-_@_piWDmAZVcbe zs@135J;~%jRgT>*_NDO^+HDOtPjV%4#XR?*7-4Wl(17Ze-8wM&hh-X6P*PmqSI$4!*LhB4V0? z^mGMCIYMoj-?RV_sdWKyXIDYf-M7scQ=KQ48&%nLmU<_$xNp{RFKFs8pQ^s`f*-e} zt=_p*`apm6k*@f`$Qv=Ssg-k~FA`HLf=w^Pscn5fSYSqfnY1d!odh^5Zil14jE@;3eT z#gxl{SM9!@qb{w%E7{7ar~o^rU7cstRJ7K#NO*(OOd7{gY9;t!P?@?&tBj?#X^1bAta!? zQ`E$mqM9vEi$I#`Sw5c5M0pUg*o(qlq;QN6CH!(!;wGgr1xU)Vx)V`&)_E0!y56;7 zXMMKh1>EMWB3rj~Id<9-3{^*YJ{P9AC9UnTr}J^@`KLF+vbDa6;SZ@9st)pAElOE6 z#7+R$R6vXnPh|SG3rnf4L`s{pDfdX9Qd(D<^*8A`h>z4in`e5%Jrp;>VOsl)ka_9> z@=;1Z!j;1Us{73>P?>J<2l2zba}WCNUYy2^TebXl!6l?OKb zj^py=O^4b$&0{6NaZBY`SO>-`(jN<7-T(}F-BaMgfg2Ym7qT&tK5&uiJng<_=;bAk zFucMaUaB$UzykMGXSCr-fwz|?xn>Oc8%~#|kKpP}mwNq$I`{asM2AOxuh4o~!TM#t z-4Ea-AuHWZ$FHN|Zq8+~Tz^teiNL|urk%vX$k2MktLo%gTq_giF~*5*ofYsLXz`T2 zj}0(iv%79+*woD7gJnsyzt*N`8OQa>JA1H7C-jX+7X3JS0qRlN2oN_;<)V@Pp>hF#2qrciO;gz-3WJ&F%aqF9arFMcA(l%3`!%62E5r@f{8 zIdJo?5aYzO@uk>5sZQy}gW}vQ`h7%xEMPJ>%UdixneasKE*s+@74O|SNDSKe3RmYF zSIP`RrNJ!j=Lwyg$}r!M;=1JamVzVuofh4j7`)Oto|KENiIw5mb29}>>k8)by}VCi zQ#!g@vtge?it8J`0O!=Vqafwa+%QnYJ*}xiK(N|9Ru`p^Cv8Xh)qoNn#11U;?Zwvy zsd+F?JQaPvXwo9QNH0!o6nSuCQjC$bgtvHJtpY@lRj>LS!qBW)g;26#(1w!#(fgbs zPWEuR46xg)GH|Uj(3a=Uwq*Nk_1$efHuNF5g z8-_5M)qH(ZsN;6`T1W!a3|BXi@^5ZfqySsPqx&<8Y4d`MN7^63J@aMb7xo2?fu3_U z06m}k7R`sEe%^h}dC>ET{A*1%oPK_gzAg+w+oHT|%^@)vB6|D14|Fe3{Hs z5OaP=TN*8I^hgVF<~`}htU1bkWKuN2+^!K?mvBe1FjHC=ByX`WD6Pxmf#!-BduwHS zoBb!lf(AcKff>TuD|5;6CIL$4#fTr+9E}yQcVfqpTMg5X6n`O|hr}Fy=^y`r&-byD zN{WEhDfos;%3W*Y7e^$?^6r#4HH~Y5HHgObr5|YP{2XT$IZbbmz8#yRc}CTT*3_v2 z^57neuG)(w%|Yf~(U4xIL7N$);m@sG6unHdf)w{uzB3M3rR^0QV{;H&8?l=oc)S*v zF>(`|i8+_uLDqg%t|_b7|JhjH6Tlyv<6jJ}Za=4o5!YH4YqzmOOe-*>v|mj{bfuOY zKgP}e)T#4~w0ICJLM^0yrIeRaJ-4cnK|xym*Iq>gwhFGbnP>H{TG*>X1|N;uUbT?1 zg}KzyV{I#9z={7a-~RRp;FcN6+ive~W@sYyZfgN9NO?Q5B%^LRSk z(FC$Pi>fO}r#&jAu^A@$g3?NBYTRUiCrF9fbzZZEtW98ktrH(&jMs^4wO97j<4$*v zxd6LHvb-@-p`U2H4HHmVOEm~;m5S58u%m{gqY?umupkVG=)|fP7xmH3Vt6Qfe6iRy zN?M9RnSkLR*)bob`j5I0AF+kXEy@K!@r*D_2!8~W)N&D*&8s+RttaLM!S{T%k_PNUtbE#)~ulng0j2%RliNc~Zz^qsoE zRm7CxNLa|&hlika)WEb^S;u>B#e!7WOaYj?)eyJ%4%#+OQho%?(KU)Q(MplDbDj7P zsWyx^>`jRX0qYNQ@nOB9_w8#1Yb9&BYn4U}1b|Zx&-vj3zOcAXOCu0Gkks^ghhj(( zQQMEd|8(-T_#)9-aCie(6EdcqjS)80!ptWc@wy5%s%|l@I{o?$Rt!5$p&L~3MfFJ- z!duckh$XFQ3CNf1AaeJ(lKm~IE6!YMK@4(9UW^1$?UZsDQ?&N(`;d_xqitkugv$_l z$e#qvbL#zkeGY>6@n`#H75e>7et?^V+b<;Q2P}qNsvZsW%3boW*aBkL1Rx6h@*?}3`bp}h(S;~ch2C*X(#Q0 zB|}dmm>&B+0p_5llv>CusUb!$JwbSZNxy(_0!jN-&lpg1IFEYEnU%D!Na}dZ?E(fn zw_U*Okx0c%uc`pr=lTzl&?VItcTvg>LLpWAWwUwux)xKdGSt=33223=N8nF4r zg(%NGrYix<{saC|!I!t#6XfN&Z{lG_&&2_Qexezil5Y2ut3#~CfVA*O)C|?6scCLT z<_iI7R*2?>|5PkVmSm59~E{i>EvLcA3)>3_0-XpJuuAL?`Cu4=*GxA1UI~u4;73M&tn16{FF7iQPC5Gao|GZz^oZ;S;XmCG$63t#oY*c*y@*sJ%T3F5!Fby09}1UFaoXp?@`zVFvyZjnx3&%+KF{-(N4R$=m36G{ zLn|BQ65x|g3dh+wQm>YYhh^&*gtM`IMp;yku+pS2)GtVNOEOvbhZ2rg+Nlm#%14>q z(1~ZzQ*{L#z|*f7CwdnpzFXnHF4BLT<%a2!U5Y}RPos&T3;@bKU;*%kD2>e2ZJpoz zrR1yr{oeCB;@L@iJ;fGBp@ZKFi?&>gDf_|4p1QYqlmDuW@2DT?n9-}W*^CrQpI733 zqMG(@TIo6`t8CP-3IB9IWGS1OtkhAg@|z~kjy#H_?%@%?98~>N5 z`$&P<0%DuNXfg`FFb;#!fVMevhj!*`j@F)^3G;nqf#UP6^Qvp*?}t*aTgab8Yknu4 zSL{^&ZbTzU^5jl1#CkY5Ebmj!rDk;6@jG7TROF+u$*<%Jdw#qXw?FC*|EX;MsI$?v zX)_+St*Vb?uWg%oJ)3jRZ+&@ByF1fBkG0qqqZ3QQSc5dIEEg|f^gO(m3BksL%N$cH zs2*5I4D|z+C#FN9v~^1dO@|j$xEMh*$%u_TR6py^M1YDT!m)7IZ#m`_OcjZ=y85df zJnrjH4g3MB&l*5o4$(;hOjNBy{0;ogt-*hL(Gbqs2x3vKdqvxcnd((Js)P^cTMy$> zah4Q~H0Eef_LqDEX8QUaN^H2{?woxF0UkV{O_U8yj;%^jzn7p(lzmO{H{N7m{sfHi zFZ_k~&&$-=+{(}atOLsLG$Ymmk~_i&|yx1pCPFFKvce4qSmIXl9YhDifardo9a zQLo2m+~Y1{4PiG`{x@ABu$v?BozC_+5|{w&KkM+`A4&pg_~8rezKuNxJ6wUfa)^OV zx#%`uki+WSBG1Lee~JR!7ctRoAkfj=oWS=LrWlSsx2;QpG>`y9Aa=Mqt1+78-{_iD zev8;!J7rNaWlF)p*{z*yn_B##-Qk679614 zVVEL(0@Ro+4>lkul4uBV@w-R3_9cOvdzr7WV;oYk3zg|DhQqDY2Cq3xh?1NdqEaOb zG8w4>zwJWOPE#``HiCpC=1FSfni{jgmvR~na_hm4OoP<{d@&M)48xB5BN(PJAcs(H z2>DEVVv)2c@kERZMHcWjRdVU(Hr9Nc0Q%=95#Q{{V-6Kkso2zC%VBDzV-zB_nsq)} znjak1aBJW&7YqI^)zl`n#`)MLKaL&wxK^!=CCF_>&x4GV(6T#1+PP7goPiiTpwHa6 z0Ho;Hw_x_xCF;H#W@p}XBXO2{+sxHRGO1!e$u6btJQQ=?yV72rlJa;A_@)8UTrym$ zsL$Q@M9}r-qFxf>v|F2D<-{c$P|W9zc4B9omzQg)4*O=xWW;|_hRH9G>YkUawSKI8 z#a?xZt55@dgv~z{?)u8U!kP2Asjp-iyRwJs?0x>Umldgbt4`>Vd^gOOwQ=rei#FJ_ zRq~mw+>WOU-2?wurNOcD&7lqFMmzUkY^(C6aot6;^4|XoF)DUq^dhTdM^Hv}S!Tyk zMq%d*GQT0{`?+)iY&x}bgHCKX@xUIQN}B7)4lwSoFUMH1B^x*NA9nsqZsigsSmxld zPa|&J;CsDAuJS!GXSMTo!Vt)k zu(KNO;iGOwq_1FHxy#1D9he5&vKh3s)JV>qP*L$!X51mi3JC z6vKO;1#IvQ&8FV@JJ+ub;|!~ZbE>jw@{G!LJVd!!SD7SFNryE}o%IzIim-6qIhO3i zzLodaV*vV3obm*Ldt0WK`(3EXzeF$~zQ+~>rttCC6GyrVUzoI%t4lf%azV*uFn13LL9NJTpwlpHEUU}m|7|k1gC_J>nFX6v309z ze&>{Bk#tB&wE_^6W_ec+2vA~Rtb32n03L$$mK{~|+slaUBc|M4!GN7nrF|p4%Ifq> z8j0N&S7K|-bN!VPtk3Pbs|dQ{zK!kl4dIeDD_Gc{$8}OhfWe^i&{&+8AP?5_l0L)3 zlhPVSVA*9uD;`E}V_hy?pmS8l{8^9#(T9sWdcTq=Nt1t5T5g^aR5n5Sxkj^n!~*~EqYRDX7aV-y=n`) zBc?Ly`!(`c%3n56otra%!7bsL?KzN`%Gi6^bB_|e60iJbhhl)mZ)a_0AhJs&;AwoK zqjGwDWPb8&GuQ}kEJ^5o)+2HcuK_UlO!7Cq zB{2B!r1Tca{PZ<5W$FN8eXtP?`4m=QnveQhHuKZ#N2gunapbV~&|}1nFcAuoW0km) zHS~Td-TG@Tc~0}giVx}R&JOa^QEA>bHRe$Z7Ki7joyY$Ji2+sQiDwc%u<51L@C=CX zHqQaLZ z&TaE-F=^64Q{i6~NnKK_z1+|0m_@(<;G|Gm7kwPhOw+FCtd3WRSwS&!a`NZ@7EOQ~ zk>Jp)fwpGY3{w%ZX#0`vahYcazfI1;4~*7+(e-j@CxxlVuqM-Q!0F~+mCl!M{pFpn zY|^_7CrPy5s^p(Wm(mcbUI&)=bf~o(F&MHXv8E2<%Wa|-o<32%4h0wTLAgeLR9T_@ z@5^B6TgQq*e?4YJr60%)g0DkpX{c>7W|^(URb3KFMHR9_{w<1Isz@1w-7r+!)e3|+ zt)9khuB>1GmzV{J2dq`k{XOljhHSbF4T!b#8i>=h(I4D|PI9Z8P%II+_XeGq`dC1X zpr%lhVPGVHb!4^mTB6(b<;vu6mwEWk>S&&MI1ilLlWi=Gk_LT?p0nE_Dw}vBw2qoo znpP!d4{?I_I}<5Ff#HzJ3#FTSCh-RaWGMyDo!{ZUMpA86vkC!>^>J=uR_wvtIWUW^LW`M1HEA zfr^({M#J%*zsT9)i>cI*ZV?kF!|Y2bxx=AJSopl`vyXziv8ff^6a&t%aC-1YJ|f-b zXr}nX0^8&Sj!%grP9G5yYqS-JI(Uc8xzJw@!@6xXBJDa!xKc-+TGV#Tms@)U@6eX1 zNT>SM?8XKIx59@4+)9-sB~9N}4;_#lZhft|A;2#j@-{BV`*E;-qbSTN-($t=(=K`} z+s4kABU-{^&WuZU-djG3P_UAEZt!VeVLw{kj%N6EP#Zg|4s~Jj2A56u)W=rS=v3W6 zPi_Y->O!r-+iBqTqY9YX9~5W}I-w~=0CAgNQ!6bq6k(X>*iZFzYZj1q?yJiAuqxcs zHN``d1}tpDcFFg^&2*>W9yBB=$Sg_9wxqejJ7fVseh@ zM-M0qc}FzgV&ZNU(1Q4IV1r2I-DW{oVKTr6}i5& z@&L$P9=Z1_4#Ug@pQebNRS|(aUZO#eeGS?0(a~iKp3jP2p1-24=GpSL3a3}#sVdk+ z6$UbOKl<7S9mp*2^@P6(v|S|PO{Qn0&=?RjX}7lKLd?s%{1okWD+qjl6RIx-ut|flZH`1Bx>>6cxe=U-OZ1n z5z^HJ95{f^M~voF{PHXhV1vEze_RZadheADHi~(ezRjNsdcWm~xiv=#AwhB8kF%Sk zTr7NJFN}ag83fK?t>)-kZ97@V!}QKr?df9<%*%m1x7qr&?bDNf-D}{pM0M3`aQFo} zo)Qv$Oqwn9hq>)^Tgxklu(KGuFBvX#6>?9J}}WOXjG znH~7W;kJ$vU9(+@D9lmL?ctX2>Z+4rK5%Pj>S8qKHgul|$ZCtx>k%&C*^Xusk7X{l zx7c?gsM@{L*)S`7A{*H{Tq|l7pW=-3sjh#B5JgF6V-xzA&O4ElKSghWdxg*b3(xt8 zv$Ig+r?iCD4*JE%MJq%;lVroa9rWIhi@u;J7~^JkGK}FrD)=&pJtRtBe){ay_vmQe z3B=VaJWk`|Xb1Mmr}v^KATH7*-_Rt#dx6qkjW6{T_#=)lp5KeRYl--fAh&QH{|qO4 zKYeueO~~jxSXfmel|$U`F)F)R&eunhK+QU-WeLIrnjt~G#?DWi%G{&6sG{`5+d@b; z&Cw!r-to}~Ia{%JcEZn74Y9iiO>kRL#e`okxpENn%r-EjNzF5R)vkKrIXZiupegv7$8M>Sq$kAt;k)X82`*q_L z&NcT9_r@c)qCL%KlWx=pTYl-*oll5XXqe|yjwg(=ewEpzU_-6YKp(EdDnU&23rfKgEg@h)o%OmzY8Gt7fE5IA|Pri6u zIe5)%=O7Yc)Fu)5W;}Whx8eB&^V~oIsyieEK1i*Jp3N%g(SB^`N^}A~kz(){1)mWJ%+HxV6=Iyd?YEzPf;d2Iy=xh~k8N)| zY$6b==*e0OJ!+B^cY_?SBk0aMT4$al-mHnNvakrz-?NMg`iD*t7d)QR?a9R=DxII(O%5K3>?Z-TK(b>tAcX{sW1< z=W{ZGzTY>luYUq<(Fuhku$Je+#>>0;t#=mTa|>G`2|-6!s*}-rq!u$zcYJM_^V>{5 zFOORK6(_?mHuK3j^#FgtOWssa>SjAFWCR?vJqPn-hXgC13qJow@}wUEO!iY3hA+2{ z18#c}52-H*Cv7QrKSZ&cTqF6eQ=g50lXqA@5gbEgm-6342BJ|%&jBzaZ@wvn(B;y2OqUC|3Z z0fs`!MPQ=vkk2=)I0X->vK}Uern)>)A~Ko46txN;K#YGsf#KXmNdwk1x36-@#H1;J zv|Ol$~&DN9PP!L*+EqEowSRNIac8yMSfHMmoTEz>ZU;aYTBVmxdUuGgFg- zCAoT>;l~X437pBhsc!+bqFE{BLby|kng@z?T5=(VmnyV zcZ@UYz1`7!`$5Yj%HW5NEPNXqwS%WRrkR%)FfYe|uK}x_Tla;B9bby)+r_rBInd;f z7;nMhJki~Tn{-%SEt!Tjp%_?(b0hwylozeD0pCimC=W0O6ux)C4xfo;fDDz&wJC5Se#4pjtNMn2tv6)W>~m zi%fDi=Ehh+#c^*K>3qQ}lVPLNodK2)h(p!s#zBg9c3CIMTFefo2i|H2wD-*?$TR-V zUH2{j`RdZaizop1#D_IZ?s$0kfyP}6Zl?!dR@`Xjv6rRmsQw$x``K6PvAsc(q|W=% zgzonpkj2$+ZTmpJSKmN zEc^`1hv3B(oAj}9+#0CBt9Oq+no&rjRMV?mt?Epx#9*gdrELN@P|zoPE*qP)n?%Mq z%_tX2fvguB4Z z0JqWs+!8J&CkckMA0zA%Sc<{Rl6>TYPFG@RE<_!a!EaD?H0M@M)g zf+UBg(>GO_NRpVxuJlexw^6GSXK7}JIhpZEW4&wSrfi3u-Lgru%06ikDqSEwR~Ab@ z@>3avpcetJKPIP?X3G+C2WG8N@FK4u3nK|5wH>y=N zqfgIAJr?lbrA4{sUS(otwLwLT3d;6*`t06z6A^ z>|Y{9;ZqBtVanm&TB0q$msckh@a1JRM(ZdXtQWmL3cO3QJnsXXPaofM4&6iFJ#_I0 zTaJ89c@sWU>h&YPSU(26|>#!@eRY!^|V{1?A9Zn$x~8m$=Sn+$Vq^V*$!{hep+2Y|LrpyUh9GJOINS?0oe`u8 z4y$*4+c2&qdRkcAS8D8nnU8b6Z&ps^_9unn;+*SoJ(E*5RDW~Vv#TW9;V_-`{uGSr zN6bs6-uDQ_ugo41$BUGOq&997!%IK8nxxYsQGzLqjm!*rh%!n_`q?Wo+xKs#{=M6BWAeT;5u_?=r_^8pH3am)i8!SCabNZ0G1I()*Y;&OQu;xQ7i zNZDaWbS?^O_0dGYdV&n0_z}Y zET3mGfw7c3QHUb+JXbQ!9g2g`{Z#Ca6P$u_5{K~pFcbIyff$;3}j9Unz~$^hR+yiF!hw_5Wj{&n*f29d(d?68dLsNzLbO z(?);7Tr&zSYS4eD1X@&pIB8H_LvNxMeIcgM-yfG-U&!xIR*$8v8Yquw>^%|fS}(E( zq$B|<`M_kN*b%`#^F8r>T9YHfXe<_mIV1bSZG2>4Vw0-wmP6fN*GU3m#72dzbBCV( z{c(y~mZKi#aXYaZ2X}sE8Uq`C7{zzvY!Bt*fwek7 zoh`ic>ZIMC(6$6lg2^+XNTc{^g6o_Td>Pu}W2E7|hG}L+BdM(8pvYto}t_e(4x3ZT?I7JA3$`5Ovqfjz$XofzHy z?6~Q9`yRg!61jfea{~S8;pZp_=FK)F8Dovv{+(P8;pzP!4jUk}dhXtAmFy)S$Hd5K&uV^F1FcpM;Ps3lJ(2i$e<(+<+7X4@lbsUa_6D0{ z8KyvG`XlDa)kQ_>*Wd?#1l&Fg!LhaRIALXBpeXp{`VW8imVb36wco zxE}tMm`)5#U#91AmJS7*Ry^Oe)h4^ooQ~?;i$^bdJI*`G>Jh7E$n-FM-bt|S;RKHd zB?$4(|2w!}tYry#SfH=W2f1h8EP3uaZ&&{qRm~AVCv+#{I21Gmk`i!ff#(?W!ktWp zj06K6EgTWCJLu8Rj)x~}?>*j$3ThA0+FYA5=ag?I)ce#VE6$t_=m`Ijc-jQnaNHhP zA5G}XqKy?$By%S#9}SxJ{KAi}vE8vNsFj6S1~GE|GttAv_xRV%S{-cd^<@XBD@f`i zB03yBn@P~a`eg3OweJ~*D(k=7S=9dNg%d^^JtQ+lqq&pAW@thd{z~jDy z2#22^R^ekge%F)a=a&=lGY$CwZ4I5>Se($D)qcme_EY>1m*75PwT#f)F)&R2t9b`G z{Ic%}>~yjUe0LajXmL>_vhv&YFQ5MB<@ct*#yxoR-3k$w^gpPWZvu#m<`2Ew&VgOQ z8SVrobNuhF8^PfzJLFf7<6XMZlVYxNCon}4-)Qjv470xYd1Zwo68*F2Q@3K!l@*yt zfEssfjwpj}Pb^hZg_kf*zSic0o?x8Y5QU>u+oLL*+OJZoeU`ff@}zks<09YL(uLI~ z`6VaAtabVz@uF8T;@Z}7$Q=SGDr6OlhQ+`f2BbU zBc)#FUeWOe4?04+1w2KzM-q!a9W9F7q4ff=1ycWm7_i&6;Ty%3@dWp?4RJ*U02XN$ zxW^klG|1Q4eYV54%SdU3Rrv?Cl6^nDdC2N1^l9td=;JJ^{;5~)TLV^?fxQqG&$jOp zpG1up>UDkSVaqm+R}+z`hF+MEx2z_9B{6+XnO8~!{yYW>Bu(tejyG;hw3Xk_1V70Y z*b672rklFtFW6iy*Im?X>M}Z$K9`=iYrkg2Jf|jkJdQ~rIV%T zNe>}!#<{aJeCZYn0aaqrMdv)%Qa6e`1*foU>;&Ic`y}^D^=tW+64d=({w0~E>Pz=k zd0UMZ0;TAoV9W=QFsyVV^_4c3vsjIH>%S>{%tFW)wwaKiNIE zYl#uK|9^d1tP+cLemA)$i_)c@n{Rp=KBsF6tJ&TvRUZHfI_}~>lyUA6M&P!r46cDY zgbV^V%U+&M`y=Qr9%6PBA}H;W9C&okczj6lztf~3w(9RWcg;9<*fL{C&maVt{$?!L zqhfT~X!+z3uX9V*->^UCa`mBur5DDa_h3v-DXXdWg_$5(?_L4F0EnOM()opP>?Q-w z?z2_O4>)s_S_H>memh>mmFznAm&|>lm&$hheRc_+{(aU$VbC^k?TJi&{8=MV`3@Yw z(9-LZ2Z7>pB#QBBBF4&wf7Bte?B~O<^fcJe(YGFK(Om5 z@$?y3b&wMp^U%U09zJUbJHAATCig#WA-~?Z3IgBVlu4hzx%_J_W6gBa z63h{~+N|=wat4gwmtQ2qH@ATsy3K(dZb;|9}(df_7;6KsmKhfa-x%MIJ(&PM>U18Rt-FKhRr=NYeeNA3U zh;*r@k>#vxEyNGE z^?Owy{*C&v7#PK%m~PH-<5p?>{EgWLV=47&*kJ!H(!~|Hlv+MeLB@Z&Ijng=}new`OpL}?4KAL)5qp)T|8#IjmXaLF<_nTon zM&I0X+0IvTF8EC_tI}NA0{c{@-B4tJeWCT_+?QE-=KYbUD|=%EP~Yy6iZ_;KzpqrW zB7c!^xH3S5M{A>{in(pt;#?E_(^MdfDUMg0!m&p5r)dC6yrm^inZfJjzzuu67pwI< z?M8d^vsAUtUxI%cAs^&K2ED{Vd`pMsHY!I72*ppG(He9S1xn1*xIbpA)yfgeD3)p$ zM(5K`)AfGTpm?s$Wc38g0VNow!E8#MkX)x=O`S0FZj8<(Ose8XHjZz*ih!W?^UHkh z-FwvF1`@Nx7L91hX{Wj82Kr>`pSztps*oD_nl=6qey!!0Ls70JWo0;TM*xaL{*+O+ zPt#hSv^=8b)}>f=t#WZ!HA@b^X}=@-u~b$h#K3>A z^5iy5YaHxzb@F4fh#Vx_{PU2V@sO{hAbRzuvXrDWdw{K!?b|(V4)7B4c&v z*PQ!rV9##jM7o4G#=iWavR1f%=^K0xwqNZ6i}*k-E;n)A4GkOt#=5RIdC70@Vtu>C zPv-hHia3h}Bv&-#F}1UNwmb60L@UL*I`BM2nMFHl(izZpaIQ+AhlapG{sp)u_K51`YPw z`iR?K^CztSP!HnT09?pny5`AY#NG0^FKHn!?y69`=aPjq;~{50?yV0GRZf?|V0g(> zeAWVXogRb1YNa|8)&lps`ftl6u50!0R!ZOod*75>E%J9MjY5UAhQD8QXwk;$8j48t zy=bj-u?S=h6?(QuKizE~s{dTz+2Zp(`h_LSuCHYL0&l%dE*HYaCdrQcePMU!i;G3G z4k_Ok#;UeU;@6fz_^^u0P~6LvFF#j^HI|51PWo0wqSH6zz+BCU(9^L7rPX;>|JJ)( zUHz~N@KHosuKa*lp6^HFb?@u}$kQADUGqtB>&ZI4^Lihv z*LsgHNOZ-roqesbf#>t!)!q5&h26M+oO?k8O!4b3oD>FQw$}q6yK9yBTtj6@%kn`T zn2LU6swilPLVR+Xe59|~Nq$qE7UFZ+@#vsr3$z8{_dyNlbY{*-HkZ)Itx!-ZOq@BJ@mQxV|c^C)+9Q zz{ZpQ1|utckCAl@>~v$>)jqOski`jQ4p!f}Io47J&v(fw01DJ6 z$MXE$n^!iz_MazY-|$bQCW$nQ6#h(j^J?<41TJ>VWRvSF*}xxLP^QYgyZEJhN_JJ? zXz6Z6wevL8rKYvbmpGx7&@E&1i?08-s(wLKm3ishv_Xy15?l5X+Yf4P@f%_?ED9e{ z)bDAC{=?pUdsnP=e}s3IQBRw~m!Af-T8>rN$|?jR4gPRo*d!@Ux)8H z*fjev!LGwG&JFjP?;pQNMIg)O7I4tlsnLWa59WVYNyE`$NDzB#reaxAjxOPx_k0hw zFt4pr-Q+zG(UMZGRdH{#9%XLXq`k+c zW#z66W@WaIfwzOS&PuL1t1FlU=qg#I{wPWhbJyHInt75GYF3hKtZi+nl}tpGTo4*+ z_PW3r#gM(ryvIORU&+e;R{@j3;LidQ`tFFfMCuT&>J+DZ48{wU_OOeDcE82O9k|&I z+-0mKz7I}52|t>IJ50i>CgHI=@Y^%^PV?f~lAV`*TDETCcJfZM*}sVb4b#5<-rh$f zU})Y3bmw;QzVP!oK*^BdS9yD9J+nKJRg?IJv;i?PpFmi@QXliv{6oz4mKzf)+$O7y zFWNqwbiUkazCBy=^*R(5;@K_Xi{!hb$EJ`VR;HY=OrdU-Y{|b^sm2H8@~6L?9%m|v zF_&?D^|mxmTZMGwqxF=cwOM4`8zRg;B}UzDVxaHAw0l-x)8?Lm-R|d22=|SGuk`sl ztb=DyRzXnrn;JW4V-$Qhd`6`bxBU)!5{Wj2yDO@n3owHhxNuHJ3C5vj#JLbZsMs0B zSM25RN^z6)$gJcnH8ld9$(rEL754;HP#D6BFknoy(l+B@E|V)XOESI_zzR5KnbgZA!ZvL@7Q!%jik`Hk*y+TEBcmvjLK>svZVP4J&=*95mT(rk}Z z?ZZ+l6Pi_b>%Pgh8NL9kK#B0s$PkwG?Q$zquK00eR!UZ41xFhECFIqI3)&=0f0e&k zXyPZ1k6DQ-Q_m(;qIvIBU!aR`jdEx)!F-hx7`J)5=~kIRizka^lOdI+1yIc`9Zt(6Np7r$6%g zT}53bXTGGU0H=G?|0RB8tyGJ$Dq8NIV>8cl!v=MwMlZ-mJqK}H^RRPA%@+d)zGz)_ z_q;8^v!DA<5Uwt~mf;#vEX7rCY-7(AZw=-+#chmd{~=*Bz0|KoxV!hi2>S}CIGSbQ zBxrDVCxqaOTYwM(!9BPv?ry;Y1h)Xe-QC?;Ah^40a1ZYP?DySw-@EtScm8vFtE*~e zdV6ZBtE*aO{tYI+v7a~XDzLAPs=Npf!<+15Zna1#J4Cj1{_G&ZZqZ=VfKDCElGsW= z@ge#)F#;@c)XG;ZSh!6k!HF+X)F`evEE;{Kl@oq*u(&nYnw0rwnw7sWR&8g&1lU#1 ztg;cr9-tBIROYET+!n1dU!fCImcf3X!&fa=#C|`S$apD-Et6JbQMG90`rIy|w|$Dw z9Vl0@mz>;1`xC>7)!}AA_zk17F<Ps;R>-*#;1k86^9q#36b)HTSNasS;j)9{6g6_S11>CxEJPhn&GJ?czG*S_Tg)Ol z^el%|3vDvoXVV&cxL6vdCY&u-Mmg!Xj-umb5|`xDU>}(Ece`n@u~L7AwFCjc0z};_ zUJl<(z{@Na5gN6r|GQz#!hofS%6We-xTwzq-~EH7$d_(1jAVJ-N`!7_J5ftdOFUJe znkoSLs5VCc)SE*RQ(M%*8h7q|4Fv1I^@wmmeEYA&Z-NsH>7hQ>70QH!D1#Oe5G!@yqEH>aLJgDHgQY5%q8~TE`8>4{zvpZs3h{hNAw-gEEx^KLpZpky$ zEqMX;EZ|_FSHJ@R76AY#P=EskBna-NvV=EAX|h}mZMdsum5$Yp^;1D@WUt0gS~T3M zr@Xtm6d6w5$uUk1G?PV*7sk3URXLg)X-4+#0{-w{94i5;>`B2loOeyk@o(5%F4wIn zHd{7-bp)pyP-P8=IzI-ElNGRArf+580G?YsJ zO-G7~=g*UyJ+Jcoo^%y53|WSBL5lsj5yE4;0$sCtoXbgOn-1fM^?RO2Nxxh^??e>c zJv)5<^7!1CUG((4r10h0`}lX!vyhAZ^m96Yfwxm>!}!|iSS?VnYlw(@x0 zQT)LDtw?m6!R1xK$A`~_ECbVna*g9wub$oR+Zg6>#6Qrt8aJ;vV`A_tsAQ~?AmcMM z3{804sb0iy-Pv1DN@=ge7cbg7X_rN8nd_F-Z51)94xVQ%N~=b0nVU&{n%;xLoxk8M z6o%n#(u@843zMFlo`@q@&nwPlVs(>MmD1G5Nh`y{f4nHa{B!Nux->IbrNiufZ+E#G z`K;CQxGB_L7N2f%ee-VQSqe7k*537$1+${ZNCso%xhHl45X~6Y=kXs8ywz+$3@6Fn@G;zH+bjwPSSdv&~RW8A{ zI%TD9&+T|$vW$GQoI=PxxnvQK#}=4)DH%)DU{+p^!~JoZiO~5#M&a^V1gP;*HYgC{A zdv@>fU|(B96P>!h_=Yt*Zr^>A%i08xA zWACa5nfGY9_x;IiWc$<2>HW^l`SY1w$`U28Q0ZuvJTAh0)J!jZGwk|q6D2kw`So6A zK@3?L-yUUY4A~XmUS?$snIQijWnB!yg6HwzkNdk__J!r%0-rlIkUTjn{Ql;^2hR(_ zf=C6J%P7kX!4=f0&%XduXhrh%%suJs&pi+pwtL?dY?lI|i9zj{i-46n#qQ0rPttXO zyTJ3$Ho|mf?*}14bb4rdG`94VX+DqHw4G=<{K5k4Lq z5WWvN)t+<}gh@Q{ee31r|8GA}v)F;cLj0}}y=1*+y+A$HE{ab~pXhuS%;}^sr&L1q zLWZz2>ojbXJ|PfIUjXu`oHwAT^Rgr%3@Rm0mWKy=jDR>Oo7Wi1uKA1Y3}wS-K-r0= z6m?G_nCpKm3rxwu|KmqeT0u_k@o;+i=kfW87)G1x&*TaDKtB2)oo=w#)3y8Iu^_E$ zyZ4;;R7}!E92Y)vO+S<7a~xsn=)Sj8RC~xK`*|qtu&$#XFkGQw%_mTn`P86DzT<>T zo&3BuuWNf@Ne*tYA`c__)vV}#kB5!RIbPVWPMiB&$h_4Zpj^?chu+n6Ju_3vXDy{& zZeYcBqPUr|JJCJR+G?czJ8AtGY$xCDpzUH|tDc!mxzTqp$3A(y>AW{^myR|Q%PEhJ z2yurQ|I`Cb6LE6sOTmq`ADOoIxAo!B(9Pm5Hm7&eg!-Q7rEY13N@@JG6X=UN_ObEdu3|Aac8DZMT{T88B0=ANNFJUsk%?l4e!=?(H!?w2E;^(`-*u^Vb;x;@qtxTk zo=?PMTK?$m+i|+zRuFJwC*20Vj!7u_oUU?HBa>ElnUCs_nt$Ey>v?y|S8Hxjs&R~T zG%PtcH-UXAo%L+=_;g#hr5)YChz&_A+)^B|Qs?>RrFqfoCt9ia}XrYr@g5KqjeE&_LYXdx*WHML1O?b7pf>M6& zA;s70uYFeK{Of}0N&Ebkq*<0lgN4Q6to->!_W`!n`<3@Ap1-|(3BRioxf{Ja;vBHF zsM<6pIndN+H&i3%zh3n8Fsn8`Th6DQX}1QY`ai5O{zfpN6yibdSKYzcV|?S1K)>)N z;bO@ZHiqT-;WFL`r|9Aub8pCl8wBn@r4-(Mc6t=<4h~eQ3AyjrbAiQb=mJLpit(4;}+^>Cf z_trK&Swudv17`{tGuHIR`(LTm00XN*cF46=;d6~pv>%G9wF4-D2p%xXYB2e+nLYjD zVcHnvqMPn2T{1|XZLWA{aG3q1E3CIZ$eAIkT|zlZqoTLlY<7XLDzvc7>5Y$u>vM z>3S@N!xiY6?1z3BWywpEOFsJ+@NeX)Ax~ocw%WEyp>l ze1n%P{aG=oorqJ?`1VI`G@JE3`iX{NvCx;T1w}1Q$vUGau_?Vj_?0suI#tg@x-Mmf z@LH&z%wtv}(~~T&k9X^lETPP!PVMA#s*bl0>FMgfblM9aht#}cj_*RIdm>lk$kFbA z(K*JuQoDlVUc%gtCn=NiCw}ji;Z^n2z(qox#=Z4eO==y37Ya2AZCM1-IG12njN&v_ zB6@wFnB)tc#@G{1F-ssM`Odee&O(9ygFoo^)8;Z;qYa%yFM6`Szk7F5CcG~aAtKrj z((m56x;oimXuKwC1`&jtlSi-Hh1Mf!AJiwb(rAXa<{dM^<)X_kuDclT>d`B9R|UUv zX}=paCi``AdVgklu!>#{ljC`+jCROoM&5EjKS=OLSoww1i7qlyTss1VXLpb>*7k_W z^L;-;C9i?iRAY7)*8sy=;X?ZG#6Y$Bg1e2QoX{72;s-;Q$VvD67jfp~9d$=AUq$X; z5DPCNP&?hIc`LAp-Pyg{M)@4U4vE^7J~cZ(E~<4vFC1Uk(_iwNO!>3ABUS1Bc=uS3 zUY_38ok#Nh8@dp+-g(QjSjOqoWMAh!%f0M_0g3fer&s8(Qawh!olHN@vcv4pwK6(L zByEda#z^c>x3G=WGyYe53m+dR?=S$a0g5|m_MUoNpsj*2%z zdTMP~!JcxZOP~Bf+>Ph9=Etu+c8Rt+lQ>-0Bs(r=9$H8Iqpg zw+i78a~W4(5CAmk^W(r%>9n}LQkgLZZt@t;js!S^uDIUptDG^P+j0VfX*U~h8YO4xAL+5PC zoc=R2IPD1GbG*3fn@KYD!32_@oG)$K75E~r22cFa-n_o~gWU=v0lZ!K)5(V!#iVcP zQ|1!br!fmB)36UYGcX)4g$gfU$aKh=3w!|B8uL&_tju5N46H{(cj&KmJ2aO0(+;WQ z_ovZj=qHN9!15b^;Gh=11g7 zXfk;uKh{mhtY^8x=S6UFtBcf1Lr7z5JF3JIwPE%7P>9 zTK=qeyVu>rX5`69@ZL`Ps+Yz6bl&Jlm)P}|-?jC_QDpimU^=cIH*M0}y>4inD`Zl4 zMOxs~Z@Yq!Ub9bw9D^xhsr>h*R+}$2CD}u%w5np^kJ6Q1z41w{`r_TvW@@wA|BmEmux?h=&z)B4{wyc+c|w@LE@A)$8fu z^l>M$;K$YxawH7-QvLheKP!pxEWy{`P$LAQ3g;rcpRdfA;KIs}VFu=Vs~BnkYA$hVFu*YDxR`QK92$AS49S~BzQQ+3wA#KR`B(0>-EN8!ICAvC z8xk}M?+f3A(8hi`1C|dkRi@$ilxv^~vpL*}9aNkjjFOXkd6Rdw)v0p%KK;2mJD*+Q zX1G1NuNM+}zPUIWJ$@4`^zhW{y&~wnN5xnZS~14l`7z$uX<<`)49v^?#D-9Z&cd12 z^0<0XF0{kKdmAa#qHCa5fYbFcmjI7myJUV(|5o=hfb_`B+F-%ltRxTkx&Rs_BJP>-WByNl^Y1^LpvFNE4ouO71R z&m+l3h1^{4#+zBwyp+?tYXqJP+BYgZE*`tS4vGlv+PLl_JTjp_uI;f$cprA1Z2CE7 zq6@9Ic|Seu9n_aYydM7?xwky>@J%&TxAV{0hK(GJK3A4{vw7lWwWB9_JBZvi6W6sj z>peFUN3=Ju-??xvJsk%;?aM8lJ`To{r*GoMUw@d_9Z(be`gqlwQmIV#=ouovy1G&X zC-j(ro#t|PD|D8=<%-(&`1BaM^mxa4l16lPr9ys{=JrU1UV{0CjOXxCHov9RzcfV! z_~4zZL7u*a-$C|@!FhA`?}}v(HUHx02Rt&aSK7}YoJHNYU9^LYmbZreZE&5!$#L>Hu(%Zve<|i(F zK|oaTfY_~;P){B=PLAJsyZ2tzyBq@9S-p$a^Hs#+>Wba-B0m|xS>1QM*G#qcE}lHH zaUyCH3J7xUWphXTs?xcQc)hh5>DWfJv)#ca7@{@XVAv8Zu*Ld#bxwy8)~W^shKvab z$TiJ+iVZ8n_l&Vj0!3`9uGU|JnPFHeO~GE=7N20wkL7bz(ul8IEVdf;3g2@x#_j~< z{#mL}P9u&RaOj$%*gWshkt}_m-td)f*3Jmbvc+eNdYC)KSrx!5Rl7AR$vgTt{Dt!; zwNBMGZk}p&uyB}@|E2Ri+loZ|E3I6ubGZUemg@!WkELgGv&XW)m-)atX5Zhg5dXxo z9TuXb8PhlL6OeWaa;hHCy4lk+*Z;~kkb=6=uT@!$BDWo)Z6xqR7UiX)>LLC4%L(RT z&ah*v_-$v^Pvi+MpYaX9NgtjVf0GUyiz3L(-qOUQc1(EV05-4C2NDYnjA2CE54LRF zHhxwh-D`kL``%86SStQYHbGlUU}og|I~0UZdOT~>kS^g`EC2n}c{c=ni|Lf#VF=V;9h;7K3Fh`C z>od}+)P%;Ec4=$9GFW<2rtW;&2pkj-5bpGc02c&+X0I8Np{)M^HNz@%Si6aUf5ta} zE*Aq}2v$U5A!?Xqb3{Cg!-|qkoYRQcP8GcSiaLs>+ZQ8i8DcYIzfjD(uK0v8>q{)V zql2)U0Oq<<9BPUDC!N(L8ql=+0S0$0=7xCDs^D{h5t0kLQ+Ah`-`Fd3oV}lHDa7hp z9a-dGTHe^eOcFAPO>?jo63e_bINGFzf!C+Pnwe=d~_h>xbYoom<=5X ze;?esYr(vFmUN3QJwB@bs|kH`51H+ZHc|WhWlEj$ki7>{*<#kfQ;BYu zg`3jy1;z0iQV49nQL|QKwcX1^WfrJ`(A*-LM!ezm_As51l1OC{XjB?smxwo=Dtsek zr^Xh+!fT@)Fen?po*$I-PL(96P>VNe#i3rB~r8R9nx{_m2SxU@nIC9S~H^1j_+oMonrKyrPx0zM}K=OK_etoI3&O< zz)p-xcIH87us_UL^hT>E0Y3h8jw1Hk&)Ugc6PE#-4+~3lG++f9pkd8_@QbfybY~Ua z89?iD?&1Q5P!HdfT`YpMCu6;cw_Vr+i4t4_n?Kdnn1<3x_(gANKlRS*F~KBpx1|L~ zXVIie*1XXUj+zx?8AO`fOXze3P^)w_)~Hg8jm1vEq}gzt40CQblaAYxIs0ujwx?J2Qs zba-_A0iT}wbgB95hr6&-X(V9bGL|GixI|l9mT7bxb9eH0z93%$P#X2KY}e4c+ZF`e za_C7_W{LMucflbYhzX!)%-0Ng0xgpn0u2(RY=JX=-EiakBXJ?5SoXh>t20cY)nuED zVe)KYV~XN__eh<25&1n-5X*eNc~X=-mTLB7YIX4KZ%4l$Si3lR5Sp~sE?Ogc<$8W2 zB?Va|4Qx{n5m{m;?UW+L=hi%Fqli$mQ#M-0+S*z;DK?JNXg*X%BPMq?rDm7@X?PvB zW}o=?6`QqgAltLA|6l;EF5Ga9rk7++s!_!P@Q2G{GkoZ1@=amRt!1C#z3jO;=iX)o z(cf&O(78$XV~fZIXHIul2xr}*oooA4|Zf3?seltMqeTG;^QPoWyL2IP7Q7GSr$NTs}jFO`u#0JOV| z+d94u8?tGz{A#w=A=hT;YWT}?t)t~$0*2wr#bLbzMHD7G$2y?VC->(>#ZN_|Ja`5m zT`AhHXKZZbYKa^I-5L`CC(xDoDs=sYwp?6yFzFLEeTtWTIRHaNszb0nyksvtU&Iakpnq*=bs zqt*1?>rW$)=%r-mJXY@2|0i@jTbK15#1nC9BB&kT-2-e#Ryr|YHT;hN6>@|;06L`| zB(%5jJ)AkV1wLL<$4`o;TsSr0OF{Jm5|m7mQ@(j)S=kOZ6Cw@tWpAecB@^8(`B~W= zFe1rP#gzkhB#(-;@KV9UT0Vx2IfnBlN64bhAGZoz^)YUjVj-9->!acQrbg8PFr?bp zmuP3EKUQ(0(-lp?!59n)rq<$N3>N;I`e&viDT6`;#818am5wbjlD+(e|0^57(BL6A zlMfj4z3uBaL@bpK7#sLUw#&`DY?Cl!zvtEUU>$$VJ=9#RDWYj=)O7c9@X7@ z*0Ehr?fYwKqcN|yAcvHOi=yp23Vyii=|Z1OZXkE6@^P)NmjI$Ct7rl)36$hF0Ww%! zZ-X)Yu$268fds5X>ECdKA(WO2abJF)Gw0eTu}4{8JL}GiDiMTem`C|~UWFZ%mu}HK zkMftSh^(71HV>+V_-ic`&dDG8d6cn@WDLAVypcb(ZgZkl)0OQ{V zVOY@kPB%tiSVn|SC%(YPb((i623V=Y|ucyGryTIxof4_*|b^IEpLB517gR<=gWo{ z%ep~-&lRi7B#c9UvNf2AGsN9O9;XN7mfe0bp7I$8zr5sm(Th$+aUX9OoG+Po#eA%B z{v(j}S&2frh)~XO$$8aEjk`-)_~Aqx&h0b(7{B6QhmLg@?htD4GE~T?_Wd+G<%`kH zFNI6nI!AR;I0ss`S6S7Za4nx#RE6X*9qjb3&r#r z*Z|_}$?);Lo?S-+$EN9Bj`}}&NKJ*l)}~je$F6=9+zmU>T*Jn8lz8d9%*$SNr@44@ zY1;01pU0(kMf=iTwRI^op1;O6@Vkq6gK#qOtImLo%IW-3)TJyR1`JFtTze%>%c26A zFO7v&+~IJ^VxdeZT=lKW$w-)`IHqMC-bfxL9k1XPmd{lApUhpnX}IR~Vo15>r{6X& z7^)^8n#X8cRTaxP*Y=nFgXt&3HD~xYaOO6>-U!;gf90v0ae{o|so<%ryox`4EqeKH zsMy%u%~pCTa!E#gjnB#i{9U|?ZDCPF*AhS21%qZg9uboU?~A^|e**bTwUkcZExxyX zDMClY6l&|ZXE}XBZh3xnA{R6CyQ9HwDpNGb<6HsZ9p!^@)I|YxCWZ{tN#?Y=(Py;E z5DhL?%5kTRxJ2n)Nk@#MPgY_al#|MKJ}|cf3Q9>dN3QEBC;sZw$kj#o#$Q;5igb8( z+@UOoHvG6W9kn;EdQrdqMWgZYB>q7d;Yi4031+DbEr~^GoXIk*n28$8eoj?D92Xi) z457N5OgVq2C^S4DLlq0!(F;-TgkilbQ2I={;EC?I3bTCuO(Q~nP}=!>8EK})=iLR& zrU*VtyiRxJ5WaJ~EXAqYW(-d2891CmyXwvi@$lqYBvJFF61R7Xn>u@Ksyl5&-}f(6 zg7(W~qy0_a&jD-Q)KC}I*ca7emenj5)uI>G8Wz=77S&*v)aaMgF_1HmGI>s0d6JiHfqjw zenlWV*ETOt^{SbSbiNyx6QVbPkwZf{Wo*wihJK8yN!$9B-@^=?5!muuMNjS z&xL`(T_x+Ic%W*|_FRIOrL+)N9y#%3WcXfh&jmGqK2;srUa!hzcm_lbNEQ!46e7GTd^ zBU67u^9q@B>wd%jQB2pjY*;!QM1UV~*x8{&@Pv#I+?knU+d)SXhlEhxNm*t~!6@B~ z6jR-anQ8l}LGfPI{CU7`)4W|O{i{)PndM_Fn@(Xc!=+QMO8L}dEMC(@gsR59WO~Dd zL)k^SpWh<{^0Wr_wyh8%z(Wi0_w(`wF_NGlBXo5_Ds2A?4Nr5(-dWn^<#rqS|Dv%k z{|tcKj4E0cuT?d6CHZyCju<>QBu5)3+RH9F89afvhqO(clpm6+US(PwnGF(P`11@C z>+6Kw|2gPzOnIHxC;@s;?Jkfo(8SJjh+~<5!yjAITprlzl4O*B=A863pZd@-K2^4M z^3s`aSC)Ha?~uQ_dqlxvr=XVo>M%@z-(YlPuA2Rd#PSg`L;kTNAgl zeb2jJQ2oA2tH`RO#tfqT9ZYWyx(-_gNv|@00fAzlKQd_(+%Yo}X%nEbgazP>bs=Z3 z`;VV?nkH;yp zQnTNHf$tqo#3e}K*f9g=c{)yXhS*4OEB3f4@L>`BZXh7l3M*q4%O4>#;{xptD7*MI zUJ>%DnMfeOvD;U)#k9Tx-rdur==`hcePt~v;UxY3eFt<|_j$ zRWN{9Bi0FCD_&^`i~mq`6GiD2|6vcS(w#?z+qbTYsd>V&Lc~h>HRDdPFbYhAiSRw+ z^CuJx-!$AuT|~Ec_grWmJlU;xjV}< z+vnZ+JIi+-NWk23w*}v{c@(3exHS^D{=WYX9+PTQK!k7o&F>FAnMT(Nrpw`6lo#}# z8yO6~S!DF_Nvx{?CZVxW{usmIqFD8p-hlI%YBY~~5d6rO!rGU@beF@Pw>B!+>V6%T zBErwxuM?p(4H(&iYFybpE!Y#?t(9N0j~cZPh0_76Ij!?P^0H;a`RTCn!~3NH4Q3mzJi7JD3=?4qI zW1e`5vEy;-lEC8~3#>|Ns#;op37DTJG|(=E%hzw2OpU!TC{>NxWR2ViDE7Z)$w4)| zF7!T$?M6fW_Kw4E2m5=TCWST7_z<6qwub#`4BMlQHpXKAcGkIGC(27TeXc&XSGr~J z3jAbk?ohOs7q=0aoMg6x$H6{w*lApAw)FiXs&Y?e?0!kH{eZM^>O|b>JVM#;MEvq0 zVv6WQ-0CVqy1C{uaFRYe(<=R{LRLJT`zSE=$Nt4~%ooj*Ah|HVaGb)rl_+!IY9Om? z!)RU2eTD0#x{i&!_+{?y<2{Z><=BirnZho&|H_wLKmWA573EsMQ{%^@r*$D@n4p#A zkE6{gO?MWO6X&d)R<+03o3**DB@tbiTEZ@8rQ}0UBNjBC2}n$=T{kthnoCkArU%Gx ziM5&f#%04+35f*KNj7kdtsa)kJ^nIf!ksiIoP1NJQ<%dmj2jC1&dq_KD0$Pk~#9E%a@ z{=^sEB1|OgdG@?knDl3(x{?Vs$JR&qc-YK-wVY}lc8qy{9OmglPC2BjNR?CIVl1HA zG#PvBXvEz-;Z)|B%D}%FYpocGj+M1d&O(8fMQYR1#}m{uarW^y1(DRjV(&*~JAdbP z#Z7-Shgz{UZA5t2m2Xsnm~Gl~Fi7_jdN%YZ_pT&9RFlfoKZy0UwYVTXVqUoBZkwJT zi>irb?6a9{4!gLo)`{IW!aZ+#9=3X(+_JBicIoT)=|gSUs?&8U$+-)q*r!M1FSp*- zk)VM{;Xsu1dGf5bLyKyv8jKQPl=4yY z#@{EPO+!GR`@~W6Qq2e7!5T04M$sg=GUv;xSHDtv9)@wjF;g9+cKP&+@6+MwD(O?R z9pfTToE6C+S5I`f!F*4p<))g-=o4nvlcwA==Bq_xPaKpw+jAvu`~f;_0uKsWF!(ey zWyA+U?qH8-{5wN#D-36-PeD22E4r@wO@V=_gUViqHpY)h=4VTlV7}VTF*n)lL)uV95XZ&LB)M!i}~=9C+SJuNoz2=VJH5 zwWH@f*nq4eamDy;Eo8|Pq%B((&%-uimaGh)WuPU1AsZxC;8v1?5$;ILwuh?MOlFra zmJ7!!X?d7uHy1)rpFq!%aFqyN_>uZ{(QGbtdo|Ssg5ct2PWF%$BpB2dWzzmm|6rWh zW!5Ar)OtvidpnCbp9x6(2(zgorz1XYJGO1?h(}k!3uURLtEpkz2bn3$j+94IvW3zjKUMF^PTgR)WcKJV3oiO_w` zmJp3g1}@%p2_;1N?Ts5xWDn{Rqt(7x zE1(a$7i0CzPH0o$`7VYe_Z`n<0zLrGWB~v>;;;ZvgM}a7F@*&l<+j6zSM2uk=ZQ^> zjBh{OENT(MD!Fdj)G|TFwzZ;Q{dCaSok@)kH2gRI$stDb9yHZrs9s{0{9u3sSA0}?gn;3cGEf3e2F z-xNdbLcm{C&N@Ydz|&28YM3SF&JR^vv?`yhik5$l#!ubWrm@y=nkqi9# zIR$Lz*rm(+i4VjIa;!AnKml$ciL-#%$CT^^Ym=&En{gmYJpK$RySTCl$h6^SdAOMH zBC=GHspchEiJfvFacd-rUpOhg5Z}CSxT^>Z2^*>)wP~D5-^LU6s_~*yO9`?j8@EixZ(Gd;^XYB;>ywplq`<5lJ%gHFFCBQ*t!wO zc_)yafdqCSr35TX3TGSbs7Ro45BM&nVTN?b&^2pM1HGTC6)ORbxsE0Z{88d89?)Rs zV1_J0f3?&R05?wtUQs{+)MU;GZ_iJdt6H2Kr3$yq8OPd)#Y3XjcE@d9@Uk;rS!$WP z46(H#1OKyTaG24YQS}V0F=H2V@-!1D7V>>NY%N5YoR{pK;_~)xmiW<60ktS|rtAQ! zhOtn)GyKhsNfstK-Ov4)w`?Ei*;YmSD-C}8498r4F89|Gwgz@tARt~w=v=IA_aks` z2sL1Wyg4*6om30>4G=61JZ!5s{WPh_OHPD%bO-AZaTA-B#mhH-43?n; zj3uY4Ct8qKCmoK47JgkChZ}M9Vb@-hc9M__;!_eBl7PY~@t~y5k0woHi9@Vt+ckk| z4BrILN$o(p?5kJ^?4w}o6CE6GO?p2F%TU|^xF}z7^_6Ol8&WyNgskfRT#-1xc42lg z)sbUvM0p6B1yjlsZEl`WeJfyP%CSY!eciGWdNp*L1 zIo?`sjCUz_cb!#9_%#AP78Ezf{Y%U+)EsVnOtm@|zvfk4OGu9REdFbKt6g?j8LAsQ_oIQJTO4<1-_#J5Iw=aKAw& zcv)Wf|_&Zg+#|-=Bx-|0NNs9xwhwZ!p42ml} zg7vGk*MWmK*y=__=@}?YX3N7jj%9^4(x5fxbkH z{J1eZ5gqe8C|TaO@t&e&-W~^~GpV!`LSe}&#?WJGoD3}`+_-KEDp^xwssAF6mlW?K zz#P_vsOS`(KOjuC{Pj}+uh~KBXoRVDTv<)8Sfr;aNPbzq55CHeghCbAz(xA|`rSgR&VZ^BGQr^xHDCmuCmh8KY*3r3d(cgY3UTq4j` z{96J9)-MhAgGd^`A|ASk)xVQu^@OAT1e#O@eQaS5!+<_B%Atc)$VDS$>W*LcWa-vz zXJnS&rER70{Bk6<9lqim^(xh-;^Y$5S+uCuw8)$(!JLVp##l!UJ#Xe837#PVe+G~Y z_z{qU#%fC%8PI&A7yudZl8&y=xuW%sIPf^9bzu&o{FqMZ!bGV`a^Ue5Ryogd1NE8f zD5JwCC*n`LKuKx@D`S8Gc(B7mq5DAf`nUjB<%bp2AzTDvln-^7K-rcC+?q$u)zi!b z3-Ovq9b;{QGUsS{Z`j+0f{+gSXat9HLFb_E{U2{d!!(SVSMBHfSl10&kIs8Vmt5 zRZ~J+&CEtXcPcSfmu@ha$y$7=kTc^}dKzE~ zki;SWjRC|zlK@azLrhW>70`hXw8mrvC@OuI9ku;SGDIc`Si;RqGW&8={?3ibQ|X>a zNA}!i9JmXd%@TgX&BokjAk*2yx2W((Ny|BqwM}F0J!9t>pgG$H^)2TBihzb`j0`p5 z1`+eVwOe>4)0RsnZe&PE@OBOo@F*MGSttbE!$yRlpk!or;PfP>S!2K$acDA+0D~n`=2`~*gG#P=I$w&58EFih%F6;16Xy(|`3vwX!H8N4l(bf+k+!o) z=ajChjjosrxZ73e$87=zYpT+(&;UWH7cDP>RGQzEb5HgV%2r7vQ8^4 z|HTF*{FiuHNnaYk7}F)4aDD~GxXc97#wzQ+5JO{JYxZJWKx`a|^TI$kDjbtY3hko_ z2!N&q0s&163xaMNDMQN$7KuRNYN4Fx;hg8Es#O2L0OFs?l)l6W_-`44jh=P<=uC!_)A8^95)X1 z{SD8dcF*{mPb@u;!z7#pORGZ)ewoC{yyj5-vt|Db4Yn|>w+o>9hcg6x4=Ji^9@Sy$ z8U115a6#-m%Mr9Y*z%4P<&KR-62W2AzilXV;!J9+qS;k}4^UlaZh#GF^zpXn9-!`T zVLTMvHbW>rGrs-UupUWfwPaaqS3TV`tU}DKDGFHr>Uny=gy=-0Z6Ev94oOV_ zk~xod1dLM48&Z^+4JqL2Xf$sc-`bn#i=Ox#?zT6rXEb}^ED|;z5`0NW7K!mWfbh0y z_J9a!I>%Zyrb&Bv&WY1tb~;R3mEBoeCIo_-P0Sr;y##8q>)P%4n=tM&1)0uS5}?AP zCZlFUGW4qTI0!f_xx%0hKo|5VU`k&DOyI7vKUot1;gju8&|(RoO$07OT*crYU6w?s zK$TmJCrv?p7F^-*{{sa?U=8I1L6$LatmBU3$bgNWt?F*|7kYc4n7r&LfuX=w582dt zDbhAKkMo=3vn~S@$UbcVAF_%K>y&y$#5Vw)u^W>&f$$L#?Hd-mo1-|*jHGg+@muUX z5e25!I>d)}jVv_I_UM@$E!k~WOo|Uvp*qc!HRfcFEO2IXOsO|-#|H3O%pOhvg$%Kh zI^zvVPwD|EHxw=T3l*R=WXdMq4^s@?3*gJ1if+mrc~@IuU0_qrE~zp{>MYjJ*W5Mo zPTk67j*!OU7>z&Mkacj~h3+IITNM)zfg8aE0Ue35j1!KCHcM$ST|kqL#;h`m zQ!9t63JFlTOWeRzIEUC@Ky9@w?B>)#s#*ZM#3EMG;cQtxdr#{d_mn9g{(9{8dS3t^ zOA&t~ij$7=wF9BK;oxR8DrePJWYE z)}{bJZvu3TeB%buLXe_H4(*49$At~kzEU?~3k2yrRZi<3tUTY{-X85-v*-$X-R?Al zn+Y=llmem^$?E>Cd-b1Zo!yslq}fg%1Z6%*g`5KBL-d15|U`TnvC) z^1SZ>o_dl5@%{;*6a5@hG4nN0b-4!~`_U52enqwOF|5;^I{&pF-(iV1el%2dkO!dp z;%_99T6^%SI&;L=Nhl0}jVyqUL6*oj0jV9wKp)5Tpy^ooH13> zw)zE?+Ho={oOOd)!I?eIZHD%>G3OdtZ#n#2ndGsPAT4qEuZO`pp_AWxG-^3yOiN<@ z%|ya$s~D{cDpl}uQ8{M@qH8jHG+fU8qD)GU=R(?WcrV()uAzd z@2RRqkuhaV7Bv+KH>!pOF1EzWKn2eKQw?0K?!h&sRvef1I=t3=J#h>`Q=#a%x*qT$ zgoA24ppwEWA5l2D`uKt}d*IdK{vT&=0ajJ>y$wsJz@em5x=TRG!=aUuJcM+YB1)=s zcSuP|9Qx3bQUVeZ(kZQUgMof?{MGaP{{G+Vd*AE2xo54}HG9p>-fOQJ<_Jy9FgY=W z($G%esX%RX`>M1 z+!SvIieTEyDw>vtR7Qz47qs4`#KyFAISpN*KZ<$(WN(N|gF{mcOm06i?f zQ1h+_Xd+_GD#o;qj}uaFO?+OBc(n)b)Zd8@rI}s5cS}9SIn$J9W*6RRJB@#?E6I~cbmxxUy>W}_`vUF7W`3G&m)Sl?(r2rD_S5+J#>S2;{(FAG?9ZkvmF<=0 z=Z+4&?#$UXicCmm?x`3p2>woihyuNf6Lb1#bVGggU9c-0hOz?NZ-dAIap1TbRjpE} z3Q+G0ZH`SU^1OV%SeaSqN&jS#cdu-3epjtipU)XFrbJh1Su)mZYXAzWgPctX9IhmH zep1L(;2t3CvWFx{c5ngDm7E#h3(AEytfy|Bi|3;mV1!) z*xtkP_TY4N#tgyO;7ek0$VnfiW_k~Eqh7=!RYvrF!`lckHAUJn4Qm!K7OC`@19~!S zjMtfKcA^gzL`=7)kPvQ*xv^n->;?IJ)$h`d(v8erg6HaBo*mPd1sxdD`}J*qx}%S? zTpd}|^}dz~3!BGU2p_o5P;#pBctuX|?N6XJK=l~xt%v2OaEc(Xi!`rjwlBh+TBXg$ zStgOb4E@|G4kK=7rnwiA+@yky?X-bTO;w%}Wo3@k=Z_BPgc~jBDLJK!mw^|n1T5{p z(uFq<_dZ$F;Sj%;_M+PB?6xOnQ2HMG89no1!0?+6TN9>YDRV7TjVB$s%~_kkqyCKU zU4sQ~pFcj#_#bNfKU8HCph`qm1SFB)HqL&9XS?qH#OhnZmK`W)+OtFZz1JUvDJlhpxyG$JfLZtiuS)WInwwxHH9^3)nDeLD1sNlTW3lN;&LkEWDyTLO^=G(F0kDRhCf0u(T;W&F2Qm#i} z8)?q>Q?#QK`z4Q?%Jl! z3=39gT3Bv<(UYvuD(LN34PnY^vne9?b_`nt_rBBA4Oa8{;1hLr&5Jr3P@akg7PVs^;Tk_wfsAf-at-;N(_+~#Z z2OnA1Bi(Tn)o02qNC+}5&6B`3|HjpYP3X~0jxrQ^Q=O#sH5`k==G4k~}84g;q& zyfYmJoOk3Cej-pBi#-!+9pJm~mJC9nk9SL=pwRdXJ9NU$eFgj+Nx_-n-%E;^^&5uv z-$li`g<8>qw*^lcZ7WL=u`%VRLLomj?_KyEP>-5XwOf0Ix;(EHgDN_`?)r0 z7mRO&(kgPBx;APTG`t_a6*+OMX;KLobUqD3&LcAhn4{sn^eteA=&q(tupv(Sa^PQp zEBG$1cp5djEK0Te{8(3i5+@!P)%VgPXEE{tufHMieQm!?j(3kQjt`Gpe%W9=$VSwq z-jIGSwQ|An<2?95&fyx~a?ar%o`B}%yL2z-4Dug`GwB|5*hn3_^@sf(YOfNUZd)Kk z9^KO<^n}{s&4Pu-D2u>5pl)v3a{6AGCm%|j0%Bk9R!Xz$_TKG=^~wn&cjq(HlG;x* zP+m*JZQeAeA4GQure0wbX--f$kGMQ2uWI&k{chH5dbYl0oq^Z4 zQ?DcI9ryBxjIE|+wvl2__6mWhEGwo~LOJ-EAbPf6yl8N0@rJiN6TYw4Tw=~AfCvNZ7rA47m>l^xpvYWJL36;oMr1Ab6Tru%x0hIu^wowLx|P|EB$P!WKv?sukeHJ8sS;W?fa8w z*b1*oDyMWkUtzFpStg?j-LU}*&7T1Z?d3&atGxgmQ{Fm-^M@=S)m~xsMX>RlUEFqx zTb42`sXf2s3rPX~?BY$7SG&lirAb*p|77X|OCxZEjU=7|=QrvId`>O5*1Ijuty$Nn z+$M-!CN%y&E}-%vlSOVrZF_%R<=KpIOJ=SQy4WwpJQhq!SqNKV29;I@VPksnE+ALhVT* z+sE@P*5C(S)up7_zfYk?-$&Qb8=El1Sx97qY95_Kpn2TR0?oq|M|7m3dMAFfN$mWx znznOlrk->kG^~n{JD|mstq>WPF)Wp8lZcN`N4^2=q@H~rG|h@gU)Kuw&T6(XSbwqY z-qtQb!_-NAIGe zi(AEpIAH%8{zMKZUsvCqB+rCT`gfk07F>EJtWGONKDfd4R|U^o3N-S?8PJR75~LgT zD@FDK;q|R+c`p@Ya%H7`@@W6#UJy6|igU;&&<7yM<+c5EI zCgW$Tu~93YR>sdxGmSI&2RPqoPEd9TcdJgS>Gh3Tl#_j?=~C#L%gB*kIdT1T?dD`k zbHKB#(Pka-(P>UM#9pOBpru{v3rcmW!1Q))v8llFc9r({fnILDlU~oT zjyxNJLmtie*jC_4I!o8;hk$DE)xS|%=rvMt<|wq};nW6?MHQTK9Ta>xQEF1~8HX-- z6jTh{aRzmH3~G96Kn9oE?d6ycPhX!be)bc;EnZBI3Ij#=lc8>bj&2lLK_cRb|g%M(#p+9(#+F@=wyJ==qR9Fb+^olUN5=A&xaT-UHG zq@q0;dz*=^7U6O?L3F9P-d+WK1b8~-#e>l2j_pHtF}9H+&U#J+u9Y4iHg}hyo?S+y zp#CKF=G>IjA_k%Gh7t3CeI}fXCCJ4wB%H9JWW!r{rYLpeJ#c)lk9cy`6IS7Z%%_UT zdweZCfi8|srjXj;i8$X6 zM$Ihttsg#sX%3$HmAEt`LAf2dM^gIugft@xr9jLayBxOvVcQ#?NzFP0ehmR-M_1eE z{u?8|#bcMJU;)#fyh&I+v

6Q;5_&eu_>VLO7mb#UCgS_i$DcRPhzpZ=o$qw~_`f zxxJW2mI{csRoKP~0bf0La^Ln8k)j4FML7=s3fRc~6hWaX%&HvC5M^w7Irl3h48!De zzUL903Wk}fFwXc3gBt?@qMs%=9x^p7^;50*NUr7WyFiYwH3comRRRx}s3w3ser)rb zzG^lRuXSBh!q}#gQYJ06iz`qd61t^~gc_;X*Y5w~n#7Epc&;WE$a0pWGn}h(RjjMP zsq-tMfz)9~Kq~#b>$L;FRL5qQl`Fp#;a1mLKEKq5)h-1Aekq!@E(}rraxPOZs=g5A zCnjFVzL37>CSEH2g-UZ@*-DzjThJd~PE?ZsLZYY&MV0YmsL7LAw=673ltEO2p3W@fDhj}p|6WTzC+h|UH%kG zA~;FuecDD+XXK)79<|`kg6X1fyiP>MZ0{kTIZ4@+2?Mj5^ZfSS2!Q{s*~fRquf!+9 z!eyfJ86t*aDzsZaGiL#Fk_c@w-+$l!PG#NyecSgpf4>15I??;HR|KF^!q&fKhp^C7 z;y<4+IJ}{S|D2=n@L~`Dd13BhBVm383<+gd0j-N&70@DF6MJxi@5~x`cTo+9yS>6^ zZS0Cj!ni^Ksn+(x@b6tghXiqh0%wbCipaatvP%9jsX|*d{Co3!)ACwxX8ry__j!TXd|@OT@b`CBx;>Z!Cvn%h8SG;&I6%#q)m5v`IY&ttL5D{F zNb7WM9WB(o*e9AdgXbh9@@~O35HTfWj{ZK-3QbMK*%!Qe8EIw-)`fabu;gpm1tCEs z3?l$w=xGEt`d?A<+<*dC`&XqJN&e3mnWkx19+;nN)vE{LmkToNx9$_@tx-pjJJc%c zd6D=paJmo$_SvK>B+y6@ut!dGq~mewVAz@Esa(%88ekdW9KN29U1?NGBIZ8)Gz1Z_ zW6uKRl*X()Rd{0pcKDATc*OQvR|iH09nUBb6tCLEH7$1?H>;nwT)XF zz!^)Ej^$y5S)EH%`jO}z)LA@+mI7a(RApW|HiHqCBkm%Q5icVk&cmfcurka>7)OF9wwn?w zRVuP~j=?u`(?FF8b#Lr>iGvca$Qiiad=lI@B%D97-$(pYQb#_e7x+z4i0B z+O*TLtS}domRJ&yDFrfT{mDyWYB9KUV!rbi@b%q%_CK+8Qzm|3P}Pqq<)uih+_pv5 zLrfjwwP@COA1YhAgp3!6FpJ)5tKRCktBV+Sjki|+CouBq2Gwd%s$BnY+lWa_V#hjQ z;!}ANmJY~lc&$NchQ@L)ZkToaRPKLZft(qZkv-279A3Mwbe(yXw`oHmTtZ!5ls7zd z4EiutZk0DccP%;;5cTyNJvQ+k4|Nk{5(b&J1`sG42)&g5pr@_qXvG^8%=!WmQ%$IY z{BMx*>BhKCTq?zgFl`>;LUo^E_IPd4!#0%VcyYtA(5ji3C^38N^9Dv#9k#_27o# z2<|-|*l-l-!^>;o88_Hg14$psN4$xCxu!vilO0Kbop@_1CsvomWr*=LIpCZ|zzd%O zX-(XN2wWFd6Ic^jzMKXWe8frAU{ty7&jb|RHc1)Kytk<8`@6rOO9?V^!0zx!jY<(P zr1gEm*WT&EIKebyb~NSHamM{Z3;h-v&%mdo6$Ia!MUw!+0UQl zq>~bAa9RL$_{^a;a>(poV8CIr&*--~KlzNQn8E%i{Yt7zKA|YW#Fn_gf#v1w2_^}1 zhO&9OH1R#sd>XJ|Pv>vYnV{#7bUjk){5!~HCz?$@;1*16JW^t+=YJ`JUgFu51yQLO z+=vd`h;~OKnUqKs8Ia&YK={jYw(fBWKSz*y7)i&g4cVN@35OuKwow0i+*$ca%U#d? zzPkZaZES`E|Lu3>tS5Jw9chPd3%@tPZ73yo{uyaj`D}RjLBPbo!Ve0SilM)W-t8|* zCh2cI-*{*V)qOhiLl612%>2ZlbF(UN_`w)-@=|@$%b%b$B_NPKbT8B;wCTVp?vU!X4X-m8#bCu~yuJhPW;j zs=CyV_=1Kq99-teAB%=7uH}N8n%7;{f+O%s1{xoB^#to~NK)t)aF3`Kvgm!4l*PKA zl2dCc2*jt@Koof>sOS9~UvEWXlIip<_u}!A1yVS!So*KmQQa1js;MmF?qcmrI3WvM z`8RtQN0?vo!U8%Eji%6pR%*d$N4MSk>x7}pF)L54LbR`Ek(766i*qMy$)*12%zeS@ z6)2BpB!d-%UPDEIjb##zu7u_V)#{KSa)-KiKcxE<{3*1)wF1}GD~~pI?HcVsVhc;% zGrAX|%%Yb6;tA~rbi&GbBLONC<`Xl)H&EMlbN7%T|4!ZXdBZR1B*~H=KBbYESY&Mp zF&kQBHH$DC=Gk_ZC48w5F*E*L|MB)>a9v5$YOzXYhErJN-TQiD)VIkUc0}C4^jy0c zFaviaH&4Ylhgo4v(U!@|#R~-LZ~K&&msw%o?;|YwHlXLOSXyPGX;AQOAjKOct^x7e zuf_}4;Z!<qG7%(bn~%&CyD%!yks`UanN*>!y)j<5pqsv( zE9Um7NNKFsw>2`Nwzx3A6|fj2w962kFacfbLHY`Y{!^ud?)5a(dbrYZu}*Tl2@KB7 zz_(4;)wx&rUJtE?qlQ%&!UYpffMJ#z-S^UWhq=okm5~a9%$&G#&Bn>D*VzQrO3{|p zO0y_+HtOQJi$ioJ`3eRcm~-c;PF}olwQ9vcZ;q)Z!u@=!SCT1r{y3S)sK$lnZu?&cjXcV%?VLm4zX!<}$28HoI_O-5?*GT5{=}-+uEtyFr!kke<`IY zB)%bO-Yn3lC~sbt-7t}hZp--CYB~tqZHTb>n^x-fdJ-WM=h1CbBNeY`l9hS;8}A}M zp%~V}$v&qjG{zqp@kIM>U6f_MDLL6*K9V&5S$9ML?isC!H4L$w=Ee%W>fNOW#;ERb z07qp)Ip)Z3i?s(}TfBN*D;Mk;yN?c;lDtg_Gu-+tOk(lM;dT8zx$xYSF{Pb|TX4;~ zF`xXHDKeM3Z2Q+|FxrZWU_*PgAKCU!?_kC}>~nCTv8l+227SZV${~H0co1%>RI_lc zmFjqfV0?k{!!AJXb8riNc}xn8zwUo`muN8S)UuDt4DSi~F4K$|@|Ag#xgwI+kkq+4 zGL*03W3Hm|b(VDZaih(G+96fD^rR2M9IwFRAc4}dUNw4PL^3eQCH zp67ABkj`+#_AX-#Sp-CT(q9yKV;2vvL#WLO6MFiuS#_`}VN-RB3ki3m5t6X--GvgY zNUA!^0s-jbHQ|QBWUNu) z8{(j|@oq%iyNaApegS@cn-0=p$f!U{LbYS%g@JD6PnaTSULI$019zyBs4iSOKB~hG zLs|t_I56;9@E2)&V!7revWsg4JM5DfUQwC0#Gm+FSEX|sUl6^PMnj`ln#V-H9sPs| z^D&h_Hp%Ep_Tx7k^xsVKadH)Ml1{;49+?bs4ptt7BnYd5D~y2Ky_D!oTFTY*7C-$6 zgtl+=P=7L2wbjRJLUkBfd}6R9>FH9?(<(Pv|HGxEKFY?s7&71dsSh1Go?^5!9(KQA#QC9iLN?*2 zwJe2jW0(*p-p<4Uzq$TxQ{Mk1x7}N7Ss39)J<&+GZH#@!7xXPv-hVK+-9~GfWoL&` zYr-VedliZhkasu-KW(^o^&Nx0t#JZ0BXRD0u;H(cS{<0~|r#ddg4D$44Lzn7W z`NJ`VS(k_cNf8(V9 zABn}6%y`cr%m?;rg^GyiUbxsb_j@#fgkDbz|6ofk3NB|G)t5Vj-I_bvWq7 zNra#qI43hJ!NZ4zdsGOjEke_1Cj8)h)igzZI=@*B#Y$w3ZX)=DoioNUU8Sk-ze|Vc z@g-dp;+MhM4{|6{gObXWJtQTX=EPnGC1oaUK5~B~GHF88JKt&EN>BJZIddC|a&=35 z%u%xML!8LKrk5(=EI&0vuAln(TY{lczLT=0O++PaL<(E8E%}G$)S??9o_w{HHdFOO z&8bGy<3-B&J8)am!F(s9$9z$xHgwLf4?j(-vA=(P4WB3dW?VW~xpkt{vm&H(5`+3j zlXH0ngWqiRo85l1??=$o7IEmY2?D##<=v@xafyPkIxUb)5`>hPVF5h*g6<7o<##=d zG~zNH_y@lTi4NOHN@o`}O$)GsKNO%z5H0 z^X~y<&a&=-dpH`%s}8&dMv2aI433#+pZ>A2Gx~#N@#+>s(zr?Fo`hi1TF2d33=v79 zq4oaa-igQ~~Yr-NI(JiO_?+wR( zlp%%rQjLlhC7dH3+J@W%9%kgJwX7r0wGAC76)rQ>D|{p#c$DZ7?>X_;Z(BSYwsDcx zHd^VdDs*;sdf~Mt8XseK?7(lcMje=;KI^rax2bb0dkoQ3cj+K_dN(M~j)qYBqxt7_ zY=sd~DxadxQIYGR+5g&fYX31;ITKrYPW1Cwk$l`{`p=BPM?N3kt{bJ+5}xW{`*2~j zB?p)d$O+iwz7!r+r~X{{*K92Tp8~F+gd#$v3}GsV0dthnEB=I&SD9vcH4jPW;8z>g zRa4Xw5VDI+Swm~s?`qEc|6lQXRNFNUm9l_aI51~lFGFTUdD)hCJ!(DUH3})zzX&>d zB1`91pIQ{~H~8HT1doaKF?ov;wq>b2@bqx&*8-0Wac@rE`t>`?qA%gc^jsOlbJ^Jx z-sf%Ld6PX^)ihChKp8JZyj`Ze{OV3zi(m?U%!@ohD=PfVI4!24?65rK`9f%@g`9Bg z3%Ovl_YoIvcUzxZtzOZR9W1AL{R#!1BlgWL46$$vxkobDK~&f`V-hGA=F$K~rYBH7 zffBS?VCLn%ZIX<49Tp zwsb`dwF46O`f%n~5)r>{tdRND6s%$1HkW${H4tG?T%CHQeLFRS*y9C?{_>8Dzq zC-Y>Hm4)x{Ya&^WfaKBFMBoM&U|m2@Wj#17WQQi&%XNic%R)Li%S%IHt(78 zN$#_&5fdVf3I~#BX-N(Xj*Ji@fpD`c$-zM8FOs~T9riIbt<^K#M!=qT%-A>|GF(`W z(6J<&?xraO*F;@C3fFp4ZA=6i;BSC2LuV)3^ipznx5c}-JgfRYv~C&Geh;><%ZzuQ7BM?^AQ?`gCgSAqYB#HoRZtK!zD~m(r2I_ zIDVS`$e<^UlNIXFD@qMf6QNuR*UG5gRSF)DRupGRwq3+A!&9qe7&1ihp&{O!n>1$) zY4RI^`heUXtGpQC^$$7&uiwy`6&i8l2|f8=kc@x`WvS70mlXUt(YfgN@9HtVjteC6a%@u)3-Ggn|6OkPV}F!e9uT%$p%69aO41U=8{N zY2~*n(Yum9N}w5irj1CToMATl8$7gT{)FJI4yqDOFQVv#Z~raE5hn=vh5M~2tP?P@ zJh&wJ+7;G+Lk8A<$b9^}8OiEiGaTv&Pk3xaq!e91TPtXJb1^OKOwsnOyu}|70Q!om z_z&!!{@#$daViJ-OHR-!ga0GWC>sUGC0=L_b99m_pi%uVxZBF(v1*!5b^!XH!Ddej z=$a{#N0mbnPi^4fGyF@)1$Q(FBvs}viU?6OR3*Uu^cC5ku*qZ#Yv61C@YUYT(t6%9 zY}1cHP^iYGTERmmIE`wyVI~iBz2S(b_8P4UpzCFj9gJpqg>ClS5#?CQbFjezD{6jN zb!CMi%1C2bEfG9ce@0ms$3se)h}uKdtPssrUO!U1l_Uv*oF1dgn;O979*0U~f*R{u zyc=CdLS$#Di}OUMhiSU$nqM@=qnnSI{t_ezzDdJxZ+Uaf9ZJR;5lWjuHR~ynACX`h z#sfq##(DkAnTD>u1`3&mioy2xRI?gsIAUshn-?Cv-OxB_ zT=;U8dZ%sqQSpWG{^>JyO@(B`X`TJXQ(lpXsvdT;i<;k#6s0PM>eIx1Hfa@~WZkHb zG*MmftSL;2DJ84x#uhfBD<8BB+k~@j;+GkO?kHN=6t9eG8&VIOH7YIpa8_3qI>)ph zXjz)7&(ipR%pC!4;~n(8l7p4Ugo&m%nf3ddmW zvJvzC9D&@gAG#50=al1>+T7N9y}M$$QHo400qJ7-?=*6M<&W^IP43xFY1dP;JX2fd zWUD4EcS}k%#G4XV<>JjOa7#!8*14rRvi$OQs=2h)`ziaLyx!aGb{!Ni8OZAeJ$_!v ziFA%%xv6icu6wKhP=}|SjhlA8;6Erh$WJwtzH_dXWU{!$jM$c2jAB955IvB@D=qJy zwhZLnIh=fztL9p5u4`zd%&S15KLv?p4!|i*q)*QgXR3ZyxZD2&i58I}|4kc%)ai8s z$QEG&h6dU3XuuFQ%9|X7g6-o~t^Wp1yAIyDT4OJdQw+yOj=T4__5C#t;)BH&{{x(2 zWAM}P7oE?o_#MB_7d*T3W!d&@KJabZ^NXD9XZ(ZlXKt?v^8;%x2xSwx;6*hTt>bN5 zDXxhI&8lW!*u4ug*Z&WSxazimG_iu#10n4LAdoE34)LX7%IidlZr zx<@1~+y@5LI=dD35Vv$`Q=3d)PvKM|I=7VB$2ts?PKYTar444SYGES)snRi=Rflzw z>z_ZO6NAlOeLHd>Ela#y`ORYvq&(i=17uMAP=<5|K5+iU?yE|<6W4q(<2q}#V-97; znrZWF5|5}sOGHfBVo&d+tL2)b_(O&)5=WCg_FI)tG-(Z5iovFjy*Otn9AZ?A69(B6 z=D@G^)(LuOWAl?95|J{1!?9@R|EBT_%*tj)9~zTl0W{aCupQffCzX13Omm`wh5x|K zd+$%UMPDAA`CT90@RcTpc{p@sKge$qT@kgfaTvA6S*8<~K~%Zc1i2AWgDZ;9c8Z4$ znQ!=B2|9xVt|Xj?l@C%Los(6Iy{yoGZq+-HU+rA~jaJ0yxfT14+mQ8DOnUiSp`O;o4fBvw9TGhGY17>J#DQ)9U{kP*$}BwShk{xY|HJR_QTo2TOa8=CXQ zG)%pQvq>i(2-z(nmUCJ0@Ox_m7H4L;t*Re~vjv8Z884@Ha8}(J3vY3A)?{Cv+ zZ&Gh`5W|*QI!R!35Xr{Ss^dwvM6AwRp*k|0l%2^TEw0&ZlvG)m^;`6D&GvKUhbO{K zd=7XJfR}j?&oV*U$Ah3mn3yOnA-dqXj~;#@ql~DR+gKg9;~{mbRV~%FjWc$tq-lFN z`8lS{Y*Hi$OK%@%M2u3`DBMnfpp+N(JgAqf7)y_GwPfbz_{>2*vW8??krnGDHab1m z-ShljJpo6*L$lc^8iUuN55*j*7^&j3LQ%DGnYh!wWLKS`-t<*U--Am$=e;BUMk;pFYtb~i!T=0~=jI=W)U0L=J`+3JUIh%7 z%OcayaBkK9?67SxN<jGcdLfuo*bRA8z8Dj z5tJ%J$5mr$=wO24^)%4HI0I%%gK%k;oPWs&U$+`D)!s#Z-adhA#%-HDS%2yOER?lG zoV0-?&xg2t38U~Q-=o&@w$&+mS}9j=gO1N1d=#A z29pU6h2=3??`~ZaNRsUs)P)=hS7Wx`RX`J9NMaCJO3CIn)f=(WOu;Twt}RvzYWJ1X z(hF_o$tx};^lVhn^rTLvn7pSdt*5X1)@6L$_6D)JjiSt1ilI@0rXQQW{`yDvh!l#i zHy>EqD7G`JYZZ1j-*PCigQ%9T*G)c(eE+gbO+)BP$M8KDyE#6OQU z3MP*LDA_&OjE|7$Ug&2C9e1x?#;rr0YPH&3KFbq;lXbToTM zJ8MQ88D#F;`6TdOAVp`5xvC(kWDeo2h@{R-!mjtl9#<#t&~gRN_DEo-8Zy^TPspxR zPm)HvZq{{hocKRWp0eBGtWbTNvBthIv3OW$ zcJ@8x{NDF7uVmlgt!h|m-xxGp>#a}?2uVM~m+=_g;it<4LnOG<>_XeOyP9S*C3AjC z9eo)g`)A@S!69@1*{a9}NR|c$!prXv#!`8HvUt>kz#x9jsszWaMUgBgh`@S5K?Jtz zhFbIh>5`VEHDrZ&@7+*wjiw*>_nto_Ex=_q>M=9yF|)T2s6*a>&$Lhq4y-MTbeEg! zxDWCS7$)NRB%d;UF&lqXkW`>J&g+o)c#z`UhNk;3!x z5v|t6@7|%SdrR{o$!<3qNXfY5Ln?Y6t7%#A2$hiP&Zyn3z@z}#yde8hG%62Ck>e~x zK6lJ@qdVf2e!>JZ2s6Iw4YIodoJdtG3y6_VL+z=vott$aepJ73SBgzA&mgWrDj0GO zEil&JFf8Wsn=o_`q;$q7m`513?77*LMd{#{TJsv4lFMtcSQ7`u$)myN(AB$!`NeUp&|)!tccOO>lo%6 zQfx2sMx!~f1<5mxPOpW6|5Lr$p=IBDAB!sT3AvMwe2AH4121A!e<%%$VlJ|rPF|6k zMO;}+JG6fkqWePxRS2UOpr)FtOheHR#M`E@)kk26&ujvAl!m`zy00@i-lmNUBl zN_Tf#dG#0_3>)n^bcB`sjzM7J7+P>E!xs5!Jw1 zQky{g4#Cck8-fUm(l!%nPR6!FohwzzgYuDiPk$*pn;BCuF^0<;t`+iJV?ciA?SzNtpLM*mdK9aCq^ z^slR?a4AxzbPXi4yi~KWQA^M+1oyPA$HhyjzO+OYS)bZ?D^yjUIai`V)enMPS6oayZN5AlJVbnw?`#Xaqrx6uNj;V@ z7BH5b;Ps;iAvh3C`kHT@Yv`3g^=osS&hx-M%uGz4K%34)bK`QFVx+Bx#c)J=vXLzP9O=;L z@VX9%w)BraBE@Im%RGUyW)w;Z?}rln9);~$NC1c1#(=|x9_$LEw{cyQB$XtYC7Xq$ zhm*6UtFQ#tG^(NvEK&V$Xn4`{Ao$)7#hJe+>mHP_8T9hQ6X-0b3ej~Pvv1OCABxzm*?#C9&Wg#Dq!stL<+|x?f{FzM zQeBTupzN_XkFH}=+yhc4Xm%fs3y&fs4Ny?TW1@QKn{s z^7Sxdhief$^h0BrfYO<~zy;ZqAbTc{8i8f?zo7ujjP2&1fQpn+1~+zrkdqe=u;V_vVSovHVKl@pT5{*&fvqGF18im$yq*&++h=mg& zgtcpm3aS)bA=DF%nClHJb>S_T>xKR)fx}qLN5-CCL-UPo$H1NOIHi^A$bLO?4gH+% z3X7yJQH4t}SfBKLLHMW|OAI*#u6i?`1;DkZeQ%Kd3A{PU5C{35=UZf=AVLQF@l-}43DhIVI1&DxAs+i427`aPbX)x!s!)M> zd9NFr3->_;eZ@5Gz6RJm_-QAq5!?WcU}ib}PjEoBPm-S+px><=h${WJXc)&bS180A z^*7XocY&27T6h=u2^t6gBdUYmoLF%9m`Wi^FqF~)*h-2XWwww17I(jJ{uK+m1mfSj zhTGk11^!!P$!HVOsj)xrRe7I3OUuf3z;ex*rag<5IFTV-Wd zZAJ3lO1kFJSBR>DL%?05$AP^FhaQ?&R1x8el_7t^JA<8Y=uTB{+_Z~lQP91)fzVq!v}?Q2~G3emT- z4|_;n$8xlS(fN`PN1HS0L9 zaxH#eE533se%}bb@+|(A`ZppFEhDW-xmQRo1(ySec{zsH_S^6Ns&% zqvcQ;uSvfO_NugG5#N_!EOM8*yW*GD^;IzB!~%b-oBTsq_3wrkmx5||hSZ?p#Z8hA z2UE3o{{v}0IF55v|AEh{{IkEp@_DO(*$a1`UTDcX(zt@^Qz$I-oz>Iw3la+~EBr{@ z5H|K)vJuU@)X~V(q%=tNK`Zj06?eHws7}+$KBsq7CMM6HM&Y|?=(z*o-bbprVj=ST z7R%m$LeoE*Y$@sqRXl)dr+*W*rGuX@QMD;tZpDn<34Y#={4-D;^d|YwuRrbRF1hI# zc<5j}bdEf9@jP@5Jah{@4CnFB!8yxR4yHZeRZ|!#5iC^mBdC#t5kjfUaWkcy zeIi#;?>hYPXs#m8ACfZ3+_y|3Ob8|eZ)#2+BlgA=-lTc8RJ6uKn@7g)g_~T|R4@x^ zYq?>D(`onX<02i+uZg)GtKg?y+3a?MMEg(fiMJDVe}0jfp=G<)74*Zc4< zli!5Vou$S&n4k&M#Ju`}EL9J9D=-z!-WtO3B3qwT*O8Jpjl{jkfmV%z%rYDgMSo~LYvG0iD0q~)Q$b^+#MG|Fy4qwZbL zLvXKiv>Jp1@hM7_G5`Z)Vj*>;$zJ!ED=^iUdY;e(v$O!+pFtwH`B)yb9Pl7MQZN$`8&2@stO4N>ew!1h1EWprhLm&7;@s*&VtXNlUQ2ND0o=BQ|GSQJM@2WMWmpb+=Vnv# zg(1S1SFF1o+|c#;5$h$IXxvg^H$o*$z4S(`r?whV;dlQ4TpkvPAj7;gajz9_bRP*- z9J3&Ug1Jc(C6Hdx@){PY=|T^yUisOdAi#q5?uJ!()XbO zGfCZz;|iO1GS<=7fr4jFz%mYZS@kuJi*jG}_@ z!R*N)BhX+a$QUn597Kr;C=s<=uJ(lH3;jUSs+?;Tm~3WA{5QJF!X@ufK&FBXAPKMW zF`b}g;VN2Kfns@jFzbhC^79}qaQB@2q!-LwM{lM`1)X2{w;0jKjSf+3&Bj8KoWC75 zDh)>-?mia@4zc@{$;>PDeVNc=<^3=H{y)H0@n+yAsk$I)2sM})8qp_AI3}9o)8e8@ zbe39vsIk`8`+Kx%vEMjA(`Q2Jx);Nt@TZS24zT@XKZT*m5?!W{Do8ssxQ|OXnTV#s z&+_hDl<3h$EBaf`Z03BwR)s8&)1)R(Ju6{s#N^#s`$!W~nDPy#YT-~Qb1`lLFB$nP z`ci-U1U3w^ckg?Yv^kg;ev~UaJE3_|sPDgBfh;epS`~dMd{_@=Z(z5tPM8cZO;wxx z_~t;_0rXIPLwG~g07Yu*-i}{Lp-sz{oQ1>ee)OmbyrD$`Ola`zivaT&s%O?#eNPQ6 zjChDSo%o$LEF6bzDx@wen#xncCd6JgG&9a@KQk{o3(|d2Sm7fR&^4|vtsrmVP?mj? zi>%Wd$p_OUCKn~ZjFV80aK-~7*$|tt#SjFNw^@=+QG3C^q=~orj-uF0>@Su2!-ewA z7zQ2=@$&)lt~l66vJ7a3GzGp@6sg!>e!7F{8H?SO$O*?QCXJ|_Eqou;^r-)g>Xka> z=~BdchNP5s8C4;5Qj80G)bw1FNO|;{6xGTdsl!sa${o{9B2aUg?!UIDZND5hX;6JI z?!Z4^AV4nmk_EBMhQq7)X$Q;$!SxF%N9sJzLgoTK)@$vmDfaApu?hGoh&&g2a)hF0 zl+lctIM&;@Xl!OcWS?@xZq_vK(~O(wPt$9Fka9Dvx`)xCsNgEVw^{a%@$kj4Vx*|$ z1wJ=#%*<6ZzB=oZk-{!;8p25vM=|2MjJ5}^{#xy%FmxHA2c|4&IcZgf35P8m9Gg2f zH|J&I_vK^$+e5#0ut=`14Exk3g1K}@0hQQ15zr*g4c`~rMw3wNzK(@S*kNj{(s6CU zG!!Me1M!LVwL8Msh|N#05q3z&NngWo*R&<>xF+>@3?shM_YUynuV1JtbGmsSr|^(C zyOSSea4v~yw>sr}O~Z~VBUVkx-nH;zkqt|fV?r_>&fw+KzmE9-*m?_~x|*PE5ZB-i z0fGbw?(XjH?gV#-dvSMn4esvlZowUbyI!21_uH!7+Hdz%PoJKynIlzQ=gd6Q)2(Eb zg!fat6f(kH?*DFIJ`zPc$fq1syHhtV8Uzh$vi=laQ)Qeh4hUz*l5H$AERci7u%%A> zt+2Lb~;~83f3zQov?On1)bXv zuHA~-{+=!hR%n>ICkR&D*fqk=Ms;FbfU}Za344Q0jq=PA39{T?Hqcq!=LqKEiEYC} zWhmWe3Fak605ITOl;^A={N=|fS^2igmQcm&fX@D52>HahSpw!GA;>_~~E|@x0G}DD9 z?=aQPQCawdjY?x@u~2bW$Z(eu!ZN`9$0P@L{d!HwNxcR0g3xH7Ak4PrO-*GI<6nLz zV)d%l0LDzA85b)In6yeu5Sf1Ni3rg;t}Fr;9cO# zMIjVUQZYefN;eA7%zadfzBZs=%=}MfmAXu6;np*KksG^KdjvxLOtaUD5K*(r1iZqP z)=$5K9tykmt2iX6*!5xQ67Rl%Eqk|a^*ul>LszrtQnsr5>d9|zeNvGU&t%0~gZa>q9-okQO zmWI}nplgV6TpL+oQ;#V)AD`FdGLNE>h;cl4VygZwHk@oS*6JjRrx8Q5KR#!sJ3g;g zU5j7-wfk|LyNT*d^iSnNO1-?(IJYzD8E<4|19ScK?kM*@nGPTfHNPbBH@%!mT(z-o z#_$iq;Z|0pSWD|K4Jr!rXj>H3 z*`)Fh&FMDF2x{5nIwk7qC zpEtDdqrbB;;mPB=jv_0eRyh zp_%SPP0Ly5*DvFxZQrL}lP8N6GJ1#`wfF(y|Fk(yL47+m?_)j&_4^c(*=j%xV!(M!O% z<3MKqq0M?4PC~Ofqx9rb3f0}S)GbtAbnF8lLIwa!>DyH!-V-BB-nalYCOylRU{)Wc< zipY)>R77|vy_c3mK!X(zQVv^TCQT9^tU$}hBy|aaD?;7noIOpEBu(&-o8e3G6x`8E ze|u$uhlaR{u%tt+y-A~j1iu*mO8}R|wXER8`V;B`AL4(Ax{GpNL+?N5c?hrJAj43@ z*d4!}FtDnCu0zb{ume`q^JuE^1S<5zl{B)}Fv&LrIey{Huv(|2XuDU9aKi(t3NEE) z#e(x=QM|w5^_3WA#w9NY(ZxY4W1@K-B1~FhK@TN9H!FfDP-hCEhZNlIlyj@R;kW4+ z2fUQyk@62L(Q@#0A9XH5LD@DzIcP?GXCrGg`8eW|cxc^>{eQ!1HDYHuNLg=2BZY#f z`D-J7RZX-5NK1{m0~qF*e9Hh^CM5Bp{%~ffBu1ipT2z5>l4QboqHzQ+5zN=6T&PLl zW`8KhwH1<9bEsbVltO&UiQ&?v4x7`6 zC+`^73(o zGxv)v;kHNR7Ert6GmA-X#2cNgGTbmJ(Ec*9P07l!s4PtWWo7vi33Qo};LJlbKM9wC zBM;k-@0Kve!lVHYC3up>|C_>+QEh!@*t;zVV#~-#Z)h4P()dV)JN`WvlKho1?jm18 zBuufH<0LJ`;Vxu=un<&aa3L}rJZ~rUk_|+a?j`#fG}xdBp_AfQqvV$dMP*49FeIo5 z;o|2~EK!PmjG48iBar~90W8Rek(Vd8t_1a;TOc>IAnT$lW zhKChC-wUy#rPhlN0s?ALM!y)2&pj5o1?$TyTl-P-G5fpzvr2j%QL5lcq7KqB&Hu!_gG;l@dGiUzv?@pM~@Vz z$QZ7n>cUB!?xA1ZHVE7d_=E3C2`97)28Wzc$V&)W`g zI5Yh*WNnV40DRi{cu0he&EY3^`W!CXfFaT}G+o!rmd<@U-JRlbZ0M5ZsD3+rS@OPW z{B$d9h~!zm>TKYJ9ev56^huUyyN-Myrq=}Ccq`Og33z&ex>uPBUMhIrDzI#i68O3N zAGgmGt~R>){VCmv-xc$!+PqUUbYIE9{$TU=8sJ{9974R6Ij`FNdv@*3r1cSRbiH0*7K(EtFfapZ^*-uKnaA}%FNRT59`0`*-rX-Y z^F)=MEHDkFvlpAVJ<*Cd@a<$emdq42NUATK{{Y0(8$MRW3!qbO`{`=!)h)=A|7?gN z@-DP1%E`XCk+|G3HBBbkTzL{+E)OpSRNE?<6dp< zcJ(#kJ}mwBumGFlB*2mNY?UIR`#x*eoVrc`3kGhY$&0k((M=90!C1RQ{{R}g-TF--8YF>f)hi1r`O3l?qm-`)MOD=Ca z=zeaWvbm$bY$}jwbF1Gq;OyHn|Ng^SVwIKx;1v1zfL<`9AV+F^%}o)W+RS#rQ>duY zL|s93d=1+?;AKr#@j)lH0^*Dw`S_0h!yx{I(dV5YO^vpO5>>01{``%7hC5qZ@ zcfz_WJ6rrR;Sffza6K8;F}ButG))eSe)~OR$M$WAVbdHp!k~SK5wpj|+lUHq;>#d% zBXi}67h&MlGco(qrgp7p6}qkooVC(21Ig8MEpBD~B}*d4!;OHz%^Udk&jn)?bhSx& ziyG#hsMMuHiTLWTF@rejfF&BN|1D%MRUaj!WC`uSb4L&KcyjTo0Pwln@^&X)`EKgN zkll9lTsQ}KGxzd3I!w$gAz+%Y&RDF<<*gIoysLCN%y~sS-+FlKB=!`yzB{~lG0#n) z?b+b>H8hcPA&IRTgs*OSch#;SAp4GK;$u(O-J1I9Eft}^5m@g6n&!h8pWif5VqUEx zT$wlG_c%(KV)uR|ds)SJ#p9jKCV#I1hzfAukB^|c*GMVhd-OXl?nBNx>uT`5HnoMc zpV48mXffds=(x16;bM-S@>ToA%4CBrEzR=vSn>^66m4}Y)N|hLFNzO#aUW$Mx+d(b z1k5xc*a+#&N569N)Vr0Pt*2*66RYX{@v%J%bidLQXnXg4VbZWT{q*sEoY|yXMPpt6 z_lPXOU3motZm?ZbQ=)TeZH@hsu zq4vw6DBclk(DPNS-A&W*%Q_%{q5VnK&Evy6@%js4)tojnZySgG8Q4l^3*;U9Rwig^ zs)NRtP@#0+#y6`Ok$iCrLdsJ|T*?+UVJ+j&i@x`TXSa*}2*FX{8W;@{aY3pG?%mnfA0E-l*$aU1K|Co`W(X9&UuDS{6 zJR7>}SNSxpa5>{nwB6M*EqqoUs#(x52MH?lQ9;=@ukDdcj#UT*2nC^w*++ z@W+GjAL{MtG+LS}YnnXN7@My)XPWl03!dK%`7YHMR(8sijT?pXqpIQAij2oW<}Aks9`#tqqz*ya&)g|BP-6-|o*Zy!@5?!yI*<7P_8AdjyP^^oc@ zO6t+}%a{o0h+V_FOM&Vd~e@^dDT>chhsHfBC$XHM6*WD|~KxI(%M|idf6a zp(#MHhqieoVvX}8JfvQV*;lWXuwp$_kjroiEc~OtY?t~tS*TdpJf6Sbv_e(KYjrVe zboZX}9L;NU<2kQ>eYK0+bOmm2ZhUd#?d_zcMVprOi7hx@@mW0(sO!RY`Q0~G$K{J_ z7`bn5gEXbTIIQI=hx$%?56XPb^_9>XhNL%yrNWOI__dRN!?U`9>=d&hJ>6aBuJCJA zdC?I6i_J%a**haOMH)I*#cbO#w49mthAN_X_JFQ;y+K4Bh%K3d;=FIu3QLxPMJ3x? z+pleed*bL;cgDDZ~GADZ*MSn{pd0v&q1p~pw%VK%og4*Y#ct=TvIn1s`cC{|vg_*|E z6Op?6<{}+`z+XN0Sm(K>)J?soI)MuPmx|M(iL$PBy$tz*0|UFHeL&e2bEVhseigY+APtgm*Zz+7{X6`Wk_-nGV4{{F|1@hizPqGzD5QMiBzJ0+vgQTOTYGaoyjZ6?0)`NzI%kY ze8XGU=fkIw$^tpj!0XYuWnqk>9$yN@$hby3X|;PZ#H%c9^5j;5@sDLhF-e4* zZxtiD0Ot?w8-eo{xU`!~%0U!N<-2SLWJbu)raxVm4+(}Ui2IdDQu){w+oT*;g&+8;X3i+&khDUoeSGYWD;MH7RThspTI<^{B8e7o}HrIpf2D-3U|f5 z$`hgRAKdg%+%E#^mO>8X1up3wGKrdL@!~ZZWVR_bIyERgNusA?khRx&KhqQxCtnFg z-PeLznvK0mm*h=RSni7!)z>KMYX+O+1N~bdlgn4ek1$qou>4E>JV4!|MV>-z|z>Q)|;H~R}Hjs z=G*DZ{f$1an@0baP{3YfN>H7J6Qlm{NcN5SgGHbz?{ls^f_|PKahbNFhk8@(vf2mt zp@R4n)xFUcL2<3>>F1`^#-yBKz}}`W?f3n}L!b)7bzBoQW@rjuMioT2x@1e%iw+*M zeGQZGYU{4tmK%q3g$byT>N-2jSR>se?VqO+R8+7LF2n%lWwVt*Xv?d>NNFfSldHg0 zPBrNYNeT{%ZmyuC~bSy z!E_m|g=tdDop1Z<<)d?0@`TV<)0J*o28!@kQcym{H!)udvYUsDTgF=Ax1^yxrW*2q z?oC&a_heSsWQ4Q-^kvICo4Ku_I;?qg-nrsqT0;OAUD{oMCwiQCen9ENZBc&~Y4xg} zn|uC(7$OLVA##2Ma69DK(%9=smHW9-&5Ikc8=~}2R*8^n!I6P*cV2?;E7`Ko>JI?* zKq~XgFW`xp7!@QxbMyXCNtgsdm<(y@BWYiQ*&k*iW@vQP@FitoH#B!D^XE5SbW(f7@=_vXK7FglGe7eTBp*O96L)RY zbl;Jul+`;18}DSj*Fq-tQRO-iVEbtv+6@47Pu31w{W2?Ny&YVAnH{bzVb?@BAo;u$saEIZa=@K5EwM^!qKyNlS~ zx~$XqDEg~%EWQaC%6A5IITWH5YHCTimKF*wFH=$LUBdY|FC^YB_acr9BCT%1_v+}c zEOl-*G_P84{e`ofoaf6AR*tHJ&RuIV#QEhcEAbBIzXD}&bjx>`F;W~G&j-v%>1mim zFjH?@zYUwQ&^I{F1x$G8K7!Weyi$d8Z#G_~ykZe(|Ky?9bz4@?fkL|3T~hk?J z_UFCayoWe(WDXAL=@>d>v<2N#uUqsl(?pVLCq`oJwy8qlHNvMB*KjJWhaZ`5UPi1Z z7{h}z^t;D+rxe5rXuf79ZOTwrlMCBPQJP(Azz1553p|h2zvmf?tF%5t^=VpnJWYS> zZWTsW%l8kwrUGoSL4gN7hx+?X1EuM05liij&)stDj2Uq*)^9K+mExhz(+9yk$wMD6dUsa#n+EN8{_Oj#C33f+u;KU9rE|T!~Us zieV@hcd7j*RyKK#__&W;xsR$K-0{8#EpqGe&Nu<4zX6iM{3s=hU7Xe^OG2t;o|9`i z&M{^pLePm;Wn8CmR=K)KYpNSkmmooJ=Sm=J!?m-v#+Ble6P45ctH<_Ek9ZfFI~X36 zsVqad$y^S%Mom3^s#k~0`Bc))oI8W|ZO4H9y<$>(>_@qZZNw}=ZhJfWAWv`Ox8D&5 zk(EmWOq380&oVb6wVBX`h1N+B2tl{*vk%x(33H1z+&bjpaUU)M(;d(yn1ssA9W!gN z?RUufH*+yO@D?PPDLJ-1Cz1bL-&{OR;yd%s8gb$l5kprNJH635ADtnOK+47ChyN6b z&H7`QEppG&VCPsp&#m(@*yVnK&lHFXBO&W`P^ZV5VB3ZHH6Gm$Wfc-HJBkhHSm>6Y z$y#+ipd-HwMc~X$RaMkn-LZhLkd6FRDYNc~-?>{9?-fea<6G5m1JrL4$~rfHd(hWBiUmg8_%+X;p*qpmdh%1u z>oBq>-!qwIg0iQ|XP?#$B=)R~8}S7RFi8f@v>R0P$-SG}v)*6>TUIBtbm!*Q=aPwhz?-V0SgUEoVAa-49iOMLvz3gFpWU!W)?J z)W>3le;v<1GB*-Aw4MX1V*iD`ba`}BaXlN*+t%xMi7pwpURn>mxp}WHSG08<<~OIF zWv28hbdAMYAu0SBX4j~yV`aFhsD`4xys;XqeP2j;dqAWZVOQvX`U?MU!V80bpxUj! zpwGyHPD-0=!*B34asdg&vdp|Uk~(!WxKb5#aj^JX+_ zSk|d`yzpYeDZZg=pC2jpgp_Xsh#tJNm3r`ZE6~b}X06Ih33iQ}wTVP$aSx4jV;oK5 zaBs;@Z8f0L!^71{W6u(pWbrPN9On*ab7#b}P*SP}G_~BXOd^li%xsKf?(!N~t7rCo zS_Fb`xbWO~o&)=#`hW%L%jqRdqYab-Z|~6t?@fKC)xNqaVHC&M;K_|gZElxTcz&M=?HBj& zn2{u}MbgPatj&&0jJ8wju-8`aOzCInGBi<`+VMOm8D|*%U zUUK6HMM%}NEJnH)Vsz1Hg&j_S1ib;f)q^H1GnEY?G(lr|b7X^<=UN_qTvH@3#xVv- zXH)K_G4R@5b};v$uG7{%xxL~eRSc%k_)+!!kTk8N-=It6j&0;wmE5Fz-RoYTtD0kd zkQcXIL0tXOb@-*1tK(-0ODLHG0i05Wh&1z2bde0cFx zB8kdSQbj7XV|W;YWW?zJS&MAXEDrDMRpCq<0>omQ`crk zSJo(6Y)2w0*V*2;xV0rkY(wYl$E9uVe!Rs>6}1Q@wStj?&7(@ zTF4l`SlKVzop|mXGx4c&C;HE}z)Ut(cOG_O`&x3~7-N5)Jqhx59$?8I;5A1tl8v^g zmr!kM^YQywUpy|gfB;+#30rc@uY?-5H85SBA^<_a@ZuZK2Cq+_%sNEuqVg%0pGt$} zKM@O@CkpSfZ}!6EpF#z7JDjeHGIE_O=)T#%}aOz1<3R{W!p zj%u8V(o0zDt80Z?Wa+4S&EdqAYA9*8dVzB ztJtw}?pk+p>ys*O#=k)klj%0x@;OWgCFI-vdl&uV|6z9Peu3BqZZPs zNFJv-AI1N?tu-{Al@&yqSS}Ww2bx4?Hv2`JWM=i|`=5tsqwoGylvEaL)SS&2EF7th z=(jj(bHDCe4b8kBXKYLLY~C`=N&Z1pxlD=!$bsg_lBTC;dOEdJ_<@Ae`y4ZlCF5Bx zCnmNCcciOl7o=x}X1v}Zjz?xyQmiOc`yCyi8`BDt6aM~RGC3PQTV}ZLA`Q%d>t8ZH zp=K|!{5Op*E)KC>yES94$ZkyCusNQ#p?gG0V(VR0N6(UiSH|T$!X6zEUR$ddo1cR` z<90hn79E^s6`(D46ZKDzyFqwLK7OL?n_5Z{j}KTLbL?F{dyes2P@x>SuZf-8PUDRA z9>nIhPLU&qP_8NWy^Z`kgC6jLA(OlrdXcs13$ty!p%JPMCO7wL@G{CM%72?6vFZPs$4+g!5}JdsYVf2D^GHANvw_ zfwGCMy%6R47Q|PKA|c-Lt|oDj6rH~~EfPj*1uuK92H={k={1E$*Gdx9Mp5&JXC#W` ze>vxTT1>lZ(C^OAFxEG=hp+m$2W67{$XB5^gu!%0~=STle7n{xB7VXu;j z+Fi$6UL4llnla{W=5RDaD2zs)h{YZ={(alfV`iEn7g{H&H2HC|bwaIEjnRSp`mNQs zcfvQijb^Ssw=wy!{ibml*EftNI;d?!vESyhjxiMmwqMu59*-&cn5J<2;z49z2eNt7 z4p($fqe8O7&nf9_v1JZSOJbD$J0A$OxNu(Y;F8)^!C zU!9?az`VPj`71w$xU)`VDj&#tdJ1FhD4oU$Gpv(kLxOSJ)`W)CIOhx3iiJN$ZI0aJ zs*ufaU>n4{7;#zU}3 z^lWuu0nU9a=yzk-?hy_*OO;hUJi~|?Xc@B#FkKveWSh_{hgQ=LAHdAcZ|iMxT1ZyA z{)MzLjS1U&9oM|RrUik&%2EA-{3dpVR<`IU*POa(pn^Ptc=5xsnrQ^GC1@uFZ9_Rg zM_$mYaaEEieMQe5gz=_NzH$%Z+AZ!udB~SD`VkAK=KclQZ5S_8hOll%8vN|I<;vdR zYW%2I$hG2DBxY2$zuYWZdsSl~kuc{^bpSXBq}_W%zgh_u-9&CBVFgPM(Nh0LPjelT z(tf(DPWU)m)zLzs%5u|?LGsyaSgOv2SxwHBT+v^Omd!otYgBx@{ds3tjxC_zX<7Pm zK^b?89uQ;-KJK}S@L2E3NZK(ZBX-Zhc{H>bbA2a@n^(huc8DSEbdsHsRONs933~+j zdV~%!{S)1W3lgH!UO-w@U0_I>b2R{i+07z?DLz(%qGo6N+m$ab7q5(|d9_li_riyk zYq}Fthxd`Q4f-G4`{nfy?!I?b?|~wEIRv4BiUWV=3yHW)GK>UH%W#a$UQE+^>@-z! z-=-AHvY*-SF8$iRPPZntt zHBebYTh#Lw@ECAOgjv`57+n64=Ie3jW9#gIs|nX(5GZh<5+5h4g%2_YnLiaml^#<$0rrb^KR-#pS({Rng^Muq}39SxsfDBTYy((zj2MG8jk5&cs# zI2)AH^zAapDp0q>frUt;A)-Dooez(SDpAyHhX)=QhOH*pAC|c27hK zCE->bTrxYFTQdcBswT)B$DpI9Ap6^;c~=idi$2OAc|H(4yf&ur9~4Q2)+sM~s~fj2 z>$mAJ{oN*>r=BOPymk*cLA%q_y5O=F4@Zc#Uks;_)4Wu}FyUWHf|Kp_(2szkaNuPy z3qTkA@mD%k?|>?7eKR-;X4Ku!22OUd_l}-v5kiHDQ4Lasi*XTBMdc}*sF*j6qCayF!DR=Rci9*;< zGqWc~=I!hQe}R=T8ghY`5eX_eN^Ph(CK?H!!3@kW1gyCEL8B_-@N4y~;*p3V(l7^N zT$3V`Rbimx{Qu^hb?`r|1>*J4F69^#x`Vd6h2`(liSSjsj|4iIWs7GeSNzWl z7(81J4zHmfffvzmt202x&8M3x34v>aRe_wXca_3d0s+9$+!XOvv_Px(=k&tWc9-YT zW#PiZV>HL-;^(vdXRbZqWJ=&=Yv^EqVFRQ9cxVvteyK3v^>tw0pAgu3GXSpK_GCR1 z+kRXLymduhb%U;BKH$rJ?&ncY=iBh1LCHeZ2+00pr}}eh=1t)v@j`*2 zr{&q;LvS+#;PuSG{u$WW^m=lzz3~QmuR_^Ze>pi=&-_&XPe2E1 z6@*d+zNhK=>R;6YB+&cLV8T5+;-(|>Q)`R6>kP#4sRBHkS?+oH(x`25W9_4`f36ez zobAblJ}&e$tk$Gb8oj^Er85A{-GTOrOA`w;pKInF`Z+mGtN$MC72H3!%v-kj7Eia= z-^RQ=y>6qY1hg3x3O;o*fvq1GCrUtXXH5nL;A?26W%t``&Rg~raaC%G#QXFi%JPH? z2?NKcVHYpo>3XJsK7&H+OV!l&Cjm}oBG>yE@Mib5$HxtH1bjRq=JkHrZOG-@T&wBn ze*TmndRqgjY`tHZ2{}Kt_YCt(U2(?FXyeH@DteeYy&vjbNX6rK3M<(KOzls^!aS*x9mQt zo;27$N7gT{?%rNGILw@H2yw2B-5pID+E}(VU$D!|V^GiRg)`spmy!1FR@cZV} zg}QqrV|Vu$oj%dsusLX%9#FzII*i=iF7?iX9S^j322FEp16~b2JFdQe-oK-4eLe#{ z_oo{Sx;}Ac>^43cdY&dMfIj<=0-rdaw*w&HV`@)ld`pSY-XSdU#`T23MlFZ$Q-#In zIq~}ggYPb)!PD^2@+IKWJIVXNMydg0jlvunitN*D0nfwSFQFxjT1u-yeb zx6(`>uK-tHd;j}6<;54bML~icCz$vkh$IcB(733 z!O-P+Q?&4v_1a5J<8p!__v2hOVWNgUnCJa-tne)HoPNv4=QKU%Z31|6ejBM?2iqdu z_O^G@hS>di{7HtThZfvv!0h%vfcB1JpFhp zv)%DTUphwoF{Q$VqsFy^(0%iYvrPs)9s6F;g}Qh=^Zaxh-vd?yMf*$bUYSTmf-E8LM;wyL+FoiZYN;m|$RF zaA0P}sApE+J&#V(&ojVF!jMc-W(9o7NBWc=^U z%wf(*52Jf#EB=0g-@RIM!V?U2k1%=)#WAf--iQQP+v0!pA|}|Ya?EF^r(&2s-C7le z+=i+`y<9PGHZgNif`+2w@hsTMC)Y-7N$}Qf=%o9AZG$b?m)gb0R=_tEnX=t_9@0k z`<B;p8IE;Y7Ku=!R*MZCO=eYDtXYLor$ z1&s2nk~bOr&Y3wpuq&sMMI)*95*X}a>l`0HXr3yl>ra`|$6TFwNgk4B86qtkWSDS~ zaZAvB>E^%9eJ}9by+F89MuJ2JPgXI&uRVR^XY)NOTE!w{T~g)!c|M``JcfmD$9w?t z1#QQfx8H|7CCtm}zbv7DZHFbII8%r|suyB!6|>du6HH;Opxc(X)A0XlD1efiRa8>F zNm=YxwsKSu2_+J>jkB*iHYexJW>ov*>%Tpct?`#)^$Eo)OdIL1m7@qF-WALUU;GXv z5?54p>h6zO6lncnpDlt8GUCvcNRoLD^4xjO4-D(k?xFMs71|?5%L5CoFG!kppF@52`T?&fx)NRP&OS<5 zQd0+3Mz-@QqedAbRn7pNu0v~Q_7xRn@%swoC|f+< z8NT}(__FF4a7yJ_n7O<$k;_Tv^Xj|Gtw!nh!4)XB8xAR?qH@0PMRbMV$EERYIL9}< zKIfzyHB@5PZSEn3GtAP;9^d3Oa=U`(C{{a#Q3In0@O)SOfCdn;Q0Evhqzc1%VvNeP z)UWQylHttWH3-V;85+MSI>J8e=!}yLThOg{z%;$D&_R^wpwB3IEh8Unr!o-{b~IS6 z8$Lax%zO;4MtH_?!pncqD?22WbfPqVW?-0a#%zd}KOqz9Mk5bsvdw~N2*5KmQwb1A!_(zFjRV`Yb1hsF=fFYWa>tq)P0T;z2Ijm*c z&R{icCPR*p6rYyMvQEsjAlYszal<*QZPw@=650Gl+bN+BGcwGdFM)Yo)Jh${7)*JM zAoyrS6XW3CwA>cHGuB1tmBS=XHlrFr3HMsZf_1VTMOHZ-A8G4=B~Tf&u|S?Mna3_A zlGlVtLEJ#8SQ?D|#P7Yppta+6rT*CI+CF%j#r=~DpJ1?kjh88Ud&T!*PQ?3o3oq@p zjxRg+2U$cBCd^M$v(T7F366CH+4a_BoO$A9E^n^zzQ&Rv8&<3f?Sq~P#y4I=dIw(v z@;LMo98WzFb%O#0zk8WYWtgS;VJ7)TrJBl+Vd@ADfPbOfU>09#p+2)KJPwH7w===Y z@h87}Oi7mjh&^dQ?zqIfIh)_+*H5__$S((K#Usf-f3V(5V!3&pLmANN*1orNM>?Dp zX}-o{O%pjW^?aru62(qg#A6pQ6KFCd^n<=fApgb5Xo&F>(pv#Zd_UNjq2CflU#bf#Vs5hx6Re{KHM<8ZWSK704UO^QJ!t{k@gcUt_ zlI>vshO>e~oPtSmEaMwq;E?TTarIpdwaJ!JRhjPLTSt+4qgL&(O=toviO!15630cn z%@49RS2#oryc~mHk921f87u$pbb0i@uXfY7VXBy!?}2{fg6CVf*pmx^>6inV|C+hchqDJgw^R`Us1lb8z6S8}p1+x3axYl5Zvu~;kke9cjAHN}@ zM~&}1D-S%jL+CqMP=}QI1bxjl>mlT-tE~hBgjm$c)T9aKF9kbkJP7B>2-W2wf79PZ z#D%>w7JKv2AL_0H7DOV;-J>evAUPeJWut>DoC>m0uS6s?qTEt zb##W&jEvcd-EaQg?*b~>*X~9m>jD=<8?mEA&TXNW&;AV&cPj&Am>g<^Qq~YB*SDMu zhf7T2)Lo0v>HI7445nZu(5mz0XL!#Vy&MN<6;Y^5341zikVrAJf@RI7GjMRc;%;%5GR600JV9S zMH8n-lQxB*0g&fW)z)EAAjuWw7M?~YUf`q$XvacFw!p-?jr_h+^0lRVq?v6wxPP_A4t%V%H9Q(#JoJgkIee`9`G zoIE~a*Q|*Delpf)?Tc^h&k1U$?iJ`(rMwy9%tDA2>-vIC&KgeQ8V{I$r*&o)?Xo*$ zI+;B+$3Jq=^<>TZTarRA=GP=7b>3TfDR7M1e9ko;D$}JiGF`VHhN%@PXekmvE=(}S zL4l`rAJWvaNzGGUoS=2oK)+)-MVAyhA2kv|<#b@1l|!R`l=@_P6Xkv@I_IiZB8d~Q zC%JU`2C&VBm?Vg_=0W;_!l==DT`b3EMFcx!b|u& z_IepYuCvpkKMbVwJHM8dP_pVc^80`Q)P%}sED_^2&w^EkC9z$GT@QHaK)6D{T7e8l~$%LQ_p z>qxR>GA_i0tz8aa)wTVoA2VvbUO#JbMdr_h*YlJ6vuqcVzdf-Pjl~PEgKNLc^%M=Z zL78p5S|=&XtrxLD+nrF#batd+X3T3Hj;kTpWyd)N9cA`$9BERXw*Jj(!bF=qHp1Ac zGgt=8f#cNGxgC(bVxQP28EdiJXE0^wx;cF@^tO@8qFj?2waJFT%bm~8SQfImH!s3! zd9BuRelLK($cL2+*YhvutF)LuB;N}TvNaQ5t}0E@fWb$SWy0?W9AO^k2N9S-u+v- z`W>@ExDT%VU07@)nXB29c(=+^*CrZ4#Y{Z7`w_@SK9)Zs&2$gD0xEq?*N248`pO$0 zH*sIwL;(^>0C(9?;5ytoi;n;(*XAD3ucM!$`HnKxWV&vc5;HXr;6DO;Fqn@_sp22L{)@=X21=aowoJv}mgSTWUOBEv$%rkO{WvBf8|ets ziT2@}qF*YPooT(19PY6nF(&VqHGx^qSAS$k!y#9Kt9Y^ulI0HdC+Y zKkTVOLkIQBTw(|qHkX$-6Q&_JPgEJwMPVsCXElMBISFzV`}71Hk-GH6XQ3=g)+qt4 zYN-%S^X=6dOTrF4O%>|*EGf9@9zPAXiM{oN-gbSQre8E%Um{3r2|&g?`T9`#!o#x7 z6Cg|X7Sm?rqJLqTGz(gsq8`wDzNY^={uTa#z1@qY0qPd)mkAV{mbue6gfF`HNf^L} zj>ry5xgAK>W1XXHL)%l6Bcj;BR((X3u0J>~eKyvX59tM9m z-4~r(;3dkgS7~^|&E)mj&l#-_TVolbKuy0F}pH>Lhn9XQ}B*Mon7 ztZ|xntw~u^bJL~C7O1j)XN~RpUW;j&XxWeIhfFl;UO4zG0NE1m-uoA%8d=K0#M`gL z$C%QViC@l0H7+Uc0%X1J?Qh59+HSmx(X|g*NVrlg)!Yk8O%l; z_bRKd5CusXz@nmftPAN0@rseS*|}l6V_WHTrX|P^!B-#Z%)ktEA$WwcyVpmP77rUt z;rHNPF0f+EMQJ)dxkH#nv{fv-(Z-8=qWL@(;#+1U4MyhK&8ni)_m&Gz#gSkj%PmaQ zSl`KdH2oV)e#GdTk-e-yJ!5N^|IG{Y8~JO)0ys-C=Cf1z{ybTqIBcAJiSa3YWgBR< zo{GTSp$?ca;2PcYe1Uj#kT1>vz5Sfi18piUkBeBZ{cY}u`z3cV^J=+V3|^G!rm+0a zF<;v44uaws8oq3BMBDRB603OmDd2jgl~4qkmeEoNjtNp?vo4pLCWt<3`_<$JTjv+M zBf2fEC6cTNwd(35BAJ~evX=Lhbr(s81$-t_7(`$+F*buWNW0PBGy!?XWuuglIa?KJ zn_i^Bjv`T`BCEU|dls?Zw=6PC!)@got^=)4>9teU1-0B&vA73_{{{m63Wy%$W)^Y1c8m*~7juH7ch6Z4W-_|z zQjuZdW(9|R`Y7n4*I?Wz8{_>}!ew{?q>9Qh1`wz+?L}Dp@{zh$U~%*s;d_gz{(*)4 zt{>wS-f9l9lJ@eGjIiqTAqdDvf?TS;XgaZ5A36XW2n9k>Gxw}z_}|wy)f2E;#ZeN@ z0cr&ALtr}y9i9Cg-#1kVjY{?(n8E0&P4$SbzGWbCqhFG0cX*KASHk>Y4#*Dt!S*m$ z1mXo>Hx027bax?^J!SMBDW23Pp;mn}W(~fP^&HezHc3H7r|6gEyOVf5 zj8M2{$|@wU!zTRxU^2q6fE;U{(%LPUDSL%}Nd2#^BCSiZm>Ex?ee- zCO?ZbVqMs<)uel!ra;VPV`>}mUs($jq@W!`OPt(MK+e`m_iNEem`z^RA?s@q^C@81 z19zA#k20=p{_86ilm=LHMyGl(Ma82w8?ws%|5UXS!x2n(7Q4|^`h7d-ROt;lYMSu9l8bJI; zT1a3q+llEh@v`{R396M5{;VC|h9oiwW2=)cSg`@i5sgzwz1Jf=aOGBr*@#zZ*8mm- z{^NXNr)v$_8`sY-t_-m&X#$fH_tgnl=)_8(hGZTYxO}`YvAVJ*U)&#T>R8S9(V78xmLA{i ziOVg`8?xTS`W&VwYx%uV3mKg4eL7LWHWZD$QAKV3v%84MKF#;3w^H_@(*ln>m>(%j zH=~95%RR^B^;qug+C6y-h#m_*tfBta;_Ju-`CXsDQj4)qufWU>|@y7HOYB+m2e%XQohCNlB4~;T$#(#1xUdYKxZrCCp&~#$IyIq-#oeB z2@QzSgABV*#LWvKBrZaZsCX)YYp8E=~unNlS}j*Q&3^th==yDbOisr#L+k!>=^ye(Om(Ex~q$Aqgd*`5Ak(IV@dym_hSURtWGj#u;U$aRrCb=LcQS z1C`azG&@{;tt;=a=`k68cLG8M6SQLKMND<-FVEUUw~9v{TANnBm8MA3Jfs+NraV!1 zqbYT;1%l~uF?f*4DmVgQ&`e1{w^NyLc-i&t-bUB&Pw6m(rvLjIE3%6285J3aErZV& zTHk}2;3lR+7lli?f`hxzaf|Z8!+0 zHwVE+s5*EjU_Ti}BETn$PD%KP_(vhj<7Z$aqaQ1xRiXQ@Hgcp4U)}96AHOWxiN_3# z3n;O8DI5}tJa%rCOh;Yv>fd00l(T&ShNhfm)P^QqBiMdBe8IDdyNl*Iez>LbyHe`* z8xY_Ofa+rEUMbp4QfB}V(-M5LPkPP#!Z2&>Yq|Ed50|qd&wE#HlE95ChIy z!RiZxH!;cv3Ju$FC2uQnK`5~#_FQ{csI!`dLM@$*=!n5rQjWsJez2A{0u)ol+Alf1 z;^B;^ss`jneT^qc8gUATjT7@XGmxNg`~}rf`9&NKmG_wn$8Hs0QgIEy*ArHN0&E}c&pEeDNz zzE*XVIkN;ihoKmf6<-QE$lX<&cVJC1cZkSSOWENgpA;Rdls>pkd3%OSys~;mV@vPs4m~uu*a>%2OCM9<@&9YZFqO&jkv_;zf}+&1 zt>>`$O&`2U75Xi$m?9Qv^zN%cq{=?YR-Rx{SDf#3gr-r70Pf+Pe7ZhBtg$$^*UwR`Z4>gl}5q zsJfwECn=iMxU*&pRXTXPT($A~(UB8M`LFcBm zlMV=Ym!LxQQX(9XWm>aHtFm49Sx;=~NZ9J$%uSj7(lgR1h9_~EfX zb-fL3LvjJ`bflmqo24v{cR!6SS}Qz<*LoFEui-}&In4Tu z{Yb3tE~b7#=^cn}-{O~_!qk_lUa}0<=~~)?NM!zYoLg;=j;Fw5HBdRNtbwJLw0Gu- zQ>7vLa$|SAm)X@dh%EdW>xd!<{ol+BP*wzpuac36`z_b*+?F5eePY7_w|$*}rGiC? zy!6&P|D08sRfgq%h^&qYy>g<72zNnZ(VXeG`UIt9O9tENXs=t0pJTSLh9Zh_`g`q< z9`n#ePHWk#FsPZWU?xT7FPMnWvg{n~T~ys*yv)uVL7Gq+e2HsDr5Gj}XOQs<<^mmr zjKd!yd2I;OGc3d@Y$L`M^#(~Hol4Fa;zyjT`N~1Xzog0&5s{ar$2?1!thZG0$XBN|0gRoLiVq ziO{LsPEP%SY%Sl255_ZE;C*A8CL}GJ8S$bg6v9u)EU^Biwc(Ci;@fPf=`e~ier0N$ zod^NXQ-xckLMKRi3b&D20a`K_5LuiJ%4V|qH5;7pkN36vHGO=^ntf}%O98Ci*Grmm zeyhZLOWQc;>HKnH>U`wyeKDmFcAG8#3ASUs%GtBVV|?41K(+T9?AMDcxnWM39DZsZ z03D+54|Z}KgGvWl%ltdmV69ur7BisC5Ck4!I)31gd_)=YvATuZy`sP1HvxSPefvM; zPQ2kA$Y+z-vl?ZDJxM8sUk>}5Q-3FKrgOoZOq}V{NmKrdy6Xg&W`_KvNviZBE#MjW zA8A3`EyHbU37knok^}oZ)BAN6fn|0yUl8Z*Z(fFSYGXs~JbZ5@pRjQQHyps;qD1!K zP1N@7cdpICpA21F6Z{>XVJBs=<7v96D5lJ3oFr&xathIG z&{BS93>coOd}NzgxQr-S6OqC6xC2y=OI#=usaOMX=MR9$y?CpL<>`D20lF3@jmG?0 z?K`X*V>p$6aXcaJ9ta<$)e%I)1JnIz-PKVjQ{T~$k`SI1Mcn{Ws2x{+Nn9SSW4$EqvZpXX$p%kQK8V6Cg+HKaRU57w-7*w75OuqU3g>}TQv0k_G zc)Pn*yyRvzXua%1THh@2QG}3?aP<=hFHwdP&tuX<5tzy#hzAFA_N=r zjvl#1C<)RY3bpYWApAbSK_^cZ@lxD<-*XN&U;?ixAY%+8UL|y4Qv&>6AeAd7e(*gzg0yob;C{eX7oYeacg*bD6XU*S&+Jx^uH#`>&DLge%dOFR;Dmyu9v+v%mQ)g z|7|qMPk0BIS-K#ed%hw#eAr{I`u^l;M~TRUA|8bDm>gY*~&1n+FR zMGg<^+<0_K=X*4>ABUcc;-=N-+yi)6bqCis!Neclz_7V=)Dq)|wgZo>ADXG?O^W1trnUi*Wz{A)S$~4f`ywMVA>O*XcInON?60a3RbQOF zll4yn=*`&xg|wMLE0UN>`Ihq=${!2R1z1w|pXE#|`7lw{EXhysQaz7VWp_~wv!`Fu zXmqTPXD3a*&srO?rRicW;TePb2?e46hSghjGhbp8P&=}ornN(RmQ+n^bKz14bajXQ ztn6)K5xi=`>(e^7;tHsG$TFU!DfrP^k2zHRYnl$gc?aHcn6Y=EB8@P_0%BCU593tvMttavnq{ z=qB=jVr|>AKFEN0joE62cXh4PR8A$kD=tmq$8WAhK{o?gYM`A?;&nZ3yaFwmMqb7j zz9oDr8Tv}5mPSAa#uV)vHffG_U;7MxX6OA}$&5C+E&!z92agd>lW{Cm&m!tbMdyr- z^m@CiuNnn9Cd#EcPG=I+`7a1Ze$)4pBlno*(&mv|;DXDbzGil9W1Z$38b0Cov@~Pq z>|`y>$ao}^5d619Pghhf?H`U8#ovs3co7=TCpzVX#Q!+5S0;z=RgRz*#+WCc=W<)5 zjTCVhWjt658teT`>DU)LdSU1_|CVG6Oa#$|a2_IiA#U`kGsz}|O+7&~W<%YO@3Llb zysSea$`HP@)29okPAKtdzQ=>o4XB}8F{Mr=)xi3AgE_@vA-c$7st(k;Qi7+I;E$4y zNcpMmMCvC%R@m{E;%d`}jPMS$`bu}A-~)1s|3l&=0UAD=4fu@!H;fs~V3){FDGDnt zo|>{#^B8I;{ZuO$^YPxBh5Fag=7a{mIDDBoQJ5h;EgxR`^PR?&&Bq;OT2575(v9HC z8N%u*a+QX;o@+g>RC7YXldQdqyb3W59r@~FHz763VOu#UYbB5+ z+1Y{j=FgK}5CM6j77$9GMmIm>e*cVpt_oCxoOD^OW^03NK5)Y}ZHWu?eM_{ z66pVNT(u$?`rmB7y*3%U-;EAFz>-XzBl!Ix!Yi&$1Q_VH()AxM(#ga7qNcXP`Frc~ zdV5?G+wK(M^*Nj`OS{i58amK!X>>l|$w}%Tf`q1C#jDEDVlC}3{GADh=kJg4B0cRi zZ;a|V!y})iF|IdVw5fYzT6t~%v$-YFM)yKQammId0cWRrm{3*ZOZ;DvP8W@&E0viB zAelL7?1t)=86m0)GP0SW;V3n4EfFOXY+Yd?&SFKktqgJ^bdmCiOuF+1!04hO7LEEs zIR;mHN0*C_s0^dH#`R5bN6eqj6xfqEfc}zFG{tp?Fl&w+KTLwjIaaI|k`QioPIbgE zS}sv;6_=&fXPF+B`n#_;u|lsh>1diI<7ZpJ8aQb+&v%aNfQ2{uk>~hG`$^BV^r{wh zty1Aqn*t!N`L6cYjEf0`kEq_G z;}a^1%8p}8k7+e~U5#bROb3b$a5Blq#Bld(=wF%?;`8-HO21EGX((>2JuTLXZO~Mf zAC0 zOVQx8hCwZv)^gA^@5ud4wjCGJ592Ll`eBTmjh_bT@UwGkC=9&18}CDSIPm1Gy25y0 z!(1CiJSGF7UT@NztuI(Q!)K|ENmip8K)DqKF!Efy4bcnrQY}u0;ZuPGVH&at{!PIR zeioP^&*BIY3H`*IN3+_EpExp|S;rKI&!lX!;TQhorgI@sF6(eZ)lD8;db66@9q;AT zel#93)iqVM@_Bg=+aB$aYtU->SqBjth~RocKQK3L@}FvP?C7uyto-~AlT|M}Kn@o2 zKJuu2*}0cr^dltR0NCL7S+D97_&K_gx&5YGLtx@{N4_yR_5G5dg9cPoOg|B^#ESk! zIp+K2E>xF_bhM@ZN;=B%2{Ou#8PX>C{yW4^kXKT;=RZOYSQUPSkX7f{^e~N?>*;M- zq~*<3PHx<7)xGw@ofs^ZC0Q2^`(ZoncA%iavK}dVe+`44uiS~6W?uNrp@^~Jw5NS| zVbEzieer7w8M8&f$j(K`Dd>uy4sMYqo-Khsqy6y5^XC`N9@By7oSIg2zh{_1K9!FF<2+RCXiqv&}jmREM%5 zR!6yq_phM5bzO~t3X?6%Pteb!+++kJw4o%zvWg4P!st?9)f~{1lf5-1>{rT(v9V#( zBNqy#;zL)tZn6QD537gPJe{G9dA;Ll_H3$%B5VZHD+Tq3DkF+j*|tuEg^)`p0s&9f zn@4%ST}(XVwq@M{)7}KH&6x9RmLv@-w$*gcE+;N@OSi=>$!zTp7yUexkP2}|0+zDG zBqLR~PeCdfo+{KFf+gczQ8o=_U|gMk zm=tTOW=P7lu;Y>Z7|hoavrWj@fscYe-*m57EKOwM_f=+dv1}g8Gm|D*1uGjhbT#zT z-3-scY=AzT?`9W&Lzo9kA~F7x!`^-9ZG;(MPU3lf`KN9jMb3J)V*{k2_3AOerBN)? zi!gxf1Vqh~`+>WYcN5~&LleVh4|>MF_2t1gkK?06GVe8aRJm_*&ZjbEU@v5R!xfC0 zl7aigz7f;=`I3`gpWHk(INDBt^S6~qtZ&DL6>v&-!M>S-kuB16V4%={i; ze5n;Jj8zJj{2B$%<)vM~<8x=E<`Pfvn>dm{OEg|a?M(r0KSrOK>^^Gb%Ob_?I>rcD zr5jJ>1m`+-Sg3Bt3QDJOk7xKPs_QY3ZBrW`uuulQbG4qGncv}ntbLafKFaPiwKof} z|0Hsje@@sj3wn$$Xrp788f>fJR~No%8ggnrQvUY4VKT|7wSw{URF%SX4cR_U2ke38 zUcaQ@O$$Yby~En+Ze7|q=t3NvW`dQw`S#tn2{3OoBmG}@Y9&cj>EzL!UH z(@Pw!Nc$h(-`e5up{^8tY!Nvn+3cOF`D36|>b9tEM*sOpIa3o8Wv)X2JqZ|64TLjqP_lpADtzdkBm*4BD<$`)d03!O$OPhNW z!U*uxu#yQSb>+x}@7xfx?Ai3jWc5&$kH_Szf+G@Mm-U)}jcnz&xMIQVhGy0Z^GtJV$ET(5R#zYJUou=yH^;kjsq z$mr*L7E!g*N%nFYTHnd_2siRRYsyn|t)#{F zU=+EKF&pa)nJO{X81nMn(Z8UI@$6@EG;nJ z$ZbiU@F`xLFyBs+HO8uI=FU&LS|N68(S`FsC=X6ZuXrQA{)X+ks1#>%D$EoeAIFH! zKXP9`rg_TSxu?{4-0bH6aZn*oK9soH62{>%HP-*c>$N`*zD{9KAyQ;Z?H4+K7!Bfi z|MqM2q?F}!^j+s$^h#p&<#D&6=@8XGX+)g|TFlCOy5G#`TljQRxSTQB!Pv6|$SGsG z{lJOMl$QgkQT|^iz*OUX<4xGrvTZV(Py`bnH3Fgyzfphpbdj2`0q>o=ZU?iKRB<2b zwkoGJ;@GgNtP^n49^$qQp6ej2Z|nlcn_af;>Bf{d48~X&0()GGg~Gd zE=R(zaQaw*AlVLK(P|inH(S&-vt8>sXGB%;lhyMEqlUdh* zPwj?QqJwyD9a;n+f^x$|p`LwHPDaQ4n=$WK3 z&f23ln2PSAFqzIXO^m6XGF4EI!DB~-w4s@--2A2>_!(*)?^ zl_f4nZgjD3KUV41g^Bag7`8XDM}BYkyg8S&IWnBjK_f zAeyP4IrY*5YA({>yW5*z%9y#2xF;e2*|zb6YOeY@SE`W!Qmp7uDKRdLM~eEk?&*Js zPw8rY-(D%%h1be4m!Y~Q#I&&;-$=MxJ7yt8Uj_!4e-_hzJ4N=W^AMl@i#m&! zS(jlKE(`#qV~>*LTZlHbkJ$Ms9I2kvI}MvF!>vn3yl2IoN?AR8f9dH@0%_z&RbXiJ z9S<&64FNzPFo2_yoXuq0J_lGSX0ATp&W)2ikDk!c4VIj&MoYF;^!OrIulA2%wdro= zK1b?NO0HLB*d~?Z6!d6=u8|7-+WG`T#&=MnJWx{meagb$14m_^wD)()77$*oHzx11 z8jKL5$zOAS08u30D&QT?L=O04&;7c_2_n{&N3GAJRusn>8NQre4X{i3y5@SJFh%a;37KO*kMFvh36)OSkQLVkVriLnjJ>E)LO zO^@LZM@(wG$Mq?M5q!Jhb{1PWgEmUU$AeCr1cC|QS6 z?w&$D&Wuw2TLeq@B;M?6G}Ux8$iEi6`}7w)Wf(XmE&Tue2y5gVNU&p(%i%jGM_u8{Uz(Kbu`sRfyv( zX#wJ*C5dJ3mg9#2SrnN8Ac9zu`=z-et~vo&htGL0SuV6jZVNZhOPm9kR^ui$p2;3U zKpDf`nz6B>MhSDct?Y*l+KxYadmpi1fWNA-E~am)=9KLR*)dUavU~-pw|;c9aKGNe z^sWI+%PV38#gFO_crdZa}h26{$88)u?-mUr3nYz6Kt3SIQ?o>XWsome9-+J^EGlW zCY)-#7Rw$l-GtnZU%afKQYWazFrC}1cnxd>pn+PyJ^ON`yWA-YmjEqbvedf$Z!TA3bwefL zJGfsVGijXw>=BL|E%~ot7ZO)l8*<$Q0|u&Kt;g#+5y+8ZOmG)`NHp?>loP?A zGzFnR0KH4$njX{_1r;nlcZhQ}2jZC}&Y4H2;=gmIowig0jd@i_RpkbQnQBkcFTR!* zfP6$PRjp3&17w^9K<4-3?}%cVI=3lQM&+i_p&_uf*-L-9o%$T?(;*-;>I@1Ms8fT~ zyERloUc>dSP+j0R&*-&iI4mCY@kUPQ!kB=?h0h6krYJTT>6fBmm_HZc^fr+dP;tGO z{^ZiN`=nTJp%++Hd}6(ZC;e4Egm7wpj2XsHsjga`LwZT3XrbMwgv5y%`R|qr8h?F? ztR`BdBjOHG!hEwmWIA*KjO0_wu`&o|$f%vDpt@x+{iqc5KmDz-?e&1&KXKBB+0g(J zcySgh@~uu+>Er>PhOC9ynnkZZr6JDLv*i|1=<K>?f40WIpM4*X_SPR zLxYjO)nj$4dEEez4ZqVusnG(ab-r4nu%acfQg>%8IA*VAooS9?^J@6yzbc26FLJXT zf0vLD07YD&kA4fOKue->A#m}&$de!sf_Ybk{%HLI12!K0Dk~PLM}QhM!pUyfi7!X$ zj^Z%wbKl8=bg}1XkQ2T25JC5Ui?|xT4~MruTnRMcxI?QW4VmP)d6#(1=Z}&7{_r{f zm2q<<+H)}sk%$R!87?17gHb8Vu}KiUl}2mkrlkSA8y(0cbL4q90)RPS>NuVqH)%Zg zhr%1y59RM!vp_h%SW@1ey7urJ>~G%E2`2-;RtjI)KPkW3_;v0sRR(28CiLns%^M>&Ruf_EZ2c1zUubpn!Uvc@6^;-o#bx;zE?`N zgoa-s@DGdvBk*iEo8NUg?3#K?U=G^QmTA=75s~);UC{h_%t5@WkJkHYFNu%!UB*38oRFOu0Y!xSK-Y?R95>kKmM{dX{( zR;tyxka#CKG?epTBOTOwGOzMz8O$2lp4AD;7_Bmr2ZKl8HJ^(4dSCAiKB12Kwc(Zi zONJMKqOI?Ij^uA9A9DjQlZm(MZ#kKZ`=c#?& zS{TZc*iQQ`fqIYCcNXflD)Q1ZJ8LjNI>C1l8SiY9Pz#_{7ugcW@Xk!w`7ou zYf^ll(*~WJ;OTUhFl0;V8#4k^^X@q!=R4eEr_ns1)c(0%#q@^0fMi{T8$`EMyB);J z&W|1tm6&}tljx<239}Ke_TxbnsoV7;Hap>G$br5O++oJFfx7r%Di0xCwL$F$f6u+5K-5FIiWx4~=YMwX2CeWoy=xsm5lh6bMHHlNHiOmHz6I1i*6c%NL*e{sbVxjGOPf zQlg*q`kna5%h5gI*>}*kL4`ViyVQ@O0UY0BMrF_U+&^$T23>a5EEA0NFWM=}#3!VJ zkR&*T6~E4psR~%0?H=QmDe3D%YBY9bk7F1t79Y$wde8CIB?RJE*=)2hN78Uyj?&`? z0(~N}caFdkop0ip1@JO!Afqw9x9cDDmKN}v|3^aO!D86%$>UX*x)>kY(!GcPb}a3| z9a3zB*dnE~>n%os>Jns9hW@o8^c1tSBp0!$@6IP<#lo^+_E(W?*m~P0jHB5$`0>o3 zK~+u<^_t@qON?swC@wXK-oS=QRe|%WgNj!%P*FfDb|u#s0B@a1zTUZ>Q$Cu1o4t6s zTP_iRR4r%EPr&h;@io*o^zT_7j~0#Mf~ zT`=D%W28%$^45%Y#b2b0OORXCWiT)_Dld`o^N^I3;Z!fjIH_YOeeKusz#mB()p?OT zdpPMxGsW~y5g<$q49NWlkyudyoy^E2P}nOQv;?q|?xb&u3mfTs_#_8RUIApDsE0ZN z8AtX32w7+o)}obgk8X0AVLr|CiF6w|XCcwL7S!*Ckudj7v)*AG-L2+H8|| z!|?rzRY8qK+0)pll#1OIexk2t7|8;|x3@?l_VfO)YmnWJ1Xk9_fxplAaf*K6`hRR! zanOE6r!S4dH!bl^@uTj77@nlrxVbfgZQ`5c52|uF;n5x7k}awshg@V_5pyFfig$sArTQGUA1$K`x*RSDYmBy_U^M1;?GmD4FEzOviCY96p zxnixtI{KJS@F};omGC%c#$^N(Zl6G;yohGXkLRzx5p-WHptDAO{t=_=+@r%>9|0R~ zNclPl+W>h6?df~BXm_I(^rEi7**r-rUcBel0HvM1D^j=F^1*A2cutnvD>zSsS$R-EH>>4Hnf#*} zUXm5%Nr(NHDM zF&(df>jTn633|7b)!58=oq^9G76lyR%!w%HI`^@#%^ys^V8%AuTCq=2qw9m97n7RL zDjh%QW#3Pc#UI8TG&-7kp({xi(LSisp$)DEp|V(G>UDZ+r~kg*x5$?PMcaqgUnrwj zi0(YJGdnOCVdY`LA6`TmbbvBqP2QB3mr^Pl0LrPgK#C1d7lxu3epuu{xN#`=0_$sQ zI0tRk-B)fByeRoDq9vkccwq3(mazY2lNAuxwG!O_wFF=fS0&flK^-%LNzIqMR9{I+XaesG$g?mux7&eN{bO zMs#V7wtm`8y(h{%pvYP=*qM!sLh* zrJYIEeWQpZyvgU3TUuU=9=>UT4AOHa480ZD4S<*H72UD4G+?I1D=St~DH1pXj^Gp( zeeAh>!0oKB8#?MZ<3H6fVwu+ee+a?TYLv z1n)daA4)kFVxV302B;05Tn0qTxotPDgL7W6#~w8{QC4n`m*)Pd-5rWOS2i^l=8MdW-YK&JB>?hk@s%wj*^&m#Up9y+4gw5JVWNu!_^Q)@Oep_KF` z!{NtQn_FQ=^d(q>fH7lPKoJvrdCu}Cc5tS>V1Lok7;7LUClxAxh3~}6$%lP)LntEZ zDmi9s<5AW2;c>C^%_KFwyBW$Qle`GgMl&K90`f2d@>v~1uiARw&e>Os<@Qt4p}_>4r63Zx{K->LkIxd zI*M^*O=}e38W>g_j0Yukf+J}N2!j>IxaKJ!Q{F(K_+{<}EQTQLTujRo>PJnBT>Ukw z8xI?%7GeG>%(tYF#UEPIa0)%-Qd3}t=(stDU;a>*6pQX^0q8!?`)uCZrPa}Vw@C?p*E-eRq|Mq8L=;VNA16v|_3%I8-jIahbXK;|QT*Jd() zox$-ln6WoCPTg#7neQSv(@KtU^S>615ihw99%)B-d>(nugNdi`a-;h#M?2FXye$YZ z%11cI>D?yrs@f<#@5QOzXEbdxCAAW@#NQ}@z(OkyC|dE1{N@HM5|i{6{QYSX-c6bG z6m50F@&7eqm{_$|BaUwj!lI@COVWcb6k*SQUZn+XJ+M;n?t=w~Ijwftb!}vEzxs*C zgu6|%{J7V0cP}H2X%AzdskR`6?P>!r-b)Op4GbFpJr2vpd{6*M!2fc1(vn@yxt&Al z?Uvzr_`&9ZW3&E(-M+{1y128eGi0(-X!?%gw<`E8=G84GxVlRiT3+4eSOs@zzCqMq zYM2%T`DGr2A&)&=fef<1Sz5wDLTGbDGn34Q8JE7Wp>Yn@3nP}cilI!LOd=svXvKsW zOQX*p7G_`uNog$zA<-zXaMGz~wI}MtI%FTE_oqi`rjNMmpH@LiB3)NP^dN6L%gT=@ z4}tE|MC<%p=^H6jvc!;if_vMZr4U__1r*04NrSARc~Bu~SZsJ!F*rp+ARr(hW??We zARr(hG%{f@z&qZ(!H+9uw-b~ix`(PkjP1}Hj-Isf6BEk4Rdsovjvu67cJrI*!j}EI zt;;Isquu*@_v*X159{+EV5Zgxb;}0jYv6W)S(1b(OaG^|L6BK5jqIsXms2o%k zDW~@Ya&m*nw`D8@g>o+mpb@%jM8-;6-NGQ|1w(tfQ#-SCM0?u@5cSgw1o1|vLZnYU zNYs)VeU|;Py7jXyiku1i36u|vyA8hBXETn9&>dA~I~lKZxadu_RHXNEx47(PJaaD) z#0#xxc^W0RK~*7Wm(Fr-M2}Nvqs0=`;1Oq3)ukTtU_m)vX}>m8j@_2;((bfAj$JWq zILV7&85OL)4`X`zPGXPDI5M?vrWFu$g`inV2J^2C<*iIB0wi{gzol)RcG0MQb>z{+ zyT2FgdX&GA{uY$56j;AHAadZyh6#qR9%5+Lg{p&7peF+KxZcf`{Y1egNv9jXepQeu z$BKX;&h&F6FyWs;Y)+(O5T5AH@gv|55eovH1BcL_pWZcOC4d<*DR2^wAr4nS^`O!Y<$+|SGYwH7Ur4V)vNB)+e}}gG-^57T{VLZB|EP5t zux=r+?mI=-MWyi1N+N}R9Fyx9NeXw^IPZ2JtHy(LJo%F6jCZdVw(bnzx;{W#k=EJmyTC!B8bS*Zlqj6mucALCx*F#BMUtWiq`JaMjXJ zj8I3sb0fd!5_dhrXb~G{L8QTw4@!B5Z`_OaVA&SB>3;uk?(Y&x5M6fA{O zi<0go7>Z%xhjnOCg<2g4I~y5?Y6Rc|UG4_a-J?AFohu!L#($wI`UMs!Ms$w6YCgWw z;gjF-(Na_cF3?NT9`9PhKyT&1EL-gcz6@WmW9gC#33=shjEYq@5=5|{5W<;@+9iwW2Ncm|#RTnFJx<(wf;p8B z|9vj3tO5Ov zGzw{3D)XjlIyw{r!EeVXTMT^*th=3h*|(_CZto=5xGG*vEUcRpJXO4{J`&@L!YjB7 z$Z@2i(@@wr+Jw6ThLWKa*YC{|Hn9g)*q;th2!uFKtz zElH1DHN6flq*`D0x+1UC#K}b?FSrfD@Q>jFreKYedZ@Q7=y9|tq6swrxG&D+{fYR; z#;yVV7n|SQ*$}dp+bM?e*Z_TeX%=jB?okL)B7&H!=2!w1LaW2wvft7xEIiqyeDnQy z(GT+ejC%n%H!CNdt;BlQ;Z zSJ1l46EG9}8j_dix{w9Z z&)j)K01bddc||+?D@66pF{nUNtlb_#2!ZNOP8W{&W8uz3;X}o;DJI@M zr8IH#?5EnP1;m1bcK6XMETnk!X*qr+-gMNu>aD zzPY!XG(Aa&4Gtct7u1wSswfrjO3rr%A&Xd5^OM2}HX+bq(U>sqR!3={DEg6TSOEf| z8U&&9{mPM+=in2WsP;5>h(ibe`3UaJKIPyVo}oU{uc|2rHG*bXh4_010L3z zDxJwDi7x#@#CEf_x*PA4i_W|tM|IMI_QM+}Q4%fH^c}CyfNIoc&*)5HQh-ir#9Ro% z=IFG_BX_r3ygucfk^E@#z!^AnA8OzKha3};`S>UUg}~(8ZdFJ)l~*}Vdyk3}fxh0K)G^oPz%LkW z`USpOp$pPY1Pf)aF?Ok@3rJ22X4bHH3h zKl3kSo29JGB1e3IWGOn|XnSr|{Z(XTzz7QXHES5%>1mX@JAys?<2z(+EJqf(7r_9RBCXHK|ou}W2}Z^&aHyx`CV>rW3;;jj&wj?9%yNo5gwAAcP!-*NTY46L-_e6HN>bUlP+v%lVd9MW3# zWrHy`16?j0T1>1MDVz<;9nEfl8g!K08`-67rq*LDt`~lLiBvI(E33T=Gp>0r0W`?`Zz8 z6lN>u@NMZW)kFzm>>OrgWL)yF%#-~T8T*7Ct%qEJ);k{n^!G?12r!s|W?-y|WVBMQ z?AN!$xFpLG;k564QxIC)=U#{t2Xm3eDDRJgJF=4BLXiz4c#O<_BncqIMExi+MNno+ zlrg^eK!E#ryjC;(Kfn4&IV^V`&S9%LsZUarT^aBBjjt|e(QmQUv&-NfG@FBSqu{0+ zx}td7mp&VMYe43I3X8njVfwY6bj<9ZfHY&v`DG^8oQJs0|MSVlxs*7US7UtI)4p(D zSz7v;Lp@|J$WAZGdH^7d0y zSAn8kcOrxV((+TDD)K@MSJQ2+gcA>-(j8vLL0k|txBMK*MArA_Ft7homAXfgUP z?S@0WOd46v?aR5-2;5c>{GIFV<4Y;iZS-+gW5bx*%_S<@acmzD@=r!~g?%nvMv$0| zF1XbQ2;AGC{OD1}^N7Z7AYWFMIM=3+$x3uk%XTV&c2{&KKAv zs$Lqau3^TvHsmk7hHS%*hM{aM;G0t0_!+H2ssRU6k2EIkHNLzG0zwE#WU9$zHzAg= zeYE43Ft~D$76V-v%((x>6ij*-IWo4XZrcxo37YC(UN^k?S9jaTTJ^`+c93P9&txgX z(BEc{3Wcu9aR3g}m}u9P+3dp6ChW_#&H$6JR;NhD`}rgqPpwJ%x_);>Ni%ho+O#zV z@?nvT?^hnF)v^nnlb&1`N43j|!C2dFsO5oI|Ht!H5k&e8+VD09QYwu@e;_58EsizH zFZ%-DEd;lW9V9;6i4rr*&&k-2cpS5uF+?78C~O(+b-f)|{t*bm{6iuhrhoqF_#xR& zlv~s1v&Ut8)k$t^Pa2Q1L(KQM!&mjf&|!9*EWkcmp8@gai#GcFE^cX z)7-KtA+fY~tF4?(5gwyY76v;N7Jf5GKe9{3Fa=}8#vPF+^{uD87<(p#Ml@WJc0F=r zu{Q~B*R;>N+S_q7GrAC;TG@5Z;QbJG^`okd(JPdp#jVJd_|bU(+?(NkurpP<7B%C@ zfUrXS9P?X&e;V@*FNBqAd5G8!CNz?_ZYsA27hjwcPgFuLNXo(!Dvf|)$8Hik z4#DH(Ja%@c_y(ZsGaO0oGk!;%P^Fw6_rO-lC>nWm2$opqIBH0eqc8-~Cnn39>$LNc zwT+Gs4Fg5VH0wiEa)FcB(5L!fU zVh{@7lVhYR4vewg7~(T=*_I#2Z5-LGSZ+PeM1-O>kpKNzg`llBaio%jH3;sTZHJ9jH#7&i zx(Gqwn{uJ&9e#HwA7<(c84;tm^)kAa!IcW0!E%hf>cD0A3pI4$7tz+y8t$NAiu(gz zF^rM>jT+F2Bxq+b(U@@nrzthp)#EcY3J@HZUxyc;_PC>K;P2#X>%$je(PG$IvjS*` znluYso>i!gi=_$Tfqi$I=?mz#Ecu4?FMxozZxF)4Y0FhcG}_>aQL3?Js~kkgkJliF z$zr_KMQG#v!^v)-utju>ovcm^y*B@UDxDlXtDnucdrQL7wSajOaCvBF`l-f;CG+xA zY0j+wNO&rx%ER5J$+kZXsYtEWfmz`d{-l_)PAe>xX~bY3IvfzWv2~&{+-hZASHMYo zGnK^2C%c=HbeHX^>Gt$|TTemwN0KO}uwY5@`)e5Pk1CgT9gZ+VYA%&d;-=Yq1G!$G z3&PCmtEYfBo~jXwbuc_;Y{Y(MBJ4|gE?k^QSDP2g4Y5&Bt42xXSrWfJf^uo911Mut zlDqud<4Pjq0DKmm#b5<^R+1s!&1WaeXVVQbJM(<$HJHsLBvHN{ZIJ0>0Wewz4s_V9 z)<|;a50cut3`Y#NR*JDdYu&*r`P0w?rt$Exq?*&h+wgemfT)*2_>a8;>qS*R>_(`c zN7<1DPEVIqH_3xAHQD3(?TYI+75R^}HpuoS7gVniK%LCp5$CA#VF!<9Tw+GyN>aYs zGEK)#T}sQAMukE63U`)~lhjK=)MtYX)Q@Y^jAle$-!CsX3z!4ouQKgZ6~&8c^1KnJ zMjImD(0(JnLc!;KcbpT#xH-6Yzg4&gCQ6wU1h;2q=L-$OBlWtPTSF&pfdyW2$Zt#uJR%8pO%h}Xza8Pye= zN(>2wQS!N6+`WY|TXDOccY-!4sfjtj8Hy`;4&0TExy95+kzWdbkQMu)fi&iV(3-jA z;{J_@;@W;04QIXBvduC}&bYvQ9vV07{oEC&g3z6OQbWH zz+_YrrPsI-psmXq`h?5|lN!r_-2xGbv6%5H1WH`p zwB%;R73|SbG?A!2SfA%9eEth-6G3rcDehH)f9xcmYiC|Pj!M&i<7n`m)71U6pYMWa zmgf&UJ$HHSxMbvNP!Ye5)!vFIzV@NGHx8;TH@_N>Tg6PkGh1);09BSS=Q9KxU$ro4 z>RAAliR1~-qJ$whVb^nzo@zvoqkD_8iJmDcT#`#$v|X|HMj>ZG;pIrHZ949|*a-{d zZAxdId=5-+wE&A{%r0}b|qN%BTUWvl4tz7L`^nz}K0iqO$?TZWXZcekwk*-t zmUT7DOO6y*-+QmwS!so4UCVmJ)%BK*7X00WAH}>IiZAxwimslE(pf=%?t(88u-XJh z;HD6P25e`84J)VAGjobm8&UrOn_~t~XENwc2Mzg)EM#{9StEZ$Lc1{S&VO`eWZ8}U zGK6d4b2qeTy0JNu!fM5xed$t&8@}eZoQ{D~S0-Y5Ym3Ms5ZFUgEeyz?zgBQkULZrf z`FdVL?$d!KisnsxlQT^hq+h`OP9q%qth$&~Q|<)K1AW4knP2K;f=<-zhm@Uyysb+} ztkPd6k`6a8xMrFRpkY%5p2I;xO*SR9ib*qYFyk8wxlFte4fS1yFTcPlHC8TXx9bLw zgs&2OX-ui7Pv)QlMLa%nXB>gr)IxRTExWpJHEy7gE!UIsgGI>U_$|K-D4cZB#Y-Xy zukP>m@Ckw>YenE}s`Wt}{@wH1wzTUzGlOTMEPIT<kkzk?$rX@`0}tcnOuEF{Jx1DrW57%irhAnu?n1+lxl$Fu{3snb zHUw)kfzGEWkw3MF2_4xsJ{BNOUGFS$5PTR#S`EYN{9({$R`Rf97+6$>LqnOG%p6#) zuhJIU$*8h9ZMvQ(4VwO$pn5gCp`Jn}@DN7EM-Ht8Yv(t4AYQoUIE*)eIc0QBvNay4 zfvCW(%v1)8mB*un&&h4bQ7KUV z!B>*BO>JQmx~V~-BVqqGcdi{<6`qH2tWvEPCI?X2&wu>uS@69e&jmZ@c3QjK{@)s_ zaAmrq^>{+sJ!{#0YRHVzo|>NT$R;z5TA?oa&4;H_c;Cv8{@{D$oYdo$M)&Vs#hr$1 zuzuFwex)G}mNPX^_RP96p-JxU*3si4&gcWFk#T+0Ed~$R-b;6Mx=0n%94z4C8DQuy z{Bpw{vmPTMR8qtiVT!l79dH_A0CgaY_2w6%Nvg-|0eC9qi|l#&Su-MMNDPZ{@TO9^nKE@2tP_}80M{pFj2D8bCCqB zS#e*KM~piioW(iEEQ84j&T!;)3i#>}jzDLNTG|0)b|$MwNNbtXT}K}mB)XqVckie} z+_n&w2pw__cb3C>&{$M;Jw%yyV6i&H>CIvq_H=fgSs2x`aIp`;5y{l#P}~{KqO;Vr z`oVWG>ihv=n|S=y97YrbMLdwLAIIbe4OgJRAX{kNxnlQ{DD87GHGH%oxeW8xhJ`*n zhpcw`knJfCztK#VCK{ngFDEm+^=cuXLlT#NTe~dJ{zWL3Fyr3H^=Ynt3TT*UT{XjV#tA*Ht>Z4Ab!C#VV(-F& zpuv6H?8P1`X8V;OWopl@E8X-rk1Et1Mos%$uVe(ZyA%bR_UcnJLTnx{nP^llqxE1W zyAo%aB&4IM%4lp|Y6$|8PtHk$#e_i(GCuDE)8t;gM1N8I-~(dz9__20_3k6?A%aI~ zIAH>B2a!^Py)EQs-tE}J)7 z{Gn1#j69&rshmC69>5QjeC`%lG&5UkE>4I{L@d0NcXn10Q0yINkQL&viD znzKP<3`8(Ctbhsc^)T)ozf>WI(lTs>zDqaE6L__+;20_d(#dy&CyW^I_dX^Bb&1-q z6|}37NaUmdS$`E3?ykpRL&nGAJ|6yv(wW7Y8d$4X?e|8(^FRU;_p5%)Mk<5GKE9-* z_VdAk7s+TY5?+>N0yvnw+j^hi4M*OYRi~}4jIMcML?Vn3;U z=-Y}aGXw>!YDj@aDC)JeY3yMbF*D9}R@zmtFezNwYWX`beeYFkheCV1u+&`kvqEG^ za?k+bkx`JhhaPwIWKoa$bi{N6I-r=bFR5pn`%eMu*R^)6 zqf*h--iRdq9J$Lx(DZfLCyyVf@l+Q*X+0;98k*=$2_&6pF}oCHKcRfp2^Q?3-!JbI zI(~odlY9$K`fPTia!=zu(Fq&~6i;G|?-Y~3;2UVed}95AyZ&%I*sC{;_1o6xr*ppdI^JT$SR5lukSVw*Q+x}Ju`%UEX zhn9<0X&1#Lzfff_IFBJ14uzakxQwsgGbN(Unx<$oNm3W3x=*S zaF{^`TqMX@VpfdciWMUf&F(Ap$Hy9G{C}`~xEcitQi_X0Vaf2Xl#y(@{uY`PEu&^t zVAd0KtlGNHOYyQ8sJ=kYMDMxO6w+G6wp1SrK#)0JK&i3PyJxq7^%xA5S>Y|QZyR8n zE-If`dxg6r{~No*&6_z;O}YMw!1o62kzHixmVheVa8y(V<4D+gA8Z*g_7Hu)85{u+7Ag14c;7;+o#^J6QYP2s~EkkhpIk(jisP;VTX~ETKF=janI)^ z4|Te$-8ATByUMLkVy(}a(B0TGLDnehn+UDGNNv!cYw5L5pB;v4se%Rmjm7jDDW#$%#FhDQDO5>Za42hYIt2Xkl9i1xztuAz)vIj zIo}j;a~owXvR^r#ev~Y$DiIu%=~HwyM0|P>I>g!eC|a74(V`AW1BJ? zc|D;FlXVM~1Vg`9>_=|@ck3If;%R+K+AdJBlQC@+=P}JJG&X})w~vfKtb6DF1{qe< zOF%^2g$GIZ-W3rnWtA{Ygv68u;cm<}uhQTP08)^)Xel&7c6d+60Y5fzm&H5)VLrG3 zgNkY^m6Xi+rAC-R9{_|S9Bo=vfHvLc>7rPm{&? zUQnKM#fLaj)`aXrJcipr#bJ$|>&zT!Zz~=lx@|wM)rxsJBObW)VX8T+Tm z=5!DBt(K45WZTy-Z9~|A6(KHKnfgZyHhLO9==TDePhLSN9z)7$*KYgW z>_PWi;x#`_j~=R%E^81>hSrUfvIC|!?jDCVTHzz}k@?`qHh7(%v_<^J>n@t@7dfw+ zt!FG;5x|BTIy@SbJ^Xycom4|k*tRMU0;GJP4z5VkFijk;ufFuj)WR^_r{M~|A)1ZP z{Os>L?x-RqB*_;dZsDVMO=v6%=|R`+#rfo{6d#n@Gmm2nMk48R;>mpoqG4R*?xx{0X2tmbw&M-7 zr72w9V!PgBFKleSrPF3%L>=I8=orqlW#PJQJ|n>CQ~MMaBA`S@tPWOwNC-=3%6GB& z&K%QUWET_egzvv7aYYF`2BM#>u>sl7OFRtye-)aUpM>-Q@REyOr;uVNA1=zcsucf;@r zGS)veMO3eIMM1Im$CDGD0#OsMTcoZoCWzjA$M)cml(6QFg;56lyQ}!)ns=V4Vc}n^ zU=_u;s_H1Ef={%%2fbVFz*(A4F9Q#JT^|3Bn0JFR!vM7ssZC;Qhc%$bm@JJCxV#s9 zAjRjN1eK;ws+_^fbHq4bYotZ9Q{KRUiW~tqLlNn&1x5ktuY2=+1-|1MtWf_@ha8Wc zy&~VQYEvL>rwr3@XF6SDQeZgv4CBlJq8N86n-NU z-1t!7ZDHauAstI9pXc6U6_kd1gi!9`+etSKHCp$e4iX<=?0_OJiC(S&*om|Xsm z)VLIv-J#J*GAcyLvHlu`F#)fa9VFV0qXsbrA2EqFN9Sc$Mp3DHNOi5<{xX)(2F;{H z;({ijFK3b6QAJ9u5z0174BklQOV3SfTyj$h%s#0?O1^q|y@)D!NTpzko_;N}U%T?7 zc8q|dZ{?-GPx_62E0pa@h6ZXM!hr-%3-@^;r=U`u0SkM;Cb>p%`$WyG>N0rY#H1<_ z@BPiVCZJ7Z;&d$87YXVCrq_bk321D*4>Ad01sRFB2M7+t63~*G^|;A(+HlqVG-7AUii}C4ENl(Ib2&prHRAd|HNKz#KNS;jItlc<$pjpb^!TXrh8ABtDbJFKmMCG%zq%%4mpD?mXYi5ol+T-S5D0+~w@(~!* zmt&?E)*JF@n8I#pHgS1#Ai+DU9bP;}Wm?qM}e!L#Rr1|9L6(M)@3tXFeA-8#0hqz+AbzR!k=7T@;Jt-v(2ouA;rqw0Zz*WQq?xN7=Nof#a+~rC5ry!#rZP zE`dE&#;KcsD*-cUFH?u2%|TKVM#aeA3qUj6euCz(gx2PNNWa>6Dzb*CEtd8%axrLq z4kA_Rfr4*EtZlGAldg(8$2xP($+C_%5(r2h3)~wK2IM6wo@VnjP@QC`{sG#XI~v2n z*XTfM^6oOBOR@3RJiZioevr{=z3_s!MU*X9Hd8+I0>ZE{6F&b-K&{5=P_p})PLo;m z{0dY_cGqW{0VS+hVh)8H4=V%xQ;ak>$dDXL>8Z1KJiS=1v73|v@GLh} z(h|Eb>z(eeaQ%aMszJe~gL(*Kz1=2NH9lQP5?KFFX%@je=OeT+!w)J5dH@JCYYB0!)O<6`C?u8zBM1zQs}aOr(jR6V!b^v2fQPm4N)&`Q+ZzsDmdq7 z*$o@xjN0HQphU^y(ob~~k~(W<<`>)*#qOn}z6QHv?&cMsh(x_V5FAXK&Ik>vrn|wU z69FBPMvDYJXAm2tN)ww+p5%pb7D3e}hvf5W09~uy6x+x3FI}wds^j6ozdD1v@@?-Q znQw~X{#0GCc}-vk>@+V=Y(Zh>^T4;qZ{TtY4Fgt7h1&hG1@dj?mJ$B4Fq)Iy4y5(y zZN|9$@QX_!G7RM*bNt^8#YeJ;M&5%C-EC}1B1u4BwisBf1jd~isw85a5oQs!2s`Xv zVb2|XPX=KS;O&+osjQu>Af;N-LppmvE?xGRy|MF&foeQVrcwPzk?$oBof9+f3AhL7M{N`;kbZzeVJAWI z#GYUCC8eZ8JNU5fwBUghY_S}Yv^~<9SC0q!BGE?uvZs_cQ_x;(+tTRIu)6@3-~u!BfmmI@KK~HDQ)vy|1jZX>7KdDiXq~L}{K|XI0g~nXO9Ji? z@a{cfkoPF4@0^keEScngqbCgHV|j)DX=~?I}eGLa5>uSlZXP zpv-mHFDNRpG#%tKvuWtOl1x$m@?(p=rIfiM&sM%|<$_QROmiC*@W|cyuQF`41*0q5 zKiq`?X`9%VVBx-YK^Gkz1XHSYjPkd$82Dy2fe;M44MPB68D5J4DKs$-?@PkrB>Sa_ zp>89@Zm|J^SVjy}I&1%^oJnnOX!Ac@CU5RFy*f@qL?gW(U*4}`pev2k#kZhVa=))2 zk(U7!eWrd2SWzapY3Gx{p4v1|W^Za+5>~P=yf2g3RF&~xcD$QJletH*C?Wq5(bq=x z$zaOR*J?eH8ZPaB>#G)o`<8psj7u?H#nf0tuRdpyP0}qbwFm{cJlP>n1eYH;8{sjJ zdjYxkur841EQH}_@70HIB;K$5pq%^H;)2Jt)r8bC@_WjP8%^8bevcm%G=f|}7PZY}bl$x@{wOQC*yC7O@pRfWvc5R{wBmHlOx&902*;a0F3r`?C<XHzW)sUAEu z@*lCZt-_g(3>C6$qRlU8C25mwYFIhP9B&G&yu}C<=?$x%n5YJs1Tss^z05X`WV|Az$vVH+ ziDg#B2+mFVwJ0CjGc9c2hLePkuzpCqeT_Q}BC~K$hI)#W5jgvV&%^7Z2 zfk&ncQar8N1IywD^!=T-sFD}q)G5LT8NCk~Nz_rBLKJH52Yt1YR>zKhAuww*b<$rt z9khvB=bc%-8<~{3XhRyr=83%`V!Q7P+#7^V;8QhEa5(e}4HOZZ@J&>E9=p?32raOJ&#R8<%Cu!Ev8RNE}Y zjIjfv9kKivk@agcv$z5r2y@=->5pmfjwKVJ!)42n05%Mb@(2j%+FHrw$3&|qrku3k zsIf*w2O!<+xhr8jq`+Du)V%1?2NBoMF+8G-m)Q$0HCKe*>lvXi5-K0JN9lH;L1@fQ zX%wMcJyw2*f{5E&r4Hb^_5nxVa`v{2na3<*u&2^9`F%(RuyYFv4nnYZ=$M;Ta7sA78;z)b{;eVHy93nB(P)ee$hl=8W^8p^(xn)T$Fpk#_c@|c_@P9OF?2Xl(v zjs1;2O#9V`jc1#-r^p9}ODkfJaVbc2w*W`XFfXi#h0Fz-Wt1 zdNaghkW@KCp}QF6k18-be&*ikLQ4tEOYDAhsUh4r>PGM%>j*otb273+mrWm0&kL|U zh#2tRHbWDjei+44=7>SIb|B-H5_^ht_(gJ-5zZN?UGgNHXZp323SRBMiNdbCD*fCk zcl~L^Gah%dIGidDei~fmbD%)bC;-4OCJkx;PTggMO|#ePh_r^zIeNizmB%UH^)m#e zEj09AoQF9Ht%(WWPeIqnjYwE*XztsGs3T4_UFT1yAA5&r0n>QfB@tDr) zS}dPKl3`}5I%ow3aEt&NK;^&b7%Gec0Z203Qj+gw$?(F+kH>x&bMVd4hxnsZ8pU#j zmJ#w47B_w%u`91-!<3u~wpy)7Yg(k{i(kLZO4>!8w$fE=f-tHGO9VJs!^xTU&+war zbhL{%cODO}`i}>>2?)0i0F^J)Q+JhlD?ffOgHVx^wGAM;u-M9*zlMN|Afgp>ikx6@lP$M-~eS#Ps0K9i~kAS`%4~ zt!ri{e7t!M0*EHcH=QO#^W4AkwTh>P%YLj=@~eNkhKu1b^Wl5dw=DZCcYAZIzVZ%B z7+XLbrfQF)VH^Ul6%Y+Xt(s#9ElXct_E?^nIm$!C;;@tD;tbe^3CJ zh*{-!ZfDBMh;0B$+qAJ6l~VvjKf*H@$+>H^=K(oYGKFaK0%V7x(TW>>YR>hj^AM6- zaK{Ce#3?-j&jCuN@no*-xhJ(>w$fDC^}617$eqR~g9!kwmXL^h@D=A2Xr0q30l?;TZh@Iq7hQ!`YhgkV;Q2Zczwy8RAds zGuzy>?6d9Q!&=Y*vJH3^?`iLX9J<09x^Y$q%l*B%bfiIE5$cH!sjon|Eu7Cb-Dm}f zAD5I}R!qW(+vF)PwI#@-Uw_)IBoZ5K9jEm(y7J=Gsw+D6aHF^wt~g zPuE^O=P4p{Xfzr~60wOk@j-Y8{NVO~Og4zS`Z%(S2R68n?{sIT$nsDJ$$FtsO9yl=!RFv+t!jPHA6i|5;<#x{&7I}-c~yO}K*h`- z1{!hed{YYY>FE>jCT*28`4j#bN-p9~qm2Gj7O} z#n=L)S~tr#XaYRYN27i0VKRCM5}XiPKl;WX-PX3%oiwQbG2*;`Y4_|*dbYta7cZv0 zQ3yzf-uC1Q*eJa>!y;hLJa+o7XQ|p{IkIof@&*Oq&bw?dD_MF303-uF#h7bRjsgS8 zKjV(B-zwtTKKgMnJN;g*!Ei>@cS$0+9IOPmk$cEY=yy74TOF{Mn+j#(zcpit(2YAR8lj&QLRPd%<|e@)Y2X4LVht*J z`*B9`Vcy;B(0X(zO&ljw%+RqkBLLq7WQkE_1ZnTP{uEsiG*`J#M@5}fR8gDbDrrce z1d11QptP>Z)_ERVS(~MIniSul3%=7bd1J2C_=-bZCj|0!neqzL1$2#5QdkFE=6b4X z&oYKCR|)7qJd-Qf|5>64$dNhBIygkVKih8;+LA=k$B;IQOnQ;FG!nMtx75!83@`Yc z&?O!+UzF@E#g)RagR9x0K<>-X65!C5M3Z8bK!_EQpa70`BjHj2kheywUU<}vCL}?w za?51hB=~ruC%^CssVGCASC9~7Bov818NvPz2ug*YblX%($1d*c>d9&Fm?C$zJRQY zlf<4;Rj`R!0(CEZEAIULUx44*Rq|fE!$PTW}TUA8P7e>X}UDf_5h9b&bP$a z)A&m$VrgO&K_2K!a-uH~io-^JTDe)PkQqZ9nD5}4 zeMVh7wG9SQyp%RMGH799?AOIiVV!%}$-WnUBFya{7!xEy+kDV;w*doXN_VCWR?eZH zr`pwOF}m9J`$O{QkS|^O(=E`Tlo|K?3ac+!i_e<1yYLdtb%r#LO83+t_% z``5QIGE+GC%nl+TV5uC(fV21BfU+zQlKH}B%5rFIZD5UT0eQaPd7W;B$zC6WXLeqT ztAP7GuAF}3;D<8z{}YpoMlb+uth|TeM0Q{9DfJsW- zj-FJH^{E18!`Fc!+Kd0-J0<;58+DN3_mW^yU*UMPpg>sle2J}#La9&(fTRi2fmlUr zQQQa1`?umvP#DDHYGfpvr1%Q_CT^TeG=?irzOZWOQ0;jShfM&WdRi8SS|}lgv6p2= z@$A#nSIyPnO^U#coVDGK=PW{@TJVqYJPI$W(;#T=gbfZCXVHWUr5oIn0l2XZ^)%0n zZceHd4=a7n!+#V8_z%o?|C(T_lNMXEOfqBWPsI@95WY%(skyI>lq;_G%j`=m{Y^7ju>GihwUcUkt% zQm?e_dsCc{b=LBo0L-p$yyZ-k;rGRIq%89`0I)Pt&dG-DFXwZ)nDFL}#Hwqr~px!7d_y##x-g$YO`}Bqu4}(405ygp4IT2k{piK~n$&rkS z6W|8n2;kE$(_kc;%0AG&h^uFx>U9^oZG!0(7q^3R6UHqE#Ewk^afX2+7tlLOO;77@ zcgH!QK!|b*8l=Jd-e}UsghB$3VKnj*PM}7vb~66~_SUi`&QRvww`bKKQ~?bM#`ueW zi>Nsz2r>P!*Taam`z_R-sm;oozU3t%o8`R@_x67+rSFJ?O?1IX!}8Q%)}7W4d}kt# zcy-`ufyX^i#igJLn?RHume>|$Yf%?Pg*Sey4?vY_F%9D{Ru=#KSEf@FNR9=61%mPQ z5t!w+Ig1e9Z$z6`ref?2!xxbJ8p%9*UGH1VqW?L%fG&Tqp=WchQ9!#9Mm8^c>96M1 zhXO7#76U+Xlyjv83Y+E%jW6f6Y7_$e*vdk8{>@`Q%F)pL$F$8K9iB2hu~(@Y#c(zp z6Es&DrJGRx6}%d#!k*WwFnJg{l~Mf32iRt%pSpSiM430rXG%@Z>g^2=RRH1;y>KB9 zeJoBvhDzAPOCN7?x=! z5-Zn}?N3W9Wp+kXid@5$fW-s$Y=fSwTr&BbzRH}cqz*mq%2G3<<>79DIu|XtGtp~Pv`P@OO z)hP~P{(C0!n_dYLDa)~GW45a^L3@1@J>uV1amw~|#Hw<TKed-2V zw?yto^jibCtroUuc+vF@Rp=P-Uv>@`PwE$GSroi!#{|FnQgAnoQ6%s+Gqkl5cVGre zakE)DSy{&addKg5pUq>}jZb1gOL5d8%pKGInsW`qAc4^syMi(0Oh3U7KMOLZ?aP7A zaASUamwF{bol0!T5n^T|VOH*vu<=bvtX?Kc&rIMxs%(BnB1|2T3e+HqBMrM9USS#M z2K(TZ!-ZGo_qhLR1GNivO|lok-f6waL$ok(jZKQ)YS6N%BopNYT@9?;V^6{du9GS)cBW202peERDh9#7IRXU+A5Fa?C2;F-=*o;-xAnIC3w84rUuMKJI zxhxsLCs9C;`s3h}pC0N22k(_l_;K*}iRyX0@=?fdrvz&_WybcFH7T9|mp`jKoxCjlqEuon~dp41}(y7{2INnX?M#ml9vg+l4tC*`_yZ`*fFz@wO={+(I z>xpa~Veoh8YKU2x(sZx!M{BoE;2^3GBs{y^D9R6@&r&!EeDZMpzX=!1eGF#cE{}3{ zTU3REylc^+5x8W)ARskjH!!9J+>v(61)40Z zyE=>}-#}cB1cM#1MXK=pe!>Fi1ma9)t_8UyH>iEiC{B2&q0=ud%VMzFLYL{k_b3-? zP4=Zx$g|CO1S7+drt^GFg2;wLd91{{Jw{b^2JHZC5xIm8Tq1Eo@#jD?pnLX=>}h(6 z)&R<8GT`664kAF@j(xWYB|M1mXAaWCOyHSzZ<6|PL(VNn*2$z1TY?qU=7f{)p zj*+5#ZD30SZ0x3Qd%kMEvlb>06ki%UPVqb`Yb@mjM_UL^&Q*V|EXj7;pA8MJzA^D8BA!@rsKH42Sj^4lr6#$5$_Q@g&eUb z-|d2cYpmJ+LEiZMcqYw65g{WNYO)tCpgW`M%dhWtbl&oX9&3GGD@myLesEU3XDhS; zvz3lbO}~QMZ?KZlm8sLL6Y^B*083W79EeUgsD)J_s(Rb`X`=L`&1;B z!{~%)`E=LM;@YWD$I}nQz^^w{z+;0d&`~lb@KI0UWtXPI3N}>X)@|zFnnU*An@-^y ziqTAWVzx#zIUDqB!s{^AxZG``zhBQv$i_oVy}(@PNU~{PrMbWl@>>!xFf$RqpiJlt+`+5VzMPaJ2NNfj}#TFLOE`J%+o3jY`9* zPZG^Mj+s>~_cr)jKw$7^CpsNZi~?};Ium*g#L_aa^^-?leWhf)aoJ=rwOU1g8d2M!_1&gdsi%J+k z=C>9F3~0X{(~YHJUR5Hw(W%It^87?{PF1nKB*f?>fxNuwG{*ol#S!XvpUGP75T(+| zARG#6+JC7C-LEJ{?Z|f{^0m?vZYwvkklkt_0bHJ$hkPG-LLE_4=KM}JDYe^l!5C~? zNXW_{5i8Tt$WV)J4FJ1rEiYU>OV^T_RVKLU36Px0*=2KM5Qd8g^05Ot2GF;=OgGs( z05d?$zuS;nnr(`@3S}s#!b^*g?(R2@8=z!%%AMPGW7LZ60^N*zw= zywa_}P{wEn%A0(g7MuU2N4{NxK^zyfG|z86a41NV%X#@anV{%IrBx7=OcDh&;IRk9 zI`j&=$%OCvwfV3!G2xv|k3&8{n_v$%0ZgY;y9S*SFj^{LTk> z$jvxx1CKazHx%PvPA#bLr79;7DFr#T%B*@jX*REoomF;sUNYbp@PDIf%r+7D=;|Nq z?F)?q`#-?g%@o5;VhX4~(xEfjEVr%-olOgvR!uo>8h)PLc4n)0zo8(-r>VGV`~+3_ zNh7Ry8dkX00zru5F+s~t*HSbl`j7+?2Pc4%aL{xboy1&75@ic1F)eT82Pd`q-VZqxGu;1 zR}=bAFbtFUx#fj?e)pz1*sMgt|5B8J!I7gLk*e_7uH@#Mlh12k_p@XEuYPwzv1+~C z2qFD6qUtiMCWn-hBC~KIxb^1sYR>Y0nxM+$FN3{B`+Nv3_JJ$rBME#7$qx{s|KHox43*B#L22j0nw%fnk)nm`!PEJ&rmEHao2{m&Zp4%jucAQnju;#NZjRx znFqi{xtDh~OyJS|N`ZP5#)=P3hq2hB?_}}z%qielCdV0{wdgQtS=WBZ^exD$Xf^)c zVOcX_$GjLMrP!|OECyg(wEF~CKFz26KuVB~k6+N~fRDrRQ&8t^f95MY7@2r&bWAZr zDtLJJU%u>N^Vsu6m%ua7i|4e?!=GcWposcWI5lsz1$X&+*aJG!Dgr@KAabvjfSA0M z;3@vne@)e{zm$ z{&rmaGk*8}clDr>(l#V$m^gAyXJ89}GTa!f@uCX}atyIYe`rrVOCl@dBJjp5f^4s+ zg|`Tl1bk2kxSb2c#41;~X9|D-xE(dS7fjA8U^ME%p`t6=7WAa0L7hNuz&6wjOm*Pw z#r3pBCAD$LLgb69#53)FNCG4g?sy+xJ49f!#%F9M4}yMBr*)y#1TdwE+9ckre^Vvw z=>yjM?)dfJ7&;1&7eD+gp_etP-SRW(7&?hl!$tQq2_s2AOZYmFZGYyn65?5gKyAs# z9~$Obt;Gjr=)9q4Oq!d6pvKHbCaX0GGw2s}Voj#u^Ni6MA<295UwRqNR1&qVzn4b~ z-Nri_Yu5UjHmbrPSM*lHD!Z-8@bNk&>}|6Oa8ls%{Iu7~qx+;oR!Ddpw#E;j>W_b0 z=u+NHLB4~T+qA!zC(A|X(p2YMf#cML55leM%);!8PZY=#V2-QR1cO|@WiE+6L>N{;1ShX3J4AG6^2HN|rfNx!=3xR#BVsvE$7 z#ZE)~$;!=6kV?@8Xp1Ar158GzOeBWsn(qh3mo!5aXyKPg^@_{RDss)Rl*+q(cfi7- zPF9F57p2mSi3UNu(~n|palOom`R(VMR=KQ(#ZG58?XrA|GQB;I&58i2zWZ1yNKb>R zDb(ER@rJ>Mm$^OI^#yp;htf#bJdAT4VxJ~&5&XUYdCdz)Q1?Fxo8J2b{IXCPAFp@>#!9J` zAmb_(WK>+rnN|w0XT^UkV3|@l*#ZSsDnPa#)Om?i)j221STLOmBj9uBJs(TFxZ{lx zw>4EDdAEdeA7M~lF@Do#o2`P*s1c0WY$o~imO#UBAbNxF<2|T1634BG`S{)=FmXHL z)5aFo!sPd$rFM!|0-07{QG(Z>M@@9#cGN$Vg8W>GNqC%V$=V_*asdmd;NWok$^!@7 zV@qc4cq)?rSEo;6T7YYi*j&BsiX?YR_W{|7zi6GEA|OJy;?Oqk&EYEC1oj^sYc0t@ zgOjll{WyTpN=b{T+@0TU+oHh|H6^q`fq zAqPXveUqvuG=s$6pZ_e*{bZw}jK!#_Cp|q|s0cn{&4t`>SWuT!u&9=9amr|DpKjFK zQLIuvI$l;(;%d|v?3oW`ywBof_`3&*u6p>10GRjED*9Q2R#RLBN=~qZPD1PXKlEl; zZfiXH%N5Q{ab^=a_k-up=_m=lziR*CZY;H_haJ(Fx;xnK_oH#YT| zOIiSg;$XKqw3IaK2R#6;x_(;F8?B+8I{0xN*_$%CVn)M&6J{%J@I5YAp?w5aJ+ zc&fZve%yK9vG;jf*|@1IhM+BKd#;k@;f1mHVJ$^U=*Qm^E}y|_I>fZn`Y7skq=fkjN8nBmAWRP(3g~!?372df6bM6u zavp5P06OtS#0S95d-BYLU(9bXKtg;1?Z0+i%IoTU9^opgu7a&mqWE8Y-;vw4+*k(7 zO=#Et1$CcbwU*CVy^d{QWEl-K-%Vq|UrMMijmb9fe3YuD%S*TMt%=GIu9urVWONuC zbSJWR{#A8kT#aLp+9@R!#&$~%RG`@X*fQE;W-(00mILI_GpJv~^iW3)OVoxzZLcJ! z0ky|87;Bc+NIdHV$qGT)bGfu30CMVg6wb z+W9k>x-a0Qi;D?=eb${&#EuV4K+&GGGryklV~Iq}B}65{fXT>5Wkm5kH+yV#dtZ z4*rj!LSvpT`NVJ>BP-$ZEQ7=Sfmxw}k1cXQB;rwXqr`~su^cXR5X zL1#oLRcux~+ePnQY{ZH!)PVE4(irLX##K?A!3bflP$qq?@8{Ij&m%LE=_|P4ws3Xy zq!F@>_0H<}SCO7-0(S-EXs@USK+*1K)eE)}vJIG88bES7@fukre9)qzLm&FAP3<(3 zR~9y>kU%nhtN+XSol)3T=rR$a`_`Lq*@qwbV<8CrARGq(j5cTtX>vfyV#@xqqRv5T zO)n$$9KnJlFB`p-Gf3Gh3xv=uSDDzBju5Q^#uG>1f(1mu?)p|>8VTRFOAK0T3p*_ds?5Yg3Rv)F)s~4Nx7Jj~p_3L<8mm zyqwlNfU4`Ufw%lsBpZ`H!9Aora9aO><~-)bUrF(-XhV4r*eQGkkWmMJ_ieXV=SPX$V8Y26cdaWBzg5yDEF_2La&J;I=P85#PBk(~sLRM&5 zPHodm$K)}sMMsagJiXcur}^?e%*j+L@|+bUH|Z{gVWWdDP!0~g|Fy&SgNB%M1Kwn3 z$o8hLUDpP@K{a6BY6cw0?o40GYseHHmQ0Wr-}{bDT<`qe81Qs z`a&V`T*S3B);V4RG8j-kJ*ZL55Uz${k13oFyXgEW$V+XKlV2AQ*b39Jh)TvTQn+h! z2`31B8bGXNOR4iX!|1H%9w>pDy2Qt`H)J#OO^nL3g!FNRdnC*)< z{8NS zmd^2BDJ|pG*VG69HHfmuhZ-n~YhD`*FDDw;KQYpX50Kbli;NtJh^DksBOW&&#k~Rg zZ(~GH79tFJ`zRjcwgAl*VN9u&d5f$Ue!>ku?nnh5VJn-~MSgV~+S!m3F@Vkh-yhwx zv6P`K9#Mkp+lgMm-psa`W{vIXDtUl6zG|;th3AfAwZ3!SIr{1EDomuDP%?w-_B(Dw zIb#vF>*@AhO3G>QUcnxN&n_x|q2TXlh%-wy{7CF}R@y!oO}(fX5kOwQ^T2D)qX?W6 zK{ZhDib&N1*hP@D3D~{cKYf6O^@7IED21tt144tv&Q- zTaC!{-$kPeU(&F;Kk(76TX-)pm0y4Xz#)}0r6)TPY0bY92@y|>-QN|xRN1f)wOQ0m z7UZs6MTf+9*1w-BSyIA-_fSW3yMxfTM~4Nrqs32S!S1MD)tYpwFQ=hjmarP;l5n z%^lNj0(y3MFnz?W0=2cE=aM+R^LwXUeJ!S)Lul1)Wy3CFDKDBQq-(q6VTVac-9_uy zbw?ul1bnF=Y~eMCn*qro?+cL^`T@4w_ggAG-O0_>923`^IBo#Z&M5PGlY6V~z&uk| z?`>zWi=Jwaa|Z|q(v@|hNmO3jdumZDfF}$fMkoG|cRcCi2DAe|W0qx!1y_+DpZtJ1 zuL|6221>wec0G%N#Rr7Y8lNiB zc+fV>U~6CG@8J#iiU=0VHgC?nEJsP%dl!u#dy7l&0on%7|8%563?Wz-zv(W&WT?K! zF@lfZFs>*}|G?WJPZtbW&ITQpZ4k7GyXE=KfKAMu-$Bezl-q9$(NTDjSHt>Jlv>st zx5-z>?)p&yx!!Q_riAA;tUju7nk{H+rF7LGt)l7M%umYAqsG^}HMBoFleR}Q2n7Nw zNFV!u7>`gr7i|FGG{}qaA|7?iK(z3sUQ%c4KSG6imv@nhGskVd5D%Bs(%_(up9k|3QQ8$*cn!HuMDKFLK$_@6{KeWuLUOR0$a`f+U%-U#WsVT(PCk7H=ns!zdMxfXmqiteNyaup4qfT6ligCoSkTr1 zI(~78Ta@PKe4WhA5LQTpENMbs)l<|wctI(pf3|u0B~^~bNI5}Ca`ML{YK=XJ99z1& z-a6sWV+kPUra7i9UYYUWtJ=2*Dd>|)`;V33&{z0&&F^25ZMVC@rFR5$&mQGdnSKYt zVayDH@Cy=w(&Nl$fKtGy+=W9`UZ~?mMj~H}@9ceOda~X;;CALOd3w``V{{*z&U7h~ z@t)rWgjV2k-n589y=+h+)l`FN#)ZD+#5ul1zuTw1`A^yp2Z!F{iqo#6CefMuRO2Z{ zdN*`~+bn}<0P#CNc)k4eiu>EYKIQxgXG5ql1cKHw?QP1bF|ucFJqVh#9Q#@f^M~#3 ze6-o4HJHsn*jpoOFZsjfNwPQ5W-%NJN!f~*W1IRJ*)LlQ*o+(Eefo z*`gJL*dKn6vOJsmVR(cP+Av`%O5YqA)s7m7aB0RSfM+@YKSg9GuYLl;id6ddw2(F_ z4`87klt0@Vnc19iZqagi2zfYY15V@_1nH@izFS9(WR@M2sI$h=J>63hx{wcf^)Cv= z7>^j+F-^8|fJf8kRHI(IP?IA&M|+-@O5suXfD)x(O^x^WZvuTn45Mk;v9w!CJLx{KO zp~(_?v(%>%9<>J^oMtRSd3i^3SX_voPl)yOv7NZ_Eg<@$mzophQ?isMCwAM_ObwPjzT4Y`Q4$%39{bNsk>nn^KRDAIYaGN6I?0RS5nIP}l(BdI%X>?4 z<`@Mh1->xMm7@ivlaU{Hs$*o*cyeReN@oQzzNnaYo z9imb)ffju6=@eWbFe~Mjk+7-#rX*!^VBa~=mxaGeSwgy`D?Lxc^mt4-JQObe5=gxl+-q)+=}0(uJ2iR~2@N#;NEgUr~2((qkV;Lk&YTKUXU z9Gb}9m97X4Wo(8`NN(>AoAwrX8?|{zrGD`2jbq1J^V^ZKbJ&YuP&^aMCWkB;ha$Cu zLlho0gr+8A|BTUzA=c>U+MI>2Sn2l!6cIxO&eS&(58Yk9y%wjCvlJDWA~2hbY;SquBLg^jMwBF`QiS!E^_DWf&v2S^cGx&URush4h^ z<+03!xz$R~1R3>ftEdj9lKbVE6k7EIp{({(d3!Q)EE4`MXrig0;f|(;y|2EOgZX+t+cU4z*@KH6rqcJ_AG=7sSlx)*PfyP0=3*`P zmfTS@pQUk^1C*W@lgTRoeYKrL=R;lia;8vRpz72E*Ot~oZy?4*=fv&Iu0T2Lsp}GP z?iJ(VFH7uBVbYavGSEI`bsu%EvDw|$DbM%TWB)mMpA`(AHe_>#1k`AI3QA{kA>;FE zZ>K~9r(G>Knm7tCS0b%L)Vv?i86rC;)1zsRp_vQ*7v2jc$37fJ6)ai{kf0iB%!I`k zub3@I#s9txtAy1(tom zq6_0U3+7PXw0UeEjC&@bO;O@^>N%+)OmWZ*-uuie!>1l>x`Zmqr2UaE5MrWD(&Hnh zIdj2!D>ir)Knby(_MR?oJoIEviVE>Nh~j1bR~Hf0;JZiBM>M05 zJ}0vR64)b+5QDC<@$~dRFb@q3gSmDeAo}7r ze{gtzATHO1CX6q=$Vg2QtfcZe0b(&8aZw_T7|p29%APzYma$0olD_Ho52Y5LBr@ew z;y9s^4@@=XYbh(D#D9UuQI8s)_7gI#M|E!z<&!$>zQDkZ%- z_%A_F_H?>g-f{Vb*+K+o#ZA%fj{z|x*1q}Yp4|cWPDY)U!7+mI$C_&euT4b?$RWDA z3>3g^5tt&aHdj zN1>mEW=!J^O0jw^Hx7#Pf`Y5#P_3J?=~J@|r397EbG($FHRh6WU#~e>sga)3*(F_}TYrEWr4M zo@SC{P@HY5|B)iZ=Ov~;>LF&}&ypT=PJN!8MYT^lC^Hl`$UdRKot7&%&x07N-L#90kDg!W`Au zF*o5++duq$0rB?vd7QbK-Q?uV6L`)j1w!u0VS#T~L^oa?Od0Wd5|lL%UOQ9h1;>lX zmfDKNKWfCZLoIBUG`|Zav@TQYFj}uGUE$7s!^AT4BI*|``6i!(dZ>qROg@B2Yl1SI zn5%RZOQ?6MF~#}ctU4ZvTTrI;(r5LQsL8iGLAO`k3%9V}K;SDdM7>&fKiw%$)%;^Z zdO-aZr8J6HW=r~mNof8TXcHPDZ{%AC#EU|EXtByKKE^O%T5J46?_w$rYiIRC-0Dv) zE>?=R4K<_EubOt<2Hu5{k7~Uka@$8H@~aeY&&FKxz04>eDLoEdK|17g#t~F6vjIrF zC@q9{5!eKfcni_@DlwtY0| zvz5&zf+I9~RRM;%KJo$|tMh>8%M?SJ=i?x{&_UzavjtvCWl=xj0PYDKu2vCcLTEq? z@3!7ODs6FxcAS*T?W}WR4q3 zNgK$~89bQ$4?pv8k6FL6x@2AHRACUFI`}Tr_09q1$^f^l@Wa)V+#=sY1*1g2^D>o;h)X!6Vg)PXsP%KCjOL0-#RK$)AY z(glJa?Fy%kZlB^C-L6I(1hZFLY9o!%w}>2^_-&z$v9LTs;cWI?8Php1Ac`0Wq0VC; z!{?_zm3x}ElAMU_@jdmdUXQrn_=JUL`!M{`!Gx?Oo`Dew0YqL^1bOV%aKG=}wBhl< zz!KG4xDl5Q|G|84k)5kMzXJ00yDY|7poOAug(1bC$1fu6lC;S$RiWVFi_AgO3(pE? zfD4B+J8rIJnmowD6LCdTvgnA}?Qt4f#XAy=1L>w;CuQzUs7({0s1?thp1 zCDZ(dgF6@rL84(>n$QcoaA#@hQJM7jkVcPMc z+jm}BiVu!7uXd|n})N2TJ3i3?vv6)(N!Ppq?B&wy|KTCRxKrUAOcKp*44o8 zqtqg*oW6f!YH6B1VIx&AR95DE?NbITt8I+d-1eiRDXbgUr@R7U55czpY!NoOZcS|F zWI4`!ZzGwXtedl&x*H)Wdps@^$y{lhjFwll=xJ_fmc>B~$CwEuBxWkpOGGqxko<(+ ztA}>02`xoUl>jL0_`sS3y=UVp_*~8u|o@ypg++KgtXc z$)60y^g(pQh;<+*XBk}zL)23dVuxQ#S;eg>{+7(~&F)LXi{j$#-wuUgvS`**Ax2rh z(>E?5XT2!@g!55!ibk1-pe$y;2oS&aExr9i|0lWxLJChNlaQRopZ?`i6g*i7VtIe% zZdMPdMf|7%V%uAgrYy*}GbY_>cEs!HtYiGA5tA}(W>EQK$PW5|Tk$o5XL;cWAjE1{ zWrEYl(ZXnY5^7)KZSLZ2Zy=(mPjJAw@>=RA_$>YgCecO{xaraLKHQ37Mk);#!$Grc zS_8dzf&)yzGH|UtC;87uOUJe(j6!!}PdlU0r~NRS(H7-6%TTAdvq3_V+HS0EEr5-+ z_g22*vC6~bvG4;}&rybq1*%gL>Ya~)cT$a_MfMApIFjhD26#TaHorxc2X+0HIg)&G znlp_iie_iFaf4mQ)aI*fAvEP5Q=)cN=1Q0tr7gf*^;Jqqf!*fL=V?nxB2R70?yZB@ zE$+gLlE;QVdw1<4&SpZ)#Z@C3KL4^bI-M`ctZd4pSZ5$fW%|MFXe6Yw;{~|E1T9`f z@2qz~7uYnk(?%fqhmORf5&1cVK;W%#@42mI5nnUMCjEDOl+bbND1nUSG1~JfrC%99H5carMgGSzW`4#a zf@ZrMHbJSN$KsYJC9ypEZg)bNjg&wwN0j8js;Q}^$^iH|8bbPf2?`l&;Vj47ugf?3 zN5CLh-P@^zgpxvBO*@$s?uQG=gkpcG@cG&S7Nr*nzbx%m!&jO-DTya#`NGz7{}UUc zVG(^gcXDF(KGW3I`5B6zHrc0^X9Ww?IxWVYFMjhwBoN}_SDfL!7H0?rf67OIzm2;; ze@BvlV^)PiV~tYo{Dt0eAqnehtcXzHm*LfLW8zOqA;>p-IE_@F!b%(KG8?Zkjx(<& z1M(lv+r*pQ$^V6?83Ha%!P`hF!#J%4rK~;lvH+PT6T|W=l|6GZe6>~y3ws;>$sS5j zkQFIG`l}v_Din93P*J&nEgy!XU{Vsxg!p@LlgPs%lwe#GH<7ORdzJ;H<$T5x`a0TG z9Ozh18H13ps(*c7SMt``P%w!MzD3<0%c=X!1#JQ?kwSW(^|K%` zOJZ_sD$T}YvE5v|DXup|S2$;WhkMT!q#cEd@v>FAdO>R2!H&Gjzsgb>`yndL#c!7X zuUHBzD3zQ2+MKjk4>phc>8uQ6f3~L$(A?SM)Ka{CKJCg&Tc5CRm>b=Ht-thVp`R~D zYrTQ3#=k@G8zGT4fc|ymo1L-j(ERT;=YHjNg}>wNNx{j$0pT?bg-M_pLgrwh){^EE z_+AfQ`0mK7+YK^h>ASncsGPOJO8%o@Bi#e{?&9Fd6{?@YYh|gNeyrn<$31vW8aRY- z(2mLCoCR|EtzCuol*G9Kfj7eP|jNMXHjW?a?gV@1Fu=JH+;O`D_bijVn0c#l7}UF?^0CM<2?s zUGA6!!VJ3fC#b!3KE9r^kLQGX>^4$qG%X-`derzhPHDE# zzwqBkxgC?z0+$tttY_pi5_0~&#$gug=6u&NWYG%^ph8We9q=W>+j@t}S}P%+JWIAX z>ek8U;T75W?&w6v0!Yj?A0DqpbhZ^cCGa*4)DM{6FN;bOAJsltmK3JZY;2HaB$=Qd zL8AZ~K;^%yElNWuHFeKqg0myPj5!Fu>c>in(D)a%V=3z1Rc8!sMjaVjVKGcl(pl+E z^!dmkP9OD4X#qCpJE>K8-AB+UE-0*QOuV&wG{oPgNOxIFo>w;F#S-p{XaJBe9tmtl z-QbUH+~>YIaKB0Rw`}^kz77dg#jx)nmmP7PE-qAy>o-tSop&6>px&C8+n%bL3?x(w z^fap_g+K24esbM1FXE2+NIQ{QBsYH>f&pWg$P3Zg1KETr*&xyj^Sm$;K z{yyzEH1`4$mY>QsVyzmy({^;Boc6|ElnRItB-5auV`teB@4voUa)0OyD^4;(1I6YMXSPstH?I!urJ1Ar4ICI{Zv++Iih% zi(@rHMJYK2zdhfVzY-i&b^JN+1G&rcP7?seRG8G%QIrjfi{}kww!*eu7<|Z|qg}jd z1ZehyozZUs_C_=?C#|zxF&lVZ-Y^SB{yLt>k6j7hdYzkw!dZ}dy;HPe|5OCAsBhRc z5&(MA{^8Oq3&cE`c~96Jj^GX4xqti92$LKoH3lWqh0oxFD;GNWi(~P3eRhw#cmjjs zTjAUkrx}yK*)kKgv;{tS9c|ZzXN1&ik4vq^bWP;~GR%LP?DXwlUglE&q!ez2wVyR) zQD)7H7QF6*gX{;MEil}$9f9qQjEc@13ud9q`d2m&pksvEf_>76fR~wv4Qt~@Pv?>` z-fc1frC>_6Uf6)F|2A}=T0~4XntYsqB|zoKvyZR0lP5ffN4^x0C?{^MZ1Lc|w55j^ zOQNe;U7YqO3 z?t<315DjsLr+tBXCXm}zv@OPD9XvroxZ`~^Ke;0^r}P?I{obcvksEmN9A}de>riph zZN}-@Uvd!iuDxiiAc-)$H6(k+6&ih>e7P^8Wf?PhW~B#}?cHeXd-`q~!u!0KL&3W^ zH4j-jBRDxyqb6JxXzhh#fo{!f@GLCMesX@Pqhsf6K(N3^X8ZN&$*Rt?Ww85fX9+Zt z;FAZW&1!*+{4@Sou5GF<{55BJD}17H8?}Lt-bTOcUD*yjK>uU>{DR?Z0}I*6fYs8; zf2!RftIuy;Jy?YFfLLOgX*4&ZVG@4yG9s_8&3c7tZP33wr`AU`9k0vyQy zQFUKLV(UAfLc3vR20Hy1bRVh0)8;6ZClqPyp$7KrvVSle528wr#RXVliLq2`8{qw* z{jaD}@=`?adVHs;(`3XnY$9R%X8)<`?8$4|?TU8KS=I>MbkI+mDd;@E)HVwjORpDF zRlB0Gbz>7D^J$K&o*O1{;OHG?m!;s$uDnPB4e#M@d^-Q(H8zDfRom+0ym2p_gjQO* z1;OVX3`VBacv$c{YMMFolRA<%%8yc9$kOG}j#VaLQuG}rdv?c9um3;9dnK4Fiqn6` zm(eTnILP*}52(9eCk^#cJ-dUbtMIteg;>etuYSQiSpZUSUO~Y%hNC6&OJ*E33d=Yx z9F#WIG?>X`M!%#izIM0KJglh(*f2Yfk}-?6H0ix_@A{QJ9~LT zjed3dn}gNjGRu7e?rPh047AG6Ooil?tc`m#Cnh@Xiqo7Fl>Q)BU=Z)OB)dAm%!g#~ zzad7!7-y~_oqkku;7Y^%=?=Q%=(k-2B$DuQ2J1a3Lz3T|$vW2opnE2KcN1l}CYsih zVFKSosx91UbB3V~%)#X3}LrjRZROBrQWEEY{qxyEU-~+ciYR;X9uYkt&nli1t!tH_1)Ba=QI-yQt&Znf71?R zsB9e!otO_sMwX%?{gw@@OdH#r<-*Y(%J&_xGRJ(-6g}vm9WzN5RM#<; zbn_)?mD{^uG7tfj>|L8FjbVP)exqxAEcPgqr zOzJ1#ZJPz$U&{m#-Ul61rz(GN3;RcArcg6x+s@3qr7Y4+ZeM(B$34eZkY1*uXmkkk z;}@Dmilb?&+0>V%qpm%Ta0*g$j$vck$CKMBX<|Txo>|MYZ${BYxgi=HNpk zk~I#J5`DN&WpZ|HHBwVj>4g0(o`hjYFB*P5FqWsKo7u}!DfW_U#$9aonDo&$+tu3S zZv&mmNn&LDu=A$UGGQ|JcO6ySU5Wc?Vd1Tg!sGYrO!HUWPI$Da34phZ$k>Evb(3pU z{B)7FV5L~c>6vk;>o}0wIg73imS>9`EvSotPlNHZ7_C-wwuy59uV{uV7Z4Qj7eqT}utE!E z1~%jbMm(gT5!okS{Vb0oVYc|(DqDLHls23?{SX+wNtX}spXCA^GPO_O4iU^)r4L^bFi2#oivu&r z%;ssvagV8$G)Qclsf|BH>a&P9T--1#-Dx+)p_1Oqw;NDj5S(3Ts!R_o(e_MtI%KD3N z10NUTJp>;IF4{fa)a_rDR3QyL_{^iLdIHMZrLFS-#aFEHj*VhZ)30K?G1@MQ26Ypg z34M$(OtNjeI0ls_F6ii&MfB<-;RU94B6D!ije`r^+nIc{U#yw{V%c4b81!YjYkYrz zT~GQ)UwO1)P;9d^9cEamiJhVWz>1d_M)6O$m@%_8rF;H{@~CqJL2C;RBxhjpVo#Tr zNiXOfa!ehJKiNvKJc~?#9)!3Qj2n`+OG|*?9V1Ou1y?2@Efmw|2G8{S zP0k7;>MkxI#&EjZ5A@{-ozHby6h--dlrCd68K@?HRT<*CKY$nh5TbIFFcoJ`bZK+h z#v`FHC`j|ja`c9&AxH~D8uLw9(OPtV=wA+Y=1|-FlWJlM>QKQH(7h=KpdB1uPy6@; z&_{3>{AL;R=8%}J(4Ym@+%A78J9siC{I|6%k1tt-#EJ)LuGG!9S**z3L&-BczmT$KbEYbu6D%m6Sv^&U424ffBM%SGmVgYn+DTtBxN9I zTPBI|cdlOyKIKZ!9@)h%sZEVp5m9@5Yu8LxrPHNYoP3>h&bQ&r7n>h zb=oIpd`JA{A1*>$J$?bH#P!4m;ZH2#t|Z7u7M((eDPiaTsv1K}%itIIMlV`_&=0AC zt})v(TW?fi&kox3z&# zupb|Y8%na;cUib$SjbiMrd*ayxIvT-{bMR2bw9Y$e|myH=wnN{>}l!SP?5;{HjpsEY2Oc1;1cfC;9L6X6?9D}ol1>1!4r*Hk7D1NYv z1-PhP`r;{X=>Ousq}2Y{taVt672-rKf2an|gYA+plW{+`#E}i^x(g5}!!1q~r>j08 zaBHOEZLr=R!2Q;4&F0Cy46dj*Li#vVL6i^Q?{qd6Ki3p7%Cm>t>(f>9Ld*0d1L?sm&3FNCHFkxG-Wfw!HxO04 zbXL8ml2X3N$7J*14=d`$r=GXUN5$Y^Kjgtl3*Jg#)hKbot)T#@XAxE`m-1;dP95v~ zGD=5=OK!w$9VWAh3-kG}qXLohZDh-y8UO*g3?C%|4VOGXI`JZ@)*0qhToz3j`Ld=`v-(VC z`d^U;DKPd*vm5(=Zp{E;BkZkY22p`&17crSdc<@w0*o3H3|BWekYNLHi2G*+tXvVE zR&}eb7OE4qF;aZK5$%Iqemgu4Ii+eudDvmcpl>whcNPJy!F;N6QKpH=?Rbe~ZKS!N zrGv|59~H7e*sP`$lIXFKA;FarV*b4|0xYW*0eund;Is(#4II0QZ`ZDl0&QFl8U;#^ zH4o0AnQUaCh5n#@2c%y1!VCN6^ZZ)XK>}WF2jXv{7n(A+;+((?SGsK@E`8Ot?gGZ- zXP&Gw4)dRq=i?f4J`HwYir+5+=+uBin)Vl746^Y6y(7!6R)Kp%xN!Vvc(eVD?B;0~ zsQ=IEFD{k$N2~vG&oW2&Dh6c!K5aMdkht1U(cbJgZ8VTS%6?fmOJ4|>HU~*kbURIH#z%4M2bslUfb-TSf+V&&pvTXrGk7@uXhB0gQBO5e?J%?>e zq?At%q0}HF=+$BzrHS@WGNH4ul0bItF=Z?IH7VdF0QPyKCPmG%Pf?==+sNYM)jxU` zR2uosxU=E`09HV$zYbqOt@k?V7sxNQXOsvF)~lS>u9~Rj9LnfdlSO9x9D>NI?tOvD z2!rST@+SRSp!3I~h2eEU-$}(h&ejM;;-Rl9E&qm@=^t4aK(Z6vnp_j#Ts8YwsXQRan>_1_k)05UGc(u!A(4QOv~5YOJ!W zg#hEn>+L}f@z{0p$S3Dcv@t35g*Od+=3tV*>W?|5co|?2+q1? zmR6gYH9+~NgU~IGid@iY@WS%@CyQl^;kp~(qhE0|Dxy@a^9xj0#6{Nfl?*OV?|feo zKV~w)tkk*xHeP_YNGv6EK1BkYK}l>7HtnIn1@I5Q|1`1G3MTdi4vnp=x?Ml_{s_mms>@Qh=#4s~}NaM|cf00}R*dj$ZL<9N2V(x-WIidHVpT{l3t;fLOK)8`IIS>Lkt4%+-L|hk@ z$`r1K2VNax;UP9S{PgSGHO(q3>pRIE?Hkq;fq@%z<1o5uPS`>!*TellU0E(`fj{7rW`Kw_xK$)ny``2gQ|%{{YJF-~ zlWHYzgjzlLD9E-C=}NS7WOGx8UICe#dVJb$!k$RGXE~DP0|OPd>Z>C73Y2 zRDihN?Unq!aL2FPV3|G1wL^$;TSxQBtGSkP$2iL#Mu+ab*6Ed`T~rGRw7<3d_Z!uB z9yssh0x`jvWxv#F^T0jpx8q<0kkaT?Xjtv*=8Ax&x&EoIIml2%S5%(ql#D>?w)=A0 zItAdwzg|0sizE>XI@+dVW0BzP9ljdhmVS8k8K$lKrx~Pe=+I>_QgdFb+nV5GVbe`g z5Z;4j4OfTxnL3R;3tVl)TJka!=oeBcZ@3~nnf0p6S|4X5xH)Fhs8v3>i_XgMQ`Z1D z9U`Suq6r$c3)M0xL&^tt^QN}}A?(ZU^)fR<^1VDPD@6>Uc=u~`wQrVX3yZjzrM8md zr4-UR)@EzG-uH*-WZjFEl{tDl*(3rhE56hcS~y}rHJ;)p3$f0TY)6bDxtJKO?t$Ry zV$^6Swto(fc6k1eQ_%f0g8M|4!49r0#*0}h3Y{SQ#U3PU^CTxD-SNW|oVqdpZCsZ1 zmmDQkP~p()Yk6R|`{xztf|neYDlN@jK=sYK^yI_vO; zJGYq^9y+h}K!@%#5Ve(n&K8+yk!SgFO8Ue+?(5evc~rA^To(tNirN8Nz1N%C+VyVW&(b!n6nFK3LHMu#(@9d=dSmpOxP!M{yYGPk#-yM? z*XgJQrPpR!4dQwIhAof4J(5Haa66kE)-G#Of;xGm4?qMx>W<(M&xD&Ziuy!Gz zQRK?`F?q^Q9GS}X{@2N1N{nsKrU1v~zaFg2K7)eqo}1M121nWQgA}PBZ_%DL)Xx9;D|SOtQZM7 zs~5WfPq@mp&_B0M$RY+}rnX3k{;axX(uRxL!Q^i#+FWwC=dIt{S~i-roGgm z`QK?mPgjyVAJq3*H5Z5L3er5{SJ8Yyf|$J8O$5|uLmwI$q*d%Im>neLoUp!=M2O0!2UJ*)ZPY@|qkd04dF#W0U_}fq>~CRF?f+oeA{8@-F$yr=4|hBZBxnzM0yMZ(6lEU^XR7Tt6ie~AF%a(x{s>j zBf5L7wn{qGSvB!*MCIl$6mH3%{n)=w)2tj+Lk%)@J@Br#mi}nh|S-_=o%7A@} zxE3>p+1%ipm_u*8BdhZG5c$9OS=$CFrm7ri->u@o5@z+!C383UxB!ZKR`y~pC$?LQ z2KjLht?wk2hLc|>Aw+Lbj1w~ZK@{rq$LJl3a%;iD!mg&+9oUx_d26HogUPAt_9%Ob zAQjV6_WzBRy51QmR(V+dA=;M$5)UEMX}utpmD9Ve?4}T zxT({M!Dr>w(SR;W+uD{4NddiqU%4Dzwt5c!07V|)Iwy6PFs%ZOO2&D@n(0kql;#8+ z@gq%K&h0Hfc?T1naF5X9vpU39-VRR0goS_BKY`<$K-&xg5;3nD%%Fbr2;Kg0RD4u!K&M!+Ul8j(2{;LJIb z*XJS#r8nAN&z}F|hU9&vY07ch#riBZ{z4-Xi>Ls#5*qlj$y7n_XV&E7B}kZCTI2c8 z{lG7s4JXN&MKiB4SAAy)Ehx$)Nuf8iF>`2dJIM=^sKy!o@_Kb49{1VGOq-L==Uk3` z+G@ptymCWFctKiq*l<2(<)6HQHs6O$HwL`=HUH-%ah9Lo0?diWqqomkTYh}`D`AN) z_IEoW3CRpA)LDveBb@oL=EaboHh>w9n`vGKU!X~Abfr{acC1?NA}wGw%7O&Eqg5k* zqEuHwR61;RFZecsJr&C_HjsXu&ft%nGERg7!@OMuIm#1q9~cK`M8_vTck#!I2>bkg-2(jnNeXo03FZXHcDErYvAF#Tfv@{y?b{N`8(DMcs5LgD zVj#Eoa5-4S>o(YQkXkZdm=g~lvp`{dFAGBdUZe+_UupJLwSfm!m{?>JgBLfnoXgt2D_1EQ26gcRya)Hd9)rs*8=hPl=bu(B)_7RMje;zm)JlSm5b@#(GN|eX@q2L7L(;0Zw|K1d zVxRr?jYeb+LVHq@F+?Uryg(p2og6%gOx7z=UdXqie5=O`1MvpIh!?u+uC4pS{9sNj zIRJMWYG+`fe?Tru6Er?m=SBdg{H?qA%mthLf~Q|p3fl~x0panX5&7qm$?^_r{PeKn zqIdthMSj1tn@U__XWnp9ciW^?1=k%IAL!owe9Y%8$gy$VkPo{s9jJaen@ty%!FC5M z3^s_pMP?-mJ3-`MV|E^0Yju7&A3Nv95~9<$a=o*T#G&55({-J}ZZy!YuytNzT9<}N zRdvO%GG4O<=_^yVvL1+`ZJu)46ph8pzX1uT67DV_pI=u_53IKS1yhR;$J-{hJDtyt zkcSEjNMUL@B9E@!+&|Y-2Vzwc#^^xyIOix-0ljM61=tpCazsr#o=3x;B#+?VUxi@ONJ3jPe z6Pr&NvQ>bpYw-JHL@Rup(m!X=5Jx%D7<=l<$(ZdpMXLi~t(BJhPfVf-D<6?aCAp?u z@JP~P%Z|iu7f%(IJp*Uu3QR~s4pewusXbH{nf`wddsIx7^t>cj6SN3bEihux37Bmy z0P4VvVh_uS9c z@rFTBevFy1+^n{CbZNr=Ifyz`#wTHn6k7hn0Hu5m|B3yNo`BUcFa!le;BHHXLANTm zwnP9=aiVMLf)K<=-J3Z~y_6bMYckWVGA8@hRPIUpG(8Twsu49O`_id3=4Pp+qUUIZ zyN8`0YHCs?t};g0-=Zp(;;uGc2%+c)B)DfXY>Wm-1IP_rRcm4l+VRmmv&&EwTxq z?ifhiLV;$aDi9Zp-jipzb-Jnh!3!2IOhBE)m`$+$SMYrBWPB=K3?^pY@$sH??$ zu0r)9(}>fJnhhrv{ZhD{_lT1x;>R67;2TF-GLWl*i7pb#%qZ#EB2YH5a{)N?^7#2W zU>0JR&;qS1uIgtS8ypJ<#s4X7y)_%y_cX8OGT{>-MH(HE1$4KkkIirj8wh4QT75IvVz(`E5va>;NFx(1O5go!%jsPk##{x2zP}Js=8_ z62vsAhnyRlxIOuCO_vpDtBZ$_LweJ3#ylg~4+v}F^(}hilUUAIpTlzKRQ2k5_p^gU zK-I5^H(CQ~S+M_{j4lhqpp);3!8y8w!?H-EIO{>L2LeV3dbARzduJ(vq7TKm;i1Bu zBn#Dht8nURL+X~n8^6{vmxv@9Xl)$#%s?K{9Z@T$Bp@ ziXpNXo7gyVQ8ANFS*nQONox3x7Dqh^2>uR%>4*kdJNXH`V^A!-HS`Z66>4Fs31uvm z3&5r)STnI*=xKKEKNp^flwb3&npQ4sYI~9xG|xoJOl+`g+kkRdcbcc{7hEI|SNv@N zo$z6;I;QL*HXtv**au1M}L0zqQ zx)6gs40qdr$H`#;8@~#>`okjSPI+P2LTY{WOc|-eF~CfFn%dVyQ}qwn!Fz1gKM4}I z62Av)*>!$AJ;AfVtmcrn!$$F+c7I9F9T=Z0u!1}=Vq#1GIUBtG7@x+B8GJN33$09+ z5lGRfQAmBE$Ptl6!|4J;2orx6c#AITbk+NiAop>q$bXee5z28LQhBJ%ooSA$#C+V` zs|3CSCuG|rQh4iH7eHzQ^fGKz(Pc%`(yO$6UgN#Vkt89Wfa|qZ96{%$DLK?W(UJ+; z2}>+u-7b+ZNetXzw0%IINy3P1N8B*d!*9Z`d9w~`9@LjvkbZczfx1`nIrDRw? ztd0c<$X|6_GRuBepmn(Sf}_O6-$bchdH;zAadFYpH#IzC!h!Oi;D8fHA_LhZ7#LUu zrM$C3R^Bu|H{-JK#V-^H<^)ya6%O-H%)kmqDA^5e)l;NH+P~y0j?svX9XHw^JoTv# z!|{);l_A6tJKrs%Px9S(n0;&#o&Hr}FPa&C{kKqV=BeMFGVpYGjXx(@?mIE!<8zJQ zN^Ks-)AiK7h2^_Aq9Nq)BBEq&3%wR_y<0DtZo%q4Eirxde%lFShYqERG2XtpBgt2H zKeg{FVlVK2LU@;;jCn)T&$VkjhwT8<{VWv{f%v5N+-9P%=ej~g`62nOuDrd{NY0g; ze;bQK2MY5pgIA@^YJDrBv#N`+lZP(8KAR{c=e}oLdWAp4*V(p54MKzO*%TyR=~qvq zxS~qQ{8S#-Ln$_SxgOF1Z4TA~vOe<+*j*!(8WXNyql#QPp9fn=Kyk1jKh`kwe2f&M z9Y2-nB~+k)9^{zc&7w-f8_x1mN<;_}v%eW%MAW->69)V|@jHv3OQr2_OGGxW^9i}N zW6gqcX%c!+CM=sCw)>=DkbqpXml|e2MaUmRDB9NV0X+$tJE!v2zK_qSG(uG+xNoyt?Q;|8m8 zZ7@KCS^ziqwZ1<{VDTh*P9I*TDMVM-Ay+O9iohEwY4wf(7BpgwSA8s2m8Hsj_SWJW ze>r`(H&bv~6y4q9O#`N=HBc0G;9NXbM4#|SJzHSS^w&jLfke4aV@vkx+Rse`yk+vF z^nIFav4dD7ks8@=X#}U(MwBkQ_5>>tKsrL;2Yl3@4QQ|I0}9mLCk}_Y4zXq^HYnMI8HUss@fcg z?!1}>7MmaH?BI@*U+Ju?1Xh6Cr>r0>u$0B-OmTG2C*MO0)>)-}$ZkJVy&G0PLQlw9 zvZLjo*xgYCwDW<6=q@(O$hWoW7Soxit;#~GC5GSn6;@d^mLU_C(Qd!`h>mm?rvRUi zXXkf(*Xxp>F-(J-)y{7DYcLF!w{i((AFHEr3A*LCGNmNkbsP;T)6W(W3lU_N8Esl7 zKv%q5H>7cod=$^C#aL{3Rxvn5LLeX@AZB4OFd!fxAT&8LFpa8Ksarev^}U$v^QN?D zYZZ_8BnNQKw#7%E$UJ@Pl&oRcdFes$leSl*1wN}6sbcYUA5pY!| zAJxIhPEsyrn|C_?i?4wmR;_O&OD7(rT_Bo6;!4VOTQmCWA9V-xg%jK})OQ4=ZACc5QNktWIWosnFuS>0zBDx< zm>D0FkRoqpcl!=a%>W60^Kh_cQOR0#h;>gru4fI_b43aOR}OJ)uQO-+cJ+_4`{_O{ zD0SSo6aCBgPxQHVgA10BDqg2a8FYzUm;B)}0bZY0ckd#Q|>j&60-yB+f!eDV~^z0G;M%4PE&UjdTTc9$$z`w(3uJTJJEtb-cUiOE9&Ah`O$5IOm1>pQLKBZ z3`Do~%C4P_k^5L6)?9Y2)ag_OZw-q5Ch_%->t~7D^xnq_3Rn2`wM#g5t}wxBRep7m z@`A&uxJ+eFOGX~N_B~OaRR2|8o(x&{gMO+P!)>G_X}NK*+i19*qU~Yt0mS-2%Q7g4 zz$YlO&E0N&suXuyhDpeNZ$TE~o9tq9*GPH_Mi%I5h`}b|>sk3E)2Czd?_dz(n&~ej$nH@;A>7}rI`kr)sQI7;Xq1j%RP`6V8=eUvL zvxz4=LGNwQVT2#H4E<}rj|^RMy9F~jEF1-h@k=q1Q;D5Gf*pHeX=|)xkdtV|2dR@p zYQ0^9cH+Gd{A589?Q^=f!y1uppD8Y({zjEEiZ%mJ_x=I!N@|vgs^0|=g3DYG_*&y! zz0A37=L;xQ_XlaVnmGa{?J#qJjunPLZOs5y=5h1SA9&(e%a}AU2O07CP)Rd~3I3-X z4vHCQc8c#@K0xj4ug5gkhkkMPaRc}!wbB9IKE9I-!y7j~|B5?&2-+WthgoZ296Qex zc}G%DH4wgZL;DuNtu!ScE}x}sg7VXN1)ZZstOckq8<$ndR>IyN)a7JpoyTZ9fz{Vb?i))@7Z>MN%@)bVl_CZ2EF5ctQ-NNco zBu0St_h3Yw0$&-muQX!%Cl4Stn;x%%ikyu z^nUk6@iko|bb(#%?N{ZOoC-8WXPvfUsa%{*!?)vRhg?I|w+btrwYC)>YDDIm$$+vg zG99(L3OLE7)&KK}s&_D}C{P;L2i1unD(m$R3(Hj;D}XDXuEI=mm;0YnY*M#s+HG1_ zWhKeMDbI}ww((3szG|%i??3d}xp7ALS_Rig0sWMO#ny-;GZeInsa-!k$TA{%WIIC6bDxCnwWG z7^o?ywF8O&#=hA?Tsao&;f`i`jE8se($YocxxE12!aSi#ftKPzAAa8+hIu%umq{lC}6h;eIrp_cfIc4RB8FHnP6P%pc z&1Y1rU?h=0+)P|DStk@4``OMC4c(hLCIz@>(B@$)dWO#ft zU6^)7kl7Zo{%31H6!AUKHgn7$%j>24t0X_0H3XKfbHbwOBmK*Ccv!L)T>GOD?f^~! zE8h1fq2KjuEsI=2k(_gSaS$H1TXA+su-e!AKKG5L=Kn9lhC}IyG=6+QCJxxuMj}Gi zkuaxU1K*;rY7pCGZWk+?Fh(4>o5+nkWYvAwF!>YhE^Uikd3rV8G9$@IqsHld0KY9Bpf1yg8hSH_$^+jFLM zo7MlJKCzfT#))=x;G>#RE^?Y?g-aB2gnDxpPKwzELoA#dt0Q*7&_CEZ*3+Li` zYQlbRB7ET^INf$J7+rpVMxXZlpy6Ie3(OyXzCy!sy=+E}!aU_Zx{~|5r+ls&oktVn zxmcTfoAUy0af{XN%P4tbow9wPC=?L1v9jgFgc*Lf>6m8+v0_lB3_)*4u}#7M)6Wa{ z%aQQsFZLvHxDM@;=6d;qU-%xK@U`e@%RpB}f>Y7oz*2+EPwWg_U>p|tG^|LMm|LM; z4PO{=!Dq2`A0ZaF7D*{$;fqnAt&0Kh~mB~Jwfkv$bvPWVQSWo-c9dOs9&<}+oe=0&<2%DmrCDtjBc7VE&8>zTiLFWr4E>+wT;*n&Qr_C&RsfX2KgNXGl-fyP3-p2Aid*w|>nPuv?Qr|!9DqZKp6uYLRE zn507W$a?iO%H*TUSiATxt!2D?@6TQ^CB3yr+h_wqHF^nWCKVj1vk)kY7j z82DU1)<2~;X=`MzyY{01kjZy|-x=44b*DKkSLd~4V5G}wALd27RUhZ>SZt+^?ilhOEMW}mG!RLx zPg8s4f$1;sBvw!EjkOD*(3ZU|A3?RhX;(j7wyQV5bs7dj;v5B5EZEwM6sLuu8YX0| z98K;hxRukOVXW{5gu(ftGzmW22RdSBpgTP&kPcb9>KdO&hY+>~uv8`_>5F|~q8z~< z>UPXpIwto!J)AlJ1>7ibqc_?ed<+(Z*=%4v1r#Hht%DV`>=NGZy(ac21F~oLa)!N* z7^FD76u3jnIZ1=uYj9_FUe;JaxdFkgO(zFKRUg*SR0ukf%qFF$@h=VjCM87|6sRR@ z<hB_5Cyf3_sJN9ZCNXZc3o zDEHW*L(9-SS}Ojs7dk9{_1_y;gZ=Dtxjd90n~dYKybfn06x{zmk0D8!&?g!v#6x*b zP`W=#31~#ANcVw7H*oB;h@y@{dqhEtJlFt*WJ29!sE1?4;`fH>81O3TWz6sFzYP*P zE$DbeQga=(Q5Yr>zj&GGlTU(LcL2ZLQ{kltLkuq9ES?Au$b&Q|qBS7ku8a?`=xhHW z7uOo8vcuktQpX`ZITBVsu`O-YiQn~e?aOhogAlOU>Rh21_uQV3X*`-X&#wtzN_`F0 zu%Mtlz1M}x>d__&ZM+B!NSM(Kz zpw6n|Dk)}RMX2fjV(V}KrY9sgS|<`TsVbGwM%{Nc2Hh+jNgtdw<549O_tQdTaK%yJ z{>0VJ=&nFg?wUomjfK*rYtHuKnFTHW!BB>SROX}x=7Z15C6>x}GIT3U-a%wSJu-%A zI_%>ZsS)oTx6#05*uJ;dE(KiM`HngnRI=~0iWY-#&2duk;)<(TRwhf=yBDW+`n@~1 zvpPxu8zbH)g|G<0yoCj*0(d8)8HoiS<(geUZZa-2?$|3LbP_xaAB`?t`!dGmzkXfJ zP_+i^CE7{Kij~4}=%R{q!3ui9Oyjk(Th@|^!o1idh_@aKFv}3=yOrp(c|s|Q;yGAF zovHZ4?}AzmI!y=gB)D$(lmTr(>;>6f)p@9i!J|w17nq$>F>Y7>N-k17>V=qaLTsP+ za+JU_#->9a=>XhrqNE;l_-fp$31|-*_OPEPL{2v6WE(UJ7b}(%jqwh6;2;}$Bjgx| zo(mKhxer}gUJ^~xVKyNMsk9D+*ygdklCY5_(ZbeqQYz71lE_neT^?1y(x)qFk6tT$O@8QHV(J zKYF;aL*-K0jiGW=g~DznaFV$?+e!8mJ!LGnLK{XuB{RiKj(SHScMRYI*@EjZy%D^h z4%H*__qQn+tvF51xtnxKf5O4&q0SMK^HXsj-VrBzQ`WFi%Vg1VN>dgwmv+%{S}xKmx7~nJ#x>0mOga*=n@sk zJ6t|r0Lm`}!J;L{8%Wj4P1b_?z>ZTRfk7>Y_vlkcrfKcBr_Uq)sNKS@tb4H2 z2CNscB?mT?mM4@r_I^o1NlwZR-O%4?A6S;E}gu9LDnqqb21yHe(*rRWkA!8X71j>jz}pUG~@tkjq?> zldnmgWbbRd7$`@gFrL7|AVR1H&+|OV>9LIn_76E17)$919=&Dlt9XEkPw+=ppscOi zeK(hcBGrt5{feHb_JCv?htxS})XJ8n5hGiLt21I1D9fUVW1GF+Qp#nf!`u5QGSdjE z@5GImB8s>>=22i*u!_9`tgYAxWCUYO`ZGBT)rL!1tj2-*7IRsE++vr)V~@bX2KxyH zCgl=ctUP3+t~7l-#&`zCnkp8^2UH^5ZtVo#4CNVYbvh|x!;du@;wZio@hn&*kU|`z zP@x(-@>HQ+8KS7@QN#_4+IVX1P}OJ*W&WB!`zF)bwBlP+9_)eqv zVyu%DLs@=z!d9klmoKi!@~NW^iKJXF0@I?NGJO`%8Mp>Xk4@))m{S&w>kPP$!Kt<3 zOC&`T&&D`_kx5c)GX#7>$^xs&BSe#5P(h!KbBmgKC;xeh=zQFctO{E(mrW#J^S9uQ z#8ZFzD^*WB=C^r2#r^UpY(GEb$}am*#>G5LYN``p?3vWbyT)+SvYAx1nNqhpMG_JLL8%wDX)+urPSrs>G)bqft z21-89Q^{N%_xw?sC=%og{T|c?Rzcd3W5LCRlJXiE)kKUl=hyo0r$XV^wylA#uU{b& zl6&(z)_@t)WEmM=UZej>4S(U^5eA*eI&>>Sg1kbyoOS;tLA=gtMyhyL8y4zXnnmN` zeV@nv@nTmBk8D~!f?Uj2BV+G);mR|Nb%e=)d_TReYY%@2sR!sUZQv5h1}M%^qs0%e z27t6WkETe0XHblA zbAl6M+8wbMrdg-Mu{?CUw(UCjQxJv68U%l+2C6wtGq@0;5n01a70NZ;)=lJlZkz`` zo3tY#iG9#TI+K#QrtNP;M&%6FQt>AAK<`D56RnK3^UG$(P&mc{oUu!PI}gM(Z7kYM zPremw0cx7)wo~wo0mgS_$=^_~fWQO$X^xcARidtl_Blj#PUa+Krg=_fN#=|5%nnK| z)WB=#*#m(rLq5X<_)e;OC3-1=6DfZ9C8sYSt z%zzNa5J>w?>S?IlO7EtAz>V)(WI)d(0liMT8~!MWkA45h4HR}VquA-JvtdTt8 zpQmQm+pm1@3sP5_*OisNGI zox7f^sbO54j@y|no4I)GN2_k_()bX3E~(bo0Ht9kWw_moP`+tTX8@~;+|~IPmqJq= z*v&G^u*`&;DhMMF>}$D-f_+UoQ#$&;38TzvZnRZpB0ivHd?kwwFUT<{LLv`+Bk7a3 zoLEq(yGv68XFWqSJ$wJXZp{ABp+ukod)%9VF07U|L<#c~q|{u41W=>HD5_zZ*NdtP z3L2Q(H#}mr+~3t1UGAfNqSfp3%BE6qJYY#bSSZy(9cVXz9V24HCh3H=M~~t_11k^jR8+dT^_6gq~rbY`FrJqpC7QX*3g0xzckQmM^WtJpJ29VNpZiVquSVm9Eru> zO-iQV{MeskCekG#`7&nmk*IA?in;9>H_!6y?*K}9)?qwLOb-LAM`Pn%3f)&RqkCwL zSSsTSxCp69?{k)jRYjP_dkgZjfZ6|FS$B8rYi6*U1Qv)>@e=y~z>uHli16p9D+C#c zjKDwp?uy;aTsMJ0s20()$tt_LQUru?TaP<=ebEXbosu;Rp~kiEJ@IFf4(NU&<_;*D z) z^}AKPH&l^HR3RF_bdx6_^#PX3G=xL_#VFIXW_gNgx7g}*Q_THjaQD+P*;}P@*_EZx z&uA_`n$haNI(#?!1e^71%08wNi9QRTAu5$wp@6Ip|coR_t1 ziW8WJ+UElh$B6*8`qWcn#M??Yud5+7DHb4-$Z#_0A|iDpbhSl(3|0TnSG~(D6WW^Y zhLMc-$47++gg09DAu+0pOyhG%mp+>dI**gb(7j^x4w;ekd_Z93!sAN$dRk!>geuQm zv=W+@QresR-V7;nVY6^L(k*?-9K>O3<}x&@Vo{0nXK;OvW|IsV$+TSVVA%0y7n8>K zoeOQv%>MfIMkjy}qg4kduB4>c!R`FgJY(6{g688H!54WFeg(Utz6=8E84N41aLIg3 z^-fy{v6cw|GP>RFTS15C@NRFg}9spVPz{$MIg8$_r%CaR>qA%1`CXr2-m`wH#_FHTb(jM%J+ z3H<+8^FGe^RWY0R5DQ;vIzY7a>FMR&@ker_FkC0ygTu1gpuYe?MpDmWHV+5)>BFv~ zL1v1lOrME*PZb`%ff`2O--~00{R9PpKS`i|jp}gCn@IK76jJQG)l7`B#FZjGuM33n z(zHhY@+}_wD+L-A#Ljm0H8~nsKpqvdaY}{l-vR9aq+N8B@>pPCTi=2R`^gh$e~qg3 zyel+F*1mjgog$bstpHQ%CKM#Z=Qn;z{a_F-mXc|K*XQXB9xfQmcUnk@37n?}}`aY_tb zaG#1118e7$aG;1WN#Ql~H8a~GoGWJ4xqrhU2(i9A^WmtR%iz{moh7zvGE5cOOpcBG zrQv)tATu7nlwzO7A=u!7*O)3=Ut!i~CswzwuSM#imlWnpQlHUUeG%wQ z4UkIL&%cC!T*LaMXkLQ^@$yj&2BSvXBM0mYoX!ce1xzyvUS{iV9A$D}>f^=bldSi_$ zReMe4RWnP$F{X%#vXUOcvPt1L*V zht^7c$2(K&-Gln;M?0Xj2Z(RLzGp%UThzX<_zWv*p<38sfU7y$7V=$JCi*#H^w6-( z<}$x&L(e-GfGP$dyw-_8xqjiO$6ctU11MMYx$z>925CQXrY8MikMJuw1{Cb4t9wq7 z{*=3ZLQpj438Q5UQyrB9kf3z7%_A=q1H4maBS`I2SU}pjQ&nftt$Paezf#aJ1zR-|A-vg}H8>ji36`hM<|mK$(kil{K~TwsQI#+EqxZx&)U#c?ZpvPvT0%q=}2lW(n zQzux{-<~p!qzq@MY^v(4q=+ciZ4!FcbZh!Z$2Z3PbZnUUUWYcC0jf0p88M+SQ8>Yc z%0*Fom_6iMnShzm2u&s9YF%viZsZ&`zQv*$&H^sj7LoV~H^))z#gvzwiRUFLO$^h3 zN926u%x+#}yP34dyVm)i1YLu7l=Ga%R!WGU;!YStOdy)yXqs!2_`UK_DD*B^q{E2~ zyMb4Po*#SJ2XQYi(N?@?Sov)8xs2;@R91_mSg58Aj^e~XRe`%%-8%e*hkpDgy7ZkT zuyM2QgCYYfni2uX#R+i^dbj5XV_QJVHUywMDD$=bKZQ(fbjt)dbw_=luJ>7{yQVw1 zCK#aDG%w+k)$}Un?^X=4Wwcj1w|s0oZ`+$#^<_?irzLnwg506g;hpQe7#?xMv2v@; ztGwFO;AReN?3y#i(0J?_*4uY~O@F0&iEPgV}&%CQdvL*YbEoB?hB{1qqhv!n;mkubMZwKL;YV->rM z)WwRNetM3=!FVZ&0Mk`um5lrfgkl(#?zJY88zsl2 zz0*4P0G+qmr!^Rh*>T?oF3Ds0c+G87>kAxlD+!^qQXV@>A~qzNg4 zI#zWJ6;m2+YfyXAxT8yNveH_SLwmf-orj>Cc`!MpEee?fjG0<)99?-z0ifuUM_lpO z8`L6q@&5opTlHLiwX5i(PeqyGw0GZ}ZsWYez3Yz_+fRYmAV@;a@#FCt6DAjzC=r4N zb;BP!D4e}tsWzj&K?)GttUK>JIlT(Ksb>``Q}&u;Y;*PIANM^L`xU^5Su0mN8wP&pa|wVz%Z z8+(#E3)YbC-7=y?fE*ZMv(fA80A9dlx6>%3)Y4k5rlxrL%^T4@UiKo_yxAv?OcMB)ZaL6 zuh%s18N0%Rvs&{m-+GdOTt_4MnysL@c&|-_2=DK56RYT{U~7J_))$ZsCD)w$93HJt zLmfb_uW)+oviN|RoTEN}6bPTGbhTJS#s>D#S0xQ}eZxPa*M)PU*q3N@Hi})a)?_{7 zH%*mLbRb%T)M(PMQ%{f|83FZ- z5Vx}d6ol9R5IZjW4d>$;;h_GJFA=a~T9Ip5{KQppn8Dz30-Tgj2(*;_RD-BIunrez}?6>^)XQ_+0ya z0i0g4rMsLM|Avsy$$Wc~JYF@2qhMZgzK?gK(5!&?P12QlGI6lGJ~Zbsp*U}-c#A$< zuA_lCe3zP5`Wj7ik8`M*;tWg%O5$2N`DwY&To>O z^zg$f#;vn+I+LjGj0aVpA=i5D;4087OZIYJSz0{Lu?FY1G9a2u#x!VcFb}~{Mva?m z$oF;dtKy1OC@gz&`YWD=qI$Xi5SNJkDJQ(;N}6s0^6xiiKa)9F?yH#rsKvE!-3qtg&`U+eM}ma zX9l4Av?PH3V78X;Ie_V5f?Jw%&#IEwH3wA+=AtFefIe4+jV%9q{!{pUjw8iGcpl(( zPj7Wbx|i8%HM^Wq?5E7Xo8DvEkS#@Q_6ISEqNUy3!<@}WL4H#`lP6}8_XJr^xas@o(O}(XwKX*E8hKU#&J2tDZ`` zF}1E~7PsPvbSTdc4MR)gV5&Xyd{LGd#$(EKwZ#GeN^ixXEYP_l?}}51fuPq5F7NgW zwbJE|f9}mcQ^r?HG!f~|J*=G*mJCcS43Yt~qLVK=uMXKU{8sUK9OwU5tE;mKQMZ8! zT47L|U>w;-7VvU_8Co$W_aIXXETo(e7e$_R1amVHcnOw^8!TcKvKf@uLt$?+;vncN z`yCaryn240>d-7aHSf|VG{n}RuQR}-}ExJm3 z$CJ8ERyIYv5{rrd5xjD&*G?ykK|18qauP8KW z8DUcY#a^%zsiP=})p-}Uln8x>Xs}+kOwL0cHGtPsDQX0{k4cfmmX=3CZ#NoKRj8;+ z!|!NE)WTRr@Rxyj)QCFrZ;Erl__3>Tb#FCGh$je$T{lHCX9h;O8!;qX|eXV~3-~;AVj1rHzJBTW1z? zoZ#!M)h|AGoNoYtm}sw$1^^u{t45H_jYITBOxQBi@-1gr6VefYj^t%m^&E(sJ!dg& z*7aqE@MsMym+aw8IliHX#=zU;;pi)Yh6ScpAq?RbnJUhVJu-6DjgHa$F>Kmh2#z1s z^2I=x>I4o6S&6(|@iJR%9O))m=pW^j&TZ_6vc!^Yqj!1Z0wmc;TYVUib-+6Nf?Q&y z(&JhX9I9C<78n|?UF?$D325!eb3D5Ios^A~hmr6;(bprn`o3VWpaz}wJX0fP=^nM) zva2TDSm4E`pFs~3HAT(EN%9W0;^VAFBmi?%2e>xTJXN$E$U<@V2Fxv?(-!`b0XV_fX$XTP|n z+ZIF9m83L`L5gW+p$rM=QmbnG&6*|Q;a14Jx}kmBB&L|`paVyw`9$|J=tDT%t>uwd z5KBWsynwr7FAwl1c&{48gvrBVA#!;c^}74WO52Lw>t)b}9Q@4J?y`qHs@XK7o+{x{ zx1@Vjkp=4&YZ*N#*%fd@vngzJPvB1bRw9NoJmNK7YAt(|$~IPr&67jDCi%c7_R*80 zDS5f<`PGMeD&)$7PQBsE80*D{zYsipZDiP4SFKcxm%iV8G-Z+q`ZRg9VUf#FT2%9v5N6ush#(8nrz{9 z@x9;=Du5{L1l$4HQN&y~HYBf~=A;WWd-=j7Zr6uCkuIBJ!l*$!Usc#uzeYqr4^1;O;(Ku#YJJrYfrfgu3NtKf- z=d{>1I2XRRs5GNBrA?OxQR=?+F>PHJT4TZntFmb_S3<&Wf7y4|TZ`XoJr6Vgq~Chx zl-g80O8sp50kFm8g|Ud7kW_aBbY7;;-L06B0YE5&c1)Tk=%ejMCw&nLIpLqlD%CV_ zOaythY_`?sAjzkJocZRwPf4I#y54OI+E}F4?l^gi$Tc!)^rAv^kEybrUgLfFa6M-2 z4(VvecW-)S)jhwGiG#^(3D6~)PRU>Wk|ihf;};Cm!xBfrDmpLv!EG*zo}D2%$R@3iP66{^Ou$ zNt)i-2b~V@EO!Q=-ZrSsDfF6OmM|DLtYGB6SUPV6(-gogo)$x%rbh0$;>^4c*S7TS zrWxa}G^ib3Pk}Jhft-e_5jfMzMWt>?&zG<_Rrb%2g`n$(d7=z%XJh>;7Ls}_*z9@s zx6QzO0+|aqMVs?z!IFGJf$R6G3b}5f3N!S76)~19ynqA#8fx(m0fu5$a@PW6f_;KPe7wAt1ql&YqwD@><1AVE1k3Tpu zdF{A_zE_2$yLf06Hhg$MfAgWMKklOL1+TgaSs5>bVh#_7KHT#b*8@T`AR;|dJZ+0}1UDxZU zz7j*NwDLIJCs`UV=|?R~;u1j*M1M9IgxzksT{F##BGR);QO@Y*a41+k^csza8QYqO zZMb|&RrCNWn5j`UljO0+wY1~^_rwOXRUwmI>?(+IPXkS^V=SY| zQNq+=gPPvUwJTE7P(R{&xJI)2KpY;{)5u@1fy4MV5^fpEz|0VnTM#tV}E?tZp^>?=y5LPUJ81)WJRt{nKJ21EW$a;#x2Wk zH)+sK7*41Etp145nb0W<7)AX_ZH4!N%#T|eNilbmw8vJY5lx7GUJQS{okhTfWl`%C z`3_o1{s`fG{>cxhU`*Vam8o5P^l?u(VEey5-ptHx>sR>`&H={P7cg}x#=yM2-%~ke zL(#m4_okJZ3Iw*=e%!e5Ih8$N;&r3b0=`N4Z}vLzC~(_fMxqH7>)H5aH2%$AIzCL~ zz&ivc7i-eWCh+gXs<>y{quZ+5#mS|@>*YucvOjDnSR9Y>mkD0sh9Nsb3FW3q+sIQ@ zOzK#)dOM_zckTv(vw8e9euK<%o z7TLQPxfo|{j0q*W22%j9jvnG7m0qsTN#5tMYlA5PtoisygA5UM=a=R>5W)px1l@?R z5>M@>x6NUBm}%!Yg|G;NO@ZGFVHOMOJ*&_Pyx#K@JYpmlhmDYCjMHz9mzUf#t`udm zp4Ru@+KeSE>M%EP@0|GWgVx#dCR+0vWJ0TAq#N+{_#CQ68!_}OqgIltjTxAuMfplpfIJAofdqiz-ss&spZDt7s~Y z-0F^k)Ny|OMdk*9b~i4>?FF-F3VXY9*)Gtly~ck+vh8qKC;N%2VehN0)6dp`O3s&l zcD1=rSNq9^%~m?L{ZeT%X0As63h2DB{IP};b~W>C&{~{xkA}}fhPFwt?b(E5G-B-S28U29hk6A>A^{(`TTvcZoIuHXQ6h{$Rlm{vcCigy1{Vc zfWBMe;?LaL$J<1il3<=bR)v2jG{Lsp>66M+l3rDkN)57$0`{2Dr7JPBxwN?2->!G+ z#XBRUJHYIHD9c``fw-neO8dy=HS4y<;U_%Jbk4c-(fmTe|CKE~$82}Uo#o}E@Cx8+ z{$0+Dp^BXe1J0rU(j^dTuRi`~YFJ+6W7+u}C-FtI?7MZ)5P4^7tyQF>>PnB(oygc0 zx%+!B0Yi_9ctCQjpbzftY-$J*1RM4K;Q>VqsmARz_V9xj=nHDjk(WnxKBqawTvawq zDy>ifva~zOqwF^V?{5rH?(gb{;KhSeIZkUvUnOJJeB_d|!&oH|_1G}a@)B6>P9_e~ zby^U5ttQCz_;dtTroeB=i4lkKi%i6(kUJrFb0)@_b5`4sSd!t=>%73)sJy-Xg}5Aw z8t7r1rXO~(y>)+sV9K#rhCe5P&8%C{O%ZwXI-$7|z9f8rztN|1GHGqJjNC}`G)r2^*BsnXPSWe&-K1uo-h^|T(`RXYMCUbprvwxiz_CQy#&Dvv-%h$`m zGRy6&Jqv)=EJ`LyG-5LdC)*?Q#|J8FxZJAY=y@Asep5+lw#uqJ7Dj&81{J>7(*fFD zYR_z{VTVh%f+?yUX`^^YW(fot<@nXn)iP4=HFs2MO4(WVs@Tji=$9`@EfdoCdGwXY zKcl~^rRyPqt*UtY%j~;a>$>w=&eR8fCeBZ0(%Wc+cDaMek789q@jPh+SBTd;_G#mA@hc*Kz!Te>?Z#88? zsrW|=*5dyJUQDQk4#P%uD9sj z>TCvUe;&7nH82lAz#tpAq)_ym4`yjS%0F_T4*&=el|Za(+72dD@|H3}sM&0mS2@?4 zo|>>I^jnwuAys@@K0xYrL;q_x4xsY@9<5z?CiJ#^8 zFZFzTUYX{`MZh|2el%O?eBU5Pqd+_sK0zN4pOgO@0~1kQVSIwP4R%E*KZNGEJ1FJ_ z)y9RnKKl$P3zF|_0Ur-sj>;r=Ss1RT z*HUA_Q8pRSKT+d%W>X^iAzqC}j)RzDAV0;Pu%TBclq83a*>|Td{+zPDF;de^0glBu zK&w4f6ju>{yofICE)L%sS9Mk5BixBde*n$JKAu?DVTj0;hAd=5V@7-v!<7o);u`SBaS z|KOVvIJ~aDpW{TET>2GUA#-1*TY#1gFruGf_Lq)Qup6&cw60e{-lA)*yww=5JBy-+s_Peq1JX>19`DMEK#o$E{|H}M zaaCxZ%Bm7;E;%);%)_j-TkPF)MC`=mId~Xqi@vJ5g8|}xSdC{UU-o+&D10ivk}`c)D`umX{fK#dOoYt>It6yjVAuz!>-Z2w??N z!NX137`)BHnuzCj+GjB9q05g?Pf;V&h;uVqavST(5OC2wVij0nTOPPH)`;DgfnJf* zOdKVxVbg-m@i%Ps2jQK>O@i^;&`BR)p=ItA3cg)1T0!zd&rw_&-we|W+_uxYq_8mA zD@*{waKmr24lwOvWPVkp=>3FCmvg5X^b6B|K9(??eH+Fjf@v2fe&JZu8=gI76t>{p z02!ht35hT6LSWtqPVC!EkJLb3k1G{*ik4Z;F*GuCOLE5Q{R~TuuWU6{S%gB7plTgm zwsr0qH{7mvs=NpiR&&7-Umh~^8zIYGhQ^~$z?9y>=)b2B-8BI+2)5qA!8!`Mv;H>r z=G_f^;{r8d{UgLR&60W46 z&lyRpS@(t5yq|K1ajokAwkhOSYW5@-b#4SyfjnJjQ+E%C6!{GmtsO~8tNpV5OpyA; zB<-mrnjpBl6N|CtPuf&3c-ndk1!AjdD5SVmreJU!3;fCFvd}^ows!01*&-v@XmOAd zR=tO-m!qt7j|(uHH#fP z=AaWFAA@Pvmxe#@Sh5bIiA~fYDI_Y)S>~Ltkeo8mq~i#RSEsq-@jaeR)yf%j1G^5o zN;lo4jqaX$cyOPtmeb_&!|?BQW$Sgh$;j@ziB=ec+w_4 zh>u36jI^La0d(PBafvG^bD5^QIn`I3^)pqYn}&so1#l2(9}|ypsiUJ?v$ECig!BLr zB|J$enj>ZvL^Z|tbO7u_sV71w+j#0RzGN$- zXnu{JHj*CUOx49GSNKT!BaeEu@9Nr}L9BFs;Fm((|KkShOqV!=P*wb776@UO5_@a5 zg}ASwJCO;vEl77n5bW~H!jEITvqCPW%fOT_HH&cJohgoS#uE4TvFfl1r;YWPYKM4W z#`AlAGwK9KONBfpr&dmgV-NB%&3u>|efN9Hr3=c#?#eep<961s5P}CWym^MkDW2p# zG1*Daf7m^92F|9Xc0QDPS^8{M^aw`&#ldFdfUZ7+)WbaC!9J;oLB`JOYQ2dwx32Jf+jWv&P!`B~mJnygH&n4=e9(`8IIbysa%J zT(u2UWSt==QsjKdui(uX^m-w#%6NCu(1Oige`ts0N%9+Hz=Fl{dT;ZA?ngIh^{7Ub zSwdcK`|!ur?ef~xIT`CjUq&cK{Wdv$ZQvf0wIt+5f-{Ec=85R zMIX1=czG0=QbuWKMR(udq&Q7tkij{b+L+c?>;9?pPw8X~z?zfK2&HTgNVXVGc{>?w z5Vy@{&w`+$`nOY)fsIUiMgm{_w&`9m=|2hXY5^YvkP_*^ph^*#)RdbuB^BpKhfUlI zn?PKl0BCmML-l6dyEEs@j1CwZm}GF0i0}^f*Vakcy)pXMnN7!+)b6CGP5A2ywFFJQ zKbD&}(n727w56|;pBPBz5JQruq=)LbJOv-k&KK_|BSDImyufU>0z>gG&Ed(Bk*iS_ zY{ac*>Pu*&SWz5NZFB#9ajV;Dm&NVFr<;N@7j9VbRCQyktSqVb=Dh*&-IW&Zo*zWq z4z7Uo!gc5h7aBGv(!;e?5LYJ}*X3HHSh*<4*cX+zqcJ^4(@1o3ncFO*O_tMP4jazx zYwQ;t+?TGwai@faAo2`*oQfm0ptDERA^;Xh5y^?Fzo}kmT>sgt{-wf{o_;IslXY#I zW`E+Rn)o;^#B3Qv9($Mwmx~A?n3q+Jy~h4y_>(GuAGU6{wgA-CZV7}*Gi-PKP%osU zdPSgsI1`h=3_4EZubbw`qG%o$9cMl zK6^qZD-R?U}$9ooqlc=Oa4eM`(N=yS#BPn5)5nPE;HJ+iZl5#bVh@F#&hPpq%&^7-9!BPxwNg3{E5jP*WFTH z0$<&m%rDZa3?u#wc&Rz0Nhe&M&cPq|YnBhK+}3ify)=bDpl={`)M^I(GIKkH@= zv)6;do-o|<#}YqLn&XpAb2T(=1CehIDM!ddxsJp5dlAd!Fn>ksUs1A!c~4`|05>Z0bsuLhD1s&KW&LW7eKCW)Eb7F~U@NqdjBQOq&DtdvDbcTX=F zM1%0XB)YFTpR*Kr?=y9iv}HbO#)ql}+&`A!7V!w*2V0uHGKE>4cg^e;hJj7rd)NI3 zMEaWX%vK3@X`JXCnyg0@viz>jP>v$ShCj0qsEaD3uSeSTE@>MUx5+{rZRFXq%0urK zbyvz0l5+B&L3(=$OpI!pC>SSsV&!xY`o!Zc)05_5213L%U%uZtp)|Y%>dP++cJqSs z2RUiyq+BsjNZF!4OF%Z7VT2|#Z5spe_rk+}(7?}`FlFkyaq_Bd z7b*a7M9BW}g}+I*@ZRKevW#s!pOX#j%|N-)<_3*`f(qVQMHafl9)C zdJeNxK1E^<*V2pTq@1|$fLEDJkzliS0i`ucAv(qy?|BuFXSbf1l>pGSXzIAkIljiV z1Z>Z%0QKO-aI*LOl|p=4*7eCS$_Iegi91zb|O#Pn5gS(n~?RV;{B=n5-8d=ltlJwl+zODrftKl9=c@l;*W5d;v zPQc(R_8SDB6S0>j(qqS*z2l4qkVZ5V-Ci%joM`Hk^L2JnQX*_s=;f7N)oHeqIpL3s z-J}@&;!h9`*ugQAWXS`J5wr>X4EG8XvR^;20icpR`;ei#pk3_ww)L|8m9aM}+Xx4Y zj>a<4~p9hRS?BrE(K2A z>ueqAG12}T&~{F9dP4bH5iJ)!~l*k_9J8ANQQ29EX{AFh!siJ z*pQmz;zX2?&4gecBicSnC_*}J)3BZzmxq=tu+>=RBa3uh>8Zy(d4UUi_q1u}xyf22 zRm4oZB}lUDap6~R5&))DB+^!Jk2`GW%B3sv;jp~j{5`UiqYE^w2#g(?s%0I;?#bc6 zTr9$fx4cZiTvEAYsw1Z;s7}asbKPey83f^#J#1f#Ql2t79t-LlV`TN21dhKn$XZtc zwZEbkQ?DDmBX=@Ai?>y;x+#y8lSq8PX)a$kzkg#5??m%Gce=wKjCWSWq6KY>UC!DC znBI$G7&?yWpJr9x^jdID%8J2&Dzw9qgXF;zNY4E^)PuE&r1(YzjpukiNiET^13LEj z%J{Lis$pa5+8ZQhI3qWri*P3J=T1}l(sTR;r!=%c9T!UB;$8=-6g&aN72WQBGLi$E zbnODPpL@{8aWDO5>*d@0W3)Q3Q_H%Uls~e*0w(U(61x~BsjsQi#fOg%XkdBz!W?;l zev9!AZ(4Ls58%*rRH|`(_)wK7y>SOO1%Zj`<|~8P-&qsvPl@R~MeOOZ+i0k(iIA}p zC$*@BpZ>^#s7d_y$7p9{(|iT7f-$p&Bh%NW2ube`-ct3B0}LX?DmdMlLl&}K<}Fyw z8@RM76R*eq()QocV;0F$k-aO;sRI5(3I#3RDkKMtTg1QH7s z9JSvLNCsWXX8fbEo!N3FxDYd#oFqv>l~5hBZL7W*f_}}Ipy$`p&7dRh`u8*hWvMp4 zBL)ly%UQb3)?L3_(+b+_b@&2yzDgSDB&CD)xNV8xEV|XZM*o0-_fr6U7VpKXq6r;$ zCk-Pf!~qHs2*_EA`MB{?A&`rs%~cm?2F2p)Gcw&RKr7Jy7;`t+JDa(Il3$Y-)uN{4 zq#x3S#5tYlFz~CGAB2_R>apt$hGhBFNpE&uhTNk5`tMd9^z>r~=$e7lWB8{I3OuYxz&E zksU2Vqp)j*Di3icf{Q4}OP(6*1duUynRC;>m1yhpA~f7QvWqh@7TLtj9EYNP^K@-I zEMEBUL+Rys1P|DevcVJPZ0|DN8shsN{Ta5VLm1F_wcIl?g?|Ek|IW*0nyJzvm&LScguZ>ttQ{~i;6XEc7 zN(Z-qW)@yGysqC%jh~NC*P^~qF*XWSf*WpKd@ZHZ5L4~U;Y1sVuU-R|#G`E!AC_;$ zUMAt_KLU-oNj7eDd{nzp0g9hmeft5^Ovn0CBD7gLV@{p>Hs~8meyCds1sjJ;Oo7$Mc~3<2{CM*!pRXr zKnA<6%K(@gy`AhqKcydZt+mPA3W8r+=48+B_&a{NKk9aPAd5UFG!c+n%orzBzX#D; zjMK0px_=G;f@z<|&^b{5weZI%fS=q?&Y(RGc6jHi-HHwfR&G>0DUUP&iTU6n&|v$~ z;DDC+&QFd9Ugt6VCSq8Q#i#J2w|mS&;1x$JIVO6jU`{4~_s9IAG?j!>(=>t_P)p1* z!Fq|n@KPR3?4zG7SJIT*#lBX5J(6~igX#u3B?0Q65v2^(r^aA9cte2w^~>h}gfOP}nU^zW{2UvSl<3o|?s~Cq(9k6ku&iW)7qC*h0&nItk;G1YilE zW{Ej#;b;fxKl!If9sF9)X)u4_IjgpbYT7+Z>oh$lSK<;^zs0 za&g+o3!y2=ju4Gf4;{HHy(a*iwh>*)67}(bp;>cx)B@ogj)&M6c{jDrKWEC9dsKgMRk(V*Oz)~MR z8~Yj&v?0~k!%mHsCj6`wp}cMKEl34A2LKjS8htAv{7Z5!QST38vpc9h8C_$U4{&e! zULB1l>vlgh(hS_uVJhMS_>x=AH5n7yImF(+(( zSj9LsP~r3d$>nhG&g8KCZK26Te{ep@wY@sIkB>*>bOc3 zf(560v_M$buGP&>cnAoj4J^o*ZU$VR&r6=lCO_T?fVSLZ1)T$thm~2;rfFzeb5RWy z%zH>CqW+B`u?6%QIv}{9J$jR!RuEyb?c^R$>o0%CQoZw0@m{4qanyDuBc}YK1xVz6 zR5Q$D*p-4moHVTsRDt{viGr`vB%s+}Rdx4f>Qoj(uh#1O(-ZB_px5%5z+9mQC3^rU*4@mLaQ1cijq2Ju& zhjFqUon7DHNJ7MI136H~AML#}Ws-U)#Rt;VutNv6y&JCOL5p#3dyS@J8w8G;f*`mS z7_@P65{O!u3|+!HmuLC2K0&n_)oQ=O95<(ok~$?|CjyI%&j#i^qMynFOc-b=w6~R6 z=l%vM|0p{+cPCx6VMZH~e1bBKAHZE6JrxK=$Wc{@SaFjnO#9FmkN;K5UHO3@U}K;C z1|rsp!eArM5MCRS@Jrbe%>w4t#!0k5o1-%^Q@0iakNb_imSWIVh`9HMe=C4h^qo$ujy z5nOl`SESM4zz1TQnm$16j2CFIRIkt^;+qhnlk(|5R5VQARO@W=K3E@6)KPuMdKSct zVhOHC8s;I`?aMFsHD3xk?C4Q9gD4FL_2K{GEwPPkL^`sI7x51y5^xzi?diI`SC%7I zaFyt?5QeEuB6rK$ADG6`lk=sCy-}J;MKfN)&v=t@ap$}_oBeqlOl7M=kp4-Sx*@0= z{Fs$Z9Wh{d-zBX}D zL^p6+=|iHW)!$;Ar`Wyasyl&CkU)UB>XN_aI!khG+#^c$f+UgwJ++5_D;Q zO-!c=o-n{*F4Y5HR_0x6COV1VQ>W|5{Xfc87MR;Z#qF*!_{Pt(8ohB)mR2^Lt&yK7d@TB)E&>GyQKKvM9mJ^M zDptg!+x_MQGoX2AI4tpud0vkcD=Fqj?$;vwg^_bnE*WbRpm4Po@@=_MP}YqEk~fvs zg}?8wR5Aw3Tg3M9FamS7T7$vKfSaSn;3cREL?nyoHjn7ou1A8Lt3!(h2gWL016BzP zka{b@7o`A6Mt+Y;r>v37?rK1^tz1TPK_tez#%d$cwgt^+^#uw0sNQ${0ns1-0_C!0 zEGxHWQ~FUPUk6oz7w2YKoL zJ`F3|c%?KxLxA*(a7L1*7(o=Bsds2(G$`L)XQ2cWn>}Swy%@FXpz9AY%-c6g5NUc0 zRh$dK%i+@W-HgCsx^`+0j(?)Guj75pK{{nF1|^`3Gp=zM8MlcA+~(P8CtVptWoVn) zv@{29{xTQjW1{_;bsY+k;~{xHB0s2lwN4X;V+=1v>qt8t z+T3a8e{@9Qd()>jLuD^}h-w<7fL>Ky^Gcp@d3nhcy~HeRA)sR2&{6(7X}qsDj6x19 z%n!S<9ybP{ZW?-FV;N>jo&wi@iH z>NLZptP>bKQGSSf{jTI?G0vPd*uShIC$zMSE5$&ra$}OkYw!ut1L$|LO_S$GIo!pI!K$z#5%_VMRs%% zJHkO9*CZ5^!QeSshwzPVGQUYkg~zYn*t*U!sStUqJ2cNLx-!LI3z3buua9`qk3Ik@ z-^iTi$~zmfiaegQE|Hn?ZN7+_(Xg#Rs4_>973^})%k$y| z`J$&M7&|ewZ6}#$vj3;7DX8|EE2Iy9c_5Iz>p zAqanh{vp{SoDqpKjtNa4x-`*-V1z}V{E#(pqpnI&j8d7i&XByr#ws&Q{CXAz4`c_F z%>=J_8sZ|IC$ULIVCYXre{bxT^D~v^T_~aGQW8J`V&a)>0^QvsTzOmSvi#pVYluW+ z{0N@lEig+_D>C&`M%A43JvNS5R+LGU(-*rS{vnJSWt+!Uz== zx`DXKl4S513q;5|3{aknMea5zt^F4?y8}4IYp<>o#GG+M6macrfp{xc%4V}CHGA1! z>ZzKR*-uGqDlOuL@km}$FzUKz%H>scPXFNd#%}vs z^jb7P%O7BO5~dWw=yNx71j6{xA_q1&xd7^?1A7A~fmqPp0(kQ57>z`|zxQU<0ymSp z7~2CtY`_+EjxN|XVhdjkE{@F0H{(N#^=!WLNX?8a+OPXy3_7sKaRMgizKoe#y0z>| za>6($v(=&^=?vHLIr3u7aXwKE$gKo5%ud)BpOa1XlG7Sp_670v?TZbRNJ*ACjf8A)3#k|rxdn^QEch`_{3zatHZilpAJ z*MNNL8gH0~AnXE}K=|qkP&7~co8lnby-P*1{X9q9Pa{6N-LMC|=CBFeYJ&9hMG)Rh ztdoa#ng`y#6OHx!)Cm(h)Es+Y&P%S?DN3Gq3=@^{BvGI}AD7UohHG2M!3h;RG zlO-aKn~~XsJJWGe2ST9x3@7bqQf#X*Nk#Fw;_7Ac6QAUG!2ULoz~g6$7}HhVn9g=#HlSV|H$J6WJ~PbqTAtgU zp1oqJ!lx9@2HVq=^9O^_A$}=9%|BLZr~FLPehVm|Fu_?XP`!8@s`$^Vb(|xue>AL_ z;VILs!&dq-(wp|om>Y(v$+2hB-qpK`$e6BL*WkKBV@bb)Y_9X^=dfwK!P1_68z+i6rLE2D)blUvQI}wRz{J`wTdIkpHMELJhQX+f}%3o5Z)RvF^D?X?=)^K2r${VFINeP^{-T^Fgz5@+Lv znHIhG{tE}NVr)$;WlE)`@i@W~FFi?nxfNqXfR&G!R5n)T=tF`j%3QAkiY!9jx07S) z-YqCy&57gE!T4RBElrIWwAW<3B(rE;&r|V4x||Q=3;`NpyG%^H~5?UV;gX6VJrrquH0-DljtN*EvPOu>*xN-rQ-A_MZA`eT;JU@k==9ynN8 z8@oqGde$JAg4)w}Vu|K;D|TvVWiWzvqE?Z#M3RPa!13C8N4Vif(D-2ECX*OiAYCssI3642|=B*m>LTS!iK_^zjGxUxkR=+#)g;A7iI+$}u1rqX>l6h4ig>>vAQazeKBb^2w|7pCY~b3;0TN*wY<%`LqO2=Bm-zNyF3L!Hc4zRc92G z=^FDB#!#EUms@svop;Y>n#op-z>vi|cYU_7PbnTS1>x&#`G)(4OGT#WT0tMC3f-#v1npdsv}^4VI2t0pgq*zk-$iI2%Bd(Wpe;V_joUJbX)& z1Imbip|3O9Vts6vtHM0CZ)22iNfecTTfgXv<;IMl zgG*>JgT*RXK+#AMUr^IPi$eHv-D4g%%!8;q*6R08Ss&MpemJaWo#YqEu^P1`8Lx&H z!y{o>(p;&QubieXM?7Ou8BomP$_7u^YOD`@Mg`tAb|*GJ122M=+`pwkB@2ZTz*u2n zd>^_sM*O4&*npO&_G{I`w`8v+FQZgl zv;F^CFh8Gntl=xNP-jsRr3gB)vH&LhhoRK^ExeeR6>BK=rlkkI#sWwnA~0?eZY~s zd=|Up(>!8D+qP6BULVcJZ<2?OYlmzSRRG65LOI(YVoauf{Y=9iB5iPB?R1s?vm+lm zxcciMs(2b}H{goH`Y~~i@N48c<=Ple1h|rZ;@UmV$yYHOi*Pl!9aY9H{*J#W?D3(~?!T%kEjTHMw#3nre@J6*1 zuK4)*c2qjz%;0=<@jVi^*5YsXeUKtTe{I%Mg{@wO zVJhM)S=7jiR&(MvAp$C`2})j}&3li>PsHh{qV!*^du{3EF+LX75(VjuY9~ae*H@?u$L~_C`#LICaK%l(Bj!`u;7rtQv4J{!_;nAmo2O*aFFA4m>`o$@5p~ zuTT%a!an7A0-AtK=DIzKGm@bn+;v%wo;i*C%|=sOO;}0u5+jQxLWwRT;!U2)MAoft zYiJu93mwd1HoFsNa>W`ow5KYG5|rfYV9FxzUaM1$JD#q-e1y0bp2@9z77YZhoD5Lx082&hsh$w~*Zd=|PHz@??%qex~RU7r|ZnHz;JPTa`Uo znPPgWZR;h=@~(3=`mn!JUSuW!&YpOW++^s{>D6U!yO=sZVEgN?E~Cd6-Meu$6s?@2 zyhz$CxuuNxC)my7LvF0jM2*4L3nWMuh>9%zmf#z#D0Zeh#OmBsAG_j_^;_NSBo`8b zVTf9*29Fwp+E{8XRQ9+wSv7f3nt&4U77)7MkcGsJLC0IRiws|l!2jU!{*B5)5vGbA z%e2sIj#W5N)Xkzs-+tNY&5;*5WQ?K~ zQUF<+Lpy^td0Ie2En;beCHo=oNq+UBm*Jo83G;+Pp~sRxb8BF%xCeuLB)BaB4)P zndNqiAYS!aNgdlMtjV7=eCx#h#(vawZhP-N>GdfKTod_6N86f)B*|1eW~jkH9Cf+% z(~+yPiAe=P&=1p^ItMSGVF_)72x;p<`CMcyFlLJYl3Q~Em-`issP=O*NLyy13x&^73b5U!hvr>s1+@XUfP1 z^K_S6FeSoVAj*ZwdbPKf3Nm;QD^v&oTtK70_U>0Gg_MImV@dr8T1~AY;?{xMqa8W5 zJEG9+1tNIrHz^vMZ)0xTv91)LPine3Uksrn?qYd5EsvN1Vi~p6nJ4=dI3*3gG55sx ziD;zH5a)BX0e483p$H&>G1jSA@x1|^sOlFP7M0h3hZ2D_?=I2XuKU7co_id^(>La< zOU4^#(C&M;LBjAy>v4vIk?MSTWz@7^CR!;1R0r3ka&Y`9wFI3;uE6p=%V#GcKbk@RBCFM+xP?(Aulv{f)Md~RA)6^B`l1>Wlim0^3SEzfP zaLi{wz}hb!UW*8OB*$hKKuPWzsqj;px>z%Pnr@l0Y59VOxl4)FD$F8_g_LI^It|@; zu9hSNN@%R5E!Jw&up+oGAJ((HC1BBzr+Jh>w^MuJ>bXmNa1q`lGExL5jAw({VmT_W zO-y9xmVP16l?0P?8A$bpYy~u2_>?k5GOa+3E|3Z!@@u0~1UpjXq2HC%M?n#r@8FO+ zrMUubDC0t>jiuiVx5BA)l%7na%3I*T5zI;W<6b7fcq+Q+lI(H)V_-0?y;`b6Mqjdv zZPjiJ%Fi_>f*ymim53&(5@mzP*fpaj|02nNd(j|3*;wLL8BTre{jV=WNFqE6whE8} z6@hf(s;*^*qAsY=vb}!%`YH6+kx=pf`iNJsL1E{%aPxJEQKG*fdHRVXI^=LN;nGR+ ze>Wr88Qc@q5W-(yLgcsUbFy5YqAPQckWS1OCWW{Y;RDy{~-=~@nAJ6kyIM+()B!WAsfGOW} zkyER-*ds@l^c3rVcW6#xBU}RxquBzE<**D7j?3Oy|D)sZ!$9lE%vX4WDDw!$!u|N% z9&!KEi-x!+Me|Tm$~%hXpr(CMR$Dow3o>X7EaU33p;qnkD#A3{Q4?^jWyesA?eK}# z7S*EUs@LWxttbV*WnZvV7Kxfxq!>xWB)%%jy+sc3?!m`NMAVCqTl=;@}Q40NJ@K0ctNJ9>SZs@RJ)>+ z82(`;IaTW%$FCD<#DHwa8)iJb!Cf#qLj+a{j`F!g&u?60;Tnjc(n&y^RAoD_3B87Q z9Lg4B*zU=m^DhGa{gI^^_;k{d)NYS_YtBr5^6tj_77tb+fHg2*USU*OCO42E*~;g+HU3I7gPY|_DQ+@ni@zb0 zos%xMZ`Rzwm6r*b_qh^6Y&>!Tm^t3SD>()Kc>x2EACU@4r5$shrp?L?m}51&$8hAM z1Lj;OoQ?tquE}VU^^f6zjR_QiKO;#NrtY|tq`!oVoF(k((FZ-A*>+he7(cq6=!Rb; z!`9;tYD8hQZfFr3>f(uQb;qS+Q6Ak@(6lGeZb<{QMX(3O|BW_~+{_^=JlzSzhu$gK ziCb?OUW^Bl3}cy+H;#S@z+7H84Dof}Nx)>!ta$#t{B2~n3?BL{2I(g+dm&*hA$Vo% z12W0`UGucb<#x`k%@UI=*0PReOt`=ZB1eb4F2I-Z=JUGLbu1nRviKJAEGEO8;FLs0 zB&&`z)ZX**ZPyPZwB6DLGC@YXI)j3#8FvTP?ip`_Pf*9g%BGShn&N|zSbe1%&^N8` z;pW%;!IaJ&5}s8c#952!ypDZmIpcY7NB`{F?XnfHM&n|-GzrZozXhK2_`CQ)iF~o& z2-24zyPfv`G>sm5_nVRmnRTr$=T3%VKB{Z(vaZXw!og#SNQ$HHfFaYv9EG?oEn|N9 zZ=ibtMiM6&o0+DA0tPi!4?5qk|Eg|8ZA7E zljI)<%u#xy{F7KFRsLjjdZ7X!E?W25$>@}Ra*ck$w3?L$_9qOnpbfb??9!QHv0gKm zhu(s(VrEZ;iO6doNa@zgZM6A&4S2c@CIYYnr_qQFch~5*(wS~pEk!0YH>ewpZp9fk)ReJyo(_cN6zAeX2 z6_~?MBd$DDaFCBI6J+9*;b+;r@LWFJQ7?5@)h|+}TQx3ul)S>;xeg&9l~R8!X#v&z za>7H|7DlBz5&FhXehcIa4X?F>!Ts+Q`->(q<-kw&-Oxf7%PvT`Nr+?{f@7CuO z1%&}Yf-31P7TqUVln*GvEe`(NQEr%nKIFU+_Ps|brfCP^ZO3EVj%TcUx&y_blvraB zo49o;a;ihs;kDW#7fBzJQ!7kvK!_JU&30yr1 zOf%pmK-2j=ed3Wgd3Rn>V-=t+NEwfOA_Jdv4RKhJ(0UjSp_HF}CUchHhtD!V7%W@m zv>nU|&SXzGPFul?%nXBf2Kf@PH~&D-_*fy_c~ywr{=P8@$Z<(OTms0it~S@G!SyQU z2T4pEqk(!tkaH$l*Ir>llQ9Y6QETM5#$^ghi2RAb6gz#+jjTG3Bf7!GnBewi<{xOf zHMG?-FTU?xk23`uG@4kC!avC5%uo?UHp8aPC6Cfc`&^Cvd;2Xbkp@O{uMy%L>k5bV+vkh~i`Q1;q-L$R<){gf9 zW!RIXJFrzdZ0MUlzH4`}N&YzCdT?U~D(0@#F&0Kpo!;L;H;9E_EaR?FmQlAvJEGSJ zd)h>9!s|e>6WcUQ@DPYP>6_ARH`+cMWtZSisI`X6LoeBm4BWK>ic-$>AupE&?-v#q zRQI4jIRpGQnR0_k9>?GYwv?~Rr!nBQn2gfIhz-UXe$s~czCQLd%n|t8SQkPhwn-^t zn3OMax?(8RCUPfm4ylpCZuiYqtVU}ODua_zmkshDv@GRJFWy}2{G0cc0HRDb=*cz0K{tkp`n!0Cz`-8tZ@6+FjAy4k!E}{T| z@@TZ-uowt&=!~f?h_aBAoVD9BpyD|KtPDnMa zG_x*{AX4HkUr^s!+7#CK-(lb)lL4DdhQXEJJjY=u04`|X@L*W}{=g$1yEn`F3JaGB z#51z`9L|x+1qcl8({@#QN4rMgu>b8H6y*qxy&L_(fLefuM;g<^m^rk zkc{$thOr7~hSVe|1dq!mQIlugoBP>|qK;hUD!V=It_ww3CU#w8msA6nZt*D`h4a-6 zB%p2!UWqP-OO=vpawkL_px5kT(X9=FYQ7~<l27;OM^BBD(rZcHBQUU4HG_C&{Kmk!+?r=>@k9Es}uM#%jE;5d0=~^pT$~0dkYm6(! zknl#8&9?Wr=+>*C1N=j(6<~bWk=-=O3k@KxbTY!>X5cfe=KCx$X?e&PyQy-Q(&Pw={l;dwPSx$%l_}N z6;ZeqY@V1vw}lT_1xh9Vh&klSt2fE9C@rzyiza)1c_V=K4x8xn7&k;JE0sA-kCk5V zd>}3}Iw^AOsdCN_k_dUz>LBe02+MjBI~~C_K$8u%PD+v-%=y6ta}s?#9C6YUqjZIk zbCmKT-Fwsb)KUGd0KM0!{Vw_FcQR)j~S{HsCy=yn6 z*^W>!OTBQ@59SsOkBlhR{r%&P1?-j-cqkPfjuW@x^~@6STY>a7)`R(1g*28i4ouz+ zZsMolsIdco-d3hyVVEw2mCwUr3wN2{W=A`V7{}2dpG@5Q;9+ZUWB;y}Nz~2yI>Lo1 z0GwZG3m%ZFbCL>eAFhc*ilrW81=H3XF^}+`H=P3BA}AHzG&`0tG?BBqRl{zP+C>8< z?VqITvgC6WBG6kCMGzd^Fao=^h;D%$Gaz>Ut?|5fj5#OEHvRssm zEtURjqd{gI0zp=z`Q`YOyZ{R~@50WAC>YUia!Hnmg#(FVrDET|>VZrI45@coxJ~!| z_xxxTShED0Rs{?fkn{cM2w6p6&c_w)0%XmGO-gue-vEF+&NJ2(#%q@6IR&P=Lr!$6k9ET>Pl7ZCd*TRmuH>$9 zl?EN3etpZ98^s2M)Y6e_HUf2UlT8zc#5}wgjxt1KHUkq!*YcX8c$7WdX(j}{JK)je zG1W^h%t$Suuvx7Z@`~h@{@#+*krH-LixgW40ZxS(eVRshIFhWAtmc*Tcp2e<`-6b3 z;e5HS9-BT;r15ELXBBgxN#vVoiDlb%ypY&pOo7KRMeP-?+P`_VjtO~eo9ym4okQtV zCJ!+Zy^c7%?#k7CO)zJbj^v=|Cu4US`J~js-*hXk-*Zb!Kn~XL;Sx;u>aqvX@euOj zYYv|M4CdO87)!-KfObPUP`M+Y*JW6DFfM!Cki5I?4xYV-X1+#V!l{Be;0X#)*k2|_ z^mhiAS_cZuS&Q7%-B=+(T-h*;wzG)dE-MX$reO06X{k1!%kukxxIk;@0OWh9nDG3L ziimGeSL4ifOeDM34;&lyHx5%Pn*B=$Sk9OgptGj$=fd0bf1Y!aZEhw|DjQsdj%p4u zzUcLQ*oV+XOI2oL9kA8G`n(VpJ7rL4UoRFC%Y+lyf>kf|U^44SzYSyM(F*w@xPgqQ z8^)!vVUJYALz}h*pLiiyDc7yQkKNvu0fxa$%WeJ#UsDsQnX<_I(D&R_?((;;wTF}L z%1^W6SOD|2?6ejH5sgH=e{)NWvj=2C0U*?C=I<|E>l5vvbF0RKo3>xOSeT*xryego zS7obm!R)wElc|V~;ub*waS`?{YSOZRk=eh%R0=^zjs{M=tQzV1+0RPlJ5?rYrdnlp zqJ{Vl?5dkqDyT%_@p^<)u@up_BX>W=F{JU#Cyd8n&)Mpj+Vh7qZE4}|xSxt{G09o| zUn%N3>d;eiAF7X@K@%>oDpHe!@q7DLq~>~A0Etj)Zi!c(VzjmT7Ow@?5Av}%fhi` zc3oS!#TD6gs;yq#Zo%Yr9)WCLUzitGsM5fj%)P z`jM&yTJEvH+l>e7KCscmNP-*2T($qQb>wdTZdM@frHPd<0XdergRPj_Bcdt_&!%?{ zSa18Xu{8 ziKOdJHLpr$+{x3(bqiUrR4f25`TZ(*XO6*4()r&}PHS>r1L7?bs~qx6n-*TaV4xYK zp&@^}x#T~l_Wlt-%3#&OPc8Okt~?V#-+10C>hzfE{^-r&is*vxT>c6bH0{nx31UuP zXaUNpGDf>$BQdoW0HkiXZJ~&7O2I!u1=I2a8tJXZDB7NJ{;mHS%*tU$BON{0FGja} zeru4L>yOsOBH$R5qHRr6V;Zl?`Oewg4_9dIgcIt~4DzFG*sQiZMfKzWcPln=zrzqN zCVqdo)TV&%hE23v-d{n_8_;hn`%Nm&%W##^rM!10?r%65tO3Z2a@vR*h%U5;d=2dq z3)qA9iEQ!sV_TPUtl2tv!_QZd4eJ>eu~z-Vmma)F%Ta<>V7dt6fAvz4KHKB=JjRr+Y2rt zb>R%`Gv^%o5mMI!ZDc{wbK2!$BH|g#zE%GT7T2F`L{JB8LB-4=nw0|w-pL&g96b$u z9G9Z&JCE~3jDgeGtaxazTgU)TJ;jK763Ls;|56~9wu3bzUkyFthi`VgI8iUan7EDH zt+K%LFwZFM^xMk@jo~dLM}gZu>dvyer7rxbuqB_imGWG_r*@lJZ5b**BByYVJT#5@ zEjcfnTvkkBxcEjHtC+9$n5Lhs0zdIFQmNrGZ`KWH4~?BxNU6KsX2B1EGzB>bQ6;VX zVz^$}WjHX%_dFWla<8tXdxW)_C6z4=(dnL#D8Cd`iDgy20u1#;ERifUQI`fx5i%M80?uwfI?P0bFhZA~S&J{}_eK!(1;nu03SJo$f=wAM+XbYy1&u!$3TNsL3o)UCbNaK&i3o?D7eEUx1SlibOv8JgXJFw6dCee;cR5 zx1zyKskMw}@aD*t{zd&jsc02x*kHcbW{w+}AMUa_Kn#K+ZG=0#z%bam)~-+e3lNMP zr769^LO_p8rgL4O^@hcsA-AQ?BGz0!o3~8$U#OZz2bH$DF~V40QN2~P;?wn&&gFCh z9r03|gig4}t-8~q)62ZC$iI|0$>0Z$^{sv$8)=`%mr)m<61N-A{rZYt?@p=7Xyb*V z=Hq{)H&nW7g;EFm;60BFYH8O01b2pV3#-plJfdE!KVUYctN&|}tPuhBUG>e$ON3phqur<@dn!*c@=-db`!a>zS-CKL&^*l3zB@`FYVOxI!t}~>i5w|l~ zi=M=nH@_We*_!*s7q*BpX4_x4LV~ySi{>LCt*uWU3Q=i8YhacBiOB$BMmp>!$`KlT zWSbr?<5xc=2!y`DNJPpJyjxdRxcW1Urx740Mhoqt_H&MFG-;z>uafk0IP7XDxn8py ziXjC}@=a9u9?JKyb4JzcuM~&b#8H2THoeeAJhDB1# z@$Y>ks%MUfm!gjY%>-een{jAgKScI{+Yk{r$Ae1hLU)~&^_`B*7Si03^Zg*g>uTD; zQ>WCMOKvsdmz1atBCF3QM={x9&{6H9=k2lsH?~X0S;^fuSyB#W0n(|xCli%31 z9b)W?SjF6JMS#3F5_anJRR`_75ZQtTVz>3vi%)i<`=%AJv7_mo#{ z@qTyr+~8GQ#YDJU8TR>(%z49bt8(g1FK}j~{m9k+s=X|rH4>@O&!IbdGF78k$tZo& z+n2XZ(G>urT4l#h4kykzfX-sHeoVKfe(hH-|qGR zO@&dO2{@;t!Kc}TJ#qSJiyPn7658VRHm1x#$wsZn?8QZHk8yX0g+%A$I(S+|O4#Bh5D{RaVpZaYMghAjCQj8^^s`l?k2=tnjWChBjA6+g# z$Iz2e&1>mXu)q!uZFv>*GwjW(im74AJQn4d_RH{5HdM=Fb`{0 zgWVQAgpGCuqoET4Ya-5nnEZtcUZklXn)%bhYh)#97YntaXclSt>Dk5_Rfa-+aK+v! zB^w%1jofOtGZX9Pjee^irPI&$Mpr; z!Hk$j(@7$DCU@=Q;O6x=cy?pA7*OrI)tthOZdHFv)k8csE|UI@k}jW9gZ?ZWffN2L zyS91rn1>Ei@&G3^#$=oBl(kPBijPAA;h(Wr@DK_ohJ4ag@8%7s1_PCsOAx}Bl0-mI z86^!i@sSB4Y@B=q?w!@NJ71Z0>#h?Drd_GdQ*y(P=rtz%*yr4soe@k$INzZTa7%QMBUy3%h-y9>sdDh zN>H%Gr`d=$Vu)!>3HWo>Ms~9}2~a#^B@93nsveVr7T*?`R*#1MaL_$zK?$HqhyZJ! z(oY2wBX4N((_tt2;F&rkCyjNF=m8KbpC@PcsDs?Mzdnabf%`e%Io-5_;@b*>HjX+E zHwhLcshm+sy(rP=a5It*mb|I%;1hFcv6QTo;|82?q)O+;mCRq{q$)yvID>}j6b@tc zgU;=;CjNCZYEuhyx{f^Da5SdMu6_COGEOefs48Ps(XMT(ntbL4SY;S%I_mn}1O9aA z;~N;aTZ`BFkxrbj*qCjg3ha~QY+pI)zVC(*Os3yxR<#-!4^7o;6WZ6kD`wyD&6?b% z&hAtUqWbb@OIjO=%h^h4wR5bTitTW)Pv-j|^Jee%PDb^wbOyY0tJd-WH^cG}9JQ^_ zMWS~5Ea43u*mjma;~qL0e+F2YU+(Y_6LBp9e0JU322=1#RBfBU>aIXi{s7VhDza`r z_5+7NIE%BsuVHb7Do#s~uJMhl)sr6fw1C}EL#zw$Km0d^Ci$V*Dr={knbYH3t%|D2 z31Mz$hhcyu2<43cm1UwEZ-R)zb5%fE?m@?4)217SGYeXsB}A&1ar*;dA`fC1CMToK zGA~%%NlzGn0S^kg@9^H6G50fKdAK{m&&$938k5!`iyoEPGF$PzWShEMFtFxnR9)5~ zD~k(y$yivB9z!I%RW3PT`^_bDaqkT~F&GS++53?cmZrqh)fmgw@3Jtk`74J}8;Ig_}OxFp4j4RvcvmOR5iU&5Ds_)7QfZ+d24RE94rQN$VL?O0UY`DiT zU4-*&b_T)3X%7S^c?)RpPiy8fdNZFq&h2Be(Eoz>6)AlkvosmazR1l zIFLrmjy)9|mhsT}?{aUNkPvH1`IS-TA~$w4*7gy=cYI6vY;WE!xGJx*r=U9H`)~ z?EtE*N2+O8mHhiIx@+!hsJ``89l4eahGDhBe&$D}jL_(0{2$Phj!b6A(6V~#=++m6 z93a?bFXQ0>OgKx%5ROvYr&PTHkOJ-#M}SO?l*IsCWCDg_n5J~G%H3BIuSgUFrZ>Nu zfy48?qrAvxLgVQg#pHV?@IE*P9sC~~yoQ*FBW(KM7za$VqYV3Z%Ni09ozQnmVU%aL z^*iKR#mLlu@vAM#rAx?==lII~FVw=(^5%=4of8!mQgg`kn+Bv`0WSf~Kq)@U6F>X&-BF!$zbLFbVx34aEwi zpwh|dz7TCi>D3BSh{9Wf|9sp27}bL)l&{0F$jw!7F?d~?v=8RtvI_j=>PA;xo-|q0 zv3)3PDnV-_9KWbCmj;q-BUw~OuQ+B`m@jfZZvY`$P%#lOzTI|IBU?Q^gen!~e^J;1 z_K8v-u4`@LJWvsA_F!3q*w6s=sxt;KkO#h_WsZ?lx73ADak|*r>d{wX=HmM+7HvoE z$f)}O*F_2%Gj(*MWaWeB|MF{N1g=#10_sWQ1+wZ~pn533ulasmevCjdm*hlhNCu<1 zB|-=upg;g*%nsv$e_g@F(7)A%`U;qR%u=>Y9_@I zLect*ao4kMeW?4)7^ap{l7v^6jTqA53T50`%DZAMUW)VrV>!j4v>|-A2!uf4_b=>j z@^J%S$Z$&7P)hk5FfzR_O~y9$5BAXiBYLA230I7gsI*H`;SULSeGmTwQ4wbFbH`9* zBcbh}R8oxz&THbaOTmao0k*Jr&7-0gDpFZt;v|{6S6qtu)w+Kq>nRf*CcY_ZkAoZx51I!TN$X#HV%3=%<=+iO9?E!Q9y_NCH zSkbiDC!fiu-Xpn>$Xs|{MG)?cs4Cc|b4h4g#pPPZ!*)XQ0ZE-ZTNpUnv5(G5b$Qii z{8WriRT1j+z0FdIiE$`U#~*KkH}a4rL#mjMWLiK+{OegW#wlGvz86bWtoWfFVlPpj zv&tBEEZP-icI`C`FxK)eT*U=&{|)cJXl2uI;%}=A3H;e^FDO4Dmrm&Lyz}Ro{SvU4=XAoZiI@v_%=X^V}4te?V~qe;I8?*#CQUkTDLl1YtH#I#I%5 zoJ`NKY2%gpr@OH68{wiZhk%tURXE#8^Hn}mX#}Nefz$O+zZiA7vE$Wsyeh$#LHK2` zu&&um&BF!_e`FM(rZ`;ei86g#a%Dfm<2Mjl&%o*WQ^4VSiL;I%h3GcHe5qT zUP|}cChTMQtxTvOVuu3*X7k?dUDkFA`;+Q`+aKZHrWri@U_pS2%#cpchUM5xm-E9Q zBa%36t1H!shTGGIV*hi38U3{>6&0QCjj{n3Q$?R|tBW;=CYG#8YFIJ_(`o$SbnH?* z&w?H1JCP+5UT49%`q1-G#q4Tv*Gzd`Kfg0sIjFnWxc!smPQ^7eU$flOOe(p#J@69F zR8}@*l9jIAnOiOm0F0A;XTK+R2l}h!;j`*ou#iRrMJGpe+h!WMUsJtBv(l_r$?%Fj zy_UPbUsoLQN>!)&_$hiztjWMpD;vnr|5UG6k*6r{@AxfO>WKWJv5}zq*=7_UKN}(E zwR4g}3=~5`;YM&|b)oAR`E&k-icYZj4biIIOs^8KP=HKu;wk~Ug<#OF7djkx4GDL$ zVilS=+z$EhW?TY$`&evvRxvn5LLeX@AZB4OFd!fxATwe&Fj;h0?I$;oiCuomCt-cN z2d#LC3n!v@7z8dYAk5&Lp)jKl{FpRtz=^m(;5%aOZuoaK*&}7w&{R`@^pUq`#xHDY zA-C*a0eIQCBb0!x7)L)%LaEI(c^N{v24bn1bI0+TTAvtU*R$WUa6#~SHbC<9J_jKG zjfkf~4E8M6L3TE-$jI(su~HRiv!`lo1p*q9?VDR$ zJ|4ga>pw*tflbpf8-rAE^z7!7wCe{b+-} z*H>cW9D%?512my8x~=MItnSvK{Dm;AxLTn%)&VG*!(9aHwpV!9)UDK^ zRfC!vCb{YjAEp=yw%0PS=L?5(m!90736)+NA`5(Z9YyPn%cm1nta*fnk~(LrI^Vvg zjdF^p6oiEBTK)E=YF!S_I8AmZo6gi0boi3-iyPc?!pnZ7lqEDMmVp90zj&{aFBNq( zK(&up)R&C__4zpB$uvEgOh_zupZJcEr+<_s)%IYT>-Wjshnt8M2BJDDcKD`%3Ll1H z1Rn-zzcrq45_tjr7d)w`i(bW4ac`O7?-SNJEbwMu3eo&p$k7cAeTY6A|CVhB4PC1( z49*5qLtVmOGK@#S{*CNqUbyRb5pPw`&VKjW4fq%m{@WV|bu$yQ@Y|p`8`^fax*swk zxQ39%zbD3Z{vY%DlXi@H=StUowVP>lYOoe}jmgW(E~SV*m&ShS%F2=Ml$=Zdpt8&V zu`Jm9yc;+(_C;i=b@z|K+X$uUVnQEL>*fk5gdLnYz+xs*L#Rzax*{#TJP4fl?Ag~U zSr+f#ItFt2`W0A`aP$nn;ufv&vWjCu?txT`tMIxCpaBFS4iYZ&jx@X9#vOQVLPN0)d9OHzM+K(iy^ zkc+c-c*X7_H58rqDo-75`Lv{M7g|$!pz+@Q7o5MiR~o0cZSFR?V4v6+UCRhWG|S@P zK3v_WbS9;*jC~o57D2AtTsyN$;)Ne!YF?uy(kMQXZGSXjOBW>Dy)zQ^s*NYRSIsJF zrr>SaO-kI^77IC<$B87T;8 z_e+de8l$Vth+yJN6Zh&Zxs_TWKah3v?m_$Z&2>UuVb&6K{&VlyIju0zCWPn4BB{0Q z7H7$|9H^XB@s+yJuE|oKZJjn46-_&@Bk}#Ehg|9ICf8w-!5|y`?v^XrHdQ$jz`qp| zq=d!G=VLAyKy5P9^M_n5J%$3Xyz;GC#548}a6;5VasYpl{1x{6JwXhtA7@D|XwS~h zLnbyxAd1lAjX}c=Hqqg`GtMI+%e+t)GsC&1{CikVW!lubP8@x-blI-P9uO!9D1tAN z>cHU%Itw+RYDGB+sda)S0Y|hxXx5a+J8>3OPSGTEq>y5@WuK2 zM2yF^GK>e;j@WX!9bd64ef!KeMKVd9Xz6;G*&@D&U&B6=j_MHCB#uZx9JW0sJRX>n zC@6;WC$UQcE@G#3RHb>42+YG|GTDN0y$3mWT4JX*9(`XSopu`Q^ThHo2rIN@73Y%3 z!oRaZbpp(m=rYz`MUUv|wUn+xVdqfHZ;L6;yvg+fV_mKgztOi+%%%zw(k*Rb0*^b2 zxv2#Yz(&}m0sp12A)PCTepsV?&-u>Z#(Zn_rh`2U?$5l8L8o(HNAdhXQq%X(3>!dux` zwq=321;fz%kxE%|{d;_9CfvS0#X^SE0#(a;!lNmao)psP#h^z{vY3bztqBc*u_r%i zt_X;Fb+P3(I+@T7<^dlVJ7@R>ME_~x4*EQ2ku9R81*iOK*EKw!O2YrNQlK>eBcBj_ zHKx%Ch4>JX$9IlP2qcPrgxjG5PFs;-O!WE zHkM!{ILS$pqtAaAL|^BzwWx`1AQxrVsQ$B$0rWl59qH9xjM;#gmUA@l=8A4w%nUK8 z7b~GmiisMrnU+l!jSoP8B`D*+%m~k?d$F-^k@5Xpnsg;@9!2W9Fk~eRZ4BkRhlKC& zX5Q%|b+xXW<@$)*ZSF*r1XZ!X?XiuRHbZ;6b(BRuss}zKt*>UlH%&5y;#8W7NK+F~ z+sj#svziw?aUQ`R;pOwl$>~n541sM#{52`la=3=dvhXmZl3f_*GA86GG4WOqblxw? z6V}t%-M+3MNPu8csk4;J5RcOJ{-#;PxFV#xPyYYkLi1M`VTDNXFg_eToL8}WvUf^m z4!SY!!BcUYRV>2UYXYz~yza>q5+5kM?PC>`cz86O!ANM18qSLGTC0Fi`#VYPV0|Kb zPb*fwkGOoQvCieQ_8N|g`sKs*Zhz6LCEW0M5RwjPa_4N&hhiPNJ?fUuj_y|u$0Mzx z)`ElLpZ^TqBJ?n|`@J>5MYZaANNukJO7z5pgSUUT^4+#sZ_NmQXf34Lr4Z~$8!4H` zK73$l=H;k7@epcH&{H|jq7i;fj z(DGy8w~my3nqy+eE+q!kd_+zoC$IeIi`|xPvm5;1)<^&OZbR(GBhmHa3Bz-Bxyme1#8C zDWmJ{PUAj77(a=FM++s*w~)7+#LHph{M>3UL-|xcuj!mYQ=I@ab`wnwZ-M9Rw=)Xa za7gWzhD`mLLAjtpWUJVDXAKS+Z1sFdc)usHoje`3L&h(#(_>yzhHfjvsYEe+AD->) zPYDG5Y$;v!gs2m>oUWdn(=qA|(>1YUaKu%V#D%B-CQxIpf1M zr{LV5IJL4J#D~9THtE+k!k=XU1Iea-`Gr8*251?G%_h$S-gEl|YOFgiV@{sIGTp%| z@ynMd4txjWz8k%?X9j4|Dv;&+?OzHFd~mcrCWxRsVQ_gpd`I?((`!1M;w6SU=PWM9 zdSBGdL;>^*k8w=iyj1yt3EYX*2jrYJW?RYk^6=UKRppU{8G_#AzG-W*pY_4OZT2#l zgh4aOI4NT6-TGdOJmc<)mNXCLo zajWkwDuL=h0oNG?N%S^FqxNaQ>zc%OJA<3yk~bI|t>SLNg`K+XEE*sU6h%@+TNIsZ z&gS3jD-Jxgb9h`3y6Sd2Z*8ST-!a|J=Bt*H;nNP7gY}6C!wuc588U>;Xg1%uFrAv_ zO8HEWIO*23{w?g>_`!(x`U)GO+xS_y!Q;A<%r^@U$f>ZfYG|gq&EbxXFt*5}_6liG zkF)ViJb}TnIb%RCXhzx_;!xsa~n!zRrQ76=7b~HE&?H zmaasES;1mnGjPFncih*rADQ{w@0!c+kU77BjMH5~?tLIbm)YC35D3wUB}_G&lXA2@?3HuJG8TH_)NVp*RS z1%iAN`;8jm$_|9j z{WM{ygkev#TGa@2>)6l`U|P=nB^G;Lr&XV8A^#rqz(|UW){=S_LQpCY4bJNkC9zc_ zu@J=ixb8Ns&rA*ENE?O?r$BgrVQLMZuYccI3{KBsS&g<~lQgp!&A&=I3rGCvJnT4J z9AgeyYKL@_a=z1!4!?8!lI;LaRSDlM+Fnetuo1oQpQ52?yfJ~ql2)IqiOL5$3sN5R z)8MswqH1j*pxvJyzS)Df!yj}ni4JsT3Qh8?9(hnrFr%xn@n|_COZUC|XLNjM3gqw6 z%2qWawlT@^3P!ME_CTVRGuvGq=h)}mZ_m_CHC)Wy6!VWK#O+Hf31|{;7rz9nu40_Y z^sBmq9tDQDG+2K3{TY;~>Jv3SaPuOff6YD>Zav}ll3ePAmw!atg`#acIzu0a3zov;?{l#XQfvr zb-m`I>py0b?s%G&%Z%{5)DE!#e&V^<9m?*n_n`SIx1WVMw@Bm?G;S0!asBJPY%Cz& zgVb&a^Eg6;phJI{`>qXEOLSN08i58brXFg>);;>TESbnagJ1^Ogx*F3byw)!MT$*w zurKw173GQydTSiS=mp0$!*Z3z71a>g;%C&|SF{4zHWHK|u-Z}Pby`WL{=C)m$$pTG z%=~lc0;;thg0_)y4t;(M1|&Uo;AHo zHkW(8d|Luh^IS}YPG<4`F}38c81r}kbuEDVrt;rQtT^S_ytG93mkR;wpzeWuY~oKB zZjG=6M0_0x(yiB4jwNW5^#^_Kg}m8e=phwZ!kNI0h$=$;@j7|BU1LG}B}5Jggb_gN z$Et#x*d^ov9AEA<$Mc!)Uko^mnY+@-G80}ev=W=8jzz5$)wPt#dJ9gH zO$r1VfRvZIAt-#woX4uQwCUs>a%oTo(uQ+#_6Dns5VioIt5Z?Mpu#6~-XIVQ+55p; z@`fGiLHG@Lb@O@t5iBhCLBZD|LCnpBXQsF#p4OLT9Y*%qel5j~2pz_lh;gV`ZoNu# zojMa4pO#Ue`rDy_)%o7mZ6=W0VA{dejtW2)mr59J)v;$XR6z@qZVdu8$x#N{H54yX zL!fk0E$zOWWDt*MvQ1Ama1xCUttBA5(&U2z+;k69AnlG2@<_mZD)G%iD>dWz1oVl` z*`OeBi9MFeA3e-KpV7%N3n}PV=spZTl(b%0rtQ?o)kL}H`a`d?3+tnsFBZ$cu1PEX z!E!&BpT6!u!DR?`o@{nahCP`oFZg&LkdVm{iyK2KZA8;Z$x>Z27XlAfTWkOR`#y9H zy2q{Gp-c~tA-B&X#=^~l^l`WUDh`4CQ6`kR$%sgi z5rY_`Lwk0#k5ElHR8{haYuqK+J^8I-{0gpr`Hh#FxXs+7OYCyyz^vSPlT>IvU9xtk zY{)mUf)J^f0dNmUB0p$n&0SRe%LoxgT|-F0b8)erYe2W_WL~9}iI6xZKGfn3nuDu0 zFL%CPou|-s`a#)VQ6cki&}M_QABFc7syI}wpO+1ufd?{|*YN)UAv`DFbk+fDnGr*D z_;(5P>PavKAU)p~65%Tu`%d$OuG(%b@0NB`086(Zy<^h3-EU2=hV_bX zpJb_06Kz{ceC=}6nQXJ~yUA|O8BhC-OMfx>m14IERzU`qld^*T>rN&%<<$tTfW(MN zeQ5XH6YD+ylyYIo4Ci2INi!@LubjDlx*f_gytZ*c+yY-~oHs>?Zf&5bw;{|?4|-#N zAFUf_Vw}HH#*0sbmwSeJpwDmHdEgM9dWUBmUH=SkD@#3o}DstYK zgbWgQ|3lWu z<*pIP@pj&Z@cwv)x%OeJK~cD0te=+>xv%EC;94tHy^}N1vUbvi7>~t3kIqa6LBl1S z9oa0gkM5`Gc{0T<#^Accr*`$5a!W*ujEC0A%R*MwcNdQZ{JLn>rBZx~+va757A{D( zf6jBzu-*;Qq%rYg7}Vh51-gbNQPx;pvwAT(*77c&9z)XzJP`v*}IEazk<+nstt z{7q~I=Vc_44$E{dGeFlkE!EYuot}yI=sD54R2UIdktvm>GkBPVEK{;4F5vfgGvm-n zCH}9TZNm+yM8z>9{u{5>A&EQXSXu)62i5fQlCpN_NSWRdKXmvkM@_ETtLPL&uZq~8 zlpY33E2*&u;%*RR^G4|rw3`WMPdB$LVJcsUEzMq6)E~aWI11`oN{j-WR=Uo%4 zzF3OZ!lszNni~Ja6?(UvK&rVf4g|5ArxPXVH7qb8n%%o3sW@omP_z;@uVz?v=}8o3 z9N4AVrl17vjS7AT2;~rArf?-|?TyULL`X4uBS2u6W9{gvfIAM97dGJ*an4g+^ePPK zZUtFx3gUEna8Rf;cRWS8FgJUW@33l)9{-RX@CX7T*(d(b%H3~mC54Idgjn7)sg1o4v3Ze*-dtQ<#=GBSayw zNL%y9hix9J_;$6jR&XWVH`(+o=4yC8d)m*+gZD5`b&#N_CZcUEPx=r_+Hn9`dYrl( z2SvyiaWD|wV82y8#HUy4nethJdYqkv{Vb5(4Kq(KZ)=S8gj^AZDS3;9C5b8U^+NF1 zvbb;}kJfaZ6-lZG5KcZXtD#-+zyW7CeK$d?!HdDV69>JK%@6@{G?7i4GrHIbQp-|! zJ*ou%N5IMt@tEO6@*x~)vJm0vI_r=4HRAJiM??wWF7A-cCnx!Rd z07R8!A@>>L?xtsLBsHr{o3AlU$8CxOigeJYHUkbV`{XrUsaDlbAeANJo{2dY6Zvj*@Vza4?Qf zGokLam;67EvZH?vc%sn?AN{L@Fr5dc6f!RWveeD6)+@dDN|-(aS_t}OhqS0IjoS85#VaL8Pe;IrXPdv9s;0orvw~KhGJtC?An*yfW)8f6KExm{`OZy;2dB@cN`aEo3 ztLKKC4l*jtRv8T{He83ksng2D07^i$zg{3>`0*gF*LK=n@=@nWc)G2#R&b3t0+3*I z)uXM-LCF*y~VUwb6cFPimmA+ z&T$!P4=70iQ{(Ee?w^@4O17snF~@q3bvc1hIlq|JTAdJtCOVR&Y6nb&*VgA50|c(0 zbWo`@WS;0}84Q0y6KOBt?{_y3a1rNl>gLX?oe@+^4M(mCk~nmI+~GylSD2h1ZCa#w ztd`=qAu)r&X#Og4tiybRD-8_eUZZ?@iS5`ScLDfnV$iJDZEo8AN+%0+`?ma+S@=~h z>vS>!pTbHO?E+u*&W4ZpAE8WuI2zcz@>F7)->ouv#@vI}xtln&ue&>5ZW>xpb!4W` z=G*M_G<8a01L@ssH{N+=a|ZsM{Fi5e-w0u6MRIsTfgzx0;C7R|fAIJwX3bEEYOmRT~mdtC!#>?K0R!#bY+#(2$w`f(e> z(XZ2Vz{x6r{%mkX0+IatL8Fd2$p`ro6)J&i@-I9s><3G1_s>GgWCMf#TM1``gKLYt zNhq2y4;S^vxSv~(EX@tk9slp=!A8XUZRVm`YYApz7^16^9HU%SQ}A*FQ?`f($k?aN zQ=)0WurDvFi6q)WOB4}xH$pGG-~LDMTXDPOd8i*|R~b|Y*ctHMPx8=G63DVah9uCo z&})gHVY`$4r08jf%8OgO&%zA99Gd!f=N+i)y2_L=xCrGQqF+4qj}Q2m``) zuaD~>VL;Q>cV*i>`sN5MpyGPRV4>$PQQ~AY21K0@D_b9*YF@0e+il+1GM~q4fbf) zTU{p>O{)6thRuG}7A2}09!CoqomJzzv+3;n~?Vp=73-*BH;uh`~U z7Img2U++j84doHwsrci+gc@3ag?^nt{pPTTnnzX+SRzad@%$w>`i{%C^DBoE$_vd% z4R+TdQvjx64{HCQLkdS9R@QwH&@B6#_o8ti$0W32S6*$(8y-ihA52pM{ z_7t@ga09lrJ7bEw{!@Qh@ahbmwHiq#lv z)Tbz8e-^@9#?K}428o_rZU@`N4;5G&if8KF!3JDr?8N3a!9eCM@O@;uTL8k1km8|Y zqtE*!38`NoXw|Bcf)>UO45CCiA7f7kjjx94NrWtEmW^u2nxl~J3H3V8oNi$7o1x4u zcrjZ`iSaoC;^p$8i+b38(8vduTvSy;`tTgdC!*GCxX-0Z0H23a{-xF6L)7_-1pJ2G&sSpB669?i*N->v&Kda6OZ?bnqvL$65c zz2(KzD_|hR+u?3`pT>M#fyt0Q8)SS9=#~c7?XhIn^cuwCKHI`}#+gWznLGWxH&tkc?GTUwJK@a4P3$hUs)gEvD zt%IoF{`|3|P1J{|j=Law)gw{iBMj{X46vM(*oRS_)o)+8~46Z4+iW+X}WP zw00~hPrsm}GOsz)ED*l3rgLf3@Hf@wnJz2&h)qvPK(*Ur3WY#K)X-6nr}zM3DRW7E2&2K#~#OHSjmmo-Ti^XCsAxL2dKxoC$v zFV3f;@ly%|icFi{1%5l3BzN;)kPHVA1Y?wa;}x>rDSC}FS{4i;uUv@tgNTN})W8U< z0_s!r(a2Rm+;*!XWe+$d>45|6!)fl;8iMC>At}ooGQ@EALksRyd`t2fIQ9UwU=<}{ z)U8*W-{%s-MA-oTX&v=0u)yi;=5^&s_b@b9FORp>4xAcZc7yz>Okd%_pTonXiE)pF z-^-=VV{Xk(lSQU!Lm)38w1YgNt8Tp`q92&v<$-IxGs5r4nc814c22#wueN#HciIYg za3EHR);G6&!NM^50#n$(8d9&Y*c&bfSMC)BG5p$b$NMTWYn0tz!-E!wLwL5w0iV$; z2)iqHxE!`?ALdkynN_Rg5ht2XVG%Wf&R$}m%7-%^0Q{8xbI-CajRUxpPqq-DK zdxwB$PIZ`OZ~yHnHbDBGrOcsRDBiF<2;0-4ThSg@7&k<(%$aL>TDa)%NVriZonDzz zPFyKwp)(7^!UN5lV(0SX8O?qsqbiu4VeV&~5eBvX&qr-pNUy%KWXGnv9k>Nr!lrw7 zlohnr^Ds-j^&cUSKc{n^Mynw}z=9-tpn?coFm>jyVFL4q=+G&;T3`wIeR8DZp zVw&>3cr+GIm5Zk z+pySpAM}`>QArN7w*!F5md<;tNyFr2@7A8wZ9@C!i|1-`CbP20`G=V7O# z)99Z0#}`~CH33%vn~YMaes$pM=!qzz)qby7lpvYYpF?-fM9w8YG7mq(gS{EU}eNauZbDqzw26%-v&hHdCD~MA@cL6rc4gm!OQXv0n<@y+Tqf(k1()| z69nU*wiGj0hPU|0MX7%v-pfXyp1D?j#>u=aJ5pp?np7OnI9=e$ecnWBHahRpoL22y z0^w_6+=$Ta_$rhftmLH4eaehJ9NW(VIarS1%mm_K1sOMLXSS2LvX4Prr+Wuz+!9W! z?}!2@ZqMgT-P@N@gSzkk!eonpMma5*OOGu91-$!$X6T??cp+gOL@MjhJ@AodJ%jeod(esJR=i#$bk%fXr|fGR$Gt`l7a?3fm}7_c{nnI zqFVGjpLl7HlN{ocZ5_2DDh#4=ZXY{;M~CE9T|H{58FKW=FaSF` zW3`EB3AZVq@><&FYaLv64^CEj`HNrTrk#&m8C=earL}tvjkA}EVLF}E%rr8ccPu}X z8&eHNtqg}NiJafzVdSsfM|^fmV?~+q5_`0mLwcU5iGPgYbx$*Xc==58OPO}>&#||L ze$MV7Y#pPQkSD%M3K)7&Q=7c}zfhe+@oxd_{l>pVr~9vYZI63e2wsB1ynGlvFTKhv z2)^eLZ8D(4x!FYRLamnMMe}Tkkpx4;g9Su$@K2hv^mR-g#Gid+LW!{Y5+g0{>1v;V zXs+vmMvYD0Nq{}qD~OVbe7!2it{(dPY$uOnAKqAOxX@nv3~g(F3rA943Ezb`D1c6m z{%c%>WRmCO!)#G+(n6>}ML`fae{I3M*!2<9V-N8rHlS47tNXghjeAa|b2?5mPhqAV zYbMXa)X5F}Dj)Y~l}RgkkwV&IBV&GXtY>WYSZ*BUU(7p%s2lWbyU9yrb3{Tlen)y8 zZm}hkVo48u;5z8LsEQxgw~2u8mFjSdUCMeKe*$2BCn%l+GvZ#(RP313)P}j-&HJdP zDT=9H;X(?#XQ@$0XJU`)x;4#Kd)@Kooco}d;=ayJ<*m9FGZBbWlVYQzxQosPTmM&- zGKub*68x3{FotL|)C(5lm3vOOt4rov072X(r_wYcMzp)W#<&~(+m0!s>5CAexU@(E zQ(kf}Lkt=|w8)+CQ9U5mM$Z$YY2;^Kuqy`S3hgtm7ZA}{;%~FdhSbKak2c*=uSD$)NqNun0C3SFO zm}gfTdqKsTf+nVojxef`*C-_$!kbjMF!`DCds6r9GxOH&EbH-0$~OkuoNW3bmF}!O z^{uFuL0-ie$olxC zUbdM!Nh5{3^srpKkp*OK#}<7Bg+%+lGCmi=*apTqns~z7EhW4v!I;cShwX5&5!=;P z-{_o_MBmJAm+kAi&dRe%M`H3{mcVya+HCZ?L*g&zkvuqXzbO@h>-gy8?NAXsr|>F; zpy#+~;{s+6eZDQ*oq;F zBdOc3a>6@WnMFwm3KIpY3{{JA3b+>doLHYB?-SmqkZoE*1oq*<6gHxRJ@%uH5TR&- z(*?kHxCUdR3`}63B;@6#onmY(RBDp9_ z#4q@qRxb@IVn<0@!Yx zHDLNv*0z@DU&t@C)3;0%RBq4Vc;`>|9C8X}Q{^;K;?V=QkD<>=F9@Dlfl`Ny)a)%) zXNfs#?FMl&={g8bW+NQN+g|5Oun%1e&FOa`;M*b0?xyTC3<+57i{$pHT ztf;<>!O%?aS2FtM(GGBGT$zqpoL(Re= zOnfIc{wSjw!DV&oQ~kWnuXqSz=pwAeq4c?Totx^b1ugV z236E7@f5BYQ@CM(!|zq=kx1~b(~9)t`;Fk-1kre}M7t1qvmDn#`rgeJ=WN7wsMDv1 zDQc(jfixl20j)oU^G@=sb9dC9iK?r6W~4UI;%oP*3n(*hFQ-;C)6s-V$&o{RMshIw zY-wJF4S6J~w~4R)tr`S5-E7nZl(sL`#y=kJ(qaud8<6>98jD$uX1OQ$wbniEqe=C= zH!GY)u{-^>^K$knfPIpf$VtkKPCBl(PbzH$B^%bmK%udRp1vvcJDY&Gc~T9*D-1Eb zCTEuS_9B?)UMgOL=+N4Xf+D${1?K*a=;i7IS5s(QucTc|4e~AOZ)uly6icvUR>yMc zJyl5~dOF7EUP1CKfo!YP=!*0V_kTJ^;LSDXQpwLA`QaT=*wb>I#Hs4VtRDO5hpdd1Y3})j#?okl<$&N$=h)-XB4;c3fPV1zOv<>vsl!R~ zFDO3m?sf4=@BWot_?GqBn=l#-`GV0SMn!2R%Qp;1N#KGSYMJELM%(U#)w6< z+Fuc!B%d)adF+}@>Tn;%gXv-#O%o6A5Fid{$cGfGPB(DC#7R}cx9J2uR|vc37r+#f zt8NofC9d*6$Rh+oxV+)~rU}k55mH6ycP{W)%|AUoZxoZ>3OQ>LXn$2<(6)GzOA&`9xo?%xEM#kA6ES486Wnz;(wffKf*WshmF}P=Y|n5 z!l(cC^oA9O51GiGNhI9%`Tt|1i@FaXrRTWRT?vSFZ&yk(e&eYlPnHGzca) zAVqW2_9k*Q0%K=$t&r}-_0CmQCZz7bf+l{|i6LR}8`=q}x$-75FvM8p0FuFPp{9keXc9*Xwc!XC; z8K-c1boJwLbtBY*%@Eo_Vs;{Jej}4AQ7z0!AH18W1TjL>s3CNFk%b1OcDn{JiFzWu zJhVrlX+wB)5V6omc&n!?&*b-cU`Kt=_YoeT^uLqttq1XNUoKM=iz(6WYBL=xgI*r} zG|J>68*U3lY4PtaH$}dWRe*%LoS`)aD}Eyy)couUBbyGz+_RMqD*u>)R%%0DA+KxswRL^$kK0Ir%9UuP0nqhj?Q^D+p!| zPk7oMX0@x$!YM=z~t0Ra&A z8R_6!LM7~H`P@DfnPN2qc$4L+w81`LWLZtxbtG!A(xDJ6A2lGTPkwZXo{y`W`&8e& zDS7q^GlfRy6%s{x!!ddyGu(kD09>;a&o->Xe-W7VAG5PzRkRrre4@mog=U%vcel)ss=EEoPtl_oi6xvXW4P(CY z)tcT~!bqi7zGPiU4xHez@7Og5*+S-A@5z9*uWSQ5d^d`~xP{t**m!_W2?vK)kpBxG zi3;frunM8!EOMcGFBd)!Bchb(3E!U0xz1miHj05&x6;4I9-v&2rVR6pU%gganmH4kO!xK(JBDi8q;;N@e(}= zUguJ~B}`fahZ(PI?V{Hl1xw7(D_8Ym)8#|p5o@2dfQyXaE$VKssV8`8b};tyHcH!R zfQLUZVrpZdZf`s>5yq2WFKIeXKGF3`T5}fbRJ9X75-WcImGPPgAy?E_)S+IcM!HDa zIpLl~v*~ttC^RJp@sKF+^1^Sbcv&}6bns1INH5n_$`kkVc~PpBH#@BTu6lm$%1PeC z<+Yvj55U>vw9QRj)eLGswWyHKSV-M>;_kF8?X~r$kfHDC&e(|nh!^oRvd*aBqueea z|7r}a;lgFWza>rw=Hc(t9f_F)Idno#)cIF@<7^*f8i=M{_r)e%2GV1B1l&^Na7EhqqO&!Wb{!Ui;}m!_?+*XjEc_Z^XES)5WlzAd zo2-_${8>cH*OqEy7ivQ=r|dZ-R_>W4*cgMOYnwof@{j|z#*iMSO=a#;tzcAcnq5pI$cOJC|MVIFUkIw zOc&9c?p3ns?KBPIGbA$W=u4g|LG@0R&?(8(kcO9ZDfh4^||K{TnTHU%l1C9TEO~LcMx8tw;i|{fsZv z54C@!+aRGU@`*U#k;y-yZauE2JIa=3Bmn%m5iq+#I3)zukTB}y6V-`mWiQGvU`cQ^ z4(F|+5o|vp&4nY7VymUKGR1T8sS%F7!Eqb?q38Oq?(lUxwXSPK2jfd1R97RV%OnF# z^{y>Qf>`QIE5i#}EccWJUd)h#y%wNg?!p4g^s&3j5hx!cW-2OpueqOi=o-QE=NnSjOpEb->ZLaua_=m+B>F@{4R51PA!7#{?*_{YCec=6mLcce4?ZtRq zaO3CJ7*aC1^~F}s_JQI3OxRkHHi}V}KWQs>m3VU(q)pL*{NReIF9eXG33CF4`jLK{ zWD_$*G{XEFWau{HCDyunxFst}@k}+T$R0s3cdFK+dm~$HIZm>6uElP&m*x`SAr%ZqABEt(N;+^1tbgMuE5skFG9R^q{3~^;PD+W~iCuQT(2}7W%%@%|vd+g75TUpdkJ}?{{^oZov)%8GWDLDmJ-e(rh;89lL67_$#gDwfq zpLMu5_XN~ifqhW0rm9!_`e&8%O6`9~OUv(&y_Jkt7@(ccp+tF)Z*^P}nkYh+)d`0I zmo)q`h?9-W$P;ivc)URe0=YR>&66VADi?t}iES%`e5+0Lw$E1@mD_KtiENW5J$D3P z^r3Gz@yyUZa03P8^7Xg`zGDuZihaR}>jX2&SczymZ|M1p_6MZ==Zgi=(FP;e#k3D8 z;h7c?iNqo3hO8FR(GDo*1rzpqS_#CNHL2}9^eNha1nKrj0{K2qinB=)GC*s~+$fGl zCQ%T!0p;fHPo?_?VU8 zr&pH96on)RfYw!2)wjeW3&4|lCc~e22~4Hyi@}&({1%d_L7O^Ra-n>o?R_{a>w@Tm zbgw8g-xuiNLV+j%0)|+%^{jH-0R^AK*OGm0Cp9+N1P#W28WRg7Ce+vqoGLfj7aDm9{m|zR@jKV(h5;-YIuBgs$|qd=(5^vh+}3I2}l-3|^-i z1$s3e7P|t|glBaznuRrhJuRs|>#d$ibO~f8hvd;akBo)2Nl#o1S*nWi9Mo6@MNTQ- zO(1f>Njcji%$hm;dE{(m3iu;HX9k8P5xrh(hz>?4dv)Nxqa1_HKMrKN-FKJU@$yNQ z^SqU_4v;)lrkTUx&-?VON<(FH)0qsG6c@aX>zYsj3UdMDpKGD_>gum=f*+lrXk-5O ze`I462UA)GMTygLg-bZ=2+@~xPU>7E4sp;}YkP9#^N%l zdDr!&%*}k!i;kcI|5sI>P2=ZS!rauno5g`klh*YAV^W=&hTf`V3i$OTl4Lit~1OYhEAsw8<8K9#`$*4KkV8gP-!Fi#)R5q9H zo?W;QW1o9Qp%h)fMO@O}05YLI(ItIiSPAE(KF|3=ENu-!-N zf2tUMK30_QZ+^fRvOUT|#@Bi-AX?Ayayircs+K2mQs24L@-R zSKJ3&IoR#n2bydMXY531yn;T)Izc$`k80wJ$)jQK6^^>gInLygEi_YF>UmXi$3~Jf ztr`}#n^l<10MT!ORyMk&q!ZvdER^V&D(L>=A!f-l1Y44 z6mftUV3hodB?7p2w560~ZhtbF6*m!)Q2~apLAa~V_$0(Yu!ZB>xOa_m75rFh9D^~$qQ4X8Gs<*lX!2I=C9j{Uj(u~bS{ZT$z2&h77r+sm<_@Vl)H!V z{XHJtN!7KcT@@fEm}PU*!);BJWnltfnpGIq1untQ=qNNMWOjgX8!EF0Re?wBW;6AX zi}qbf$-(MGS{e#$QM#H6parDAy?EiCJJt%|LT`x(IqH|P8`%s4N+BiP-#q-Sb1Y@} z&>=&p6!(@f6~gYp;H!gI=jQS~RU7+W6I(=KrRKJ9rK3Dy)tv)|j&1mW)hZauP?Kfq zDt8tbBJ)tp#Yl0M$1P@4DMo=_?V&2tT2BOJ`|Kse4O}aH0L|}C8=;Xv1Mi|$Da#F* znyNKw?=gZ6_d;RbL}wjpOH)9{N3d-B1wpkB`Cd2G@VVf{qNk~nTkqs=K8_>JMgLMw zMg9Qt9D#lOtOww>nl94Wl5C-R-wKLCj2cnWj@6+{w^Ivo6y5Yry8#ami`Ch&j)n-# zKmT-#az*HlZ71Q)iObWJO;mXOy1ZzBjMbBN-w{G@0$C)-GYOlM-z#lzV!}#MtUK`3)KMwTbr_8Um`z zF@twFP|}C7+ z`j<{A8jy&dnkf{F#Sp#xbSHN0e3235vB%oqKxZBeFGDT0V>t+z>Ee{^C%*nPAKSy(&=9rKa@y-gW@#NrTnIuD$YfNH2`Gq1%wn8}uPu zx2GmKsAEkgtpH8lh(1m1p!8CuFoT@t(9{YAn-o!lAX7>6Bz?7@|U zES_gQ|5+@e2$y-RO7;7+8VIn4u)Mmwi6Gt|ks@h3Z7btyAUnhOKv@?fBIIWV9R9$O z!3#N?=vY1s>`xSqn4_aA3CER6mJ2aS7JcI2Rk9taN#ukUr8TscZ)QLYy45pGvS1@# zcMLDt3bFmKTT*?F>$uTqbo=|GU*+&7q>d*MwgijXnAqtBbwhW&b-E#BfeboAXU_Vz z$t>~hi;8PmnnZ1#oP2wG#Di6BONhDM%uyAd9j?d3h`P%M^TL-hES~V?`O1-J7=+8a z;l&bAJy{#VdHhBhQ;oUF#d9A(!x<^aqewz*aUe08Or z2l)F7tfVDTDH4mO7PbC3+UGJ zCd7>ErYX30FkR*|<|qGM{tMn1*Q}epvZ{4`Mj|Ia;o>$PWFaQI5ogL;hlG!p;Ne(6 zC)YA}6kd1jR9&rLIY=5{?Ue(rLU{8*nhQr2bxwY^2FZTzoNth5CrzMtdN})AwSBzv zp7)>aU&HF4qocchx?51tQHh%Q)g6RTBd+=djU7u$=o;GSf%A^8xqsG1``QD0zCx~> zIn(Mn9sxrmB?QfOWrq0ye`{T@UpTuP?vgpj?DH#yoS> z1&8x?BS(R>76SFCOiTxH**em64Jg`#uXfU&BRB$ch|oF(@>V&20WRdaP&k;LS&s&{ z1W1#5<%d3$P<0N%RN~9=j8x(GDjt_DL7_`Oln|q4a86bf>9TVka~GzN(>8Okiu+q6 zd&*c_hb@66cn4}4!&P#I;T>>6mbkCpfSnJ2PoogsWIvo-U%~BH@>+;P&~Y(ektutH z4EW(fH4-%MG4s8@a{C^Hw&noX%a8X330TZRD~+Hs4XaWJ)8MDo__8Jy#fQ)qz3Ttb z*<#6fc#$t@w|HWP!Y{o^d@yChtxyFdL{7e-ULBuw^3hvX8pM?r@Q=qhlL6#SR2 zO#@%UGkBFpOmSM066Ak=GAfA2W8ZJ4D3&w1}Mf z6Jmf(0@udRz;Gl)dEsbu2)L$TXyPIcSu$se6li-8*XcS|c>Pd*4jd=B*@Wax6zCjk zr$D(4a?ipQ*cF4Hy^Frt-XosZxG@q<(&L*0xYin!b8u~h`yh$##~Q)fS^2eE_oC+5u$gTlW4#PVok z|LX9@bu9i~jx9-ml&K+O-MzWbM~|B?7Ou-dj~_h0R`KA0{jZRBzWt;kJ)5U%9+J z`ysC0re?<_x9(O$Lj<3;M)S82ks}6l(FKdgCS1k7dQm!4bU})6*7?#N*Zg+>ZIF@O zFd1j6Qp%=B7MG%KP)-!q;S z!KB5U&M!RFHR`+;+C9?>hn_lE^p27_?)Yw6ggK$wnt{T@ttJLreFf(by^PBeJ~xa> zP2xSimT&`@{{^tNLe>y<#@Xu@Q@*nn!7HwVn!ww8DV8)0e+a6HAfO-52y2G?R|ao# z_n#0vX#v6rYXbJz(Je4Sw%M2CdNWhOnq;`{)sAoFLiHN%`WDxYB8Ov4E;09vX;trR zRLwm}LnrWaUF+;vwhQw2F!?V5FS?@=h`zTO(hN!z+n*^x@lvIw^iq_AVxf2x2g5W; zSyD07i9w!^sDPvCg(6d~l*<+TgNwuEt>_f;_wZHeQzCFA#0@U@b3P~_hjj6D8L#O? zh}fHsU&-`@A?bk}e;I}ibSSF)(MB+G>7Sk<=0x2OH_}Yuf&=bUvV!7G_5x^fkg)1t zz6@nD^julIEDnI4vdShnm%S6J7!D}&Lj@bmcGmm&CfVkp%SVCo;@FN5=DNlfFY;ZzOS790}x9 zp@({q+Eq|{kj>N<;=J7q-o~>(_5$3aclg|=ZSom1TZXl=5MGi;ZY!|c>7|Oi!D~ASRdCPvA3C@ z@;}!eRO2s=0@(J2_O*LzwTvF3Tq*dTYig)Fzwack4qFh8gy?EHE~1UiYJWx>+qhKL zT^ww|NQ)Wwu~R`EoL5iM$F}^TZ+F1fpaDSwctV@nKsnp5Y!kTuu> zNk+cA|2z?kL=u2^Q_H+I<~gnAXZkiN9p;#;H+Sla!7pqkznD5x7eo}&O7wS?OtnCqNx4Q8DHQQ$5ykf^ORPalY0uD)z^ARHjC^`?I%v0>| zi?uUPzE(|$SkD9mp#AN7dEV-=EAF-+(~cYQ*Ybk2k%!GqB`%2_=D|hUuJcDeXEAdl zCIOmm2hE`RfmW!*XaKo4)JQN$_Ny^h~QmrY1)Xw42^0BC=;06sp<$2w`1_P9zL9HeIabq%icr$knRBm!Xm+13c<&tgdMucOesnxT zi4_5a-U1cV-A6UG99s~f4;eP?+$PT8eiz8^c z`^F@?J9IXMPisLVVygj|ODw(6Ez|R+owe}r43Q${n8~`b4h~J1!wbbA$EuyZr)WpB zMa9%0OgFdq68ttJnkCtkShyFXy^!umHDM%ch_9SPhs<%i{|nQS9nRMPF)H0SWa=UJ zPu8t?du^KfsU?GZz%c$2W=+>=KlJ6p?2eIy+tpJ0*hGP?!pN;zj1;v*7=(T#lscas zD0ue5yPw})tgOB_8*hbqe_2fIgG|IypKo*=r>!M-3p*s_c2WC1Kl)+G&1Cwq0hn|u2p&M z+noe@Fct9w&pu%l(wDBLZ)FExj#2;|Kw-AZ;*Qdpvs-kdh?jWsq=6O0uPWzE7i$v_ z;50zXv#^i1G_)C8KPjc$`fG}%!H;1PQ!J~3su3+B*{PELi1$QRG8?URU#x%TBtkC4 zg$lQBTA9%v*4X3)7MuC3CG7ko&9g?SW;$RD{x-JYSu_8BGHhc(Pk2s?Q2U>mc3i&% z6569YdT(-^(MUZ*gpPkz{g4!?W8U%O*ZI%kVgN_0 z^xDR$(o~FDt>WEJeoiItaiS_Rtyg}H8*9(1=6XTrBYQp&Ju_RAjg)agqDcK3$ zkanp^{t~*E*Ni!Mvxxt*SCbnzmKnaiS)x(zPe| ze#lI>!$N7%dS4aU{W6Yh3NF3YX3!P7^O&?s87zGhv6klohm)Djo$c0LhGlQz&O-oQ z&r=uVnYEnxr0>%RBR6N^iWFYw6ax9)V4 zz%hEZ$QjT~@;S{Hf=T8HTwF9bxb)dZg&I21d}|A@V)Iypr3p1v*W4F4tZ*RL`YvOz z3{RpPB#dl)*AX{RC`282m&MBkU^ivtfLb4>Nnl?M<@RG9&vrwwFV*hxyh859cD@o5 z9CMILkYzl+USDNVGxZnt%;d+Cm4qOaSrJ!Rc|0O0XxBTBR`Mn!Hg^m)jFY-5{io30VKfE5I{5kdd2Z9P+zkNh- zDm&p}GxK+6 zTfi7XADna*cHQybV^AJmii|0bxPrO#JIVOlg}`bQfRE>zkfas7#~YVeF&j)X8>_Un zA(ibCv1{dB3vC0OuFatxccxrpg>er8%RpJrp@FfTpQUpx=Ni*Myz#AqdDE>`B%iyb^Tl(IDuUY)4avmm$EFLE`I=mHzDU6ZJZ?*|M8H-KacV$vET2wr zU7R=zCPQKad^rY>c@{HnT^UioS3S}zeTLOY)9HCR)3DmbTzPRyE@mAJea&$M^@fkm zTqIm@toG&cx3V08gl=-A9Yxo>S&Oxe^?FNQele?QBFRQWmvn-Nb=3cS1pK0#wHQ5a zlZL2V)^Fszo?B{#LHnvnLuQxDUA)E9w-<$aZZ@Z`=36CCfwexfa)7;m&^r~riJ6bE zZ$^6}EXJ}eWEnqGv~98A7=7ostb%JlrAHm%{jbg1HWT?pmWYb1)<$E|wn zDt?YnBUwgdY^zkJbaIVLNEQF{mREpot`Q(yoI}Q@nsL4^WDG5;=lm^duUqNfu)oPV z9s?_1UKFTdrre0Xp-Y~2$x4=zkI~$7x!fWcNNBmNJ@BmkfT)qnWl1JXRk5;=4Y9d& zSr4|-5p#+W3nJTNguw?`ShxKJLez6A<7h+#(t|a5ARz$M#69mvX+u;T8O?M{4$%64oRsc%rHXcaCM$r0h#ez6rOOu?f=Ihcb~w)`@uP z#^5yn7Q%J_yuG=`V!bwGx=Zhxhxw^3lP~)MM1H_Abf_ND(3?D3E2Iz=(grhOIf2lo z?V!n#Vl&zQz}<12=i1`RGpuPF?k&obusCEf?y9_PUk@?rwFTVrh{ccoCY}5%gf7&A z!28qlA6~+1R*zE#j0p|XdS!_wZae#rz*Vk=GFZ6Ff{5*U#hwS>7su5C~-9P?ZN>lOVq2JQ^*6sR0!}Y*3u`tay)cR56o556R=<@wnUxx)I zN0wZPo0qVvJgoCY&~6>|0ekzd>mW1(d)E$CC0xmEf}ELgZsz~`o$-v_d><38cmIE8 z=99(hu#|+`!`}=U$V;Gx{R&93& zg|ykLH3%$1;2nY_*s>v5%ywt;KWXT+R5XS~no93B3k zrX1@MmeAYl(e-9vP%`FTZWOxb54!}hw6d2s+fN}nYO4{du<5Pu<*>wC@Z2aBt--6| z!*NfSt!94?>PnI%BQl}fvAwrSk)aYRKs`(MPhojT)F=?2M!`IIrHBx-=IfWVLE zM)RZi+1=ils!F&RD|xsS*J5N{Hok>5Aq zX%M+rI@ZF3?ImhV4GuSOP;fB`5-9qvqLatvA=}qV zIGoxd7JFLo{?Bf1Q4N(qpOPYbozz(eUrnB z<8;}MJ!IN4KvYIwzyM#;<|(Vy5V*`&-hFx(#fOOr3fd9^<4bQ^-6v@drP}?XQdSkQ zW(S(65&`Wc+nu_mDKDz8xGre$!FwW>S7o(>xAouVXG%A zfJr*qXoozrZU>b`EA}T%v|hbKnRpC=m4`DZpHu;GSbLd%-e_icc&r$|NeSEy=s?c^ zO+d20s)9fR(*G^y*8PHRt&S2Q)ZTu%DG6FR)?sD@lzcui?W)lXaAA_|jh)E04|8tA z%K&z_%!6Eij5ZW!lWW{%_LWia*YbiL74zZs`Ior+vH_e{!^5hWX*jvFWk z$uVv4Dq$u|s;V%lIBZ%J-4RRdi)v->yTI$o9sTn;v5E?T4j`FKt7<4mRSHBSPjFK7 zp*2GpWh;$E%|S$CANn8|H55*`dfEB$-->9cURjTXW(^-J6#nSj&l|FA7!ZXH(U|B! z4IPtk&0_s=&U#H3GSyeuNH*EVd=xu$16*2>USHvFf!PVF6T<4Fnb~s^rM1=ic@dBp zyb>||>+oy%B|dL1NLG|T5wy%Ek%DlgRGX@M-6^vFb2*GOIy(*w=gru~j6TU%AngQR z2UW{0o7yaKbQt=>;wZq>eWeSTbD-74N(jxPc^tGW2)s z$WCyM7mG|?-K#Ky_d_F4u~vZIL|v9Ne;8n3Tt2Cw)v!1ef#Q!db(1jAZhZDXue|=v z+g;KrCh~oO$=Lex*)mdMTiges!iRli#d|&tIJyp@; zW)asw7zN9jSbJ$j={d#Arp*ittX8(!f+Y*iPG zoYp;YM57fd*jsZTxDlr93Wy9w2qBb=*r2`>2pFriL9dQ0+3B6xm0(9+Y`Ns$rUzA3 zD*)?inR6-Ff`xr zu0tU^EziZ}On$Y}eBI44xvHp*TJXkKWU@3jqb^wWS)kb#Fq+Yk8gG!^#*`r153tU5$U~UiN~! z1U5Y7>&*)_$E@wQok%bGf`_mFe(YE6F8urm57j=)xr5Y)t&aNKpUW*$EcnB{yoF#6 zfNvKIMbv&QB8(aXQ07ViN-Sg|8`sze$n?6NBa_SqxZ1{#c%)pchL{sq>heo`Jy@x* zT!)cOXvpi7$9{X9hXZZEK27Z5y_e}`?nrC}FRzv*fJx5zf|gdt_sxJzCM}`-VPA-( z^h*szC{D17{JaI=8H;WUPQUmUms}X_3-gPlE_<$1<{S`~M8k0{h;jDr)y=7%XCjqk z>RlmpY%Z!tT0k93Gkr8SFI~;>(8q}=qgn*u~W#8ppivtE$c=GEL# z892QHl`W9!ai%H{Ddwsk?QH*~LUM{VlW8mE_;A*r+%RMy6w&hjZ5qB`*p=w@PZH*4 zIUYelgj*7gNt zdaF}|lZFtpl89-wP*k=;9F2rQ#7l0D@AM_Ap-gvgX>{v5=W?I{v}GjMq$Q4S2~Wt9 z;6g3|trxkq=lPrC=hIl|iDFQVIi2_tdho=DAhH~ZwQiC^AjowE=B1Z#YK{f)WTJQ!&Id&tYef@5 z!o?qC$|}yfy#Oxy>;q$n9^gOWDAF2vFr0h&5Gin944vu2j|jAujZFeeS$(VX+UP9# zOy^qL#bN%(G+4eWNwn`&Y!U)lh0!`L?_O`7w>5YmFROT6ESrNF&o& z>hqP51k^>aBS;sToQEQ&`y)6eLW9x&??m*6bZdJoJ4ugwZgx!jc|{-NP&|;Cr7art z*%{Dz8#J5|rPXOgelJ6k;RPPg{Wx5@vyjj9wH<%Mroj|~s(c7#>*si|V#Rj{b*(P< zj$PAii)%S#2wR1xN9{STBRww+UfD}wMQ@I?!b*=emPNK03}b|^T4mRxB8rCR>pln>Un5cfTz6lI zsk=bn98RmE0Fp*w#it&iqcifRzvxt4LRAq**9AA!VUUXqd5H}oxlYF@excp{13yc6 zTyiMDZtSVPp;px)PbTo@t+Tuw#%w&-)60kB8_5I2Lp}IqbqCW71IhIBu~kx?TaC3k zMOlQNT+NH0craN{L3vB0%I=cRP`G(5I;a>VC`w_57-%V96ljC6`XjK*(7wRl&x>y- z50}PTJB7DR)^nhDS(kZwwSUN6L`w2wzS8{(46-T#5xFud^88xW0n5TDyI{q}HR!tb zy=S_~n8L45i3_0+D?P%V%0DLVUkSEm^UvCkM%xkQj${=J=!lmfOPib)a2^T>7nz>x z>dz*X9@EC(qRKmO+pLvKoPOLmO~sid{oAlfM30e7kbC~bezY&j=|2PscthLyLLEZ* z7B_SW!86f<(h`|#!tn+A*t_nf-SfmIOZj9{lEs8iaK|4HwvCR60wRQU&YqP2jpG?W!ji zyC?vCOwV!)zqhd>26Hbr;``C)Ahq@MdhdzWz;OBjgY<>G5lKiD?0FxqbYG(>8Y#;z z`al2lxzOf|qNASAN+euFIgF{ZaKlv>ZuPJUPV{^)XmwO&Y({j)7#)0dcJxn|$*jSl zQJDOp@EqCgGMML-3K!Izo8xNZ)ZqpW)I>k4I{!3VB$(E9{VC_Qvj_A8RH^{ z_p*ON21t(gy?ygt=NN#!(l`I%F8e<9e~Vf&a<8wayRSCb6DlOQe}f2k!q~}!QocQZ zLZ_PHkQou>%ney(33r&G7*HM4&*%RnA*JAt&<^9b_HFlx{Ym3i6c;)28a8szE7;mU zhC7clb3LjVkvsvo231+O6+RYKegmwa90uKA4=PP07Plr0{Nil(;Bxw_xI%4hM>tqA zgEiIursPls{9l`M`EhcFNC)^vpmGqx=`W`Z6%pu`Q_%&(xuzYj#NLtZ6U1V*DIg

$$$oSkmT(<^HXKx@1+LO z5bS~7cKofWA5ok)nD-pe>Yo=BrIcsRmn-p~822@zN0o!q?AK4@_B}w1x;F3GMU50p8WyYl>eDh9^N9P5^ zLlMw0OQDw0iw8D5e={t&@Q^NIu?srumi0;qN4IEmtNnL-c{fDR}0z4qL4khoszTjfJb14%ybLa%h+DFz$yOk=`>(N%!hgid;cbicu zA>rD61?MVJZ78 zAbG3984F?c?H0ENB97whUB}3QjCbi6+SDTosIp&$%}0sl7l_^@m`?JCT`gc*iS6G6 z!P&(==yd?*S#g{<+OSya8k`VkPLb1|N|a{w-W5gGFVL2K3p8fw?sALm{NG~NMeb<_ z2gH2CZW*yjiuLVtLO79qwgrgKNKD`O>QPjL!D%}e6z;8#P*}%H^{-`6bBKXRW}w5t zmDLX$(Zy;!Ys(lkt!6BCyk7oh!+X>yLGdYnjBAGgaIeYR{{DMC3QH7J;&98}VXmo! z4{1-s@dw^KNsm9Ttd53)^0L^z>YAC0mOA>!mKb}|1UH{Z9CA^df8WYy-X)u&`$ayx zHNS8sqP=vsz$tIJH|NYvk~-)#!;^^3JWjlS95lJL8i8RR??4uI>HAnbjOT;V{j1&+B4!LR zz6Vd>@JAfzOJkcZ4Wt!7IV=g^u4$%?%Z3F&=B*2;7V)z_el+f-f}bAxNKql4>KAH%T9sns?$#Fc{s(3k2BRLy8vPITewE+kQ3Hp_M6$S->(9c%ijT_ zSYAVL=!FfF?xFK4%o29fM@fowU>{-S8w(Cg-5>K%HM*lh$1n~8L2*TdIK_ffcLT8s z#FE8#8snSPb+id@bQ`l-rAhR`dYaJ%61)LRa1rW^9+hr zBa*L!JUs~Q;2w1Af=3Izk*ErE=>0kn6=E?7LYXv$pKq{2}!NlzT+&o#~@!x>4o-r2Pn`R&tV*%Q4(#z8oje@seIwc~k?A zQMmlV^yUbl4GuZeD`R7X=ArZwdOtN3hbsHe7z&(@z*d43Zf zDb6h#6k1c&4|{OL8!&MqX$&Bq-iyJhXx4JM<$0oJe^UHa-E}nK4I~VBA0i!{JR=)D z2?WsP&DFNO8iL$zAo|>DCy;*H8y)x@XNTPXOOedPD7MEr>}T$gILLw_P-v^fNfO8K z2qeXMD?vFBRixaS#Wm!uoV7;G@q_YGiF7ms_Q4HWuI-;MN=Mfd-&j(>_tP(2knHfz;g#JO=Do#UFo%>3y(||cDZC^O$`%*+Fr@YgwafTxX zpAI#XaiF{g6SnFA7l89J@o&f6$0`@FlaZ$~?%GhroCsg$Dd62lV_xk8LAg({*Z;__ zqx$V&gI8jbfJb!3;%<-`k?(aBod60r%hYJxvKWi?|zTBW@R3l>wRAaMZbPZ zCLh42vtzsIGt7c(!2`}s^e}MIVy^mXAvN`gmsgy#%xGdh(()zn1z~^UlVx{>`fhX^ zQ#{k-2JTlGjvfq&DlmaHbuBAVTMXLJz!};=YBno2S4YM|g*7+J}Iny?x*>iglmy z(if@j;a9fIS2hBGs`a(n@?U6OmafoC}#GjHEt0X_Ssz0`p+eUy?C3|~rd zy6-qOsaLhDfY6A5K0$uT83yC9JLTEz-(sv^ z2U{XZ+L>P%F7(;NkbSZx^#1G$Gc(h(DpL#@*KLMwe&0D<+|o+~s{TTLJs?osxQfD% zeVQ=5idb??&!t+h$Dl);3^XA$U8GCNObvQj@7N;f-#_^g*_pGGWaPM=(*wFfMXxB$ zf;D%x4Da|@KsRW8)|_lDp@{HIY2|t5{Hy=EN1%*<-2c|zan%N>O3MzlRVVd$J@rm5 zhMx=r!_*Bl58xRkqFcbyj~}IYs$<0@MLC8G)n96;En#^jL!6ckx)wyXd5OS{U>f;Q zauQP~3u+JHa8p!k##%G|O$+YG+cU(2-4CSNOxWs3x1>Emn|exw>M6#ioI$pa3HZ8; zvJb1;PQzqEVVlx%EF;?>ZGjjU!X#KK;Tr7d)?Z=IEW4%UxReOvA7(i7CP6((@)EBDoi)Zlj4|y-`SE5NH{GutTV87BdN(P-!$oukmV& zd&(rNupO+{(1w%O;TYk4ZQ%BMDQQ`*Qc~d$Mr0 zpm_g@hRu*!2Pc%O7BDyu@Es=Jq3%4*77ue_t;N#=f?te5BuOnrcD_~U!)1{ZFkKYf z0ia6VStpk)SY54OrzI^ouDI7?z->p&$vgnk_k+LGw(Gx6T9OJ%i(jC*93wJ^$7B#-@6tpzRu-d}Z_;D@WmCAhFX`kB+FIxhKKWKuY5m=E z@lZ=|5#(p!lRB^Jjd&=M-l9rgbf7WuIBnc}xDofJE{8Q*El`L@KFjf_Mu+?w!H*qa zxgTd~b8j7U{NF6K2qv-4vO{$Z*iS^$x;($j+Hd_<-@Zt@bDW3Z8)t8G=m* z_9`pN9P&w_=lT_0u0cTncOPA)PE;S~zx6168X;wrokF}(y0*`#$ONC8mWa9@axw{L zhs1ayD@BBW*&QiuJ7yqZq#~ksy;+0SV#81UiIU--zRSC$rYbk z9;X<0U9x@5Lr0~Wj(adAtA>c%EVB*%L~);=zSVwjt#F+`^Q(^<+h(0d=aVVG6088x z|HE4ebAKj}2va9niKY=#OrdAUVR4^#TiOlfv?cV4W$m(MDQv#$Igd~5y zs9L{5s9J}aT5E|Xh)fH(L6OjUVtNUjvVw-5jkl1iMQXI+r&OCB7~V~klQoL0v1{p0-a+?}=XQrX)71VLeAHYBZvB3*^%_ z_+g+?TA+sbz%-EtJwpnDtMg^7gA%p!0(I4_!Kg~qcdXc&_sD!~zkq)u4B^MCwiij< zO&OOt0&&EK1RW2ytS=2lWx!94LWO$Vjt4TMn2Oh%IouuX?996oZ~@8r`tHa*TEI7s zWqLb=uf2gO-_DXaf;nIJ6&oJHHF*bY+sDPh0|HWj)~u92I#TQ~PYNI>q6@Q+G>AEP z(?=Ek7OV=+pv+;=oGuh`zPXD!#5rs{K_%jn36dr*YK$w?2BLbTCDr}TEngv$5>76a z{_$3-TDDJ23+_^6(ezq^+ctsu(AYy7n^W!0hNwS`Kour8!KF?Gj0?8K+{+!F)RmR) ze&U;J|35ZQU5KR?mR6m;xxHRLTL!DF)kh=*lkYo}(s*?v6*waN?VTSEiSHboN%CCI z_l`8Gr?ovERT8dKy8DqYj6GhK7kF}laK(B7_T?rw8WqJW$b1j4?@JN~Aw$b?x>wQ8 zcueiHZ6nSv@n8fhF_sN{Kc{G9*hrZJCZmku#FYsYzy3YSnKK+;@sq#kUgDR(+`mi1WYtVmBP3YTL*?ZMEl>8C+VRtWJhlLLBG7 zN*(RHWmVaSUeC5>@SI(5an&VOp!=8j|FrOMla>r5Oac%tlCqTY;14?1C%YP1j#6h6`NFs z2S{CE&1X{*e%g&i6d6aLw$d?OIx2AXOXgcK?;M;HPNY|Xci*)nPIp#7d?hTJb%6E? z!Ekz3l%KJMdk8*J5W>~A%+m%?_+AVQ2KGQ>U|s#gM-*xR*_Gl|Jh1RXWTx?J<<>|0 zlnx-rLR*LN)(zTR+W%@yhO_#djUB{ z`~CU7Lg6S0!NiV7!X=}d9K@Fq)tQ2Yf{ywV1mC94G!qA41uwBLLWV(D%70+s>%G1n zyzexeiexAlQ#aoM$_j~JFY}QKFXX)n*z%~m7dC4;ZIzWah7q%_Ki*C`zWV9Uoz1V1 zE#aD#r$D_}tPfR<%8x&9CApbO$>a-BQsifV$rUm%INqQL{cb^5(vpG;M2^dwS;Dt8 z^`7bt72VBo>)Gxzd%&Pmif*9tYwqzwi9EHy{2m63hTuib=&?s{5;@z1p%_(jDRr0& zN<@6=o6CXQc0x|W1}&{-c#pRKsRWyb>yLKYW6i_dxc!yIPO#9$oM^PeP6frO-+l_N zHU#{SpP%m(^);8ZSMxgEYfH^Z;l;-DRvK>Y{aV(x5M)=FB_OPz@8!8t2^OL!EtEwS zQ-nT<35k2OR`uz4X)~+l5v-}AKvbmN7v-dUM;{ig4Wrd%m)F>H2AqcY096}pgW!Wl z<1H&1AvZvT_!zH?3J>bb;YRCO|agemsfGOB8(tmu>|)fj>Un&Y_PjUJrOM zbOqvjsR)vdO!E|k_^_ljK34EYv(eH@*)GLN?0)xz5@&<}XbOTl`LD%)_$D5>13ITo z5kc@FYbEDFs9qs`yR@HVej<{usQzKm!qqh6-!uCZ8JF(Z`~hY2CBXUGsLLh@D`#e3 z9v3_FP%2|d(4^EKvlVvU&D{)n^lO`2q&rrkfSHE==jyBs?Xz(LN*(hXR;nSyGdpWD zW`Oi_qQo1o4h&5Bumj(0<0BuiC@ap!YT$I67g%!mk$$ZDj`cyxpL=C}!~GT30*{~m zzAu8FCoG7uavE98?!U z0fvMh4x^yJMc~0r0L)YJVny8P7<0NY2BzoZJwbh&LDtbiGdefzl_$tTMw#9`=1)R` zZ`@i)Lqa~9sT1tNzHkMWQ?4yuH;Mhmb1=?A-7H=j>ZX^1M#_X{PFb~Q(nBP1|5q(i zL!NJjfAzEGvlbb0m_q)V8a`9jBdy5X_4;cAIj^K(4hS5#$7JMm0V=WC@?uJiZ6iWSl%P%89haYD$$`BA(5CsrtP8*-mI{BdfvIQxTTz&jEf|>@}|( zM94KV&y%;Om&3GR5ofuig&}sf@hiSv;kCnUPPZCAK0iW{eso<{uNkpBFK-Yg`Fj!ogm|^Slf7bsOHE70deK7CK=Bo2SzBybpa|h| z`|Tk;vINf4^~S$rxb*~V?!Iglac2%X9-diHhs|4mT1257{+3oGvxbYc+4E9(oy{M z_kr5*v~M|<;j3l`JLCU=$W*h0dRIH&$i8{!T!}B1JNrXVrqywrOBVBx_f;QzQjHmO z41pTL&a~%W*G^c9t~k^P`9Z;E3WlTzdnoXlXSb52>JbB&|KcqR#nyby!dr#T6Y77# zT?mimtgrwX&E&JQ+fv)DU*68y#MfwBcf2O`NbZed=`N>~$vzLF+ucf~cN_*^ z+3qBL7ccu;^KbW&oJunLDW-~4OdLJ`mDoGU+$o)wj>%5fgqKXBZaOgY;v0)# z<=$jDG0CNHAMx8u%N#~VQhrR8QGg$a8;MwG!;|zU6rQzr0e>$jjBY1-fJ4sRyU~jr z#+P}bSZ0%<|EIujc^K$3{p%VsuI!VSS)cFMHhj@xT}`RBry5Uj{l!5-}kx~%Nl??)h~QQt}Q9C(Z~gnO`C(s zZQE?wy=ikO{wu`%IncxEtAs;I5P$ zc?OOVkVz2-xq~D%hca_-jP;d2N*R?_g~Oi$?4g{m`!VP7VjENqIu1J9E^~qg9o(bu zRT*O8>xZ?^%)wnIc|6H@nsm{lcoFo4x|)#O8Z9{!KR5J0@K5UC0@fgw0EZgu1MsQR zMbeuBEQ@j1#AsU*TjW7Xrq2MqF`a1L*-eky_eYdEw@;O1L29dO#~mLq!%BY5PuG}c zSQJ^YSGpl%b5aHCkno(2B(&T~!x%HJ+ z!Va`;hI>O+R>UkcvpaX%ODkiBk59(}^a)`abf!xT_J_%&V(?*^NdYdjF6TOSn?VYg zhRUNlDEirPr1cI^DiRkn@Kd$C{uCv=rCBx$-}h=t{wWn!?Ke)22nEh^`YJtb6l@cu zgeS!YKAjl`>qldJ>kDMLPFQSsRxvn5LLeX@AZB4OFd!fxAT%>&Fyu#pSyKW(0k3v4 z)|KI}Ri5ko(DT(2zn^U@uOAF>w$)x%G4k92%vC5KSTetaNr=5r8ce#fEdTDH+r)K% zH9N$Ow?3iFEfa>T^%FO0%gX*gI4?btQe_avW^Y!otLInw<3mS$9yUgV%_+s%;&wW? zKdu=pL}HRW8~PP$oWp4jC&LVD7^4&DJFC92T7~LNn@S7MsLg7 zfoLa33ckQn9r0$yz^b-lVB#+OHneQip-;DuB>%b-#TJs({g21MQi2L!{HGwNvM0-4 zTi_EvMnv*?@nj1t#q%$U>JMy-=Y=6jR+*GRzzl< zB)Y9HDNpB2sQ`f0WfU7Q%<SS{LgeZjRbgXb7OCxZ zE_%5R zU_9D>%*}SPbG;>(?Oe?-{F&EiLQc1teg!xzowvivK-+B$ReK*qoZ$jPhSrOq4E%wy zG~J_ZUmES3Nx@SLl`1sx2q8%)W5sd}_MFMT+oDT5)lr@vo2sw^8u_Ie{nKCgbr|x` zAmW#M(EarI7Azesn%~;ijuUFP(eRv&>1^WMKO|M8xeR)}u!nu;n!=!f($L-tCy`Gv z5g&OuyQfFWowo+r-*rQyeiUImL2>WZg?O>9wLASY~mGMc!UJZUl_b zY>a}}*Q zA>ICgDJy;aDNDukO-m+I8ya}&W(lvM*?M4!w=k>L6m&5FCp>xu>48{)@PQ;?T!?%D zS9nHOpeAQ-k5hC3`gH^2QWo>J)E&Q^{pnES*bM3j0N$`bJSqY|-R4vT0u0;=MigsX zkja@WF$P-*UHhC&Q2LFf3jTq2Vj9|_`wLqFRCBn%HU8Z~Xj&Uqy=}xXdRvEDnf|Oe zY~d(Ig7Z*s1=wmnLT0i}`28J=KD`<>;`rvkv|nEE`0X_|qiuS*C&*s}(X<#(gyovq zFyHrLws022a3ZEF*J(g&1fnnvMlrKBWX@I^802e^$znHmz_4lsM zi7WEnZ@<>Pu)x&8LW^gS_7o`5mt(8OYC{A=BBOWX353)IaC|O;Q32G&uv1I0$Zoy@ z;{(Qq*skPhc6;2l zwq?1#1&KR5Bhdbs1*J_@YyP@TxEvuelUd%yyIDV%c6rd)f>;P z*-@Nu{0xvFHMc2gFygYiamxca&f?BVTEvJywTck`(O9a{#O)XGXaS*X+QptS_Rm0` zqagN{{)IaZCfh3IN%RC4nS!fCiAin`w0xRc3BvKYn)({j)~rV+zW7yCS-gPA86ONv zB(%W4wuw+Z_&mn)q^h zhHVw3&&`Tba^@$u&jhMaA?vqpQV)8}#k`dxNnD2Ee*WsKG_|&@cP&vtMAg;OX7tja zssv4pBgBzcOnkL!0@|Ki_vTcLMqvc!fbCaj?VBn$)f|FGTWMbDP;@3& zQN216`v~dpNEdNN;?K7I?x0__arr9eA;>~O$EY^Wl(P@~1An0uwH=J6icY8i(D=AE z7oILsFt9gJSBN%7cprSntwD4Lprv8rI%e^V>*iN3LCIO;m%w(+lA3VS=1(;htwz>z z!!FF+o~5{$ZP^X>Zj5RJ&%djSHViMDwsX5eyUuK`UX}f`D#g=-F84*)k9N>G zpKP;=`N#vvCNjpo>sxOgrbzeT)+8NR|9+9~;)SjcxD^F(a!vx~riWf!K{dv_+{uAx zX_dTjJXe{W_UrEZF!vGeI{5P&5Aa#w+K0d$vW5JMUY_sWY0V|R4461)k+L6}LAzp9 z8s)&cpesaKluvK3sB1j{A7F;cf~eGqw!^YzJymyP692)~CT9CG>6MMbc5jl&#ZTQb z!b);q#&yX6h!=Qk*1 zu2xjXuoa@8KjeEHLWC5+X=;A-b%WB9hHCmr~yH*`h8AU$v=WC=g&1uPf0vd`z zHc?=Pwppa{Ekm{r<^JJBU?m84jZ*Q|vfRdpm}toAeC08|+wvS4q>usLA;jipslXp=syw z_qsZK+dn<+-X0lotD`)|h16W)s!N^+aA zaIA~+?cbJZD7Ebt@35qnDUCspV2$4j1+I_x(uEmjwKgeW#3F`I`M$K)}h%B^UII-E6 zOY3nl4?O=TA~%2j<*N`4zCmNkDr4aFU!|fIpBBSfNu#7grKm2j>l$yKKq6kd&aH+X zlmt6^90Vf8qV4Gi&au^6^BGe6c=jWj*GG>boNn3HGH49VH0quEgKoJ&dg!-lXpbvh zZy|Y89oJ8oxKn3`QBxVv3gdFB{Bl?!2FGBOtds~ZJMD2rh~SzU#Kfoyr7G4~RqI~( zh7a|j5^BCL5t%~v>j# zKh-CUI$}-_<1zr?(7NydC2m?pr7V_Qs4gH{3Av0tuEidoi^X;e8`pf(6IR4+#hx@QP!MV6ge{hmw3?`O@@&v@uOri3H!h!z~*7cG0BT@FNIxu42V(w$ z1GOo_3ZxA&LwfA`c@wEF>-@%9`W&xHcnR^!Dba%r@bfsL1xnBXIALZkf2E^Uy#pQx=ircm1iDP-W+w%j zG&*+ttt=kvHhDVuyZwiqa%QMyVNn=m0|}4s1`%X%X5*yp?n$5;TM?c=hx+z=F1(+I zDlbhOQ%|gKCe9(Hq11>=1&sz`2J2|C`4~#xhy;(RKW9BulFdGj)59p!-?_gYT|{fl zKl${D)Ex2{2gBaF=pcP469}|_&!lAN`G5M>b|n^06;J8P zNQt^M{jlV#wGa#B{gb5Z4LSPZQ|KaEM7C1tW1GXcQ5+;tIPtc9tzGUx=qF>s(0PmK zLQi6Lyl2WO=<(eCYX-gQr&bG1i4eQ_pHPAF-OpKo0YguLCaJpJ`4InEq^dg_D0?L$ z>D02?(1?$>T0#fM%d92`>UJ?)8I9;6V-f-P#wjE4?X;ANM7e&qBP%s15}0g>u;~y& z|Ae6XkL3hH;yZeLfXqoF8U1>)HvqO=Ey*<9A0uu;!`3oy188C=w4JIf^{81YZy1F^ z_>0$!`^XGi0EtINamws-8vp}Su-9+kFRu_r(|JSA`8#u%1zy#yjo8ZkQ34qZK_D~y z@a+fFQw!km8S#ZvB<(-w48r2}-0w9TXY$EZFZOn!X6qI~=Xg2-OD{)r&IVI5wsu{z z2Hu;vvHw7o8Qmc!tA_YhSz7f^U*ErfMv!Q8KhpcifNkXkmq4g6Z#B_9c^S~vNel|7 z?@1+|F62~mO0&`D7o;`a;5MzR=Vw*9wNwy*_^Kr_E6-ka6w=Zny56dw_Md`NG_^=( zFH;>c5qqj4c}eTf!~R6=>txH4ix8*OU4`Fj;-MFaCbEIEm|;?`N_T$ErSl;K7^QZL zj=SF8lD@V*U>Z8~)L@Yb(qP$j%T8vGb$-Z)l<>2~VHqDufmWRkNE~+97#f!f@0C`!@=1^rru*j~iu9@H;Zg zj>uh-W8TY{i0&(HnO!zYIll7gI^6y9xwA-d_vgDqgmgz_W|PO}^0>4S#q}WS(oOYm z5-&=rqcbbK+@IPiy`r}b*?bh*V@^V67$PKqC{c~c0_Q%`d1pKnIleb#Z#P*nipDNe zW1-@v;DT2_!BjA6$)+~pnScyJD_AwM$&bxSp>JwISQjf`+{~2(dwnqr>GAevxF41I zs3KSRjT`GHGJ%sUIQDRuB~fgg?ICMlqy%{Fp-T5M!TNvk` zlcBCXZ;~Khsi~FCryjPfon6&Yxlxr^V$2EJ;(6WqEI?W&O|PXpbhX@}tM%S$(Rg-N zxLcr4S|GtO6j~qksc1Vcmhe?2{;fKO_RU%CIf>DbE?-vcmPX};MbhW_i~(m1pG-Q@5o)aH?S|4*jeWF}27>8Xi0AYcr_FNo zM0d`Q_=#)_0_o>wO%TGY=xH88^hce&`M>HU;UF~j+8dmOl|jF)1+Egef0?ucI~G?d zKpoo(uEFUdo3ZM0B4o7;WIZ0$Fhs`rXh;}}{t551x?gbar+r-DI7USbhA;1Z+4)nF z4?nWP;>O09SB7fB#YtCcy|@-(KG zXqZR_jhg8j?Y2xqkQh#l-B_hpZM`J`Y@+qPgi@gQO7>jP5iUf}{W%32=Qq!FsBi~$ zYR|C^4A+X}Xr)a9qnwX~W(!%}I;|!4Hzu2J65XhLXacZw?C}r5l|#j*qtfxvAr3zh z`+HRY#-grRp5g1LKPRyUQU+jett=+#wk)xa?|8R*#K6XldalWf-icc$(;wGal}9+j zcIvasYpy?y-&VO&9@YY6?;{*fV5D+(jL^*FKD>|0KJJW|YpOg4UmOXD8Ne{dn?^g! z(zW=wyV0{0Q}!KhswDjKA_79$2dj$FwjblI6XW90v6dk2kYV@nsFORuy>L8{{p)l= zXxp6vtxqg$)PhYI_4VX%K{Q9+(1Uv>voE$+&)d4^R@S#cM^&9vj;R2$$wN+o%#U?9 zl#-hZ0XfDGyJE{+`%37E8;{eFT9wWDPoXkvQ>7^8)COnnBWR~6haHG4xc?coY*bu< zwz&AF8+e%9+#_9?>B}BLHqcV6NNJ!yM$^Bqi{z(aY9WGNTCnRDwv_}#&6(hk>8-xP z8L<}_r$VbTs)pDJ3o;@i^vX`1BBF@ohZ?*KAl50mzlbK?R}%-2CEJCD(wq-6Z_t9r z8wOpgs-SP(S^NJZbsA_ed>10p3zeP`<1eqo=_>ehoc31f-1W`Rjolo!o7_hFVr-Ne z0j1R1%v(4oJFR=q;^Ijf>lCbQsItchYnr$k0f_1+ho-B(G)!Yqu$yrb?Ql1z#E2j; zcCRnX=>;4M{m#Elh|&RNRBN>KopUXx0`iIl;98VfWAhMnyZ!=kw%p4#Z!!1Tc0#T6 zYb*!-fGw5sZaGl;i-+W9Yl{LU!5F5w$JKx3Lnfx5OaiVW=c!@;H9#+Y=J~=LhiE9>QDeVaC=r&UivxULal-JcT!t zE%|-RTr673fQ(bQ`yi+IUV9fZmY?Wmj_%i4y#L!NfD!BoI)DOJRkS@1_v?rsdjz6e ziL(O9Q_e3F$0>b`hIm#^5&0&V91R)!VT3{ugs800#k?du)W&;B<*_WfPY%niTrO z1jP$mU>Y630a!6-Y0Ox(2DDNE|4O=8O#Wzx*f^jTjftZG*;5UUKT=>9W9OsWWcimMB;VeT4ABnsaLt zg=@NvkSE`@-d$9@h~d5P4iq=JGJT`2_5NKX^NUnHmIy3+82cAZQ1u@Ya9m-|vD%$8QUZnA(uRB_6htb&yjJ&vNTLnZ#`j~H5h2V{l$5yA%A&}zb0>}qy$C@k_z)mf{eF-U zZg4#FZ77>f-?-uz^}$r;{chz1;^*w_C`AMT=_reUtY-`(91<~Cb=zb7w^{3)U2krKs0MmKcQf_vuhm)t79 zv5j?wx4Ej2Se!iawD5s4t9E?Wj9`92lWw4B%FTO1*YTifOs#aY$am}51x|dM2*B%y znJ^e;HyJiZ)EErKpcE(6KH7UsGD~v<}e+x~0TrIeC@ZT4t;(bcIPOf8HWnuBU>BVmRC z4du?wKFI|^^dvktNhR=LEst*`HG`pky-a#4=E66=~f@c>~Sl3eH;GT-z)Xrwc&m?HUj z&k1cx`SC*Vc(s`#7fnn^Ow|!QsO}i{9-7eBW`Pm6{ ziU(kdv1J=cA&dV%8tP2)%RfIJLRmlc1VyQSx#!z`!JIMnDsw!=K;cXM_=GO-mIWsQ z@Ng{WqEwlfyYtE5x*4GmbM7I`AW0c?(^zMOeR|n^_B&fng1hL?sh(G+@dI~`d?PpY zJD)s$hVHK80Khg50>m*$Q(J zXb9hby8_$NIUJprKvmj8gv-ZeQnWe%q)`SU&o8i5J5^r;5kXLoV;Bn%pn(be@>#Ewg*&Fu0(dRMX^yyi^gybfZ7)_Te%z!blP#0Vt73QY!n(N|Td+CM zBd)2Z=Nud`CBZxGxwtkTWc~9N%totygbzW*Or>zv-q1C5Y`I`j^uIFnv8iK|d!m}H zP>d~1@$m6~@WSTK=w5R%KcR_$633mSHA9XH_}k22iw};(uLHbCK z14V8w7azGJspE_GWO&I->=T||u;_cmR0O(Jd@Q)j?`WP$ZAR=_vyT%tkPu?SnI*qMfdWO?bD~ zUy2#{|H?f#=ZQ`$DL((T&0_EC`%?EpK#FDh`v~3dqVm`;5X1r9wC}_h7g9eo0L;qY z7;oG6#oluRLT2oRpNrw(e$xyS|+ICTa9r53WB`bx`XkFl7nPvi%%EnHb6$e8l3q!!5C5e zhHliR^ss-^TZlNv&V-2i_tgl?Cp`!@;~_tlVCZE+Th9$@T{2D6rsdiQAOV{OMb4v| z1>2!Bcy_$;I;?4Gl3*;+EwRMK+%Lx8Ok7#l_y%P+GNX%2UOXDj@D8Mx z>=>V^9;8lkY^`=3sj;Owawi@(^B(XxqL2 z{F&4Y_2TJt?VgW65^o2uyJ5T6|5Tk>`g!#4L1Bu{$FD%BwGG@#gyohDKYS2+{7+6= zuBO*WMaa5NvNV4l$bwgUh7+P$A9iOQG#{w?n3xx6}w)ia^9|?3~EW_F&4SOV~(?8OU9eH$J z8&4EM%_NUWki7bW5TcGF9^V)!?1Y;=UKo}jVoBE*W`lPouxULP^~}i@VO}rc7sDZi zfJ$PsFfA-md}}r9FUCCW8NeDbp@Ksl&BX-P8v51~A*fUz$fUsG=B{QR6%KJL0oa&+Pq2nbx%W7mbSv$RuDPqQ;uH-~!CCnk z|1`CJAD3e5qndQxT}&aFj(-T-djEFgAj2^lW{B-oFd9a=n$oQ_&TX>lNhHt|gV|}w zgM;*({;<~x+rV|ghVP#HJJU4K&y@kkX}IxE-v6u9I`6$PsA~vp(IuhrD%U?W`5lN? zc>$GGw|&=U;^jJl{sYJYMX;zx@Bh%;&-TS-Pd$;?u8MkW@Zk@Sp6Tk1}1FzmYZ|9v&E4(>YpYkpMPgp8FUGBAbPPP!I^bi(`IUvv+80_UG(Pxcf_ zDAjj7^86XF{q*)`7~Pg=mOu~3NiPeTr^|NWk}Nz2TI6>=^^q^8G$rbB62sx*y)z@NWtbG zh^^sC+qYlq72L?;vrGYK#(zXaf2%5>&oX_NJ|S4mzAq)&b++m@73Fbs{@WsfNWDj- zm~T|kbHCGaw9<%YLy>X?Yh02qsb)s~W_ElLyD0>Li&ZTF1Ww~Q{~E(@Clg*t(7u)> z@;o>crdV?n+ZjICdjXAJ;o-hAkvqt8BJGo|MAJC}NXdfaCpp;~3_eSZ+c8VSp?42% zS9@7$=5A;i%JTFLxgI%TVx_def~wKk69i-u^*fHEvb$5cmXx)w3?FZ|6m$^)1OXfE zo?HoYT2H!A@H5D_o~gO-lK#wrfo5VHEzjnxB7x0+F4IL%O8y?2pdN{h1o-B`Q#UU2 zqJY*C9QoWUo|IjJW@YR)@9$@VORZ?6+m{MCyTNCwwgpMRQdbueMT)_w;~DL*Og#V3 zIw(%YDS-*lDQKDx0pxa$e~o*AhyN7GH{t}`;_!Xb@txvt(8IQL-BaMr@fGfyzI?cm zmOy6_hf0lhEx7)n#+P#0uv}9s(F+cM>qCkqZas-XsBDdWOay;hD_b3cu(R|b^TWv0 z0iZs+4Hr+pUVw5&`4vuEgzU>s?Gj;RjaNJV3i}T#J(k!89FK4=P zt!d_(&mOCZ;=&6eBXAzpz0c1xB9n&IJ_`IBem1Csr_X2ZyRyiQazRMX`%gl}sVMcLv!kK> z6_970h0Bd0;6m2CE{NHYh@#1IEH?#hu4F+5pF4QHSk`7{Z&qSTIc>-tE!GnI6Q&A1 zO1zGhki*Rd-CTatLrEmkD$kQhquWt(}#>V%&+0*2rZAkiK4m%p7{^{27@hk zY{rB3{f6mz(U*fPtX9SXJbDq>)cCbWeHi|?S;3$_NS4R-5;On@%7_wcpl_Oy%xO%W z8gf20i@C)Ku4xJGl3=k9*6<`~v=;67)f@8c$7|=VE7krY5Z8l|yVI!`k!kO0eJYN z(oxt~j8Xj2L<|yPhn*z~xvouUzRI;?Z{=8#yk2n~fi)1Rxz@mVTsL@25i~@tQWET- zk29;(yUOLsDO}wCkstybOU68sGi%U$&T;S6&12K>jU8Zbg!Aps`LOY{@a{BS6wrLco_%LMOboT|NYG@Ho9+1S#i>6HPg^>%!b5aVF0t(cywGN+S~mh- z*7>F}Mo~q3{R|n#)ie7#3E@Xq|LC7d4sb8uw(3!LIKv}*WpGut_huP5N;&QDHdf}) zS-_@@l6V*f&dZ!(Ui~5NEW!RDWOyVPVHt(0bHV(5y#ew`B2`U#KEYYdD(04m} zUeaYair@xUYQ)Y#$<;iztF3onTaUxAU~a{lVz>-V)M=ICQ~+v{yd60gBmy#1;uiP~ zKq@yS_+}Y5p&c!k8I~TI@c%3HKZ+SH!pKkc%SZ0heK!^(kZD8&T{ypw;L~=lDSZ9$ zX!mx@epNYrMP5pW=Y3QOK#> ziEyEqD#3nocV^c5EMU$%1UPz`N|2uX$2P5QHCIZ91Fe?i?UH#2KQgEfna2Bo4DAhur_F6 z--bQCDV;6lX3=21pQ5ZAEDe71_RSGk`mPOOFpKj3q@e?fvJ=7K#WQlC^n3| z=eF1&Od`)SN;J$=2W**QcoC-Ej&p?^#(@gEIlyU3>0M1!$X6P% zfG34*i)iR2U6Nu#{US*0#MXXo2_sbc)x6KV*!DdxEHD;UIP7&4(Kd>meNXBTHr3%} z33dzn`wkqkU4z(guou!E0k1XkpNXBY6*(Qb&&!}9?3ihk8t(YKfkCO?Y465n(yWvIrXm8IwqOp?dH&Xz055#2 zUz@q>iW)6(q49n6IYAL}4?B6xGe({euP6#gOdVS$f*N2dM{hpE+AIDWmY{%iYG7^9f0# zo5<81uHvevy-lhre{`T&#h>$^;W>vEwU5)*{8W^A+gQ2wN`N|1DH9+Sb*vPsA|aNQ zdSzXAEFKg5+o~hzw;=|h644K}07!4*kR9xSXTDGP3ypwd9amX!!^rlW^qd4t6$CIK z=v&#w3%DvZzr%0!(Q01V{5L+Mnw}Z4HDMG3xyYN_d&Y*5d5(q3kBYeNEhsXmNR!g^ z6PG>-)K8Tz|2wQ1+V_aS=@rbMM59gW(^Ded`9wILShE_>CK> z$IBbaW6GRN5p$tXN(?3*8*^g3Eb3R_g&G?wDy zYk`%)B3LPn8)w#7I0ETdKq(>kdN;dA0S@(3*X48hqKdq3aQ%C25gVz60^RK{wO_$o zx~7m!{;KC-3{NKyTTPcnULxrgKt~dqqUb8|S7W`~MTbd3HHQ+Zu z-W!kkrDE2kV<&~>mo`b(pMt<#pr)D|uXeB-UJh>7g$G{oxeM@fV!D&cR-KOEXy11! zS2+7dbBu;Ghd`cbBflmM2nslIY_F5)OxxD~FD^E;#S~L%rml^?xz`=*_EPwv?z%lB z(wriKo?hAuC5OxrLAarN@+m&Z^>2fT%ertj`Jvv#Mhifww@hF5>r{NJU(e0+LJ;*n zHMQXnfi|Ou$VKU?v?s}ttsGWZ!iSF=8(&$)wAP`ppf!D1o~6Rnk4+;S-ml8dx#WFz zk!H={hMD33+}2nk8)Da(2O4EH_-fcq^>KNe6lA6eWkwXc9or3$$SXdjkWr})60fvM zo?-k|cAmcw$f%ZzMC#j4;o>p>fCm*VKzwx~6}2yfcU;O+gRIJdiWYFmTdnKSwl(E0 zZu6)O3?1WQ5v}d_T4*@zc;L(7dpnOYL5tks^#cLnlsd>Tx0<~6xj{;6R)&$7z*4S45S3dor zSep;q$i=gz;-6Nga1DZ`2Zw6|Jj=bFA84Zyg+X@WTb{ZGxS9hI_!TVhQXY~GM&S%- z!~*{htF3D<=wtp~wygM7>E&aUFMYvUDk+H@HsXsrJfz;p2RPs@*`{bwytWyU*E>Sq zj`>NNOCN^eNZmtiN~}PQab*1Zxcu-dFJ(2r2U~P{yWPTYB8BfnJ4-C%WFxuN*A;d(1d8s?Hfo=E9LuH-^DCNXS4=vI zgc_pL2+uCtX99(=RIoh4F%fNMrf73R+{7B=@H{LsRVm6r#25MWJGI)yZhXJ+z!HXT z>c`TMws>S9oad(Gu^phi2uyZX3#B(oSk>hr^y{(&yvk^n9?*Pzce`i=I zx~LO#w+8cZnG`O8srz(zcXd<*@%8GO)-B*B|Xjao2hmWWi;BvvZ3rT&zJlRNQk8b+rDai z?ne}?*V=XGAi|Pxs2d9b^ngceDgz~gp%DA$S36cPUrXTV#$(+0*Xqd_|b>UAuCHi{@?oAB{iXt4!nr&<})EbXvK+% z^OtL*%fhGw>tiYGE!y3rgBXlkI|#)v5BIHCO7)JKUy(~X=@U<0dn91SfcwS5Y zdwjB@em0G)w9%)#n?a%8WPS*O9&wp2d}OA54TCS&tvYgxGd4U@KX-T10jI)9dSc6ADY!nz%cBb+#qbN36%;EqtJl}Cd zB7DjQVF?t50l5qlR=bUi>pPYZs;YbU#!y263x-C|8P`RPfS_+Ynh}T#F1= zhV3j0Lyi*h{{er>e&9Lvdao!ydtXgNQ=|t9D`R5s^0HVi7>86g@U<7s9v<*|LNDa& z6NcQ^=pX(4`!vka_fd8S7YZj{p=3wM%9CGOfU&d>07Inz0sv5n{c;3B=`%+LO4r<` z_vI$RbqUe)dziov2PW|?b1#ZWknBUD;Yn6~BKFQI2uXNJV$&Rk;#vYhGS<7Dj6f-* zN_nW(JQY5`d+?qTAZ*Fj&0FLm`1pvb$6Afjr9O!{=ydm8ta}3$FOw80jo9KXG(QYx zQQ2AiNGJ>Ec)q*eZj+C&tMmsDWSs5jcJ|iDO?8qs#&*$kImE>ne#_#`OOptkQP{m& zcX;4e3(mAvIPcpXwuTjaI`*`lN64_ac;o_oioA1vyF2zMFr})3PsQUaPlp&V*M>vw z=ln8f7t}{4=)2;VZYD&8OUM2dY!5<0r!&>%n>Z`{s3Idr6OSEi^<`+F{&lM-B`QO) z43{q0&F+N|>MO!ihn}kctq{vOSlzbwKWa@6rhjh|pZfa1Q)PwCo6;c&Kh1^Uq}^L> z@7@?*EH4J?%=F^PX3nZ{u~!OzplpBq7Cbd!uEJ7eyKKWq6D-|`+P;-U<@K(Q?iAm% zMfcuuZ?8`Mu8x-@MOU|cTtXT_sKvtKfDM<^^(kqtJ zJUN>$z2mJHxK3Cx!c2AJ&NNQ^UV(HQ)MQw2Y&Q~78=C81-)d3HG3+^aS%v%uK$pIy z*V5-I$JmCk&usiaP7Wl5?iLCCh*EG%qz>04i5ne95{j%NQ~g>Oz`fdX(;Dj zE;ZGgw<(}IZ~8bOaAG(=B$tM3HV5PpPD;A#Sz4%wZvA?^b#%bbD*9$~0*hii&Hp|% z8562=j@SCf5#Jy(h?$XJ9~ntukr4#b8DokiG~7U(07cUq<1wazKja^pjDF( z@4w4{J`71iVb5+H{l%TBh6i|^8~Qn(GB*tK$^Nj9G^n0vRM93z+Gc{lqx!eTbgfre zvrvgAa!FaoD(!LErf$A?X4D&(HRXJl^UjyUd1=shj3=3T%pW+FXP(GRr5i4tAmtJD z-EK1Tg_UWQJ~kZy-l=LJx!<^g?G&5WWAVhuGJQ48LbXHCgo&DUQ^9f?VFGX#yV9iN zLYoi9r)`EiA2WPlQm{zMw|f`d@j>LiE^5;i*%E#7g4WFh z8HMzoK+a0oql5X?87N^gEWp)My;J_HfDM7*% zF(tH~I}ymWr4KB`QS(g|yQkKS_uN;FgpqU)E@>6Ie0;2{!o6y~X(_GF`%yI|Ua&i1 zce~Dm4^&`0`sgQ8B-W>yi&H89j7^``Th|4Z1Ko>JB`Qz$KLgy6xzCVr7Ou^8)&kBy z+g?HJY}$e(LOpNmCpq&j4WrMuCOU%N9+tL{hzHOMzBmPs@eKH0iKBTB%C%-cPcp9d zM{4H9I3y?{J8Q>N5Qc60#6;p@PDv=GSnQVL zvU)gPdTKY9JW+b1qXv6R0yAcWL4VX6nEg&eLm-L@f}VV^ov9BP;sKG)(+-a1SU&Dm zO|i0w%1t)Au(XXB0^dauy3v*mR1jdqfg!1Tk;J@O$XBGvh7J7gw;aVqT!g9~0p!$s z1mByYMj`BsIJ%cWfoEK0I@bB7Cf~B@m&jQeGD|%UG zrXzoj5hT<~bCXd5LXS9rB?^6KI(8~+dMMW4CF_ps&+_>Nuiioa(qk=+D&D3$^0-9~ z!^<6qM)02qmyDJ$Dlx%c)V?a)Ykt>#_&b+g*1~f+Xu@sWP~1z}`NAo5wLf@-E%WvU z;X5^ehCGJZ$>++)gL3|4fiK9OrdEsvcEIF{L8?{yJVkA9r9XU$KlPuU$iJgn+cPp2 zV;CGv6LRdSd(ZQ6SYR%Mf4vLsk)yA&CCEK{7CeTA$Np%X zYy5PN-(J>fOIB(9?tl63e3#u~$h4v}6o>(=C?^hZiD$rScuQx8bb>z@)_IDp*bc%z zVlrydH(wNE!J1u%L%CxSDsS8=r~-RUQa6RkaG>#<*)e^Js@~W<2bK@KfE8k<%4Y-(SLcNUdc{fU0+Dhc#BqHl322zY$Tz!0hfr6Z|c673mU zW7GTl&Cy&=j!9&4+On0BuM%nmRdeq2F&*Ecw>I&a4IG#8f|4USHKP%*MNg zaN{1sK(9ewikP#q{;CLPC-CHw1fcgvRo1cI_2qB5YF9yg8VnZ!EIR08M9SF~$!tzK zv-Ts`VDvsB;tsixN9h*tS0VtP_0E+?JfBMMizwWT0MWM-70cX`6BqF0e1UrJzFTwcC6K+P6`qWu>L7&%{)@?5}H zo){@grz0S&AY9`Xt3FQ%UonSp`F`_EKrMvp)aCTcpYt>lA<4EOb|N z;0o)&cwtH zzs9~xo{w|6dOk?8Zk!fdwZ_D}&QobdEA4nSSzp*Dl=;W@Y=P2Ixb@0yH@VH-ZbM7`wV zyO;&~F*E57cFL+3rfPH{6_@VMAVhGSKRHJq#`zGK#_&(b-}L67&s%#}{ZMoG+~+~t zaWduoPIzN@5tMRojTR844;&oCuNS zHr6fPoT&%s_oDJHFQTErROOY=&q{t9xPl7Lh5CUhp zguQd+5I%1B%ZQaF6Qt)=uF6Q}Q&&U<1jJ!6^1j+(eT!82h1n{b4ZyWz*HS00+~#ir zL<*By3yc2UK!U;(7YGaqC1#X|I}J0MhjDm3PoeNuN;f9ms`ylsIt$a6M1ig=Tf*aN zk~%-Kn?QtX#}^ni2l(hpRq{PLzosnR|K1f)Q@LfRhyV@SHg?c$znG3yPOk!7nZFjw z+!2P3Z_t3Ufr+dbuRwuQjvLk64KSEa!3V*~lW@!_;U9ZolzWUnY8nL?Pu6z_ZF9lg zOK`7lt4hr~g_3~uBTiCA&Yv!ODdHFj-i&MLS;M7!`W(*sqJ@_2Pl+=YOGNb-dr{fL z90&3M-LEcKY>hCnJoGZ3ir9kg9F%Z3j?-!5}Byj`?T1qHz z@GhxQ73X1z7RwbnCX4(Z5+~wvLuiwj*~z`|A7AjR7o;XQcqACh(y{Dt$7Gx>ga)R+ zk{m^t=dKacLF|;BhiLI0${hUClpxD}!-S}SaslI|9B|tRJje9~)Gb5VE;3oe` z`fja>Y@|hW0UPlp`PKSb=|~Xr(wIDm$}qq|*41W4C@*b!RlIcEEf^b^{ULr=?z z5^tpXH1DZQzpWc;fLV6c!(L7^t2qZ@$>H$sj28%?w?$&~r}<^uFv7kK3I5LC*&8u2+P)9jSWtzE%m zdCeUH=OYX!EkE&zJ1DDY)6%*Yw8I9=j1+A(n|YI(g!eT+^U0oat!dr0?W0qJuh%Wq zNI1tYxc8Z0^_ak+Qysdob7v^1c-O)K;;Zgom#$APE2fJyLTfTAvh`cs!~ddFVl9tY zm`13IQefMjjP(U)AjJL5?_#1jy5au*<|vSMact&ge5ZS%)^3dN(s+F15TvxVk!xwzv5W- zpwsgxVjL!f`2~VLf4GK=GFLbo1#_v1J)sK;2JR$X%tbM;(=kq#SmUgbG!6l1>RQC@ z{`8*oQ1emJRigKlFG-@YrftuC3(a@cpFEpo#IU|ibWOz;EC#_-lfe-SMiQ6h6}c0v zkeJ>HB?SZiIbuU8Vp75I!4rDjMpu}`+gyVMi&K2Olmb7MIE3$%?O6Pc*jR;+EPoY- zE&S)s)~(sD8tg3pXsUjj6&*hmz2N=8r-r$i=%bk0B;I{>x;qn_*Xr0NZ93M_=h`EwjUtG_FnI zB(KCBGx*k`8i{sOj*Y@l_xh3y!R4y)+oKt~-5c5vBAMn+oD^iHG~gAr!ihozCFTE{ z9Q44JyEK^B|6Wlc`ALsJVLAO@9_oQ69iG9}l5~uDUC5;`{wh0m5`#{Yre?fze-|Z+ zd5BHw^pKD_LXqLj7p;dK2^12cL~EzXM+U~ zreFo~zWHAVWop(6bnX=FnxoszF`5JwV55#j&)Y%9*EZF)9L6kA2joBZgSLK1<^&la z0|`Cg&~}ut1sS3mkM~B1xM5a%@XxpoG&4co63(pND^Y9$wQJ~da`~@W%xOILWg^m} zT{?qG3r*_BIcE^`x{(JCbWvTkV zu6DN#s(x2U(t16OH>Vk6r0CFAMEtsP{pwUl1oA%+-AQLi$##|HEo|7`e{Tpu4Wyel zqHMyLusnblijt1TDMmQcZ?Jq$l#(vj&B32&Qee-d_@Mg&`4m30fZuIHzz*e%J&sg8 zfkt;>8@jfUUnrqf>ZaF%<(xuEh{L!Tx<_*|nMGJJi zn6mxdQci!pfOgPvKV(Juy{}Khj*`W@0d@)sNx~q!BOYI;{ecJr60p_6oc$)d2pqPpP>Y9xJFIEZCoDDvnxSp z=QW@)IySdBX)BfqNhTW1`RzUi8fH^M&_(H8?8$w&PVi*nWJaX1#N0+yOqrx}g|we5 zUrAz{F+SoDehmgtkByZSpUUg%x%dt0JQKCk7&gmRa=cf4m5yQu9oBVT!=foa zcM8Z|s7$F8Oa4^0*SgEJfrg0H*gihsRYrg(*k>v-ne*ay{$<-uy+-qGxNvzy6*BrT zFoVat^o|g8iFf^^&>FVH)s-7}x~U2mT)6p=we2p}6|P7L0e>d6yRdxrHtJLb>p9%R z7ZL$9DngPR2qp_UK&0DQgR}`QOi)&}O|F{b21P{PRE4glIjt<0xKlf|2AG0qR>tsF z4n{<_3r|Q@I#YbweJ3Q3&kfHrlBpEmtMHyW%D}@mV13K~t7+HF`8dol~e z>FcPCc?I=vNuX>%++WRB**XS$XacnMcHmvtrB)m|?fm9Hu*X=jnqePs)7dktR`Nl| z-h=cRIcr-&Y%J4gg3Tt8j&Qlc3hn8jjd)%)jeJxTTQpsLqsn}yL2bBEKa0bTjV z+n`ht+N<7C+i(~jHZCzX_h1Bl`gl-^xm4H+mpz3~#DCa)NI&ztP{%^#L@vnlLLZKL zVMj-I{r8cMx1(O>c_;3!s0(gE5`n$`NBd+oO@2;7=a@sNCzTf6`PT$-&^7FqVO93Q zJ0U!_>OAkgo9f73Uo}&FqRE^W*31u}q+i1LD;O_eO}z84M0A>%7RD~0Kpx2hQxXPS z+CxxPI;->~*y?=l$US%hCyjlvq%F)~qu4t^s1xC|7+^IG6!bV;Ea=+XXo@Q&eU#Sz zrcE>0GteKV?C4CvHzhu^@#>c7 z+i^vrJ;}w{t241~H^ICkrJyf}FfUzUD;HTyNLyAnnsm0piOCfvB?JOn!_ohU4iaW4 zTcs1~?f7gA19imqGY5U*zGL-OC9ZkfdO(bdH0J-g1bT~&m zAAPNCK1JEtxDnkZ=EqMz97SjtzFN7t6NXtZ@#zlJjjFmEyl^ClPtep(i61xb0>jPw znd=+2L`zk;{3V>7WQ+V6VXI&vTBPPDyIF{95eR4k(rb*C@-lk4GC|Lkc9AfS2WoeQ z0ZEky^>BA=+PbQvPKb`Xz9iCW)|`^&Ha+m7DG-O~PB34)=WvPyx7$c%E{#&`W;k4m zZOi0GGNTh!na7P-c8NRidF$A?G6EnCN6@eXdqcg7=Ng+vR3-GHejf9{^`#x+K8oI< z5$EXFk#94sv)&&G%%~U+=f}3NA>yYD3$TzM{k?SSfm< zA%u%?btE8MM@yMm_Ea(G8Bu~Q&qD>`CYD!#m^q2q1O&-mG~*%@9w1+k%=ax0nO9GC-m?gXtBc~zM1`74urOc9Z^@D=EwL+i2921xG~d-rLxDeoKphH$e0420o~eKhn&>QWSLk!t9~C?##MkNGsK9li_8fAr zBv;W)OC!hHaPZ&*dMU&#h+XUZxPl6>4N!uM}q`-PGeO-!RxBIW-@|! zV>{!pmy0{~Gju5j2qK~6HR*x5r9j&CP zW2+mk5+P4TODm}Jlh?ewb9v8VoRcr)297;D>EQ&m2}RgdrSm<>#Jdcd0>Qo&A41w4Y%_{1*j zCV#cnTLAWe5Bs?Bj9X+ww9o~9j(D7N*=`h4hH67yBoELT6#R;yakQaaE_ZgJZgTC@ z@cBsqV_{`>s!43C`WWlX$ynz{-qogEoMXS!O@b~FjAeb>$x&L-Bv%{_p24O{^}VWp zf1$_|*~8lziv_7;1e@*%a}l{;zk%2MhXPZCPErg>J~C?GHQDM0;D>#;&(f%Jt@_U| zM=$Y&FceCS)V6|v2O?_n9FGuf2c6r=-b;7MVkk0AafG@CyN_M2gojhNoQk||^J(oyaMinJKd(*t!8BUQxgj(DF|F0BPKT@{ka zX2h)-#3na0v+sXCsA(N{-Wh~jl(gFY>y(1}o%=#=@^4e7_6I+U@C|Xj=#BE(vP~!0H~7M3kU0lZt4--;t6&L}z&)lYR+( z7BQ#>H?S>oT~VU|oWMHU;O}Y|(3h`AgjMqNJKvxQI3@>b28pmnz`jBIvi~lxG8#%2 zi7pD`tCZYP$uFuf16wLg7*_zjFT$63jDQbPdhg7b6UZDYCFEupf!7;2H>RD^KQ;5w zZz3INZ*Cpr{9)eh6A)3e?0Yt7Oo^Kx{9NZUiZ%@jVdHEKA;M^r||1ZTKoR)@1F#fobw5GjA0)3?mcGa z_fZD|cE0sKpDtV%yVNnmIHb>7_RMsDBeMnY-V$-CM8A@p3?BBTxZh#O| zx@L+^2%>GQfa$BXgW()Snx!v7$P$YENLy;liBU;cLac}Hewi=R-DsgPTwBhHa{KG( zMkZh8lsr~~EDeCO4|oD0Zl*R{65lTQh50|Mi1b$k3wiMz5%t!`ILi7~K~YXiq0Z;v z{xv*WaP+^%N=mwFes-E!!TkLh_mWiYMUP~LX?2XS9=<=sV(Go3QDQT$nr0b{ z(v)QQ#7TndnT`YpyMZ8`1aBeEQO!fwODsGlP!yU}V?S2y>Pq};?>$2JZnxn3ZJpp_ zZePaz2iUe_cyZSH2XsZ8<&gqX6S(hW!+o2lHRVbL;_h;H%%J7*gd!|Z%=fFAuKmMJ(3yJIMJ zPuVRUnTz#}hlyx56uvkSg*1Jg4DdXP+V?!5Rs~>;h>!&rdFX;qj?Lm0YS{|Lo0-kW zsjbv_=CEJs?q*P8q-vijNRFJf76uX4&|pHY2U{YY3-$o`y#f5u_pgpk$h~g2*s-a* zNl)~U@a)3{E12<+)^$@;5Ntd_&1!XjIcFaj4ExJz9c@}m?8??v9 zYQz!q%*s(7N3tgJ{Fqjj@od?S9CaYe082o$zu;V49jJxlsX=0%WZB_<5dHF~8Q!c< zF&0i=KgV?p^E>(gMHtS=MldI*k}9ux%PMi@f(oZA)6e?_uty31ZR{dsOZKll_Hkct zHX^h)hjA0a6`=QBD30XNIVh6Uq3ufM_LzKwz8!jrEGe5(#N!>r)e!jQw%(le5{>UH z4fA|Jhb+Nx_Tgvr1$m_XcTN0=tjA8WA}6QD!IPsn5Q@CS8jfR2Y0)x}B-eqn9N~b) zl6Yqyh`zE7c+m$SlwNG7UPE5|^x*Km7&^Ho4p2cqa9c)R5TJ8X21gVnZ-XhK4Q0Iy z%UknMp!LZSZ=!8pQbmz9dr|d+1-FMj-j2+IxEm*@)S8jz_CO(4s1S-Ve$eWC4l*Vh z48J$$@?Y+<4x%oYcm!+t5>Mq1aCTlAC6XSL}i$Y-*k?L+#j9`^o&>HLJH+wDP;@2gVe+{WDUAM07WD#dvmE1tY!*xE-g z?6sD=vdW`ZrhTr0AWJth?C(WFqcGk6YFzF$=Dp8MW;R?Mh<0b#HbOPz>HQH+vtoMt%cU z%pfK_?7an!1Xww+_$kP$Y%>2MP!xnr1(oGYoSoYZ3dh9-1aK+2%;ELUMUVKecd3zz zp`3BTxpZI}`Lmg)I^6a%HM~J1xufy^ zE<{N8^htIO`Gxq5h3SxU(s&4BEbbyWKB~iR?QIw>S-0ePR9YE%sLQzCd&3R!%mp<~ zHpR~2Jmz%R!dQ~b)7xHd94aiyW0L7HxykQ>LOSHmoI&YrW(2y}!lh6_IAcxi1dLl! zaN0Wur){)Ry~ot#oCB+F&OH(6;&Yi+Hx&HEG7F>Bdm_u za4Bh7H5G{tazFxQyCb=Z1>_e?Y*SZExlWRmG$fFa7~d#3I7y$k`$h%GF1x)Al!WFb z+T^w8Bn8uC!#aTYf4ftQls%Dx`Ek07-z_zVQJQ`(iXFhoWZ8ox`@U1gfyntoYU0#} zv}i?0!%YdSVK5;M|J<$ejD|O8n;H>svNoR;QLvP2E58c!mb1LQS1(K_@2;{CmFT%w zY%>~}=Q2vKTcunSn_U6;)>Kz&xDaJnfMvI=q05p|RWJm-u3L@^S&h$9sr^87j*fxh z5fowo*{3O<9Q#uC4Qi_gz5$ylt6{s%rs)(jFKO-;pI>3fVDFw`7=+KVZ`L0Ul6$D~ z$2OVE0j_{8T2ycesX+S0TyF^s)`qUs4j<^HUe8a)Qu9?EX^8xW9hrS2BmO^)f-Rde zwA@Drg+cWLgZyRz=@P~%obPVoK^4X3E~(_5i1acCr=K#0fT(vK{dB7_{+g+rR6fZ@ z^D~aW;iD^^BrtpeG{U!0;;Wc&-vYVYzyiC^7U5ZXrjHY3;66;$%y;QSN$Rz^;5BoB z87AcdKYWPc7=mOz?LI~cS@E!zN7MGSh{yCNLBzfpqDi#!sKvdIK<0#)8pwTLGS?aY z8p$+X4`^??(zExJ{sx(hH-^%Lt8X&SSfa6t|Kc8-0zRm6255xM=4e@Ge@-vK_vjeB?0y=Yl;d(ITgMpJMYux0j;KvcC&3SW z65R$&lW16-twwWgWV?K!a;}Dllvel?A(UB;tmKQs3j``uSM}SccPlKO-n0XY8Ft9M z(Hhg4`7QR7|8-Xqi|0vOby8axGN5FB zoF?Tk6Ztu5UNx|Uvf{+2;S!!{P?}FPLWA1Cz1p{O!;H%vqzo1Kh&M<8?V7f@(!^{+ zPcGKNp!^FJl+*(osLDhhbyY~)yc9x#H5I)Sx=5?(f%VhsieQ>3W{08sBIAYe9;r6~ zBchF-p}PmMhxq(E9*Y^jRq-fzft6qvF8D7ZP601Wo5AFLy~*98rR~)Q%}=R^2ss zb$<+#orV)|{5C<``WP_D@)?@@;5#-5D2 ztf$deYy;@P>o6ch=4kyHcievqgHm*T*h3eD9_%KwF(dIfyx;<(PP#Y#cRril6MEMkE&*I7;%bj^)j3Mxmt;DKJN@^rri^?8N>>?@Zknu--vi+}F) ztG-VJ+>gYf!Dx0R-ktE?Sz-E%+TntC0+EM41= zaKl~1sV|M#&vvyS!bW7*iRgSZP521AdNiP_Zpo*gleL%q5S4dqM?5yGMSw@C%s z2qs6161WM3Ha%!C2S?`G##nZ;0@JA;mtUg9V(pr_tK^JU3-rKK-$5>h6`8-1l;;qB z=b%ioFa;&-`y32ip&qE<6sUJT{!#CR?aHkfr=sY}B|KUE=>Ts6uwsxx`#$Y9L~h{WY75NIp0jDu;EIbaTjsFz6VTo%XrZ5MV}Z!bP9##&V4 zFiL)Gi*)(gqsrz-{?oJm#X9ai5!y;lNx!lJY8ID*>C`7fN=^nbV_bVkGr%%1`#+qh z7>jEIgrEvW3A36tKx32?)=pDOG)AXRYqDTV4z}}hD*OW{;Arn6#HSr~(NfS&ppEP< z4xd5d`{}t=jqhFb&O=+$-K1Y$c^5uD`rR24jel4mD-js{l00S!4wl&+Y{ZJ6kXl8f z;b7C4_H(^OqbD9kx`Ruc9{i+JEZRF-O=B~h_NMDs%x8f(2WQ)P6)Gl1y?FO{c}7?v z|4&&oTRgfRP1G*8O#LAD1Ppq+?N@)T2(a0muxgr3u^M|*#9<<)A<}p{I9beX1|^}4 zcOD5wV=@I|j3=!kGtoTm{fWGWN*5vMt6ve18Z!;k&I>?MFFjJYR1 zUu9;jbWeHbJBhII+j9Jz&UHE!>`7*c4DRPp%62ZK(;{W_h$MG_hJf&t5)``rHtJz~ zmoj0{21tDkY;krBq~F2gC+=XTB1v^6X7-A~7Vn0bH$g zcR_tQQDxbZZ*5sveRc|RpYkkn~cF{c9b&cKfbztXnz72Mt;9MPhG;%JD)YaYnJiF7c<$ks063osB)hR`&P#*l9j@6G`IB!dps&=%(MY~QLzWhu7}rALE;>o`!x8IPxcif+4rC8j08w zj=xHFvmNB{IV%r%bQ@;3>_7isi6vjAii!kDCvi}X#tN=N64jYwVu+ARqx=-^vudd< ze{fz{{@4D_KpUg2N)*a`^A^q?Pr*#4LbqG3#`>tBeo81AT62}_F)e!v9`z-*=K0*4 zYX9Z1)gDj~oZy7e*qP=x{mFlurf&DgOVPxG(5XhN93^7<;bPhTpS}=z9X#iEJbNc_ z7|#&Bn}Qj7{&$s{7stYQmRFg6sj@PVD3=8`Fg#bBn$0b@`?QdRr(L}_1b{dGGjq9> z$F)z7v?;w{SL!gdH#~rs>p%_A{keY}Jq0}kZp+39s{a?Ft1)U37oaxhT$`?^fA|oIYhO62j~nuXJcJ_>i|lrQZc6KBULY*F z+=cKbFbbA!M)N)LzxeoKfSzN`aY#uo%Vpoh;WP;@h!X1~P1O6as?~%AXs~SlF3fKakb{ zSQ&^rf8l}Bi2Kqt9FkivJC0N|6AAenTgQ982kJ~Cs`q6N7_^Ar=Y6&_`8tZqgZvQT zTUnBKy3v;eJP+++_7p__7j4D_-dj((cWFq-SWyk!b$?blNkPeLyl0|y^1nx!zd}Su z0uq!u9m|lNzK1~qu^&=$$XU}=;K#yAv%oOy zQJjfwQ!O})UxrESoaE%J%5bNA1lpUSRF*Iu<}2l#Dzo-hR)}*=!0h!qvz@gJU~Yf+ z9Dg!EGSB)Zb}*_#)|}28B#pOPBe!8RL8%))p|H`Qx^Ef9Sm$Hug9*c=57PG%Ly7I@ zGU}5PV98i$(2QdYlNrkPt2n^6&t79!w<1i#@PMP2k!BV9xC;iq*P~$ap8wkdU1vR< z-E-HAsaky!S>n^p1yRtm-i$8J68FN&Xv7(P1GB{GpV1gPTzIMc>kpn&gp2o^&IStH_-Jjvk zx)T}fKm2vxYS&{5M=+3u=)(v`$BO$eg3rF&IfddJsi;?4J}2nviH|(Sum@i1;qb+}1>v4|`da%({y@v@z7Z z1+E}=R<18(-XgEjsC5q+NhO%+v>PN3iJplIobf27>~cNhdWLEDe&>W!scSo?;q9a) z?`|%&(Ps@Z?j|Qr0t9=S8s4zxbUs6Vt(aBnl^#4Bp9%%OutKN}*4W#oHV=K9hW^_0 zu72M?(Gbye>Mf$g0BlwUaZX>0h_#CRL93C`wTdI9qmlW4OMg6#iP4qtuL-&@O;XH$_x?i;+U8jtgmXdz*gINVT% zzPTqj?mU4tFLCYjQu5WK(G?0LuemOeo?MsF$$6brYzXWcE2w{S#RieB<%-8zvNE`> z;|wt`lypP66>=wc1_62pd7%ipZ%xv0Ii4ZoqT!Z(`U>9|XlRMO>e_-IClKnYx|_Ox z>iE0a^$)!BJ7-wI(4RAP_28W%8IS=9p-?0z$pm|QodGv~_80AcdH6e!J{8}hYojDL zRDs-Am6!D4p&Fw7kt1DsJ~uQOTM}ibgIVfJq#x zU! zxzG=Q8i$$Y3K8W{|va`x@Cm2E7L{_e{WO{8WUwAHn3Ag#oEE z0uc4W|6;V6Gtb&#oND0DQXon}yG=F`e>OjxjuJcb>fZGEc^i54dJ5%l-8QIBQ9Q4| zyIh8z&`*xzaPjwL^K-lOk!fL;h;dQwQ1xgEO&2pCHv~%e)L-)=heboh%cX6^k)UtLU)gq{U2vs%X{l;i34=V2KVgad{2%o9_*P2k2~dD)wj z+K*DnrgHxEa5G~i<<0uz`oSz8s7t4YzP!#*(eh>hKB_e3UA7iVUO|Roz3k%mWx5T+ z5cAHSqcXN=fA(<-wYSU@#}$N*aiuNE{4mcK37k$y}#ZB z{_(C#o#H2%D$}(9HYvrEk3m2A!*xdjTtmUWVY!BdCX2!LKE6y%Fle(Y&-o zCs|66Zsd2F`!!=(wN(2C=Fi|e=H~eSe|K&R5yy})ql*oJTKZt7!W0UX%rpW6 zIgN7Tu!Z;|e!-hHo~GvtyrfsXfwGvf+2wtbzrvy{KYGgXrAJvN?4YI1E^8Ya4_q$2 zYM;;~W>)w*rr&gS1WeJkMHqesN6jC%iTR4U$`o6(3SvJuZleN$ycJW+^U9vv^PWko zDu5+Gw$(QS3bDgijtP1X!CcqqDQR-)Firu&6PV-|xPOBRehvBXG(Bq9-bWBzCi5m~ z0;0VCm$l$F2=+8EpkgHJ4(uu@gM30+OV*$0Ef+o1^?Y9usp#NF(nn5NMhk$z<{|0Z zJ%Ry%WWTPR?;ko=YpILff{Yq6ccu>3KU}u##yE>I+C$ICl_1?-nR(_`CK1CAQvV37o_GV$#X)t0@q+8z$2=+aJ$~3$tL| zD4JT#9hzbRN|}0(5ZDQzEH(lE!OHcf-lUpdh>x&zT3%bi0cdPyfk4QE8}YPg4$beH z9-$9Lftmr?M~2K3adN9oWCVj6*cv-esAaFDR9N}|0jB72#)xtXTV~xsz`vMf@M|!M zdyqpeC*9eVnhnT5hURcFp8{$G?{~I)JuJm}jS03ao(vQdTU}gg6T|@KG63-X7EJpt z(?-D=qE?Q5obDy!)MKnW1yz&6EW~3*g zwd_6Y-XDnUX>fv&X`^GY^-WV#cfEN)HQ)U7R%8FPd~XxMvra-nO|xWqFQMs|SvGt{ z2tt*(KhSe)L+NS%qdRT;`rT>c|AOa~LaI@kuA>j^Z(Rv#a)i14^B?%0G?3hnF9LJY z_NJ83K{#p1M|r{|nEeXJG?O(UfFD*Humc82Q5Pn-yFI2-&o2z7!o-B=x|@l(z1v&+ zovwDSUOi#vy8A4Kuy>v)kl6yR9Yi;+Ncp|tv_mKx)-bNF;#O~77I04sM7^Hxo3{~4 z9V8TK(b$nc1V87m%o*TOn*ZR6WD34( zye-Rm&D6G!7n7gPglbs1i<=3Y?O><`Yij6c0mH{I#OKHsJ!B~j+JC%mCCG$wMuBRv zc5}Uvy-NZ31^hCNmCOU3EN<;e)$(0Dl0Brvd%871b;^%Y@2}OLFxO>@tZZwWE`Nd0VUq^pOH;zXR2c zVeAwRbz2l;1&F$cFmw$dmU`$SRxAY>(*Na-LUO*-|83;LPe|^M+!0OAv|po4m6XkU zygf=>rOpOMJ5mcq77Hg^mFPJ)yo@{*ByRkqQ zGx*>@0Hn?y zPhR;NQBJzdQ+uug_@)xfpKS9be^&ua5vBwW4_ZC`{UqKum0>}wL2a;M2#lpK{^j}{ z?A(|ITu~vi{5^(uimnfggk-wp!z><7d84?br$3Oznjg+>R&^e}LE6ft&hf3SK`gU< zTF(>RM9VlXTR9vnqEn<*5)!(500oo$=7QF!%=iiU>iui}!=c1^>o|a2TmZ6Pf6yuEB9t~O32;b14A2t;S(`jE;dfJ^`366-bG6xXi zEm6@Uq>p0mxbnFvclFcW3>c@9LoV1X(-C^dF~4USp6bdqL;D|m8j?FabFEs(HwhT! zCri5KIYdpK*TkFdLFeCeUz8b_$MY;!Gch9gVH;%pjA{EVCUy&6kdjPU4SMs)YL@)e z{GdRZiQ6G!)psvO};= zIkMFu);kklS{pXz##UP4Zp8&9KHGaN$ol;}N(8G4(#!f97ng$=MfzA%JZli_Tszr$ z0vr7?X1sXGW6Og&8ay+^&MB6nEZUC<*2gU8GGUNxrKKZ_4?9+&z^`PGfLRv*Z2oo> zn3A?tW2X4Jku4I=#M0Zn(Uk~mTYH#4F6+Ne!2oj z&HE3ga)7wtDc!W<{2t!S>|pZR@YE;(lm`)w(!bQ<_S1e`NJPj_)ukPZ)%rv|t!*{R zUek5=me5?1kPS~+2~o+HD+w8~E;Lh7(Nh!tH?M}3NtEwUz8hn)#t&#lg?pmY@qi#4 z(w_}@9FXT}eG3JA5#3kYf47&hpSPC8wGd=1?m1Kc?$`yBP1GKQDoOC-0tB2ztP#|& zr+c(e@bw=EFpa_8SY;q{RnrEJFa}VNy?;TOsNU(D{D1OAy3J(9#_CQ0MU1=c4T>}Ro!=wD_yJ*y z<9_G)&fV$`*7&+$fRok4Dv+}6DnVLchu;!>5(5szyimiszQX$F{|-bUpfYB$F2f$dx^UJ&yWZr6>d zG7Lkg zEn2I$85zRZr_Q4R_zv;*ssgTY9J2)~Sf{})x0=;q&srFZp{yBNh3T~}LXIc%h%DA}()0y$_LxLK)%_CwSbWmpCciFlU&+v#HXJI6K%=3wX4S@-VZwQZhXF}koXZke&HZT;qz z@ZQr7@h1G{zZM0KJ30D%uWUm;99mz!8IvRKECQb7j! z$pXU2XbH?srWj{Attc)2?LyTc7Tmsf5C9kKQgoK2Q3d^nqHd}bat8~YxppTJdtDG* z8we84E2xd9L?(FLrz?9dh=>F1sU*{*40(^laBdjIBy^~XM080wmh;N=8Tz+%E zuo_fxK#MK8qi-Z+x28^`Q%=i>we%;_X_EZQ6ecOpE4 zT0&_5yd~ZfyUa&6XDd+`047(9RGHG=Y9mfOf|}B!Ha}~t*dwXyAPMvkeJed3^2P<<+y+`p_=f;XBfnVF7f)>}XRXM$79IAo^DSIJ? z5Ty7gITQz(!htvFb>rG(C-*Wzqh;3vLoTBlDJp~w9=ndkz3R+1t-b4c!9weGAI2OK zlz#ERnwmXMHf7!`{?>j3pu>23vh>8np$sn@5b-v zkKW2?k1#3S-_tM&rZm3;N-0VA!} zCd@&hi;?S{Sb|iHPI%*w%dwVAQ0-i;i5a~ohoaFJ#}96ORksn^e#aMWRrR#nL{uwW zYFYnsALuBiwl_!=ALr;yv@9Cb4hZC2`CvTpTF6+82BD_0c%)9nY$mm?$90#+Mpup` zb4A)}X)atD#ts?*M99y^We1>?3SL+VZMwVF>yVflLv1XT4$I$Eox;w)ysQnKp5SlX`D)01xH=@#(#n*+dqHc(P{EApdvWCGKYdgJ&fW5#UKPc ztYg0UaN(mWX4`s(BCF4w)Ti%mD_K|EZ5sgq!+rx_F07Z3oqvA6zYjZ99{?h|6WjjRR4a6Asg2SZ-rA%yHPZ@s=8w;O>oH1+ zOY%yE$Jj}0Y*MptiJaH1x)5vv_0dYQl-PzO@_YOLVO7nrw)tFzRW0&K*g|eI!KuuM zhk*(KtiX$2&A!5<>e|wivgWhRV!9uB&sRjQb3J*z9Kgv>+Whl^TRi*J8|tcoO>6bC zb!X29C2$l?%{iOgV0_p1557eZK83}{$pC9tcWM+(QuS%)^!FmhX zpNFmLeHTccOvUTQNj}+dO=YCVJp?d#qSI8c7zaO_d6)IhwbFPor@}F?-JYHn)cj{;F-N0^2pTQV5xe zDOl1ttUbOAG|(aPv{{vF+*qn2d)_y_G8V=uae-A?T`4_dj=Tp;`~D(nWn38VF*iAs z2B5$8PiD#nZdFhN=AU_~=i!2=!eWh3hkK}C6O{jFBB zVR_VqG7xav;dmHl-!OKa-G}h)6jlCJFL^Uj4;ZjrHqYufe$tJYOrB_G15LwqWrb6( zwdkf)C(>gzk$@^GJqQ|`l#6@3wDwTw7S_W*(fKZZPZa|6o<`1kKl6< zD2X>Q^UWmVW8XM%7eJrvU{!zjQC>?RE%96|1(O-W>1?jZg~!_QMhVEY^;Cwxd{c{3E{95fm$h8%<`gP^jnriRrW?ojN~xOo^!p zv9P(yX&r+++jXEifo67!k8Lyi-y>!7@A*`7%2{v#QS^Mkvz?#p z!(YUy@1vNt78JQHBsww59Jmj~{ALrA0_b`1bFyj#9c~m!zWc^`8AfwWYGvnI5upcx zZ@_`)6=b5)J6i7oNc?$mk44g}Z*Qd84>TBOVM(kHjYRjFdjxyCx~$3{!3 z(&$vLL`xlC@=A2Q=3phzhW`;v09K7>LU=I@ky@D~*hnE^@zuUw6gqIevuXJF!*j#> zs-A@F8Xptz?vQtiX&NWV)C9S=>Qu*ARsh0WH3AC`+!`-<+W~p$Y5XO%$;jtp|Zxgm6Riqah80It2dt8bgNzTd|Ji z!waDDa#Qe_S39C@jtEp%3PjGZopO%JYt#C=EQl6o;o}iSEaKNNM@`=JZiT)PqqeO3 z2??AfBo$VOmt(E_P9kVBZ1HfK+dk6d>&<>`6apa7#?FmCE)uHVdx8xVQBs7Fp1v?C zhH?l$p{{Wln!o^0awSi^@hCjlh^=dXJ9O@;=Lf1_l+Gy8FP;Tfgv#$}$)f>NQH z*lw{h&=`Kt+=p*IAq(-Dw(3J}7kc@d6K=?zMru3_Ic)p#=`trM*@|bqF$40t&+djEOGO?>ZF!pz{(gOM@tEEI*<~axXkxp1spk7paoD6aQUBDTxmxlri=|XN_3c7v0oBBFxKnrHvV*yZidB0UYtaX`c{4vr^Hok#!|vdz-J@9)T_BkT0zwU!!uj}rrHgm~2sRlB)Fc60}-xynn1tnCr*t2LcdPuUHur_^w`-wejB#To^>sp(t(i%VHRaDc^&392jf~P<__$-zs~U zbawF1q`LsMIU@NU6tqcEd)}c=?xX6!mGJi!qii0^@(_@x??OXY=fJvr=pnfWDSlY& zk%2>HLyr#%=D!isI9s0N!WSt6vxvco_>{^zi*<#@chD7~_NwDfuHF-DIXaNb;61>_ z-1YH}sd4y&0cB6`5@sz}$8EiW>c5-|kKkzqOjyee!lbQTvjl*51Bu?6ixN3|z(_n) z5eqbyq&1t3^lxFcifWJzIm}b_N~+_&F-o4* z`N&n#F#PBXkuKucK4a9&^Hxh`;8^@g7*+e(X70Wk%KVf5G%_A)sN-JxI`pq8=xF?5&i@5if##x7>#zz>&JDw?t_dEaVJ`#>`HTI zfP`F-sMf443Z{$u)>&wiEY>@8m{u`pG^48ThqYEM7Y3uut=csj=OFk-JKqR5I-}aUw|C-ps<^ydIFbaz$Gi2uNy@ z3}J41Qn9{lZUddjtb}qwS-p_GRx1@`%>~#5fKy_>^WUDJ^9_c;-@v<2s2-_TEA{0$4|35p&C=myGz?pcWIJq_MnO zAUzzpql}E1my|k$&@z(9zbC&O6WdP#{=8<@WbwYD2;%Hu9NrlqabBLhHN`@oJ%*#8 zu*&FK9QF9MzM_>kk;QCv>GU5nf^W20Aq65=JP1Z4iejXG!O0QcMz*!E{9m?e<?jcR}jG1=&hO{FS86shs=f0idc3_D$C$U7FCZ2ja=vJ{u> zqeUA7o%bGby7^f_mkKv$<8P4&eDnzmw$DRk6An`FH zr}H_i?XNwQ)3{Qe^sf@=@K`c{**cA=u7?3klMqd6+HE++E6DCGmb^^DV;w+$s>A+} z{-}A*9aGgLpNBQeSb;n?Pf~|}a{HsfsQ-w{!vAI2O?ZFJXppfI7Nq2gS)ohNj0A{6H~YI*inY>J zB=>bbGu{Fr{>kG>*l`$!CwjQ9-8ii-Kk`cod?*YJzCIxunS306=&$K_H}fH3Qz7Gr z#gUPDimxGIVPkT7O|$t!r$Reyo{a1T?mgnhw03c1aw-ZBSiJSR3NTP8%7;Je@I;RW z61&zISTVWWJqSR&Nd1Ui-B_pnZI7`fcvo)jR4Fe-mJsmrq$Wfm)M+bXrAIpEw5484 z@5vesOuG6UI1jSQ27=nGtp!H)*~$HGjeYrcSqoy0ci_eVD!TA|`D_km$FK=3vatPF ztixjC-8!r7DUOva2zrvxPo!z`pOaj-N<4 zhxn)!;URB44{6Dm?5~INa<5(8)M}plNnczw_SkF81J_CYXyDEoUd-DjC5kx^pDawN zd>;N+h3d7f5b#VqB(^fQ(NL|l>Qiny|Bp-8l2bm}wVth`(1R<^AhgY3-tcz=nN5K_vl~Ea1TRth+krz^J_SA1HxJRRR@+-B*PRKb)!?8od&U4OpfK z034_!wQEvdlu6z;J+YKP3UAzp0 z^#BLj2xBoAv1}(MJY({rEfR6qv@#!6QGQ6i@p$?+y0U{9a)9=3x1(I7U|+)vS`Kmb z;KB9{m2z~i?z|>P4_nFbpBKxo!;l#o&6Fso#pYp4N$zz7|0-g)ZI@OgcikZ>oDbtB z!(+ZWd4oyi39q#Zt8_QT(dfitxL=yDw1c}7Qmbu-&6%^E85V|9yu?@odmw8m(4XhP zU0151G%GBqph`}K)kDcq&)WBRDxPt(yBz4s?|MTp3tQ7|wCXwP9M^Vj@Dq=7@KyR^ zd5H<}W`>&%BqO7vLy9=wf%}O5h~R$C#cKHlTWkZ;Uj{uHA9+QZK8;O(ka>06@jW&A)&+)`A1Rutlq4ADdX@?`T){`o+)BTEw z_oxPBjRJEVeNZ1y)ba+|JOGiD*x0u`0Oalmyo5Q!E^CCa`GAihXp{6@?L;_h=8wAh zAmx2R&EPv)f9`tZ%bAl;R3M<~QWBPBwPHVN)oSufaMf+iE=>?Hw-&DCW;=`~ z&x*R~=Ir9#%j$-Y)j;)Th4=+6$m>sHbAglO>tsLpU&K$08zs0tvPnF)%QjQ_nT*WT zazCVY)9@wvbD73(TITMjHLpTO%|{WChzyf-T`Ai-zzj2r1r8vPqT1@teH1?|6U{Hm zOMqkOM#cf1yQ_pFfff6!AaXpBromyECsqe)wNP~8d#OiCttXeI1tsr9+aEc`-5AH@ zfd`wd@`iVe#R5&%84NHcn3~uD2?H;8P=Kd0p~X!J$%2*IDAiLfMd}-UK)fAkTwqlY`6v8 z4nhyY7>g~Lf4?yISLG5B!0(h_u;gw;B&f>O%Q3S*LEbq^3$H6{0-k^!Pj96F1GcMB zUG9bc##U(00~}$tPW@O_tU@{r^$`H)8Qn|(?In;q$qU$zNZ1; zv*a23Pa+$WzuzKb`G5D^)p_^^qr+-6g62LCRUNBVy_a~9752Cmp$DtkEQ1)tb;!gu zyYL<8X?fOzOm>c8uMy?`C~zZjI&W{4uO5d0I29RF+$)3q0zwoFSgq#LZytU_1CIUiysN2^$k|MFae5%_7z4LA%Zy^})<3%~ukACP6eFJTzwWY2 zI3Kg&Vp|V}y%HW_vS$)BXn|nT-v#e_Ehjknjfa{29IRz5`dy5Mxg$J#&y=6JOx2Wm z40LO$Q9D%$W^gQLsv4*wuLunGaIF3v|DSv44aX=bfKfCJVOb8AKNm+VT07sI^Xcz} zpj0P+)cej=@^Wh{1oVqB9x7?*@rF1R+J$z*F%?~K$WYr$0Hd1*3bOX<*Q4<~(E7BJ z7z)Z#qk;@TvFEj3P^{BqUQFA&-b2eTmxXa zym|Pz7&pQ=a|#Yc=^_PWPACt*G{MFu$a>0~|LUNhuPw37a`z~E7$4V=<~^Iwil5X? zYn??sk-0tE&u%=gqSgg2%3r!6_or74t*zBSCF@8C#*7WW^-d}8hF z=B+q^08ik$6&&mPbGw=xOQd#omu6O-Kx{OQ9j1*=-#Xi^w7MdmCgCRyMhtf$5Qu8J zkC)ikbjh#~+m-7dDE*0SFkXL==cN&3B`rzOz}NO>Ws{)~lqMh@Pto*V0SO0DFsZ;Wq|l8U$}6LM&22_zwL?5no%t!` z%X^ZIwnK4A8!nsd>!HID#@kofyzJ`d9< z+xn>rG>&}TIifbRdh&0ZG{5SodNCWMSWM zhcOhj!U$B{9k@hKMio#EGI{(-3L6K4ldu^WOo_wSWzHawM*pnB`kk*{C`l08Suci{NepeyAK2>E@uBXMW~B$6Oo}_TMw|zF3v2@Aqvdd! z?}8k{>|Y03fB=YqNTORx`jTPTe9uLcKX10u1w@zk>j~_i`A5z4frcO_*_IzHayqO~ z=z~0t?$7}Sa7%B4JxW#yOHk3}(%iA<37CG>mf&GhCmAm%$gsa)^R@O?E&c@Q_#X!)i$z&{)lIyg1cil4~bpRjNY0?WZ~N<=r? z<5nE!#KWMNp3Xg?E^!C(3G3`3VLs=MZm*hl7IhP^{ z(OixfANp?77j(|YXGPA!dhoS<^Fc$KRWu^Fskv6>my-LQu^gUFeZM0Hm0dso>X!G? zMzIz?rf=V70Cem=B7@n0W`h@ua{Q`Fd%nodoJ9kie47_D4UpJzy;*|F4^S#jx zOZEv1`S?Y}RSElDa!9f+71(NM%MzcCPtGhmznP!h3$i<(#~5FS!dTe*cSoGec^Sf1l+-RZl-Q1?k2yJ*&$ zL?|D4b_dK+ne#|*nle6ECf7CY<_i{lQ*@GzptGLKF7V6v>QMEuD~Q$WRFbm651=x+ zQ*eu3Z)P@y$HX(5!3N4zD0XI^AKxu+JWR`251JT^tosH~V0kLhDywhpw9i(RlcQse zbXkFc9YZZ1+G}IQr>BUTI3ZSpoGwcnUz4m; zJ=lHT08~J$zcka@PMPdyilThTW9DKG#u1>bbJ$jHQwPWJY5SYx&;<`u|0X90T$k3% zKF-qGPr~TKYlQW`An5uwy#MR<9=v#Jz28V@Bb!QVaBIcWCZT0SMSD}GA zCFgcN89?D>`O;-(ZN`vR4kS&k(bQYC3q^kk>uz#0g-0G{V=N#=xc}Mne&&fGvmIW+ z_<=gR>6WeAZm+|m-TA{2+nB3uUYL|3ZgmModuz?Tb{`})fjA~fvgbFPFh=AkZ(KGv ze%lbX?g~t`8KHDcnF;3jVVPNfvU6jq4Ls<`L|1dG1xcmmL4LLzR1|J-RI3_!oxek{ zeCNE~AfVe?sH?Fuc>AB<*$%tQqgjaa1;Xt=ApWXbEw8cM={i7%L;E4EH!Ho|IoqAN zFe)vu4%Hym_|Cg`<-XQnY=j$vyiTO01cf#9B+|{>m7$WT6nSmf<0wPmdFvESG=ee#tnw z6jqn^MNflODVAv>5*oaKq6~wEddO4pp5yTW*veQrDX>Rvg+iv{o#q=v*bCN+ie{Ck z+IRu7!DD$^+K;u>_3M%z1mjdQAxr6Nq?g=z_HWnO-ZBtOx5c;`B@NVMB}tu8=CI@+ z7=v6>o@ibb?D`C3y9z4?+z08@_+BOiFDEVuM-jPftS8l+4G}0&Cp+Fa8MK0clwx-r zsHrxv(MB3327SAkl%&!<2B9MWTrMiY1;F$ckfFI`rUOBijzH&?Y(K0Jpl0XF7FCw} zCgQH)ACh3NN<>S)l+l1I$0TllBH%z@6VXwRm;jlaH(fCuK)UGiuK+0#C>i+Z^l>Fy zRUbE~QS4-rN=zm7d-V`lG70CjrH|><0W>t6&FrEDwhwS`O;Hu<&8;>t;1666_lAv! z$kFJ8BPAB1gJu()ijbzzAZX+A_7*GqPul@vq1bnMj-p+oa%t&l<>jxXO_eQio4u*x zs9kBCm33X$34v<*++akY&&40f=NtLVs}(G;@MyXwHybHr&=julCvdw(}Y*;Y$6 z@6&-xn@bat*u56k3$>i63`XppQqVvSq*+na8-q9O4jila0yEks9&@$+TXz5zD&}U-M0H93IN8e@K`y zG}2Q5#{vT17o3gn6-F|}a%?BZv>=5uvX^Gm9?6O3Ud>uu|8=dJJjQ=VLZ%=2Rq8b> zd2YZ>D3=pbhEyR;>0l*Npc2y42T+!Q@BF)H&iIN^(4q?n98C zJ~t&1Tsz|GG$+})`EU0!m7j-M9}qt$w9PqH<^^T$u0VZZ6kCT5jIEa6KB${ieKkzV z-~oeyD7Pr(?|SCG6i48Gbo;NGs+|Qf0-PKViOfYSN1u1eTz1M)KqQ zWe9Njrw0f7>W^kaM|i|3+r@yWd4@VOFOB^MObF;u=aEZ53fG6~c)plPghvu^{tcwF zAbH^TYLl!IjywO3YQ;QV^qp_!D==rvqnaSmp3(SLMOL2M^jLx@qwPfhFGb!5l324T z+dZ6~a7U+li-75pQqy46&ruC7E&Ab*VrY5W zpSARGC;T*IZ<4R}W~O!Tk2#U=y^;>z#VN4ncmA?Ult*!Tr?%f2u9d$-fK10uCgLch zYW!y``3pWiN)4pY>RKC&S2%c!^33b-XY+SfRHjS)3f{0EeXJ{D`lsUrCf^KBl$Zw? z_v5D9H5kH3b)XALPi>T?^~JlrehG8&w4B}&qhs5W=ibX}b>*hFjb&0SNJr7sm(okB zmJ7r8Jl@c9hba1#Z4k9@3ng>00@$=^B1RA1i+6~C{cXCixJF-lr3)Nhx+n|FL;QuA zSf&!d@D^8_H@ymE5#SK0Q1 zuEk6fqR+iAico4O0=4eT;`WAp*~y^q0q#vIXm1`}f@*hIsz+3uuZH+3cb?QA6T0EX8z9M^*vyFVEf&kdAs@@5 zwvK?KxsjAOx2G;@)=o>0#hZBmdDx%4q|lE-J@Y{V9YK=HG-)=l^mzbgWzVzU&nd%v z8h0c474e*&#zHh4gPutpXThsLy1(B1PDRGjG%Qi#SWAd7#mrxBeIi2oV@I@B!`kaeQSEDWNp`lF^P`^hN>za1Cb`aSh9`D0xo-1P$4TcC5MmhW?KNMyG_?&ni6 zG*o!FS_Ja^qQwtSh3h8=NC4LCQQjy1m94mm7J#T-x|b4mYa4PXMsmoZBFbbZ+kK9y zq$&dDhRM@t($Biwbh*jw$aB;vB7P-YR#YdnRYE-cFDrJ1UV-H2IoPmi;qs~Qh-J>? zC$^-!U#^R%RPNC?pyVwU*EZg}d=zkEs9`@8b3wo9;}sZduvC-EFT^KZdf+}w@4??x zqX6TCikQ$D)VM^{su@(cInPjWAk7a^j*PmO0uwKGZ#ycKzrn}=FT_`dn8PW3473)$k{o>mqrM`q zP+WKdF1MWY7dG{fiCEFBNmB7v^+H~Zf^Qa1&J-^GxO>!*zMeU~35jlO1q*3}Xs56B zKFjAWe2>6(sXv#NZ=ARaJYaLZ{d$`?#ToKZDT7DlE3$^Y(h2?kNKg+>7mn^ba>>-k zBaFr6{RzbA-kNDNsQz<8+6Tg>nrW$< zd6KQDiw$F0ywA4DLFR*(6s{bThAxl^SZXvPldu>dddekDtlk-pO7#(<-^56W-Bsv? zQd<95+$=!-9N)k4#z+TN-74(b7@D~syOk{bQn}Q{OqbZ`jjVQlcIB0`*O)B=_63C$ z>pfzi)=Z#Uw;<(P^;$a|RfK5}M7nV(|Ch@Z8$_Ks9oduj&~0`^^cMx3I{@`%`2Qvd zP;M7V%ekeNz_ASv`DQ#eFVv>6w^VOXrWb`WO7(2nG!jL ztE%J?)*wS9JFO}iSOJ8YMkj&4CFrHfuA^fPk%@XfU`3&X^`&t2EOlv?OFo&r#|OrvYuNC^^CJ39J=*^lgL#d4gj| z4{4eAQ{f#$z>WkZ{;8X0gLehOk9OkqYqZDH894XIc1AGn%+PIfl|W3%-=r&B>v=aS zp#x%}cb}qu2j07Fz!KX@aSlo;i62HIPdtHjgRzw+Dga%kLCIowd|%CaJr>#hoH_;* zKz6f%-IxmX!H8+@R66IXUMH^x=HoF7V$uC_1~o2)B`X9?n+be>a ztqg_SzR`Kvx{~<~8~lwonpQEsk`j@I!I1szVw%BP;Xm6pPQcMj#AHpMu8$i`Q`+Hc zQIhY}#A_5H+-M-6$?6-`RAeJ^@>;@~1DS;#)Obnsh|XDq{B~AtOJur#V}GCF0wm|& zU2Ai<-{Ko9RKd}zbnd#B-E5oYh(fVsFCF{N8S*VI>HJqgFJiUMyrRL%8Z$BzK8Ped zXfUcxEd$?k+{#K6V^-VRMkSF>(yAwHUZ`5nT@`W2N;WtV;?RbU^(K$SsY$Fkqwt~j z{+5Yd$$XoD^H}ErZ7!2Bo~!0>U$@)%Fw4jd0-NNr__+CNiMD2yG!M)XzBfy9gQ)@HuaF0&)f#Rig8kRg-$pW_=n$R zY%vTrqv=>=Jw!qB>i|w2CYT?M#Qg%nqlM!_d2|gyi&r;wHKLF}Kc~!+*;0~jdy(Zg zTQ+gb9mH#bA4JtVW|JREL7XyOzdL?Z#YhUyJ0t&!)g_RklB;$ln#HUCjO-R)jg?Cy z)NDZ8W!CILXWF6G15+M%#R+PG8~EV{qQkGLL^)bI(>&qKtY$_WGicFitH`T-Vpf&* zSYULIeCtkXDuMPDoPEhJug&33mY2)uC#;@uJsAHVkDEAdqANEBjyiy?(Hb6suHOi! zyjK5}U_5#&(X7yAw% zA$W)&#I5`Y$HJx5642ar+MhKA2f8UG|3m{XW!ok47wE#sLB;>{D1^^lEkqF`4iakY zVU;@mhAG3lXw~!GvMF+d`Qp47ZEr&{x7@r&fs@AK>}BtWUeW#5zulEPDJk1)pNN*! z@uzi`dkfwv=U%A&??SP6Vzo2KpPX2HRWVvcP3g;snDARWM%(Ss)d7)$o`&CZ6NMZ7YRTvDe~PJ>kWO2j8zmzxK95o3=(a>TFf*2Gglc4F9E zBK(wfz6O9%afJ`Kz~WKybUr4hoZXBcy}8INd6i=H%&cjsuMf>qk#(|ce)m@qf;siI za}()f(|j3cO}}x}^kq!&QEPutPj#;=5w$KKo;qh_CjV)hu8LT&UYp7?pvT{P%}3eK zyLj?)qQAAP8y~Y=SNHy}P&17OY#I{9rY`JkMKj+t^Qnp-y7e$gH{-TOmxH3AIsykB zdmB@h1bN~Tc{Yf8(#+YsF zo48F|M|jfhw3#Lx=nuyy`GkeeXf`lWa0|`5zl-auCPJU6=|UW2XL4`yIUu*=wLo@f z!8JH{9F__Sin=|*=8EIV!DKp6(rAi33IStgjNrzT;tf{w;l<(GVQgOIC5@MkrdZ!@ z)0M*phGvSJfT9^Y$eAJ-^@OG&Io()80ARkNrv(fDDo}U3@rp{^UP+dKP5Hnti3ld8 zmXdRCQyWFvri}npvO;HM4G}0QZGAY#_yB3*7l}H@Y4hK+luS{T#4v^#{0Q|ED^ zi;(~;KbiIdv43#q=m#|t0jlE-=kcTwzf0? zmJkEJF%foj=3Ms_BF3(^j5E6+rVsbw80%|Cq?kx}(1TQJRp6pX@gR*7)xvZ(3S+=n z-<1`LA-P#E`~NRT1?H%iD((37Bw6kioe_L)fJ8b6x;kT;c~zwsu4#?TK3bz3jYF!qQF690m{_%P~n(!D8n#^03%*26flN zGWDTvn@>)AVEScz=o(Z-?_HhX6#Rhz+JFtT)5FDFx&Fd4!4jq&6`L2y{EEzp>j$w% zC=iLtWEAB%VN%RJ+QH<_KG~`}Hx8IkrcMy)u6Bd8gm;2&*bk48#@wbxhsMmh&Wo~3$@Cz!O?!BX8G#ktl zPvrkf&9B>*2U=oZxBo|N6~k#;ESuJp0zM{hCqZt*Icg5vwG#*Rs@P&Hg)wOa6@>mq z9(#d1TSWgOzvY&H(I{(#T=WnOJ}#6fpIdHo@QSKrE{~(}o?T(Lu81UaOHdObsbE8L zm`s>iD%4vlru@&l`1zw#dGDPwm;qOAwa7r`(3Y>G(X^+ydPiu$t4+9q*gp|D4|Jq+ zaJm|IzVvh(xEF<5?edtonh|@?Q76BemtFTh+&;)o*VPa4z1SsJ@M1dTTNilFBiW1&;I8PYQ8J+ z=Mgi+6Xh%*NnZiTIJLr#k;DBd;Cl#Eg8bP4&IBFNI!~Uwp+@k~2|zjCTc$pOw`$2x zBgGpZM0IK+R2UcVvu9rPsKrHVjamdc{35Rr44)}!Rz2+0`G%J&K>)36oT{n{+=5PMthuwAMgu;|4WBh&#w>Fm7X$ zm{$if)u+zrES?RhF5g`cRc#e6NR77ItGpCWfFem33KiJe2#}aN$x3eST85f~;w*@u zUO)wSL)ylqML!ZhbVLYVOX3I`z`gdZ^3U{Lpp|rWu6w|Xu#e_(8v7RLzm(I&ea{09%r7R%G#R&fW1#r_IKb5wxQnmkiBaw#YrraEfAS zc|(2|lT9c%H(Om+U5b$;-GctawJMkf*qMUwC`~0e-_y^Dk@e*sB6pd1)!;E}=%EBv zwHYeZp+~iEkMbgFdnpRdD=HKi@Dcn+hd;9idJpmE9ps~dL8iSKMW`U<*c*<#EN9bvsF`f+W(AcF8MdNu*#0uKWkbK zks=wRRy)V@ia)j>T1nl|vMNWIb{lCVf1KL&q9=l9s)9)3IS$8WrlzL~q`QCvIB^O* zW{L-$S^xcyjEZGx)7FM?)pW8(0&Z+#{R@~WNr}P#x;6DMDM8~w4T)?Y+du@#-)hu8 z?iVK$vx>45t*>`MM4iF z9F*g~+;a7Y+@&T;$f@x?Nch7|-Y&Smhp+yaw=y%7fqW|X-I6NGMiTO(SITp|0NgMV z#ZB^82#vTuyh!0^Fh+89F9IjUh!|~fc?xq6&~a&+jH5$V=J(Q#SpqCOBahz=uahia$lfNilyu3Z$&{q6ja=3s zZ6~JGdRLtvR{SBTniOd5uUbs{%%T+cI4WZfR%x|Gc0pw%@VHeM?Kp5$V()u9wmJ_l zI}N=U(_kzgjF{6Cib#r{@@CnJ%SFT+xIjBCKtuZ25&p#`f}b(%vdipeV2Hms-0j%fM{)nxl~v9kULx+UAd?KoaN zSjW8v@_X2F;$QLC5EMZ@E8bSZ+4d6PddW(xXypBCIpIiq3zag%z}%aHuOwtWWtvL9q7)%FMj4WJQDND*- zTCfB8?`h-MZ2_HDDkjWJSyqg&W$VVQ$UPU+%Tr?_hIqY#$=O%mCC+1ZkGj)ZVuYT?m8^n^DX%-WUo> zga=U>=(kc*QFw4xJT@n3b|*u52A;cNL}_NCtgv2<#$cw31?~R09!Y4qhR{7%!4(sJ zKBL~XiM&WPSn&M^~^lo=vdV;mesr5R5z_BWS%1@2*i(iAE37 z@~m#IB+9rs<}DyV-1-eIZ&E2a$7S?)$5hW)`aJ;BALi7YYG32kTY`CJy z^~JGijTf85Gpfc->4wT~2@;2=dTn4zObw_}GU2(0&2sNx!As`s01i9wNtHQlX$1YV z5VI9S*7;9fimqX91$hQ|0#jAhKr=DkUEgLI>|o|~D^AFmA`slzx>p`)@hQdICv*P1 zChq1cQ~2SeqftFeA*_Nvl1IJYftZ-tc5Acq4Q(w!%KYL_T3&uTk;ywmod zVD1eJJdGKd_8-9Lc3=j=c^DyXDBt*3Ti+}XP)>36RxYUv8H*eUhi1gB-hVEl>grIw z9GwEggkzenxRYQh7x>PkrNqWZI}}qZoZ>HF_&Lj`%bFyYjxI4_M}T=^4)M9qbpfYy zM`-c#I_xnUzT?jWb@BHldOmM2k_$2OxKt5={CLZIL6NZ_7}ZlA2=6pGDAscu{T3h0 zKY8!Am+ugNM=-S1>p`OQM~-6YC7*0^pdn_@OUxQ!RS^;LeACSHsvt6A=pSvWV_^jC z?VbWiHz6l=Hm5*_9tD8v56LRyU9b5rTlYV2Mo>u^H zIy$|08;^W;xOGR-=S@^2EwhkrSyCcvM8gZMl!YICziY`ws8t}lT(#-omwtBWO&ZyG z+J)J@nE!yI^OFyO=8|m!FxN8c`4UWE&45+(C*HAG)^f_V-;yS0l%J&qI57o|M&aAg&OFt)uBs=#6^+;|UuTg*2F>F~8LPo{i2{O-N!Fi`GeV~$m*QCna# zQ!hCd5t0r2tpi0O*D3*(-E3-{oxY_g7Wfz=a?{XQHHM@qfdlg&OUO>Yr9GzdH0(9oM_`Y z-a7$~C3ju>&E$=p<%k8nbHco&M>ZFIeN2^Qaz1j8Ti%*t~%`ZBxXmcS{(jG2qXm=9m5TT{(L zO;o&kfr-1=7C;R^skabx0!qrf6ryoCOvK&{RE)GfTuQmK2e#sThiOyqzG=dd^6|@S z;VNCCwz_pmHjZbVkbwC#tsjCZQN)}X;vnZy63O0ES*Ie*w&FdgS0VqAqZfB#*bTe_ zM6f4>IK^c3ZL!4HD3G-KC}ifZs!U%Q#W zl8&;)WJn!oE}kJzs=RKgG?s2Su|qMK)_F759CKV=r(j|D%rvoYwjLFe&fokSv+4fG zxg$-R;@IH3(-zb_ zqc}`ss_GG^C)7?p4(6;LCuhmAJ~$5kl5RhI%C^S`WsoNY&5KX}xXKIrhll&CUfJUa z(s`J@47`3Bq71SRC>?N^PK^g`c3qNQ86SDQIQ?F$iT|7~jOQ%POBlos7OCzkvRJ8; zTE4E91#}O@;-Ox51pKWuj7Zwql2yJdO{buJy8m{YlM9D7IJAnmDQkmn{5q_b$!5$aw%u}2BwM{e|lU;z$olg_HQcXprNYVx{1RWK58>&iFJ=UPqS6o9ii zigc}Gz_ebgUEZ~PrYt<1a-X_X)Ju;ISmx7GuN&&}EjKUgC*xq?^I=r_h(0Q~_C1fy zhPtwTQLb9rJdTfz-VcOn!a`rxn|vxreOx~y)GGQ@-7sRyBGdyW!(S|ZwSmVk>S=TO z(io^VV`(IFcCG!&YtvRE(W0Y$3dDG7i3)`zE%5~|O8+0p;bd|_DA9Ke*^nQR6-c4* z;c)}`jl~%`30AFZ34PErM4!41G1Dv&LeG?IR#0|v*(l(*&k82;+h=@js&8mwz%84>5!FVo?-%x;c9j^xpxrLsDN^R+^U4g9 zQ$q-41j(eg29*qcW`P{Hr29YhEf9k|pgycs^ZDriX1WcJWm_#njr)nG!97)iy!IR{ zHGG7#?_vShbI#P_VZ^T^W#V>a>@*H+cXCIRPgPOb?9{%rRwW!P_t#P=g~SO zPd5!gJNR1N#MqSBcH5!3wKFwYpWOZg?aMOb0Jz`-Y}yspG<-u-Fw%}52k+ktEdoiI zZjI#&dInk#Tn@7<+E5ja-5aZR0cO`l3tT(JQN_dxhKLPG_)OD;P=!p3%|xFVDvY7M zasi5Wf0v0j`a*LAp?HuAdZ`^1wyCoq(gdHFn@-iB$v-2vrwdozHC<>A?yQwfMKcDr zrqD25lyYQ~u|bt;gFTxqPi&RxTy6CPOZT0>+Nf_kabdv2*#|cL>ro;aP$`wCRXeuO ze;-h*`_arLUB`UK3R(Q8Y&v4yn8rMsi1pMO81jI5@xKZX6e|G6f|D(f zzVSisVq z2zS)|)`XPQ#&ndP6il!GJy{m=BL8GajD(YT(VNA@lq|(phgU|;FBI_lFJ=}F!heX9 zKnmmVD9}9o7SQo0GEme|*bgl8(2XOfPKW3G2tPdud|6@K`hAeq%PbFrrXkP}Mf{N1 zL@Hg0GfwCDr<1%|JC-Kaam=>id>V<62$dF=9q%eh8;4Fh%SZKN-@P)%odq*~Q^f7z z*w<>WX5tn2Xd(50q(nnCKTw@W02)=%n16)2KRByPq)dlQh-b`fZfK~@`a$RzQTq-l z3)z9t@P&7nD?AQoVbRq8Xkv;u>YezPtcC~3BldR%+Vqxr_z28{_77WEw z%#3stF|A9|VV7g|7YZ=L_Os*^YvDW6{j;E6$B`x4fS2k$W@7G?t12$8+=zOfK*4;% zRJ%zf=Ic`2Z4f+z*M!?U`+XC5Tk7anA`#Y1m7FDmE%;Ou=y>pAg_79!&3yy-f$Bhv zG-TADzU=eC!|Y~r+Z!hz;4GV?DTL=t=48T~(s7g4IPyN1r$+m5uqg59UBlrWezo34 zJg2Qdx|%P(D!%XI7#xUZ+`*$%Lw+RBI-3s>KHZJW8Vb)y642&)^b&56NI(!3c{>ta zEAEZezP;@?GoIfvc{9M1f_{WhSVvKf zpkIV?D~W^LU*KjP3hW{48uiTE9$`#x#@QC8yx_$xKBF0yTF%KX(QY${;N!J44DhswZU` zxp{G2s=6ANJEKWxowK!Dju4QOGY!9g33Mk%ZzeuP+MUcPN#;pRCtKkZTs~?GYynQZVzgzl`&ZA&R5^i+J=rFsN?9 z;oraEpA9{+LNvpSmC=e8QAFglK3of@pxq(bGRcCSPp-jucEA-bOToscwuW;H{tq)d zO2KF?uBt0!h(2Y{D!MbVfc`JqKA`Q?>#GzAq@N*~bEswjUBYtGg0fM@w$gC0j?qFj zuZ$`*uVdrc+M$!bNoj>`Azig^%=8ew&aT6+VXrn3O&5oA?yL1?RK?7$y^3X^U1c`KF;nQ0sw{v_M3P zj0E{uZ#72km{gSWN@Jl5yur^VZDk2um;=zFlip8qwkd7Zd^n=V$0w}mu8KEqMr{rv zhr^*BydTO*Rz&e2g*wlr@aZu?pf{2Mxq=e1c= z!gw&!e$^ncZL{EzEDe==$)#t(QbxzPwL`NcH(XCFC{;C z@(bQs1+dN_XZBcI8%d|=F@o~E!QX`hF5anyj(OMJSZsJ!F*rp+ARr(hW??WeARr(h zGGk>hrWu#zdSsX#fIQ2(Oz)HPlK*y7m0J1jH|0b;CWD28cq$VS(`ubWpderU@q#|| zHOkj}BozBNapf&rEyAzhvGD|}y$`*|s5eV+sBe>#JN^HtWIFx$D18|ZvBOi>aDBb@NZr{S^^*G*LHD|n8vuq3?3!Tu#dT;iMN{1 zgf_kt`5f56^`HBa{M|~NNAt_pDVj7FXs#g~4xy;-ud0$IdZFD~0R~~Uwi$FG#bbM{ z!=8Xqu{>{0lJvkj)Df$}@#aUp(W>kniKKzn(&gk`qx{IWhVR0JQmk;J2=_$sBw0G| z=8CHg-VnQ}69XKK2`?PqXI%GeUTLkl%*J~QClc%qud#SZ>~_QQk!mhd+ceTkHq!q8Q=l!3e{X`$U64p_ zJ^HAOI;DyJ#@!qz1}sU0fi}NMAS7OmxyfOqiuL!qB=5iHd?Kx_2>c4D0i0z`yj})) zA9aLAgmq|z$YKU&og+45wxJki9gO$LiilMTPyb1-6cU9*2NX9iSF5S9g3RcTUuOfj zFQ}rsIvNHW4T8j5CUb8%4LN9&L-_kbAv~kM3?|i5Wwte7H>_DhECGpC7bPgf*oKJsPzB??>_kK)Pg4TUKoSdiyyB4_0eYDmWTAI{TYM8-?BT9 z+B*C(0B`cZxnuIHq;n|MZHV`~z6?gbeQ3G+A?xxojNCG#<8RFRi^!RtG zpqapLCB{lJO*1efGuIFCG8tKbx;?a5PrbUZL=udy>(xMUG1$a@gBUJOu@BIiY&*x>;w-aWAv+}?|@m&n0(@(ix;L!0CR=76`6v+rR z?4-c)K}XF)6DCvzHIg(wsxp#xzwb24pq=F+c=DvcTCKbJzaQew!Q`iNOwiIEeq5EI744;VC z4hI1|ED8B1bk&&cAYh=8HNsM|Nlq5|o;>yols*9aarnoCI;Vt3seDuKYcIeP9*ih4 z%%-+FZ%m3mu&;DGxhzzMP)D;!L`GGrOBuVv>qVGOKw2s~Epy6-xjW`W_=OD;s(%Df#*FABlEE6_9Y`2>c07 z8eRxJqYg2@ZeQJTW7MwAy%F@E*&%1wExErCWEKvfJ=I{!!w~TTEZv8$lI=JB8I5v+ zzsY7IYdRkec?s?3qGRq8xN46e?iq0~z~9L6jH>RF9p>S<_j2|bM%tfCpO110f0@Gr zCRc{Jh;@M0lIA%+m2L4viqjV>=DTBwjKT$Q_PU+3Eaeb~8ZJ)E!3DmsB;mF^DFw`G7AQV#vKJgfeErKr zc&0$$Lpww-bJWPq9oJN>eb*;sfHr_UyL*jG1Un0piN9zvVXfFnnlH=~9aSlaP*n=m ziQy8DDwIN`VU@EtSVBfUg~&;Q_jQLl5eAh?-fS>+*D0*6g<*{kk}M6=FgmX;XwtnW zZtX>47j?Gpr65lRwn7YY*O}7%sj;_sYlf*~0KVZ=?+%5P_1~1cMD}~8NbOMjU(1Mp z(8y6UrU5mVM4_icv1TH<(kf2J%%SbtkLbCe)>UtFKGN|}JiQUXswyp1gaD$D4nk1G z5z#EN|AJuG>D88GzgN*w)Lg7ZWz+MlFE|SOtNaDK+G0@e+Uq-q81~thJB>0jYbw2S z4H_(aDqBa^>w>YDXs?3)@v^gkq0jRF`AD7&8?&7anv{e8sh0@@X5RSY3oapXtet>^ z1mfp?tkV9P%x_vcK-a#?Zasn?v(`*I=g4@WNvz7`a(NEzVdI)rfQo2ls8*m1dk za;fr!Ot5Su>8I7UMnY8zJ;7`h&4_CXudSfxL8A0F=g5wA>sytM^4gK#=$+JlxZ9Xh z&tZ_1icM2HGrHt0+G5P>jC_Sc=rr{Vc6HW~>+l3>;g)U;HwsyLByx-R{e?vF#S@Ag zU}-?=RbOLuiNAdhekTET;_-%OnP#d!=9&h|6_dJ*@J2Q+)$>I=P@DCeaGKOVRR61S zLFQdmA)k!I%I#}ap7=DeRR~&%+;MEB#O;E}J@qFo*B8BU5aC}TUZ21|y`?7)KC)?cgw_#F{|mDjj6o0g_*r43 zD|Ve8RCEK;{rVqBn~0RbF!1v{L-o-;QX^;WKxq_Ln1JE<89!+TnO}Ys-a4 z=d~ogKCnc%D)&z?1Bo1*+s7tu7&|DS4n?)42$A&R7}Q#k9x*Rd z{GjKL&Eq*Cmfab#ZikkyS=RdB5}HfYel775LT5v+*xs`~FU ztMO1uYTTZpbWs0a?9$fUTmk}ZRi_bEk!JD%sxR-^ESiYikL+l}%+ zQdV{GBQ+2O{qt>!KMrNXnox!Qd1L)>Gim`(+Fa>rdheKh2{nK)y|r#_f@kna$oB2; zPZ49jt)tn%7eSg_k`%Q_zjw$y1_fG4x_&n%fCnAz4M~wy2MC(LI56z?3AStK{A#jNdW58loSW1a-I$nq*j?FePd+agy8Nj*$%;AobJHF{m(vIA8 z$87QF2DvDSZ~GAKR2K;<84PUJM7EJa=Ye->md@;~jj|FOBFcl}rS&0A4tE0U5wxb* z1($)GsUAr$0{sw*bA3V)gr)O?$VJjtr8j(#f}O=U@Zi?YGNP2xl=w>MQ3^sQ9tQAZ zlKg&Pm6&$@=}as7X3)Hf^ap8+3#@_jhfBB0ih$} z_^m^*^GZP&2Qo(X2+pQx-UVV+$>OqM^OpgNHOYm85Tx}AW^@W&7#R>5gnwtLrGd9Vwt`_xVBkcjQ;fiTa1g z)%isx8H}M#*Hh=3DqrB#D(Bvwnj~d@hJUE8bkOWz@%j5#jqrvPI>oWJg< zGjFfucZML|xVKtTeho2vPuCP-EN}Ih%sJx zgc(X2EV+fRxeG@;K(wpZk#bw7DEE7~q#ojIp~K=Tkj7vkx_2QScW}!1M~!mAjj9rz ztxN&ATvWTrpkLMahybe4Lod<9xE$24${y8V-HAG)I0)&bRVIut}Lf|GQXq~qwi`2GotIfa19CxFl4&0|_GovqAyhW}Q+}M%!ldP#@5>`nz%Bf`V zGI|Yeh}LLZ;XQn-5l&yX|AT_He)a5SfSr{#@4w+}MH(*-DdGG4aBba69qcFB9fZY& z+eo40p2n0eo2=T7?&keIE)bO!B8wB19%dyWfzyycs#zC5;DD_{m-*cxc1|MzQ zo)nY{97BI+G6R+luJWK?;t8Yvydiw>rHxGRPhq$~YZqRcC99vsAa*6rd-Z0&9b8AZ}X zSoH14?N_xbth)RnYDNY#O~#%Xz+k2sILE5S>s}f*9fOIH9MduY(q1>i!jV<3Pic@8 zp&UAZXA>!PpB1_2x$gVM>2C9NfJH)$S8EHsI*$4-1{ebf-eYsx3Sis}K`1p^`(*cA zjtq2jT!5PxGjG^bV+C5Pgw1o8>3FB49)WSyUKD%-i>d~KhWSKN{!`xHt-mN2!ak6B zvj-VfXsiQ^p{O19n@)%@cH5}H)wrU8n zWzx0eg2%tu(&2d86}qDx6ziluhYIR;CN*`$bOz2bgX|rwBWXOnBbW9gB>{D365;kS zB=Tn8Q*Ysa7=S=qy3%)(bN(pYG*S=GJf84Iw30ZJ!bH4=VB6#2G6uFZE~m?UM|Zh* zpF^rjD=F3K%`7S7`ow&OocrYZKtGvDLz#$F0wrD-`i%h`dquX%?RTnUi zkLwH=B=9v}_~`TV_B^~iJVxdfnfR&64zi}ik3T+cv?ZnQ5e+MT4iQx#{RqytFjCTq zSLol;8o5)4JKB6AoMPQGD+Os8S_-^f>daS_LEatMjx4utW}HF zb*uIVu_mhc^(a<<35vl~;IC^dK-}2z7 zFik>@rj!=14La6_13UDDnSZbD_T;7T*9GTl=xFBpA%3Re#kMkm3@nw@<@t!sprgB- zlfUEYI)d3R-8u-_?J8T!ve@^={I4`|=#l)Q^5$lwywc?ai1gDvk6;1E)Z30S3`=tp~#+?yIdE-*ViWTf?unTK|bvt(2KI%vs_Nr41~rO&@j zEsW%F)4)@Cz}K|q_Bgf%)V~uxRIIhHS3!D#=2qYdRZ26Ykq23z_g}MKNDG10j{B%x z1KUJOhJ$dWhHV?_Y<*t%?lD;6!#G~2(-tQe1)lJ=E^bk-qI4?`hbvlZgJPAKx4Qn3 zBD|v)b8HofYq$YfWB7hrT9@Ij^oL#pnmkn^liJiQ)?9f3=IwZer{2z9xo>Gj`MaZG zAe^xaE>Z{=FElV3rDM4Xh&_n4O8$_;ibF@O%plg$xEVhtg@K~z>{7I;><~|zaQBGE z>hv?S;kNIcM(^T)e$YmGM~TsDVi!{nMZpP%l4q6mJ3&og3kc=fvLZ72vWmq$?ZUE2~F@ zNIPYji#u|(Tl@pgO?%x3B#j~vhW0>@Qmd6t6xzI;XyyT^A~%|u6Rd+Njllz+W@FY+ z0zV-E5k}!_m2JriM}|2BvX&0?>mu~Wm=g#0Vkq>)M zs1K+g9)mg?ZzK#lDhy6Fb}Ggyf%<4e zlVJ@l>t|7~oL{@%hZ+PkMT=~UuTO*R34Wi3SsdP?5cD|+D15jKEfbjfjn`%wDN0|; zp)M@D=VxSTa^K&*R)iNP*A=x5w_PM8ApH2^*0LJY{OgSycMIF4D(P%y1LyzQ$2zXX zt|4M{rwAZLXE3A^9JKmIZ1K?D9j5Lkq3&`y?cLC}#mdCddiHhdEKuhI_hU|RC1u-0 zM#*1}TKP7xx3NZ3*`-ce8XmPt7cpQ9h23X1UjtgV7~39GtvfabK4Z* z@>C8?-lum3Oqk+CAZH{)655Dt^~ACw)EOX1>$sF3)_rWa#CdTVO}N$oLm7~Dw){FX z(_u!Bg8?eK0=uaJRSjv8A>mR&dWne`xE4+Vbv(HTlUgCdRW0k))*2owhT?Z~5(Ug; zFK`K7&?j%P-CH8ot!F%qmq80+I~>y#N6w%sMp zJ&A-u&gqNNpJ*&3EHMXICSx>o^p{M4+F_ms%cwOPd%;MEeNRMHsE9I(O!5L`sRoo%P)z**^T&jw+qCjLZez zXX3ea8II3VT498Po)UtMDM`XuKS-0*(j!a`Q=%Yjv=3m4E`t=uz0jK!q>vgR0k( zx0;6u)hjN|8?`r2)nsYzo({PN&9G%u{Pq*W53WaCEeqwtQGDC->#lgEDj_N1g2#vC zhfkM!OMsB-}ony_(7Q_VSXLVn7-zM1q%f9_tl^BoyH>rQU(t0c4@f>c)Ntv+{76=wS!_F@P)N zh$L3+VDKyqi_=8_T1%Y~NYUFINNX<_97N?U(OZ99p@tme-yVe<*7tO84%XAf(FxUT z3*fEXI^nJc<&Rh0=myf>{+BbCTxl|I2J5NebTHYYT|)6T*Fa# z3Si$L<`eXg<4yG!_qESs1HY)n zsy5bT_TS}42V<{j1fD>J07^m5&T&|v;bR@FQXZ79wXRtfndO17cAX)=_(QW;| z&>Mrg_re(Q;2$Xyyejt{>(s|eRl?a-xopF4d16JUCixx5E9KT`UF&tlmT`hA9f5wE z=Sw#so4m75>22?I;<)B4>k`a^-K=}ITEbb_c-71GEsDm1UV!1Zs@v=~mVXXZ z1BzYR@xf9XF6kJ4g9pJOjv&;UDEh3{?EF3{yaS&Cm~!DUE#PIJbN0Y@+r zho_aYG21$(Q#d#O9oX(sd6%quoCj$~FFE6_>m6J2Pqok=$+z9T$2m8+!F2_wF_Kqc z_2-nDg?69Dz1A9#pIG}Exm&n8<{Q#@Iu#J(dl3F5sJvk$FJ1mSZV;*q3~;*XWcKXGoZ zF>7{8^l{fVwx0F;aE4-5vtn=kXpb2`tv0^11f`2LwksxD@zDo9= z* zWVYLjLCc`mwVlkJoc>+c7}5_@jzy&yuJe=lzEslxR$yui zL`3~@2@bchKayu&PK?KlL@vMU3E(pjpzi-2nyUVoSpDzgV*_qoRxex5bZ$u23&Yao zZA!z=A|Vdte2rSHpu@8k(!$03j()cf4MU3!&BCTQU&RdD^Q@J&h66cgxtp|tKHCce zDH^_n_>%aja2R!fnU#y5r_khW{$U<_fTh?FdnfMa+n3C9#o28JdO3CTxfGvDFeXGW zRhUOEmon*l;ikm%VT#oRe)-bsnd>vi)+#YV&}A=qKIZ#HijOx`rg$XdwR8SvlXwi)Nn9xdJL<)bf*=V5IjA_-k^s<-BN~MPvQr*5g_k zm^7=pWy=qm`?Os8@uS>s`ReTB>I(_o?hPTQal53)R^5IHp-}p^&(uwM_h1W;gdft; z=G+dpiOFYB!-Yp=Jv?_g*?d~tBA*Y1`eX-?gY%SdS~Z_IZQb4q3VNLiRLPwRNA)1d zxGJqpf~2YHx~9Mv=Qs7Y_z|NgV`{oLbe5QaAhFbABtgqJ&U zn5k!Vhm(TxTu`+AM}pYJ%z)CS9r(_k(VkX*<-x&`S?(f54F9k~f5q|9IO|^!EZ1SCk>@wCR(+a(L_g_))~ zj?uB)sdXLlMf1+YpJce)=JoT2GnFzGy?bu-hB6E{;4oR}!{>7eU%L@~7aK!?G32cG z?O-n|o6e<$sBq|OuJcnwAa0B9iAaNvTpzUw-&UjacDWGtuO#YGA4?AOrN4%gM|(Av zh#xX-C*oPowJMH{+OB(l$(viIAmlx#+!%CHn*-V!CdJj@3YT1--CCc~=-GUS||hNxz=Z3wP`H7nSaBxFolZ>B_cv zgacDQN1w0=jUgOb&}pUD5@QA$*Dg^h%C?gIhOFC{@KZ&1(eU015p>vH=C=<2>W=df zA2^2X^!k_bXjto54OX)>x-!#2b_O`muw-1&nE^s5I|p{uGZuW7M0`(LrFMN<{NuJq zpO=_T4Jc>^^o`8qE-J5$mfy~Yx%3bW9qKZDaQZZg?C zpU#`ZQ7b2NrNF7&WWcv2Xzh4QzogH>u9fZ~-yyy91zQbr^y(sgs)fy&SI&SHme)0~9z|H`dU=A(96&HkYR{3Y{Mu#SmT$taQ5 z4Uk0Jnd?KembWBT4Jxg(j@%JR&V^3{i+#ttWalC^ZhY;@-jeY{U{~&A>5<2A{`Je7 zobiBl_tsRTh9LS7mT@cV3VC)8;(YyHCcTffbmk_g)PmKWv) zWE8wI92b+8yxz_Xie!DgEzr~iTpIJo38q>V+C}GOwMaO_ii1X3(i&F(>rRGV`3@1W zTB2w`p|#47ex*%O^3(dbN2J0gl=!0whQnBG%nXX28|sDO+gAn(d~v!X*-=1mq*Jk` z@l?et&m9`XWQ;WtUBh)+jDLKFA8q~DH*ADDliT%WWZQ)a()a@}{GYX#vDvda2{z95 zGXlnW#|)mrwmtEFWstyR?M=CuHOB256-prbsAq($WGGB_Lgn7Q?=(Uec|Bwiy+KLJyvm9eE-|td>JIYGlQh`wA142fa3{$*WHpe=Xrf!8#YBz=H1MFek`$x za$Kc#PCz*=QIp9S3iatIxwA7ZsaxQuUG?OQlFWo}__!f$p))W@htX2`Dh21!X9jnF z-zuF9%7zdqm|LH5se=L8q5Y150!y`Cz|G=s1Em5?{xsUN%fRuCzqftfr--@-nfevQ+0{FW_AA7Y zm{i*)yK9w0-^*hDhI~5+Qj`{ggvNiqtih&UhuamPI(^<8lk|)_x*k?{OR@#*g(2R(c`MI4$l;SQleWpkL&grVT0@br=GVq# zVkNxNzVx;TaR>JT{ER@wscu<2j5I@8*NJ{s<(ZTIS_?&{cjJh{Gd<#5iZIee8vOwc z-)l-Po;e&Ylq4<@*V_H<=Gt9B3~HR~951BOPHKxC{O51P0pC9ywh}-K61m_G!n`D1 z$92C^g%kso3$vBw$QF9hNw~;UH9kdkslOu*y-m-Hp4Hlp+lsN1g(ipxb#|bX4L2{4}w(aJ5rIf z-+i*u0ewAC=t%>D+>amjRml50D44}>LHk8B)`N8V7<6+i?`Na%K*0v3i`Z#^G4OYLsIB;f zs+7OYl`*1zZ2K47H&&(vo2&Su-d<-EiK)3o+V8x#aVPR!*HsTjK7`7(TpjH(_IEe8 zjh4cG&Y4!+m70ov_7z`hG#vLmeH^-->iQ&S4C*96*uDBGp zVdKG^A9p)E!FSdU_ef-)sT!)6$O$`=wL(k9dC{J8mQ*b~s^H;96Qyor^i#tx7V>s9 zH`O=c_suek7L@?m*P1BSpKf^1E+z!qAdF2hPmc$P)$WVQqoD49U(zRnt82e)xjayk z&lUfwzMa7l38Km7)IXjV0A6gK(lVlJpQ9#RtRp*sfo%zCx*mG*OaziA6$75FSr1x`WhAPztP3m#rz?g@CSG@Lcvvbp=&la!2Eo-%OZF`W9v} zYv)Vz+(RqyAnm(rjr2*nJZw4;s+!t2##hoIFQ23;Q=5(bD+a+`mbmdK6Ja!pnKZx^ zA%32iZne=V;^O`1{7KGJA`=~kh_Q*$tN9wv4~VLjl91d1+7HwtCq3#=y0607QfzG$ zrNi+kaj!D<zbadvFt#I{cbUbF#0wkM#6d*+J6Tu1xx{z(Ogs z37bneg+~YK)ALcjU0c+5QFb{bh9z2lRqAci|8VWOyLb_@m+xh0^eo98`33s#)aMv4 z!d!W|)So)zES^Y45!Vn0U5|#)gE4R1G-r*Y3$i@q#rEDN3El507-HR=A$(q^d@ZD| zdveE>!dPs0Rxvn5LLeX@AZB4OFd!fxATlyzFoEdXx@U#D7FLu+pA@vdakg5XvB#el z(cQVS533KbxmUdWaFw&#&pB^vzZPMOaWpX9T60OM0S9)5%L3-b(x-w ziRhz8jcccC$kZggqE&G$N2!<~mrl4E!lC%xafk_l&O^uDAVKsZdc>^Z>#ZcgjNm=i z^wQq=BNWhlslhk$c+~taK+Io&S@!S!EZWhx{gb=Vig{N#_2t?qZETo&1g>5`jR4hx1k%zPYEtWNFj&Udt%oq?%_(~aPj ziY(J&+M71E@qN_PU5~xm*;Xxz^kZw;C9S_U7KcJM6RfU`RScxS`AHo~g;7xV{8)>h zXVV*ckMYSK_i;ag63rWG;FP}HWzpR44(0SFPELunzIHidk8*yZwtKdNt`ffa6r)1r z9M28N+r0v$gL20H3(zKKcR-6~$f=dUrCw?3K~tQ;Z`S7ej^*<>%j) zbUjsMMIMu0u5hco*+iwQIIjxDv~pIXvu&&6x|2owl1)yw0!B{6X`wDB#J48!)2y-u zLa$2HLVsFXeOcN}WVlCCk=r!%EDi{8E7&eAw;+>EpbfDFP9Tfrh+#mm<0^{N8WiaP zPy_)ygHLd@xg6*(|1B&uSs;@xoSSADB^6K)__EBLB6Rjrq$T`73)|fBoo;5n;uK++ zg)Q=p0`Bd)ZvIeCJc&hz{D`#T`_(ge%{EMKtfK*7ao+w-1<$8c`>8*|`L@2>more} zJ5=&64jA?wr*@_Gp@*(iiV{MKRZd4slz!^YXU;%Y@hCF&`_Eaj;d4XRu0|%c` zkWNsJbQ@7bHXPoXd4lj(l*rU2!#n5)<*8hXf-Y?*BtB-GhgW;}WPrlu<17r}=6vnA zq6KY@)?FlFNer!8by*hjMzK|E=;PlFBdNzugP<~Mwn{)T)2CsSRYUD8&L9rezL!v> z?;unS^aNUVlY{P(A8FXIyI6W=!x>87!<&QUahAjP7qLB$Iv_HozQa!Eyb}RZ$x|T| z78MB3%m~;}bt@t*Tsh+0or*pL-{#|{)P|4f)OvS` z`{P|*Wba{uF&3%MR~T?3K)sAU{KvXaF$X}{M^;Hl!4hcCwuDuMA+3cl!`nFJcPVs> z4p}LvcbQ| zg+%Tkc)BT#42R(@a%nGuw|Qn0cQ$8+U-^XAGPqZO^!6xK960=SfCP1Y5o$tKVqh#G zOlS&)_@d?Jtsa9+4VsUZ#*Ao9l$`Z5TX|cOhuMqNo;~(9+}p4dr>sX5{@}lEwgkFe zc`G&tNV3a>sV&y`OwdVxnJOUzKK4Ew5lKXKWUEyg&IAQnHj=~vQ!TO)P5oKMKE+-* z8InY|dLbXF1hXqLc)4(;8<>tc`YZIxx4Je8On%d24PR?`g*)q`-%QJ#1xj_BF)Bj& z15_k+5O- zxk%VpNKv2dj_~B+$1>8p)w=2t7svP($&|oW>>gK52c3mgmz~x6-`U)A;m%5;&563aK8)Ie#Looq{t7He z+2k2L&2HRgv<}YBn_7a6%fU-#yq4=WKn?|lD2(||{dStID7sD|otaGTWI;A`U{3~k zVwshVqUYFjG7PGm)%$>b`=7SA)udH(^&)LtBy7E{%(|A%1dl#2ATor+7X{J?9--j- z-oF!Xks=b`JaK|+%7)FhOE)VECpEdruP`Brf(u-$lBf7-R-BkS z{9i;lpiosD5Ampe&&xocJZctMH^+dP2Ov<}beh1niDjDc6km?YIBhLnpmcmZ_ULV3 zYL{+5RfDa-m=QMVHatNEFEgSd>_wV(E`cV|AHR0^>7ThXKlWGm09Vf`j7Daa_BJT? z`{-3Ecog!mUd~a|SH9I%^p?k(=_EcLaC!AjNCQR2*U}|&?WHfNe7o?vo7=YoFS#?1 z80Bb7flMR`s%q2Dc=LJJ77jayX`%@9aT=4lM`CjR!}OV2OWC=IHaIYJ+k;qZ4;v-H zmq($>M}sD@z2|?pxZ7|K1I0IG`B$UU=5q}TzY5NRtxHs+^Lq(Ha$%Cr^ikt3*+;@t zdG*KjO6VGPgIijb zj5zg227<5b+Sl>>&qJ9_IVV4{zi}5VnAn{^r z@_Vn-(ar?R5!@vN~>1wPTlObVJ@%8Sy)6`G;b9K)f*){!U05_4P9c0AYfb; z_9w}+XOD9~d?~Tz^O$e$L+?fh(WzKyI}!{YGjSGh&UX)icOREWC-BOQF9<5KP)>c8i+1=lZs8__p7TL7h$q>z%=@T`IizYKk-oK6Hof%@gegEy{;N4`0Rz0MWHLP^So}Fcxgu(_$rx z5=GJ2wrzXIwr$(#m>t`;jgD>GwryMYe8ByIT90Esl$)HIyfzQ+@lyL|)V>(0q$V0f z9AIPVwqs-|r;u7ERdD>c+^`o!Cm)b0P3eWdO?1#YZyq4(nf4vF7>dZ^=H$;*oaFu0 zy55_Ev=652ayx`Gy^Nyi@JG7_@=e2XuwJ7nX1K^MK5_|#r*t72Y=y&1m#Go#G&Q>f zn}nU&+s}upjpj#1Uga5zKhm zQIOe8#Z8Qbw)-g#9C>(;HbswO4=X@xN?o6K_gh^wo~O95!vC>D?3I@Kic&|$38@-L z)18tb4J^1q4oO=(Hv<(|;s=hVe-vEV2w@P^+|%9F63Rn%Nq~bNA_pzT?yHZTmMq(f z_sAqk6ZV1Y`$`pChwU5isU_***zFM%BoJpI@@;JnYs4VuMqxLY|1hxB+=L~Bz`k$T zNb{ViGoAP`7?q{X=TN_6t>R@HUddUp9HFfsI8oLm6QsZ?>{)ju zJO8Ty>Z8vQPDLR!9PU7ai|tCqPO~)jhT|!4@^zPEM%{Xl+wMH9kNN5e#8#mCM<}Ys z+Vt2^!j2>H{$`9=IsdS>%DUC-wfDV*1z#LKJpcjpGCigfs-yTWJ+y0)pnn;%0U2oB zWn0LPij2xn7^3BY7!nTHv2Q?QnHIX*oO`hgQkTKYxdZ=UF!+2c=5hBkDY1}L*w=jr zHs9QZRXede*@5H9mg~NT+R}qED@6B)TSQ~^v&a|>eW_{1ufShVxgetC+XoO5OnshW z*=}aYm#{oS=|~#f_LWqEcWjOh8|^n)eRdFlbkRU#&n8ZFzqu#$Os#3a+V$Y&n7nkZ z%$~Ue^)HU9Hi03+OLGZl1c9aCiz30m^jd$xhzAzJQP-jIhu=sYbZz_P#(|{*m*OgE(4jphF4CX z;DqoG=h={<8#w-TUK8tiY&M7N91JipWzy3;tmv{>EuF5MQG|d~@-Xt>)jeW;76o}5 zQDkXo9$m7=7jmk@=TPUWLBRp-3?DEs=I^+;T#cSQvLaua;j<~boFzQegFb(nJGOZt z!%F+dk%yJ01g#E~GU~Fys|5BCo4L%oZ-1Cpo=ovl_=wZA0WpuQ!+oacmI#JlH#?Keka$t9rMgh6bd`6&0&sc554C>x7`6_b z8${+*NKpihtHQDk9(iZcHPQ2%AHOHhom;uMM1}O>7ERa%;fqW6Ca-a@-lwb(RpNQB zH1n?2YUB!maj8Li;bLmYxrp#DTZqw*5K%vK<2VK>Q#5TC#i)&I7)Ele>79Rv&$QjW zb24i0#v9)z+^eb~ZhqA zu=Fit!>X*%t1m)}((CXrtL@YI4s+=##v88UT$et~5%DZz;ncEiZ2D%)yj6bHVa{6) zuNlguHbaZFSvHsJ5%GFoELIkJ>A+ILW*a36hE?_wqS~39Q+1mmGONxZl2h0Okh!2A z;H)x4$yR4~s=rZ8D6}VAHJ+cC7r5*GIQ|qpSp)<_eI|}cnNgr!*U)1OfgA{!f)_A* za!#^94+7_@VoD-=BgHnM-+vj1uzB=swzhN#C-!~Fr5i2kH|7#+oUNz8 zd4z3X{`5UvH6rmy2eM$$rLB!K!O?|AWDOA2mCAc;fov8_r`TJiNkGkYaLD!Aq`#sQ zqKoC(b8PX?tiOcDv(&a9%!H1#YpI?ACm;*^7E>2ksWZ6{YJ4DazHI?T;&dtk)KE(| zxQF)HVHR}m=EoLY_)SgnsSR(ba>Qu8$M9GAMFkf@<4{@-oaiHB0f~DDIgydkBB~NZ zEi)NWR*WUu)A?D;c-IMMFk4<=RCe2b;1;%su$z@Ig{<%PQmee71SJh=vcwr){#LW% z3d6n;uhp!bil#u(q!t+630VVi@y!{In%)l!-0H#qMzJ+FR%{OUrb5RJd>q8suP0)J>M0@Z4cHow0$GE}M4GSWm&jq~08HM$=JI6C?3Dg_yh5$FGSi>` zR^sa=CF+AD&@y8s&bFUW;UZ`YtCqcyY9viLf}kLP6-8>SGN+`+#z;h>QxxaC8WRk zN)ESubG)*f$D^1q81GH!4e`ZlLxQ#1{`J6$x^Swz)W^AxZ!=gWCvP2f3>||6n;EZ6 zHQXPWbuKc@S8rnMv0;KMT41FKo@=H;?tKAmaljt?+AMCj zhU~8X;HEJI4v1M*?Wq-yPQNH50MP+YX}@)1v2r9jleC;EC#_c&u;U-vcuaFN*8??h z+(beGm(>i0ulZ&j-Rjh)S-Co-(5@CqL{)H9V!x}efoo%mmwS|k@vnlDf<%v_J5<)Xy+`FNi5Q){m^t6&Ow8LFR)Blq)X zTVVS~5Ki_>E{&U1Om0{`Byz@Or5M4oPX>(-SDm|(l)ll9Aa&ph@A+7EuAjL87nyJd z#x>r4!ylULx(nLBfNO?Q^oX1zyXXb3A=vA^~xk(^sSLN#p5wqE(BbvJOld|x2qQkdNJxTXBH&39Huzbz# zZu}xrHmxNMWjTK=#5-I^2n-tay4!d5DVW_o^e>UIg^bwCFlHD)0$>$f@1dD4hAq+{ zmp-ODwqm%LY()u*z&ug6*Ry~ym6zJdsgoaX{iX4wqY`pf^Q;@m&fMPqrV$^{DCb+< z5t%zJo3qhCV3B}e){O4Rji@@q0Yq_ri1Bz|IJ{}CNy2}QAgTWl{mn9{!hO8=A4v?i zkew0i?lcBoij_UWu-J~Q3(WaIyJH_&`Wox>m zV;W0$kKy0>+^rg0yaTVchIyR_Ao}~s_4Le!T5*4gskiB*r#XYQMLLVj@5~9wl*;nQ zaAVnZX@rv#= zf}9bH12fSW$^rWXhfZ2$160#7gD4znL5Oqkja_<%{PZCAYOzAs5I8x6-xWvo?e%_AMRZC@3W=3}ic5X`To;EIrDB34}D{^VKOW;R? zK>DpIHA) zq4?J#c9L-4BP zlC_3$sQQ};Yd8}2m%F>2`MXVp?4RilEdP)iZjv*J=YW8uiRLvJSMnY0@l7KIrkwHM zK=G7|eajfJv=%cW5dJJ1WQ1m^R{77EeVt75NR=6O*dv@YE7>mYlk2xF!~~aB%!3v! zb}WdG1#nQrAV)`LXWzGN;kEzRu^J63p?#NzcM*TTji8qFxrIyh`5-Gd)$AA8aB?jH=7(jkeMP!hr)>=VB>D#|v>BX#uU73jpSDPSI0LWJ@!!SiFcuNINb3 zP1Q)25F2wUH<(XdLzm^hN794)m)JUBz4~BE`q?+rJ(_*nU4oGs^eSrTq3L@Mntypp zoE%g*Kc|I6FG2YB8(J`noSb8I47wKI#;wcPY_fM|!%OIbe8^!f<;x*)njprkjgBfc zp1SH3{JOR*13wM)|6&uF1?z76_8sI&BIAUd8Eq7IUtv#eSCT1a5&ruwoC97H-h90S z&C9`lE}&d{73IwC@ltskdb%R@3_Zim!{7z0+SI9tb)1%X?hRY3HKz5g>R4g2ma2&j z?<7NZ(p}r8Yabcn?)!=NCoy31HVQ@}eau$tALe8Ls;1^?8eH1_s=R1Eet-yw1>DfA z4v;K_oaOgOHwwnfWS%SC9zi6SPdh5TMQy-^Kf7qAE#7Q&4{%o%2Zu?Y7uxtO#U+ zbB`=f?|;FYeZ9Fxvn|MgZiWqbok=c-1M`td@-A4mZb+&@uk&gZS z1N#^tp6m_t!XI3+7Thb9bRv1~(@iX!V$Ar2bGxX*W8xWZ2``1pMECCdaNc$4uWi0$ zo9NalkY8%a8O|Cb|8NMHWuc}HR$1Nkf2VBngQqQPZ&7Tkbv>ZkB3WE#dvTvWm_ z-oFU136TNkbeQ4HP)c@>Dv55UId6(bzR$SBRT5`kXjcc{>$7jb60qY?jKzgluq3A= zymG3b(l;3m>=;z=p;+5@5t*Mc7{dhGm3UU?HaelR9^)cc>-0ttgE_}Uay=O;%yfh(AyGUKszH=BwM2i-j)4S7_G&U6>ZOiJr zyXdvfZ@0^HY&Wjg`w#EY=tKp#rI_(&>TIQtU<|d?)U+x1J^No)=js!R>Z`?BgVsmCP*=)%>a2o zhQEym^)@Y8fIfKv8Kk0KB>M2il=nV8ZYlqUc99z*C*P}R$g0~N^XaCpNbI=hTYAx? zEXw`N2K633t^kQ{SIkO;mzP${b?ETMbo{y)i*5TLM{v%lM!1bn%_o0adc$e)AEoDO zG?YDGEHPElmIj?vNk@a^3-bH3M(B#0NS7E3Ndc}#64h~b=3PURDWSLZ^h7qa_>r3A z$WpO!RBn%dgJYx4x}4+lrli_Y6gT<5byjgsBd1t+o;iPR7Dn zm%(6mA&h@_-XJ z35_PXUDP79th{RZMBe-eXsKklja2gSzoY-ZzZN0@7t@K{TsEbf>8lR6L|HW{anC~t zZSF<3!&$Dswt2D$=cm@hRXOO_`EkkJZK%G-tg?Ol8=;h5s0jdWphcRqA7m#m29Yhc ziiGT4U8h2N3k#gstdh&LXN~W+#$JkZ_-Npi$JFk=&LbOJj*6B6P!>8k3)^hr9mCC3 z+bl@&0AH}1&Dg95eq#XQ*1%|oVn4Ly!o$q6v>oh~FU!q1k#)=K;l}aE5HficgQE?l zXy&E_kCIAT&s7i>(~R3MXjb0F0oox6{dy;2S3z#M6hg8C_Rq8MRtz% zGi&p|V#IrG*F$E{aM8(4>x1Is@}ub=f6&$ESdf*=RBe_fJ#wBWr}|^&1fEW-`G5t} zz~H^t&KO)?vO5Vg($9xND+*=Cb)SFZ%Wjyn>XgBy2?*~8&{sfv#DKOMWKb%xL7&R! zpLaZlxW^&S`So|7KVUMVjxV3h^kK=t5p07^9^`F7qbbM~%)i|Bx;>l1+OawOu&!k3 z^c2N}GQBuVSz9FIq3^tpOYt(!2xMF!3(W$zDlZM>d3dxQxm7aUT|uZ+|2cF@Os8Kg zjZU$g2jP2jpa$a51nHN(v~eJ`NcH1BXtdkhQ(U!?<`87k4IJm0d5c@O%=6kkyn&nk z!)MWb2~FaXVX@P4$u@3_g_E42EfaWOik?q`;uDX!w!E;tCi7Aw%^l+`is>3!TKCRi zb~~X8zafyZnu*o}RoQ4BWw6^&Si^p()&MN!J-#|u>^Fjq-3?CyiULR65!OHf?A@ZF z!dVee!tf7CCyLa7)9(UbRS;BW{>(!s3~-Rtfm#9s%S2-F6uwudA%)T>gmAa+o*dDd z0O5u{9PGr0!=hNk=y*6H`pk{QU}a6bvUMH-!P~ge4DyKp$k`IawZk;A$e?vHG-w)T z+ky(rT2ecjb=TYd2zPOAcG@;MFj%>?d6h6@%^J7hn8;?I;#~SwPNpMh-6s@@8Cej0 zBG$xt8D@n9|B-0Q!Q+B7E>C!Tn}d8}WQ9AN*Q2#-D+#<+*4VJ6;K1sX3Pm8=(EBo8 zC&kXFb3s`x%+&flFq;eVJ%2`wDhev;|Rgp)b%A7=|+^BzQ!PD%V+_{IxDdN*Yc3ruDV3GSHKkJ*?-t+F%JnG=k^+_>@nN=MM!X7T~ zVwg;;HAn$sB$d+OgL*63vUOb*Cc)#tgocSMjht}nY4&Ai^zw<`EHn+u&JYZ)ut<25 ztQEWExUbBXA53rMraavXvp*x1jdozH$Bu$iJ ztNH-bkkNbG2mf&3^r3&)0zVzqhEayg9m_=PE+NC2SaTFyIzEeYrv)SXR3kVW3KTL? zfaQ6ycpQeg^RN&B2@*_?uF19(dglN3Vnq1LlWfNAFF=yWM~2~Fg{9x}^%eC!a6K*3 zK*9d}zGY23P{di31Kiacr4Spj3Mha)rmXLh<850V=NL{4-D`9KMdLH_QS*+isg65B zcbJeQV65Kz$b}V7Br|rEcN<4^Sr)dSpu)xp?*gOEBDK#5A_0p#Ue5ooH`?3qi^|EVhs1KBhok4f$r~@&7tGmHKoAWkx6j0j9d7`W|>WP zx==mQ^v~E(!}GT zkTpT64|hQF<-w-N=67+49-2FSNBWWSfrE9!%$CrHLvr809WGK79e?2L*1$Wnn(hT? zUgcf{>2vgZ;!-QIw7ZSt?1s@L&z#du{Ux*A#R;I*rU+^q8x^WV%jjpeXvv+KKyr2C z{bub1JYY`_)pGVaADkLhzq&!0aC7FR&5GJvhkI=-eeBAUR~0k(qZJV^o5#}K7e1`p zt*YBBf|{1i9kpH7lb9kL0102hP(|OO80)1zywM^G1t_KP&pX=uChrL9%Wzo3m^mFn zwFC(1;-T(TM8Kl3g3LTIDmwpbWrTP&n!f7p3%NvrITMdJBN)7{q))Ew9se0pkNt1; zs7&r}tzgjYa2_>gMABkG*7W>)@0Py(yYjTsK%uikyPnj<4R*GZn_>AP)BksxlWF8P zaLuIW?g*Xw!t8Qyvno0`x0cNVKcQJsR@qoVSE7L>Wi{0c)I!#A50g-rk^pKbyDIdq zBjNP2rVJ7tkP4LX=9-K_M#(pn%1fm2tj^tI9^LHlQ3Ql`U=x#e*XeQclr0V0&a(*6 zrT4iw8Lz2PDhTq#5^Oh9X|n6GmPSCCq3$nRolSCc7}e6z!~@0c7vrX-1H!F;t{U9@ z9-4ptTC@RAg8J<#awFc*@47X1xvl*y>i&8ju1%dlio$ z7W#w2C8#oaDlXFCq-)%KNtxlY#JYTOz2qYYDa<$HW(*e~y{4`dP7b+IHvRq%j4f1C zERNlc*Weco!~LgTd{in?YNh?f-l-SOd{`Gpknn}~XXh&8wPOn9fm zKtpF%p}401%80_4w_eP(0DWeWS7ON47`eME)2Kx!w2H`x+<6RCvT{BYf?0PWf!F3% zEd1)LBq{z`i*cQ8<>Jss-w*yz2RWtk5c!_+Ze(2QMtYlqtSycKx)FRP<53b4)mhp3 z>x#cNI9=VGPXOStCk##neFXg^)GWwt) zy;uu1M;4dO2X}-Qhu(rCYwLRdMn|Bs=?Oh_CO8KQXrYHW5qEyWM0gX{m1%9D;{hE| zMdV{xEu@z? zlo{Di!-I~Xw?F$YcmCOFAePWpPA~0I6JzTSBU?Iaq6&Q@;V7xgT>6U&HR#ywFkT4* zW=sr6hMYrtV;ceDy`p;)4pWE9I_d^s$A+d(QjbbF>|)gBE>5vrLq-ud^P{43OmP`= ziZP|w=wW~eJavNa26IdqGdrnc)@PLGl;<+AGYQI&{+f!5#hSeb%94itm~{^6ZHPO) zw;6v!xFm_3OLxrB;*0mu-+aOkGrEYcosk-3p>DtM2hB|-($~i0W*azf7LGGg7;DSy zrciEnAvn|9H6W*mQfTjOB&2cbK_fV2AjiD+duebv39SyI~^N6;_NbL?gEf zU?yz))MzXl9^0iiA4MXNE4Bs<48jt=io{sxX%b8O^e;%!Xw;-+c2L$kBAZjJ=GkuD z=|*30%99==F@sBI$Km1#d$WNYp~ZynwQj1$8{! z{vt{A&lML7-93)RIHPj_6>4!qBq#nOV)X#1RvKs=ZReOJ`@7~tVzEGi!i;hVAn-x#dI?D|#j5B@? z-$QVL)u$9ry6shZ-JN! z_vKTr<_=gyb&|${H12z2d9OQH-kQ&6fL>aduANiHxsF_Dh|r)(cYfAq9+u7lLE5Ih zI~xiMMJcF)*u^dm;9dqN1dhhDr=|x229Q^@Ev9EVlF5uIC+p+?>#Qv3UMK{uv0w;a zmqv(<!(uC`nD$e6`y$m3rMe3B3lt0^E{i*uH%4bna_+ItQlXqN%fJ_nU z?#Hl6BRRGzeJ=h(Y1%!HRsBb$I{Z>(|G8qbW`E3|aAiC95#hIl??_X_uw$7@D(Vab zrHS0*d&4G8_rT6()FRdNR=F*c7^lq5{=86UPFn%=}sZix^~?eJmEog{Qm#C%*n#! zWhHjLs7%YBG``F5Fh7Je_1asSE_sbbn&|Ggd^XNPT!9+Rm7&Rza&>N(8n~}?66LO~ z(Cw9gYV@H6IcaRfgIWd`ue!ujflxOzlaPq@7nRTSE4(97nh596*dnQKbBfJvXp?wq zkEHpZpmSYz66~#XH@dc?IibbS9dojpc7^djQYI65*pG?8SlfU9a3iy-bMXl88O7%= zHig%=9O#RjshtKR-3UWvU#7CFn2_5!dwlD*QeTs{+zB>sx;yQvXbc9?oYXeEAgTVc zWy`!)KEGj@{1QmF7-hH!mC3*1tE0&xYi4~yz?(x44>34WfR)3@)@i~OBvwi9z7s*K zT&>m%{EH*7ReviGQ|MyOVQq!Tsp0+H96${0me)nz=a+a_aiPISV#~^skeML}6t&Ul zf3U^!DzT>sDTk5B7)d=!5E4pf1?G#Rv~QOvI8qjXOF?AmTu5%&-!S0D{~V=Y)1hX7 zO9VQL!B<%C$!a#p=ii?7CRL87P`uupfHmERB3qIzQ_CkE{CVE z^{4Xi53TYj?+!S}0la*B|7M|aDv$~ZD4!B{rJPYCoezK5n)#bG~C(}%( zCvk%w*AXYN#^-Ff<*k(_;I#7fOp-Vda{iND;IoUptKjtn(zU14DFf)*(;&v`!b{%X zLy%^=&jV+kY<&ZH3Bo%;8`+EUv~pg1unDa4;dgK@y_1~iL8AvRwYe6&&r$TS|LG9` zn)|s7|Ew&ILIyWz-TAlW(GoQ!v}zKeSQ~9CM}lM`a2QjK(W{X0S`w7(ugDid#kvel z`PEI3^jfvloCVl^6x7g=3J@rS?TJrHIMpb~dKM>wjn*Mk?zgxk-F&Q##-4ZwuLKFm zQvEc@HuLZJ=}U%as;pe}S4C7`g0!55Vs{XhV8{(_zJbJ_e6ecbTW)2T+#w!kr`JR* z$k$6B{yj$FzyVLT2uVpl%2=^3WEWg|;kE?F%ZgLT&;w2h=$M$tY;S~N`RKd#@-R9$ zCgpbB93i&D=o`?OdTc~5tA1e$3oLkPJBwjqY+?srk#I9(=xU_Qz<{ghpG7A6)cR99 zI=i;`=n@9yP_l_L`udJtmO+mn0)Th$VW)m0;`J8(Zh z+VUS6TNrPHpjRZCbaF2kRLzIiIk_zv6_P9}FAurtip~0DQ&j78_C8Z-JPPFJ^m)sU zt6pY2dU^y9l&Y_Mk7?B=n6U)0O``79B2-cYbId67^Ms>;K`;*6$}+6!3bg91aoms- zLC6Wt75fRGQ;9gSOU;ik3*5x;%KaaYT@kk_PW=URABrl3*E{6W=!hIo)F@^{d0}%i zTn&B;pM#i+Dc~)rSjv0twD|V@mJ7Q*!mcKym8`c`CCsn2EdH4_V(>VX+RYw~unoE5 zyOaEOp03jro*Vo-2|RgHK~P`O*a>qrE3LSZ&JzIe_1p@f&@HY$VmGs(*tpY++rosO zz|3MrRO;+YStf+hIMB(C&js{#MD@Af%}`sPM$dSkm_!Sx+o?*I+n>BBy<;>=xW`nS66OmZj3KJ(A>rb#2E#k)Jrx3r26I@gvxPhuSQvf#zx%(G;c`TwY!~E z*D;j@*m}6xqp!{UCl2FoPhwD%N{mc>3&He0Is5KQ`@uj4S=9<$w9UX^|Hf}98VNJl zkGCHrRkdzh(UEwaOQKekKSt7szGtSV7Z6$`00*fP*XljFu`>RVYWjbXccqN)-Z3Ek z1kdX^SCJ);(0+Uk!wlz6}L&Owz)J3#Gp}3 z`3k4&d7xP4@im5XH#5|&Q>!Nw2Mtz{#@3uCL!FCYHOnx$4KI$_Q}guH$^5_H9wb;; zUJ#K?UM|8a?Hq2$A-Rz)cwSOgTDK}DoY}l@I-+cNa_2p)8#$$+Tw0FpOmH)@Iv9sv zVyes*m7j6Ez0L_4QcGY}?QjzSCDNkIjM7R(gTlr5L|1=?ht~+|IwfH2|M;Tu>V>*XCHEs8fERwfIwu6(K47^2+f}qC%tU|%lO=3sNMkTk zG8*wjBQ*BCAHZ>-*Zb)mnh#ywkW?MI^1p`#FwczxiZEjWHX8^Kk)O=hRe?E(uxB9G z|8F+{SeVkD5{*-_XXEm7W8fvm5O=FU-?&YL6lgiv`Tvv`H&M z;g!dMaEXpM)ABt8iMiYlv<|o@*s<;d{JceZUSG;LCz{f}bBOFeL`$~IxwLx{=Iknq zwRY`L(KqoA780YAnbw=ANO>oYWl>ZS@Q4fHY$t?%w+s7gWx7%w8xyODwNb>t1xowq zttfOb!a@QsF9N-;0?vPk`N|nN8|KzF%kp@3NO9|kE83tN_o1eAJL8khM^0mWQl=Z3 z(&;aDX4Xuu{r6;`^O(l;csNRdpi&n~j3vrPiZ$)f4y8t|U@gQ1-1|@Y1xP*S19}wn z_RQ|St)7w{^pHIaSWFmTL|wo!iGcv9d3bsS$C zgNRUP6-=lMYW8*w9p5gigvJT?GKsjRxke$W9>gj@!*jRuMA4sNO~`{DLL#s^Q`I0%55@Zvt`T|om`4pRt5e)zBU3FuHeG`Ny07M`asWw?lBv;Fu> zGaho*nqT%en1QLLmDS;%B z8vj8{x$b-c+e_P0dHNlcy#2bY0|$lay(Rm1FEP76OoWzQs5Z7QKq_a2Z)l)+9d1J2 zpqONRfJq)wYA*YQ+7qVbc^<ve>7$i>KQF@O!Z&cxVDN3REi~LJ2}f2R zLbDV_LH=ce;OO6y6t6{%ub;%Pw0?DJSMyj$56?ufSLfTNEBevxiLdFUu98>tD`qPX zd$tv4Wa?&^hj=&VBXz|v!H;uv;nl&S&XW2;Ag6~Ds(NWRINk6y^Pz{2`Ahe`6%E(d z92d^8?LS1-oI@d`E#~`hFqQ&@!0NRuC=P7)W$;A~sS$Q;@GLG_l5L(K0U6(Rf?6?H z*LdJIo};V^32I9UH1OWn2aYYN+GaZR>_A4X&>t*-j3 z)k3VJ$@_}4Z=p20CGyM`uzle=`HTRmK32OfJY0n*4N_(1@34dAI7w}YD>wq4eCVHj zBJ92})2GCMeC6rU26m&|B&Pb;Sq0HysX94y&zXa06|EkgK|`3Qk2Q_jHisign6N#v z;D~(I@S-~0C6!Unj+MaWL)r_NK?6e$b8(xs(}yINy#8&A-7gXH!q9gF$IHEJn|PNw zRIysb)tz@CZKaO_@k)mIUK*zt>M#5%8(D=&I)&hYED%s3u?+*o%DVwWb?%rzU8z%{ z^_x{zxsR5`EQL~QzOo15N}_(L%hZKjC<+N z-t*t-J9d-$?Ip@-3OO!b$4o7EpVxhX0#Oy2>F&>v zk9Ah|0X(|XVP`c0mUF^Qr=g7$$=hr${l?K--8O;j^kkWlc*l#X9JxK4PkY&d&_t_j z3h?Fx4mMl>==?}-_ zv^f9Bk;M!bPd$!&QW_^!@;IUni`}D?JPgn*-sxaiuok?l;$%9tg135EWWveFl}d8ELoWS|!?9apyh- z_Q&1Iq!oA)qqJ@u2S8OHVuQd_8>Edo5s3~7=)_D)xJl%G*Ctt)&iq-AD}$SG z0ZRo|Q}S!ep!(LG*&mLbi1c-EQaXYOb4@g+589V-rj=g=?YY2uWk7_{_Jly!BINt= z>Mvlw8YBL%z#k4{QQxr6ES^+i`RW70anjmz&Di(l-ZSV-f|bUExtDoxef0P$*QQze za`HN}IX^K+V6~F1v7;k?KsQ}PmGWFQSvWl!FB**7X?&H{z<6aUqzHhM1aU``;_T>n zYp^Q0`|&j?*otQ;^urH<{~8ci{9D_+n!{8G4U-l z3z0ZL#5;9S&C3G-Pq_Te9t7BHv=fFixGk021T4X~H9KN%NC#;QX62myjZ=q{OOL+2 z=7k;`!K}RWi;V49|pM}jH`gv zX(I;K+Pcz5>qO=7IbLFUtYL7rZF14(<#nFy##p;Jzg*gQM|U(a#!_GNhbmr z^qTt&f#4co=$MrL<$=rE?|Kh6_Gkx zxwY)tEkQQ~WGMU4WF7ZHYTsTI31#O!fV#yXCY-FP08p*x3%WW2VP%IP2cHtcMV$C$RGvY1}JH|(MTPv`)}|M zsc@QaH9vRWAFTu;+nh9HbY^ZuBj_DY_ncivPt_vIMWL^CXnkCQx2BTwzl7 zZ7WR#hAvn22s6Sw$bJmDGv~M#Wd`j~k~h${UW*qn3Mt`Mm4@5NK%xZy749G(sfGSn ztWNn2NWqFPR3CMAh#MYEMP|KZMi&QWh08KoC}cd8nolnG$1v$ft`j>Xq}oS)g8R^^ zId*WW3f%kz;#Pp#WBxb-?ocBTi;8D8Fj5)B-W+B3| zatG!z`8znX-|0P#dovs;;QeBiM@Fr31bRD{iWl^ey{SDUYPkr^XM?e!T3qJSE=Az| z=?OLijO97_6=S>#2OZ0zBMY_MH>69PWI}Ucs;tzTy;EX(a^Q=j#J-hPOGKvxN)?RG z`1h8xVSaN&>{NdlbCs^69}TUQjjOyw@i@v$^eWl;w>yomfS1?Derl)x?Z{7B{;Cp-;K(+C5!H?(8$$5MyichjU|~c&R@# z;qu@FMOpMB=?|tM*$x;6hmH9s^e$F60GKjFJ5iF3>DY1G5AMDK{|c{3hTQ(y!H}U@ zYF!#!RFL9_8P9Y(%@W~gy7hR0OX5*^dBKZ*3E4xa&716S#%h{DlaoR3fM0Qen=+RG zj^pN3Zi+UiaeCc8_%cvfQnkfr*iD^wE-t9V8pZ;ifBxa%Hpcwr2ur2zSU1Q>1Up$9 zS#WRV*AIW}U62AqM^YY#@&0u6O)^2ZN<^UO2k~>%(m9z*yqLtL&kU7%W9!)@ctIJQ zlav!Zbbzf3zOcT+`vz+!Z&3mJ*M|#^dqr*5A+yXr+^`EUh76%huY+;8jU32D=L2>7 zci=0MF1|3~Y*7BhEUSQ%~AGPGK@Ts>@;>h5;b)n;bFTKgQpRj~1|AN9B_!6pNfov_x}?%3I0=8Xb1&Fx_|$#EkXvQd z#x`~%5S!VU`jn=dM+(ZI*>}5DYy_6gh6F`YEvNuuz#A51BrL_#~L0;Vs*EaV?8$G z9O+GMosV8@8m<oPI4s6H&yTnv9WQFlN6Imdx~5)9!c+I;r!q#38opjCu55i>y)fG6H6I3Cs8)rf z^hE>iUmlzz8AuLdj(<{7>W=HoUbPWjy{b_Z36Y|PVSstufh&EwZ{Vt3h+;okbfwi3 zT^!gP>$|k?dMvsHPLjwE!^&?p|pHIr{{<29oZ1ea(Tdw~gWHewzq z*!=aK>2fNIS{_i~Z_K6X{i>ykii7UfFIx(a0CP=y9et;p9{)8%h+xw;!ul&Q+kdh{ zbYjcggx&B%h$Iw>cgG+{t!^wPLK@0c3=o@lCbE=NuvSxSHj5ix0WCLk##hS8Jjpxu z%=}c{>fTL9fq?UHftfRE0dx+@FKyLzeYXyw>;Vy(ZVX#DcVlZ652b#!@v~5A_dqg! z;oA1lEdOut&naf=bBDCamxneI;bn{{1{&|zGWJ9_lnlO1y1#GbTVPCRX;Dtt;jeXQ67jPsWyS zLs-AnB{FqfQ|l!%GOTN_-_h zjASEmr*X!M`CS#OPwS-NLD%KDcV<3yEZ9Uo+oD1mxSaoB4W7ICeD0aL z=9-)bmE*j`LQqS8>?WDfr#U%D)j6*Cqhc9}%rhq-+QV!pLHE4#7kfb|saj+2V9ebD15 z73Ca126IU4Ox3|@FXmhjfn~5&*{Rpn?%IC~PB<4*ddFK&wP7 zqrlp6Q1r@B-^Fuv8m(cb1&iV;{3Gr-v7kOw-c>r*Ijh8;Nc)YhUx`yHbg~2|=mqS* zL}YOY*r%R>VfpcAt5~=%2GBtKvd%BivZS}UpK7s<>Gb#~oz$-JUhlk{x*2lJd+d=LFBiV1`pRhH|;{)q$BJ4QsbMOjdp(yq8oXXN8bxA zBM9*gV($dnb8G=Qk?6u_A(KWt?kHeSxI2o#95yBd{)-+zfBxAlFcQJJS8ypVy>kMI?2&13L{sfvF4R&9XMZa} zFH`khgQaVw;;5Dgn%y>65SC6>_BR^A6bSQo%jl{*7OC;|Ved3v9a+3@G*shm8R1vg zzcj+3{EwcGd=a9XxLUc-1>^NUQ-F#p0)8^25AOaWMV(|p1Ydyb^ZQmdtL2rTAVTk^ z1ali)Lw{ja_JI%=r@NFOH&pfg<|={bl3~5-I=hFFSTD^i5qHrgWDxwSdK3DAtZzrB z=8+X!TrdkhtmcP3h|HJm*__r_LXI!P z1O1Z|!^F)K)i)dx6RIqJ8IDdB6yV0`&e&FN_zpMbyu?#M;Q;$C^>R zjppd7mFgFW9r&))FAco14GjpWD3gd!BZa}Sv;F%8hUN9eLtN)hu+p;#dy zfc}L$Xdj+$UQm1SZ79?#BKix2fzi7`7d~quOJGogWC9BuXvoSF%epQfemQrMMpQRK z1FD4g!zC>RZ}*Wg<@qJz)&8f!BiF%Uz_s4T`jvpzSZsJ!F*rp+ARr(hGh;M4ARr(h zAUS0)o}&tMo^6F!z)97quz6)MaX*<=Mw?Gbj{@f}_MPCOguH4egNxuE%BmTV;+6}v zJi*3WK6IMb-LAETLh^o+0C@VCATi;H@|I9(_2c@h1)U2HFL1z;Pq(x7So`Ma+lH3Xy$Xy5IB~uxH=h9eyC9)jrm3 z!Jt8~@oeT=lO}{Gh+Jxo;aCt|XoR++>td zNJBVLnRS~rUgx7ZRG%8RgrL&v!vmXC7_<%bnrh5Rb&F@`3Ld;stvD9Fn>cy4E(NDG z{lfi^Tffr{CAT1dR8}pGoSt!6|F+350_cP$^y;=a9k_Ws$9MzxjcAXKlOFY{v?ra! zW*yuW&gxvvy zF)rMQpELwO$|>8H@8J3t&ZX`;WJQcI`2e~IW$DxgQfeb;KE8g?2Ju|3C?s!~3Pc+W zfGB~QorP=vYLqE5{Iuk>p?o!S;sM?mEt|edMpD-@9G7c>s*at4$MC+|xBn_<@Ty2j zxCYrvpZ9_)Uq1UD|M%$U@?1Q%q$>@-5dANqx`hk6{S#ot>CE~l8rl8!Jj^(62&ae( zpR=-yY#j!FZOzkgM0YlfdY;U9HPO}kpiYr+6;Dw^U0m?3a62+Ikl5}l?6!F?s+>$p z(67+M1^rdwi-SgY#uj!ECe`=4Xp{IF$~O^97$H^rJt(JXy(ey=@QMgy4@W=OlP{V* zJuR~{ZH($yT_ImcM9lj{2!-%lcFC>7*NpsM%CnXF5&^zBYFJ$84QJZ#M{%%UfpBiJ z3=)PL>uA(09}@Eujjd$#l1oLup&yXFevy`DG4v)N8?%Q*mKInK zRRsHiP0J3BZD&a^A=QEj-+qm<_NmXsW+%Tl*rpD@U65JClJ^1;4;GwxX=Y&bGc?ni zuO1=DXzxop;V@f2DB1s=rW&$>iLUhqO$Cz=BMRffNk+vMiBw%yJ)L1&P|Jc^%&hnL z*Mjw}s4oG=XI<*gzu8Uw)21%I>HWp`UP)L=w6NG9R@bdMU#Y}`s zpX`UHGz0Nr+o|b$8`5ohWpZ|NL&5ksyCO_OCx@i}y#RxDbl9&*uv@l#ba7NXVk``X z6bk?=mIc-g4>!R=xI@|@oeZ3Bp>*6vAo94UN+yBxm_QKfbRX%nmHJ!0DPq*HH|y=- zQ5}efEwEWXzM8nRtmOZTd$~LT7t&P2Q>^F|n-7J#*80edaFSe+SHY-dC<1rNh|MuI z@ML%FZG!$>RY3)Nn6#qtBD->}N_uMVOOF{cQZnQbom6}wij^qy6MEI#u;QmT7hyXE zObe@*IT9hTt`3<@VC^_)9b{rstpg@CP5X1zj(*}WB7E389N1mClT*%%^}l%sWQ#MN z1ic(B6O5vTXRQ$Y?|Mw4KX69#DKs$_P4tQ((n%PWuh{>od-}f!uQW*>;#9pB!hdpt zS%z7>Az-i&j;AiTjsAzp3hDC$HbRe6@ zcg4>qI7~+49M_SZpNnka>;VvqnUxoh`p&{K0r26V@@ev}CtKNkp7N+(@ZI#8JC zooOzha3b~B?taX$KRHa9QlJ2er0L)$FjffA-+l&ReJ-HR2`Frv{<>N1eM9B-N4~36 z`=3Nex#DO8yuU2%5Funw%((;U8)Me2HbbBGJ;$}?eM)>sJg=9I(+`X%fGEFUIpnZ4 zkS-<)xE$P1aMWieL87c(=_qgn_T#8agf~$kf%KBq-XkCZ?Rz>Xvd#)t(p8H@% zUkOD&h5vB*6tl`zFPKTeGq8K?Ish!Eg-?+kix%J~lsBcHhHiEGdnN^fQsf*Vuj&ni z=g$;QB~0tWsaFRcA*^L1JY_2TOcuWT`9@nv8?H@=2X|M}8i+*1nW8^~-x2r8(`k)x z2`ZW9OIrkE=N!xC--b}s+$}%(8m2sCNzOpx?9+AdtU&UH7K!u`=)UJBlDlk6K~?{9 zBf?-8s9&8h6be74De4?u@wDLBr&8c? z7YStb@02S9OYT<6+5_LP>u3kJ!>GYl)J|G-@m4g<=H8>m?GVdo>Tz^HonSLkEo+MM zQkH;3l&OtZb>`WU&x3~`)uegQeOJZd?@bs6wflp%@&g~AHOs8ZQ|Y{a0y(7~uP)h>@6aWAK2mobbBvkGO zFvmOr000RC000pH0034;L0@!la9?6?VRB?Hcw}RxQBhCAFc5zCS2WRA)`31q-v}GY z5(3MRL}N@d+8b2IIABHe`{F`6QXfJef`A zj+6lTuYJz`oXKSu#tPcf{m7IE4tgWLZ3l zej&Q-{c_SxW0c5CGS+@%%$48r&zfErje|}ayD(jMWBLS8O9KQH000080A*q%RMev= zJRk@F0EQ+201*HH09HpqUvzJ9Uu9u(Y1u_=k-Qb&UR{R3@*Cv-X>vhz&lb7GJC-vW~n_axTZ$B3~7!Rb~ z?%*GD2vziZpiLo7KMdmWBiI~oy2w;zU4P!~&?}hdt*OLD_Ww0QDuz+~H%I>ye#>iP zkdmyBR;{Yv-yJ{GxT4A>9Adn)uL;LHz%!N_zPtTa_Gc0JJN^DAl&X^bRuAO!RvXu! zOeY~&v6KC1GMlGhq$v7v7!3a+^qUCjbUMz4VH|x9huL8KYc>h)!vuQ$ZXZ8IlODZ{ zZm09~$b!E$RrUJ#x?JemWygE%_4{wH!>hV~byTv&lD^D0ZHh zAF%$wMlzVr5cI=v(6G{LNI7xRF!47@;Y7M%Mf#~31Kz9oCMVQKUMNV{%KCvI z*Oe2v%IVEg6?mEwC5Wm3f?||(!t8;Nn2m5sR~(rCX2R6Vw=+br5I9D6DA%%px-k# zPL!%Rk*Tb$@f*C8y{=)wqmik{C+VRXJ#qQ0HuVMvba*ZxjE!fVdU!gmngl1 zU_6FVFdwJMIRSu&XdF!*;4X~A+s9KnC;q%IK^zB@Gzt?IPOhjEtig0LjM8X2L73sg zEDjTV6W!0oQ8+w@X$(mkr1NwdKjPuZU_2j2lV4aUxw?LfXH2FkjHCM~4To4fzv2s~ zBS1|Y+~LRI7AZ~F(4yLGO0G(^lGv%86O^X>L3=rr9QdEcYE3U$@VItEd?Af){{gT{^l(aTEdeaV zMyw>&adh;XqT9o_R=SEo~vtdskC%D2=4XZgIS0pAa4YsDP9?@tIb?RFb@8Oi1~)Nfoq0G^eH+IQ$~Lx2W5|{*24fnGB}-Y#&SV)T z$yjHyW~ZXDM|x@q6}>X{Efk`X4B6kxRuK{+YLJIsN}e;Xr>3U+T=zBST-WdOyYKTo z=lY%do&DP0($&k)2@ApBsYRPZlTrqOHs^!BR zJ)v##a_SJ7m#+1lmrfTf3y9wtGjXsE>r&%)P^#L)<5rQw{sa~)JZv7F$D|l8kjnHjkH(?Zr+86^^HPPEE)xs@G}Rr+>2Kn6w?w z%ZE?tURZh|t8~e^rb9Birz1;5ZwhnEtS&?OL;&${t96Nh+5YfXcdi!INCwS}(<;;V z*{vQ?u!+r=k4;m$((*ZZPP9y0rSNc(u~lTr5)2}ZT{vZ_@Ch!SDsX3K!kf$}Ykf>a zBi3ub!`hsMQigM7Kv{=|;}}jeWUZ;qOZ1LxZ-Suzc`L=Zf`)0yhwtVel{F80Eq2w+ z89oizr*?JuN<~=rTuMnnmp4`%>s+W$N%1XC5gAQ>G=c1_oA_#b$Vuws7q+-m6>sv> zjA%|5%ek-oez3t|;>f+$kWvk@oUoWFCBV)2TiR&M$unc`1~o*>#mKD#nTx536z%WQ z8~0s4-_YxCX~&w1xhAMydc9OTHl=}k{qc-tTc`a=adK$kL0R~zFJ);#Nt8J$4!%<* zUPIzoi#_=EcTGp4gk&$c>pgv;5*{5VBN88dY}T_FMw>O|ZrI<37coi?5JXX{eP*wU%HU=3{CjpS@ei9 zy7dJbo#g-E?11MO*E3DW+Y!wzT&q{TApuf-v{)GnT0Z8+n03BvUzrQf%RHR_S#8<`tQVjeWK6o@0Pg3hS@I1n;Flj&9h-+qsOy$kT;|0o&?OAW8 zdvs^t+$@TCF*KB%9|F_w6JM00Eb}ZHaED&3afpmf$as~=o~rDG;$4fsnl!g0=YR-^_-^V*>a&3X%e<3G4f@8_62E}ze2jaD_vKY!aeJ5F*3hZZJ zu-uJ8le1;*dbMM*-%-%+9^o*L_`#hORhCvKbHZJ78dBE!l(M-^cF3lm58l0+zL=Rx z85rt2t#iG(s@V#$K{PAU{lg2-C@K~}9 z)j=X+wsf@rm&`I>`~89!=NiAu;ALd|fH-t3)N3VKa1njEndNsq=PAiwl|e1TS${7U zxAi1=_@2Ll@oA+?x}r#%ig&88J+o%olpjl-G+Kj_(LPjz+n(4MP_a%&uGp9tx)}0nx14Nt=@k-#FwX)pO8B zym$3>c^g1_1}#auuWxDWy~Q#nx9nB7w(uWG)d>;azw=fOOw3$@(nijBJ&4JEbaXcF zNnhT#W_-jz%i_KfE|&>nRNv*o4WYZl{g?trCl)?MzV~;>v<&Z&v2*xJ1+3C${+o~I zr8JIm6;U#$m90bK(yAVO8{Kt+>3)z^nfI2d| z9@6C=$bC><)J_240Pj}1frmgniN5FI9*BtW^JRv38atu=!fXKRrV0R|j{|KR8Uug+ zZJZFE9$w7go|seZw&36t(0S+-XxreceQZBLqQ83(Gf9O>@@0XU?}h?DL(=JblD#N{ z4D!G;gQ7kM6e$G&z#hp8@Y0EYt)8@3f&m5-@PVGpXvggr_yH6E$ZRsloTC1MhWrt8 zGF#H0e!KcgFu?88oLFOk;eYD$gn&M-B?ACzp#RW^o^L%VW}N{>xOuoU=jwnn5JLz6 z0BMjp>R0jUDm1M@59~N|+ZuKPhbOz4!=wP0N zd5xz}uzd>4zf7{dLNT+<>luSRa*dI|&(+Pwd?!17hyb|NfPYQoW^w}vz*sfJOv^CR q&dyf-Algw=6%~BM%n7M(hchw2njb|T^H&M=3sEs362g#Zr0zdCU|IP9 literal 268367 zcma&MWmFtb&^L-h2o{1Y7D6DnySux)>!QISI3c*ZE@5$ZC%6S)+#$HTLx8)<|GCe3 z-w*ek`(d`bs=BMH>sMVf(>uy?@CZ0CFfb@EHWs03k)13qg|IL%dk8Qv$WX4inIog8 zyv>_e~I4d)n0v-s#XbufK3V!7L;*;c+rst0#&&Fn&+G8p+>aS0`C)^ z&MT1L=O~9V1GrmuCVtPFdo#yj zMzucd3%hwn=(J*7qF0S;*9lM(AIEyNy?wd$P9=Mf-+;T9d#f?|8?lsG_T}#5&vK%# z{NAxG({SB&(=4Wl81GAyzv;yo4qR*uH(+KHE7D{w{4U9veG1S`2U~oT7`bWLJOwh^xTEll zhx?4%6f%riMw&*l(GGsWQ)rgmk$$f;O(`csk6fiNUkhq8-g)qHfe)tcy)|UC3L34$ z#s$|hSpIqe_oPrb^AJ5}GLa?ui7MIl1a~=?H!!bIj!sdh<{7wh@=zrto=q-p{_yjB zo}ArdvYWDLhg1H)pzP$OeNQF{1M@Bb9_B3+N=+#dBiApEj27mWC%*PB%L55FcYjb* zgzUCUSJGhC)iNs*XofZ^()r}~1F1NPxwLSSf8JHa%xjK7rb+CrD4HaEntS%O1N-m%U zNn)k0vb{FyUEs^4b4?#%PS+_#Q*|nIWEtoSS=)^rUQpN}J(KDwWmDdPjQk(jJ6dh| zzrK8R{yHC4gQMg1$l%XKkN!Gz z9^X@04cF4TJ?G}35|tJyp;CyDfVVG^*2W^Y9Y#jb%UT`is7fn!-U`2I!Ze)2Fw2)P zQ|g+q6Vaco{96tWvsM(n|DVf?9(8UlSLLRC{H?N!i>5Lcxy6m#W9aYR5A=s+s~>}V z{zOJG6K93!6> zj9v(QL4U|!EM5)5_Qsl(b%j@wAO1gEJAS^LSJb%O zJWRJ;xLW=3bH)wY#7J`;ZH|p*Vj^O~aGz50Sa%qR#&1JzlgcyEm0roC+Nz2!yI9@X zzGZx7XgM;zGxvA(Nm#{Q=W1ysQd<83iY2P6GJSFsXt(_dv@?(|tdSoz!!-Kw)5|wO zaOICVX~L^B$D=*>&q2cWQsun8syo9Kvt*0{T8q1=%eyFyG~L)u8=;@NojyE1Eku&b ze4VRitD#SwrvkA@^2%wek6G<^_uJ>QWve8ox08YMCl|?-{!}_U_p)8T39+$z5 z_k0hc%hw>1$8kody}3nKFFd0CgZp6wEWXfaD!$M!LE}neLfQQG2&+Zn1bk9_MSb>9 zp}j^l4Bl=(T|BGhR??`S_CNYtm4q@m5R>4r%Qsi$u=n^5wmqHlja;s-E%HQ2*u8i! zD+I65{aP>_Rs18qS!p1Ow?i1cc^IV}xg5Fh&<^3f%`_xYbMtc>Rezkl*f(yj(&ty36e{LbyQGc4jxn$z4}iA;Y>yuHb#-a9t;g-LYgdmafki!j+z z-MTZy3fwl^iXbm<`^1YbYJ7;3GC#l1vMhN%=X>l_*|4|gy_f|iN5|RIlikv&rpInW zaDuk>#c(l1N-;Ftz>Z$zbQ}N)&=P+4hKyGH9o_uWAJE=WhoRDI6yvl}hDzLuE|m$F zTqb9p&YEkyh#Sg~vk+OOGZE48%^siLxG@=r%hmOxC4c+VoM)Z?Ipq>5$+0A5W=6^% z6Mp;e?S4hL+ve@}^`2AP!<*{$*>%R=ekAKYZmGL!(^ZsOO&(TSEUy$2HV7Ofokr9d z@%0a%S~41{9-hs}gr2UgMN)SyC}kA2EuClEMz!_N@;rX5zeOxcmoG7I1O6cG2v<}z zI$>npsEUzflA_96cb~TY@D_H8*M_V72pSbzeZ@mXdT zXRlWTQ)RY>9?hb4vy34?5c*VGuNKwhNH1t|?H9#QOK!Cw>W-NJZi+BNA-e`7MG>Dz z*B2VzwtMXKF9<5z?^kdUu({68@<543c%!DOHPSEM9>}a^pZY1<@iTAsEz0>P*Wi{8 zUly!0NV%?OnU)8?+P|`Nzw4SGEPS__;_0@s;N{`#%OlukW7jU!_LD?xDBpTuU*q@& z!{ua+>H{w?N~)v0#a1b29%%AQ9?0O!n}UUTs-nqrSKy5Og)z3iNb8%*Tt_pzxOju> z=$~2*@TF{Mw5(`0%xJy@A#Q*WT!Ih|K%);q;~}%1hWSEicQuLk*49QNct89qNxm({ zdnAcvJ=l_n& zUXSeeGhsmZkJCNy)fmEf76o~~KGQz>F6VbhH_T!T@_`&7_B$#qv3gF;0u#y4dN{F% zU(PaAwuY94UId>1kSb+!Hoo`?v=AC?f5^-ylE=xW_HPb59PQG+hu{)YxFt@8E zuw;wWD+^Fug_F0e)`YESMf~pe7Ud8Ra?SJ+w10<~9&;F;KJPk%a>#yLoKhNPb1+n# zk`QHM{i`^|E2?x~@@4U+4{s(>Pg%|Jh5~=){b~+gtI=Eglt* zh>>$u^ANU&jh>$xKUp}Ob5OD5Z3*t*L3$T| zeEWxE@XBDo(+SfEegKeX$ivUS6rJ!AM=_i`*}VoXaCu>Vx-KAUG6%fczno889Vv0m zYt@8^Q@Y-Nb-LPHc5=PCpnthLcD~#gKE8W=aCrQhrGL-f5>c7gnOTuI{UcFd`tfGb zXwv-QDDsPzj!F|L)!CV|7Nyp1V3QG*#~x`_Cw<`NxRdXV-zC`-Z;Zp5!NARf?WN0A z=-t*ue_P!Z0Axq`^!3Z$Lsfh_&5McPyec6oR%Q%typ`?8%{}u% z`e(*0UwjIJv830V`3v^e<`=KzvISQkzHyi4pMtM0J~i@(r;odqFV8<42PmJ3rBa!0 zJ^TR~B&d@k_)1!Y7;Mv0~jSFimRa7g_dY*?+rA&~<5G zY6Uc~x+gXh%~~6Iw&wood5?3#KorJ6#E-Fbrn~Y{m}x)J zA=SF3S5Y#PK;R`bqTw`~HP$HAOIU6xk{T5X+c+1~xzc7X{vxmZflI|wZoSW7{EyAj zTS3lM4N8G|#yi)CyYk48b$>MDJwCw|zHq;AQrC0mz~**8e|!VKjy9f5`CiVxo?UxR z?=im*{b5wpLsY*JW=fcHH0i->Yiou^SvRh4O5wqGWJT2!MUc-$n!Y?Yov#a3WI4>= zwJqKxsc=CAp zrgZ>q_P~Vhef%3q=TEHf!t}(6%t~0)wPZoLNxVr(tv3ZT_C>0!LXxVRHXjUzS3m7A zN_>58w6Lfw(MnQ^O_-2eNYRifHcC?dkpLM;gZ8bJlcoX^rg}LU-t0&$WGcH$w#!Cr zH|jzY2p)6nd1mmJ?}YVil7og4%WK{Vr(ro@&yE@{kxN~G!`jmS09;h2sq_Ykg7K7(_6ZPhRhko`}3aH00K`fnZ5nc*yWzae|g+g0-H&!YV{F*7?Os zW9}1pg;v#}BCPh{?e5?;@>QMe@X4p0+X(7CJq@&zAQNQ_RrG7Vjm*DSp(D;_sFvG47=P(IF=&YtlpC7^1#v`c2t@ zCf!HVI`A1u$-+(V*?prxg1-MjT~pR6sHm1!ElbIw0Ef$ywv6@v2Xm~EUO+J7s-!$= z6RJ(4ko(85ERDmtx~9edGM#Xk&M>~@Uez63X9}CS%LfU)dwN=m@n2u{-FdC$WHr`P zju`buKMhPaL{u1fGw*0*i*d3>$%3jbnm!yd;fYsV{{b_(QC>o-`;=isC1*+p5%*P- zY|jlrlh$;`-Ak?yEny&p&}&Re-m}s3u&g+BdQ2>fd4R z*txVQLKKUpIsM_T{Qu1Bp_zBOg~5(=)1VY=>$F+Fu-Cw34b^$Chaj0Fdncr0CRof@ zGVvw0#}%hThs7UG^MX6I^I{>l*H zw;1jo6Ec|zGGXUmStlhaI#Z5_^!}vJzP(o!#K|Q2E$Xz%SGU?X>wQS_l9kT*b4Ru7 zf!|OQ+1I@paDv9N?P)(qP20$+6K-D^mYWK@0RbzNJ9(uo2BuXRX56}877oIqBoDyzptivY3IOm*3CRvv2^)aOlgUcgKX^pxipjvV5}(m&%D?eD{A z!WH4EUv`WbvcKur{5Yxy!&`wVB^Bu6nm>m=D%yTs)y%o$hX@-=W@!>fA10fTV^;C1 z)NcYy%s@cO)D)jkqH9Eq*A=h&o0x{?X6A(Xf#vnH|2zc>w<&5Cd7<0RlUrD?N!X&m z=l5Du0d|JQRjX(o8DowHjf5C7-!#x=Oudhk45`cmdr_}a>XPHukM8D^M$t{G2`g!} z4amO&$}<#<>ByKu2=3%QAeHWtSg?NmyftrqI^f^9_ty@fIKzIR_Tz{8r?)28R%=+T zsGAe*;bq!?uq6G!Y?sqy9gIQ9oY(tiEZFBwh_MF$>!#H5Q&aLxXP>rjtMvZG7!S68C zcr5~v24nhF=Yc8N+q@>L7xv79^|e+6*sa49wo1)f(j(BBUH5(SPE>3%I~jVZrI5F+ zEUmEAr>A)I=;UQ)O-`1~E~zRVE^=XDuXam&srJ`)SW%*ZVSB6c^87b-mF?39*P}l; z-oOov+FOm5CvyC3A~D3+T&N#vR8WHFG|Q+*H`{4s(=<#)+Q$m5aableqO}hA`qct* z;4tAg2SwnnQ49C(w&`iv$AQjbtKsIK;t+5Yx}GURr|95Wn~m1RSXl{SLuA_(O$ zrIXm!8b*{i-*~guU|*FrYcu@TN(|fhELlgPlOFOw6N!~infcJ;!9*)BbCfM~nC4J| zeTd6h7x(<}q+#?&&Yl*JYK7G3#|~W^w(`vdw_m;5Ow4yq}~ez>u>J?QalJc_bP&P{8-uJ~2wLH=ZQqz?DQ7-icAbxh`M2FQ&; z@2E!`I>@5o7uP%o&2sRCt%t^iZQQXlLKFEVFGDOz`ti{9qkkk$gvK<%nV zf32-+Aalw|C2fj9-rMgrcJl-yrEY-=X|>-4x)x!RL?6YGZ1r{)f>_1B^nmp)*;$zlnN5z(LiV~L5>xBGEZ1Iy@NzgNJDxqRmA z>DdiE$T)Arz5^_?Di~!omK5G4H4$MSqITAM-`H7t@6MLxMLN*rYvrRS)0xWGv_G@j z<}sH&=fDb069^>=?TOlQ5Cri>5)p!;Gs---(p+_gqR9 zozEp%5_EO42BQ8v-T@~evnN#!!5j%V|9ZB3E-VEq{tE`|G%ZL+_@K)xpyorF=2205Rn;TpSBewmh0NuqXkmbJdad)*?+!WEi$DI z<^vTzOxqz3y;=(K1bh+!dOl-i#}TwD{hGlRA1sdNf#G>+BG;~lRxCo#;L}9b285dV zqwmkmQ5BPhbw*?irPCY`YFgJHX(i^d%icW?o_Dez9zQMO+5@HGNWM=Csc+V<#8G0R z5ltNs&Ecksu77L`LDzr-{>!olFh;4U5?@WVR0IBRRG zI;Z)0M+=7|)*8#LT$^=q?&nLD(3^-#jEu`qi7p&p`qT{f8C{y#>f?9YJ6TeR(ikz+ z4~a-Q#V!_uIHQ(r%a(lgIL+%tfH<}|-#|kyZCjK#m>iB-*5_fZu>9j)z8Qhzy2!6I z-qB!Q{=P2X5Rn3RqYtH+83rp`pe+TLFqE#fvIS*|W5EmIG2rRwvE}8Y9vUqONmpUf zPLQ%KP!xDKgq(~}y%KnvuhD-eOAjM6kLXI+HHgLA>++6x@DqQo1B{Zi_AKn1mrRXi6DtVmOn$Ve)!+q6 zY{`xa;8^e@H~}VQb$#g@sVC*PE$Q!rGmnsSJ_i54CSLw>@xE6%M6FOh?@UXLue>X`7wQP z1ESD5HR7NQYHZ1k%6?lb8_pc=pDuD7Vk&C>!Z|5$)e~{>AP$s+OH4(}PpKemQvF09 zj7;(;r+VHu;+yJyUDK)^#(eV4QEuG4Z;a^Y2BXFoTRwD*gEqC*WHh6cV|&U$>Jv?7 zAHoeLe&KFkzi$bEs4=DOxXLS|M8+Jf1>cNss$2_+YfbJ|Gb$*@f?k1dqSvEXjYlEWwpC;v>V}t3)13qGHKf@wy?*m5^6EkOaCNp_FrLo+FY6f(r2%jRK~T!qTWkv1_}^-OzR2 z-U=t=zTKZ;=n`AGg^P=sLd4-z(4ziWz8qyjW?7*sY#@MgbSR>&h1t{U1u0!dt!S-) zh;l|U!mw}66)82t1?@om&zzI4kryfcH9FMm&T-&NMyZnaxW!Ax!PQff5@M}C;vxjST9SHiutH+# z1}mENc^vw8hMnjbwPKdfopzehNi{G`?wg%gNW$r)Wc*Qj2b1&ig}!g(4U?gfYQ?B} zzxz9H^;D#USm;PYQqNXIo2inx=@vS*;jcnpsKTTE?=7vy0$|-M4%w}loGTHZXZ!e za0w0=GQ^0xiu`o@@3eFADkim-_=CtC>w>P9R#ZRU78Z$KRIgZVe*n@s!vJ{CjwR+i zf_?zkpr)TYi$gCeX02J2t`R`e?u4jm&QjSN!5~>wps#|f7gg6i5~Rvxrh%k)^qW&t zpGC1?E5eDEL@P?|pxHEQAcAhdy1dwQ2!qQO#zA6CqtGpy_YN9k%kXD@1hv)BMMtw5IthrQ!5Ebvezm zPZW<^rg+!PDMQi5g2%zr`QqwwN0|{LBtG^_Q7iyKgZU*6LOOLBTK`N&;x9cAj?Id`qv~;{%a^G zjbN}g%Rb#UZ9x3id!CZNDG))p z24caI5Q+=?LrD;nw8Vl1r6W2WR#4LP6pg8USIR6x`N665PS8I4lg|>r#C`qOh;!>J zoQ>&S8o0)Xm#T*|mme;qZ=G(A99+HKZujm}@86kV4dB&WY`fj*9Psf#veP>^HWHre zGW6K*iGvZEfk(asx+rV#Ld*gA_qa73f4oNijB2(1Q8o?U+!MGQxw1v<#_qo6GYFxs zVzGJmTbFRD_T9`LGTesq*DX{y6(^T9mfy+wvD?2gOE&tpMLd`!d5}#3P1r!J(%z|9@S% z?E2U<&T}WMjw`nVxe{6`br%%Rr}mIPX1Ab~i(!&NWl9ugOhjoGg@Hr>y$fI_5Aq(6 zG-ix+AR9N;EMk1pL!m!uCfjXoLJ1w-;4K=)UK8$D~Cw3MtbB;=c!R=Q2s^5d*)>7#G$QF(v;#SLc4 z5$Q~&(3FGs^E9n`xqq|%Yqk5cic#IXP=#p!V^hk}dZ~f`%+<+_Qkini3nePU?D|jI zdc)^_O@;m_&}<-IWR`xIBVcG9daN> zWsDH)nTq3w6$8pRpPi4!k=M1@A}Ewt)P?K(Z?03`P#8VDtHw}L(S<%uhf=fkZ{v9H z58*T;cNG|#AtL)GRp6`R)i)!E=)`09=?-#A^PmuF;)EB4+@x~QKMX7N)?)X^N0WSt z`EiV8odoysjmF1C*1jcrkpP@n(L6*^;#R4IhCF09n>A4Z5Ny*?0H0gwsssrL_U;H! zTpj*lEa#oofHT5JSn0rC%0m)j!UL`#NnKHsZnSU4jFDl`KVJs>^kZJ{&LvsD&1ocG zDyszKOs2z#z<+}MHt-P+A@~^%L1GCG;qylXlwn~MtfX&PAA!E%IVP@xqxokN=tQ`r zqWMoQYQ#G`d%tfOV;(IgGSLUWFO7d_ulo~1cn+i(-E^Uw~*N~@#T?(3P|*l^+2Y(z4m%1CZ) ze#YBm4#s;kFY|CI2AATm<)&4(r;4?bb!5>T+$b43sMby#9;S-!8XxviIL98ParX7Z zYrmsMSW(R8fW{nQm-@`LV%&B5D`Lx~G8@w|mP&9X>J!V@Pn@l41ZzLodj$prxu;km z)Q2aLYZ-Lmwh`F!e2&+!0)3`}wf&?tRO8+nKx+x+J)+Nhqy2tJA*NwERlNpvR>#U( zxhvyWm~(meK9RSwEssrk-3+m@0hyeYuObnU8yV?SUIGau-*F!X;hnzTv<{3EIeMR@ zY~^tD_KA(i`Zf^Xg<;k@vV1k4W(U=V0TaDP7nr|5+^qNm^$|E6C=%+{B``ylEkySZ2Pd%way)l0b{=7z7+Zu$F>AkM*^jY zUXnGMc?^XPQ)R67m905KPcb&_T3@vz!=n>_W&B!kEEh?=B$>6T!F$hjpn*O&F8(zc z<|nT$%a=sMSz~ll-gT7j_MMhM!LIPl=^>BID{~^x3%%wv#85d4cE6E zegg+V1L3^Lz@jQsWmApBaQtuSfwEp2K@EzA+R+VwqI{@TzO5u$Nia0riqLuGC3cd? z^&H4ZA{ZR4!IZ(`L3Rl9bFwAGPPI{TjfFVOo9|9}p$`YVF|4a6{K>Y8Ghtg|;tP*m_y8Hx+L#w=cM-8HR3rrNY_ zeR8cY35%c{6c`?X3Nbyprsa3=_1C5HnPru1!dqFX2{9ghFbq)5x-`p0{kl;T{}Kr4 z*Zf!On!jqGpjdfo_EW1{G5oce-cWTVDplt+r8fM-tq{J;1C0#M zf}$HB-OdEB>367Ge={{^Y5HrjxF)CpknKYy*hI);zvhO1xu1M zVxvnkQnaRwssQ`J@zx(F-Ij<7Xj{1IlWMs-fHN`xf8;$j8Fp1XjOhG5?Hxf#Dd&Ri=GtTi8hYbc_dd3cqgpqm;a6C&iQAz#K{kf|F<* zNxWk-!r=3$*j7oSsd;vkWutET1ECO!@cg!9LygvdPeoI4Z#Y_+uSJqzN^bxW#|Re{ z<52^8o>Bx=&dbV<@IIMxQnw!hKNp^v^04iDC8%a>5QS~cUYClgMqTwMm_iyTG`u|A z-DJYeR{k>Os{=mw=N!qDqEs@{IO%5@x=BY|B2(w&bx9AS0VsE=9T|=yvgK)z0fmmk zV0Lvtm{{<2={M&tE}{e=J7peZ|JW4+eXtvikQOD+U}v7;32c&T+EuLO*jmCaNf>69 ze_a~lkgJ940=%x}k{yQtS&hx_nk7{U%h38{{#=*l#itG7uSnw%a$@5W0!W#ZiI6IrX8C@fCHJfp9{oCgyrj@;i~9EW0-t9&QmFS5D}PGDP6_etQs$N z5MZXnUf&RvwV_f;Oh}U6%aN#BiNFUi#84(&{f%#qDi`Pc`J2jxKu#8`YM8$9!_Ub$V{yK#6YLyrcT-;0Ac zv_WFz_8Smsy);I23Pm^IXE}!GnRGJ>pZTV|ruXr@5!c^E^HK>CfHPA#gp@tp?2d=f zyw)h0HFY!>Ccxz$=><>LmBgTPnKF|QMFER>`Y!bxpP~0h2kInMu>*-kdSzB50``a^ zMMG(R)X{d8 z(MmxgK0C|fvZV}Pg?EL~DnTTz3Qct}d^nhW5ME69ijFILE6{Oty=H_Cjf6z5drwle zx^#q;A2XGo<1pGMOCt-$f(W|A6xK&wWWOA{vMSc21?!7u%-woS#yQ&d->aDD?umV%&}(uin`RG{C7wepm6*=zgUSPC6A~du^6zs%Ab@*XZx|`VLdr!T~+E z6c{>?Klum$-Yh>cH}e$`fL)yd2~96d#m26K+O;A|lVK~=&~m`yow0u|q<9St;4WE6 z)jJD|)5?E$G*6WLjfsY>i+H#X(MY(YJ%|akk?2XCIF~MeN}k^QTh8j7BKub#@vpuH zHfEuu>7t2D@H~34q#(YrciL@k@^1U-syprha>(36!j^hsv2=SJdq8zRwBGs}DN--m*vgG}or8 z>+W*|{1M1XEPIM$rDGpV1KnFya;L*N`pt^?l|Y+Ir5IKqw8jO{YE%i0?$5;}(cY6> z9KsHUG?BddU{#lT&ppCWBzP7K^t){64TD3f*AfHW2xAO<#NYOFZx$2XXka8@W5kny z+2;o%#~F-_8n78XQZx^iFkI5$yBN6!7;>HlgBtzK1J%H9GLV$eo+h{JT!Bk{3f7@$ z2Xd1geVrtlCvi_qh_1)YP6$cd=T$9345>n~>H-GwHP~RjiRzhy%L|JU%fs!%X?693 zexk>qQSOpmH~vwM|2c{0Pf`i3u237mTxCnPKtOGCs7M$2M{dElx5G1*_6){)uRtpYb8^>f2k=77#3vVbnW{dObm22Wwh2m*z7{= z*M(8fD3Dz%jA%o!TyCI^3eZ!P(d_4WW-T@QH9PcG6*V~I3tTSb{3o|PrJ0s?!Rmi(J|6ph%-#$QFB97oSnBkiW=%OlSo&Spc($Sd)=@on^XYi za^a_zAOO}?jcMl6NB>N(EBj+uEXYRjZO5bAg zeO6}}=d}&%Poz%|qW_ONvhIf`Our&1Y2_7T9#*1hkCNlRi{-hE%|#nH$o7+sti=gr z3Efvo5^?UHRG5aR>{d z?SP6uhQojT{UXt$X!4^UrI&A;o=5vu(1uCg#kT!b(JpCkZ!pMH_IEDy0G$>3z4jlm zMkcm8fKYgCBv8-bQe4Tss%`RQcJap+fB+;JV*Oh5LR!@}k?>`!v{PVgc5SRx+i)iK zvoig${W=-?@X}^F4My}Mb&zBgIvDM};khh$vaNud-OKD<;@H!s5D=7uM|dju z8@m0_XOhHHIXM|2Gf9Liu@Ye0=#2JM)1NF%0@9B%gR@Eix1bEga1zuQQSxpl)6h~y zFu2qOm|u=VNJE#$EJ8ClZY|F>n^q}j1{jH$&^LkH#4wb4Xv@D3Uc@0p^v|lCUy%&- zgcb#yaFO1fhN#j!86Vl{=h!M=Gra828EY=qyaf)*(=@?>3W{KpL^e$l4;)kjITJ^& zJV-+;!4#LQPJE%-@S%_b5nUNUe*f?xahl37YCqJET2-f)s%Hm|PxN%=bH-7~N<97}v53 zf~w{YtDaf=cCEL0nxbaz6&m~!Ez=Wrg>n$>=ecw6dmO@;B^q`w9nR1YUMOxcju-~A z*Z0u6Ns!Gfim0{E&_qE<;E0ND&m0_L)v63k%H4n-U2ud;miFXqU=Sjq?U4~M>zar@ zWW$h1_JTKRByb45urQ)CB1Lq$V91Oq!biA)cw-}Bu*r9s|LX!%fgzAYWguXcb)Q!$WRhX)&AD=jsF>E@p+h+)NrM3< zNm4w*fN3=#z{UxfZ;3;A4=tl0rXb1FM7UnhV5b#sR!a!=xamsAT~ElgYsO0{Q6kq0k#z^ z-*3ZV^SEwU6*$i^Y7;-Vf5|<#7sKIDFlAwWYyWork%HiDm-&PJ2GO4EO0BN>zNLBV zHv>i(Z0R^vKJ$Nv@d4U;XiUPJ5Mdo|b{}N!ppf1O)#G@XVHj}!aa@cLg-%9{em#0Z zg(Vf4rpWKRbQj>vcj#?#B1?=MF$Z$)y9+&ELBRL(WeN(Z3Nr0FuV6q+yV?D^DsJD0 z0CV5phC|o_d99t{Y`7RJ4)_HF078pLc?TR6 z3eoPz?UGSJioRQ512zwKn15yb-_I@&nurG;g?(7x28Zw+L3iU!pcr)Ja&pG2x(A)Lq?3b*=?ASqK)dib2fU&+9uhBfo{iGLj

    o~XxaH0;+UID~}WNYM(EY*~Yf zF`{Br&=_Pwcjx578rNPJ3j^Pykfv7)Nii)Jt2+TGgRz(1kFFJMkC(e`B#xnsggirr zsLzD*GgHv<_c21gV+6C*YO`7*%E>Kgei@n4!<|mcV`~9_*iSC6DJqjANVOKgd`F+&rr2dH0lidW3dWA55N8w z@6u$$rmE**gg|*b2=)EE@cWT~z@(b~x3muXJY!Q92Zd7)*;Cs`O{+q*$Fv4X%?nzs z)Z;C6Gfz?Yaok3ga&8y-Jp?%4-)rEFB^XC}JB1h6U9;8~7r2Kt2MuAoo3CFaD-7f( z3;!x2LS=S!v2jaG$TOU9GgeB+sA+}9DL9=Cy?>;r?(4Q?|4zT%IcHg~m2Q>q3s)lC zf=ENq2s?!PcexdJWRwT4k#X6BBlrg^H0s=R)kv zO(lApDB0lYe7FHYk{f9Z(lXEb6@Y%Uk(3q70);`094k3X$_c2r~?*kLsgLK$)AoDNi{{P?01j1}n zEhTL_(WB2nKE#RTA>~0J{;I%zAs$zTHOLbykhH0*e|~{pYsE)^YvgKd-lgui?O}-) zuDl+Hu#_Y?L}4Na>`@&{Ax>@=I^%AU%8E$J-EK=Y-V=!)iOF9)-|<>Ecg#wkvl&>9n7 zIMt?v-++QfG4W+e;a?FKnutx7{bLzdb6H>JvYHBA>Izpe!}O$%v78!e`r(*pgkjcg z6~W8DHkE;%Ufj?Z3QjACTeai62t<8V#qFY#JT|9i^6B=A8V0o1xl0s44U6&pEe{e} ztO4E%qw~k8t?xvA^o=%e(AK9014gQJ?#VBfLNDrF`w6a&|}u zd4D>w)I1JLG3=yZSb0alejElp77#~5wGC+R2N(wJI4D3#*Ok|ma46_>BSwJ_~JF-U!%zQL9N2!HT%@q(YSKZZWi3{6N z8{e!f!a*^jTxrB=SQvKG{*I~y*qz0=+<=(S8b3&~R8`Y%UMDWr&ESP6U8QthyjOY{vVS1kA4VXaJSQ{DstNX5L=Tx=qHpma(ieQ_!;f9;2o= zkXDz8QZ{HV64vafAFOg`4k_-Zp|^fOOLJv(_h2Lx9srraOM}6%`49+@kk- zP}RORmP7fqGdzNt>cJr`8Wpo?QA$i$?729|mL31*ZS?{4j(Y99uR9TPBtyf_{zTk5 zSGQrKj*4!85fs7*t<|Z$(3P1$SH}FC7xUJG=Y9ADgFRQZUB%W_#f88psvWN+Fq%bx8DbqAs`;_wI?JKj6aUv{2sg^``fobCBeh-CTEQL~_A{>F0RGgQ z-Eu!#xRVxyrD-gn5)SZ85CPR$U@o)nu?6Arx@`sBDSrAW^WyqcZ)@zOI}_;dT1|8k zee0pHb2>n}*&6bEYRck#X>>U;_i%q%d$AmOvVZzW`FwJ^Jl1?+dS`qGWFI|Ue`F=_ zH(0UolRM>I5LhH7`7uhD%y0kra_qB`HqU6XeE%7RkIDZ*%IwILmE^~|LS+?UUXWH5 zKIB>xKQe~{<*n2XqhP@V%cp10O#ji1qeO47@l;%Xt0OyJfhWzGeR;R_Mt@nHhO4B? zfgfW7b0@2=&NtwT?W4h?k&Cv8rdPI>d#f6+SJox}=NsC}_4h72G9unC{k}2@U5J!e z(pXP%*iYw;iP%Sic&2Bpq<7VN$E!F04_V&;9ZA$RIFpGvv2EM7ZBK056DJ+pww+8o zNhY>!TODir+jI8p|LyiUw{BhESN*!H>eYLw@h2(0-%X#K9}p_XcWl0E-s-0%dCWJRa^7DqpP%L_Kl;pvwB^rT zGfYo?MQ`bUp>JmEi*Y7ZK^Upt9MC-Xy%V-Me~aAq?*tqj(%n!>)_D*^!c?tagbg-D zI}^IFz+kX2+xfg-p7|0d4sw!53NimZYkm#h^Ov=8s}4>kKskGt>FwNzbwK|hxg79zRAZ*&j#UV5T^6V5Iz@X9)YBW zfLxuin#cC72Oa=B!{?G%AwzSsnLUa4xlNQ#MzMpT{(n~ZV$x)`PKL0B3wFBBkzro9 z7ke|=B^EM4R!W#e1_(yU|KVngOgOtCykZ&$X-@ z!POT{szE(5#V{ofasfkF9_U_2y;4{tO! zkPg(e$k>|;`;1Mth>`K|FjIq~QF?hT7KL>Xghuwa+t3$4ar)WQ|3(Xsp=KGT zIH?daJ&6;){x8r$2D)n%1fxflV~qGRr4CfT$oSu~*+8E*ZX{gtE zP!IxE@jt!aUk?krgxfrB?PrE;)Y`HC90=Y|&tHT7IRyQ13^&unhS+qa9E9`pndU(HC1TkNa4Ggdq55}HH8Pt>v|H8hEcK*GqIBl>UTh=x` zWaKh~2YkxC)XNLtSg_CYBgp%*^W_%k%`z~Q|9rZCUfmRb)7IPB9`;xb2#C`4eo?M# zjZ?r-d_M1biZh?#>wTZG=lDu(>6^dK^?&;M_TQCcPy-AHRY4VG03d+>AC+XR>`k2E zMkgjGWaB)f>7=7Q#u))m6S534lk$_a(i2YOql}EQ^ehXkoGdC-3iM-g6Qk8KbfXi~ zO^q^iGE*aTvg%B1>P$*Z-;Ig-A!C$1vF4=W;r^fC>~-YUo}h|EOkm&stBj4asga%X zto1q*n!X6p_@I6UbTjMuiKrp(T%W*ST}MI=O5)Qc$s#R}R-xADEA_cg(SU;9_Ty2+ z;OGZ=&Eu17pV*^Bvs|6Dx?^eU3;*z|`(bRkmDV&!56itWwrq~E-4|E$%U z0s@eT&xZAgPaBbuqnCS(^oy`IB9@sFYsqe9vj2)%g+rXT}&aCyW_TK`jz( zX^gE%;t9OfNINIvr9)T480mH^B~-$PM_hr(Rz^oz@yqv!4iRmxvAEc!eO5aEnGgl5 zUbryikVelly0mFCsLqJ8{e30X(Av*^;q`0qbKbY@@wV-sCes)j`W}Cm)g{4Z-@XZ2fBS~;KYE9YrIDjqjXspGK}$=2Bc^84jKkv$GZR5Fd4#jSm4P3bhwH z{wikI$g**|2CE&elXIe}wFW&dX5$URIgQqXyOPxv6B=gLG+7$8)dy#1W9s9YjD~J| zccLDfES!{-7P=pbQw;OKxQW_HBW}tvCp%79c^|?^k10)*Fk0(K)&a9&9qvBR^ZGbISV>k>*JK9OGY*Z z+T^+YRo?RE+R|+EAGIS$t6G;9xucAut=8P7)-9F{LNvFcYC@~pcnINDPyAlmV)!vR z?jcwc?)y%W(iXf43FkmMSQ#UpFaSGRq?_w>&aw)FY*3;UAOThz=bYvBhc-s?no_75 zOot_$X*)(#IX2bIPukexF*9)`Vv1Va)X2lK0Mmy&{=lVo{FjPAgx6K?pa1DJDDmaMcBLRZPLuS$`LW$z?Cy?tj5iy zup=ge`CW{t7Y9+(rT}6?|6;|?~`lEd01~pKOimSKHzhi@2iFta-*5iKfh4qj@ zIFvo<_jG(GWFR@8MQoVG=xU#NEmzw_vbwPNM$;$sww!s8Gp@yhcFmT`Or1XJi1p?& z!=`*LKD{45KC7wx&*n1@T!y5Ui;^k-=9E#M+NaEp#0T&AqQ@%;KN$|DZ{HEn#)gO# zf5070BzHof8x_>`HdVCM)eN7c6MGz;XF+9z%j>&CRIvS^r^P7;HhR6A;a$tYd6f2g85lYY zccpTW>jv+YqbQ7>HqtBY|Msd@o0>)SFjy9$nAK!BUc;Yd^y=J1j+ub7VpMQHIOizj zZFE>k7#xkANW||mm$uc@8J)`i)6MSREn}t`f2F^%zPgDnpiR>m?CRs7T4jH5_FliX zhNk`$Rk_<$8_!>GI`x^`%1w{|)+iNv(ZWbKiiU@;IxaimFyc|uN^y`8Dqpcf${ z)hKsWXOU=BrLRPR2_fEr=9VwTfCzi!_QaUEUAw*g<6^U{rm97W0p^Ye12~zXN`-Ww zqFI&*1u>|1c71uCv0Ru!fQQz?_5iF8K5|1J`y_Hu_D~$(6M2ats&nZpA=zGGVdxx&-5`(11iS z(#Cs~H^pXkt$v>TF3U;2EoZ1@4gU3>-mU_78hw1ey+6q8E;=8YQNco0Hf~D^QjAMb zDT`rxK@xESQjSueE^!+}Sb)NbXd2~KcY%NbDr>wc)PNH-gfLrYtQ%n1`N`jwbHFW9 zCooGeGrQU7@F&Zib2|PQkdJ4Ma+7xGMUq}Jcx*L}nn#mtBObqA6zJ^LBk;_2{`r^{ znV5J@xX9peQK2zm84YAB_+tyYi6KZ(WO;i1_V7Xz7mu*APG9% zynlN=cC(7|TT9Hf&-mni9c)(vhk)yNygb|Lr4=V3N18}W+k9WJ5T~v%ACEaUc=#K( zsg|Hax!@yGvIzf>pcDt~R><%nUtYZ?*HU4(h!mnD&i&$iX{H#ih#{3xSCT3qA~8ZH2|WeF!*p?#291)D&<(DHsK^|d9?Wo0w5oN}FVvo~7w9b5KIDnS zSh=4W7u2GhS+dKwRBDF4wmdIC1EkEepG|!S59sK77M+1~N4lj7DoqRJO4rbCO-eau zYw@|SJxZ9c52Z?+uq|Tfm3?IU?~JB&6r?osFZFeB`59u_U~jI^i?pJ#tc$uLA9YFr z=x1>vlSuqpwbttXTrjz4*1T>lN(j~xK}NfnEZHZj$n5eww1Bx#qSCJumN)KfY(xR8 zj1T@tmM61R9^LXz@#p(%5q;_f&cy+dP2z>rGwOmz74kFK0s?gFI5CGI>NwWPQTZ}w zijM+2_0fd9`WpI$wgpiUeM+^M+_aikvsF&u!It+7Bg&bi2tO{OYroQ!m?&yEvmidC z9`~yzSBMk+Z4@uxoh(H|%B$Fugdf!ci(A?ZojVe*ucf6=#Mf6Xw9lt+VmLOA&w|RY z)U+k#+?_ll?KlyXT`N{kR$Y5lMi@6yOU<`V?Os1Z=m(1e|8bWOsg|hEZT3v*{#w`9 zuR3{LeW^0?xUSElDD%dUL2w@F1Sp4R4)cIyo}|+&!q;-a>^-|m-EN|V2J0fF!Ag}6 ziE{ie`MV^A2kDXXNC9q>EMJM(56mP%9k-DAD}n-l>V*dpc}=**C=u#z^KFI&2$5dM zAKv15W0@qzlDG%k+NzZYJGv7$uH8IIYr=js3*#bnOi$qoJ&NUdHf3S!6DA_`nmJ#U zKAY6HUq@SQ8C?b(8a8qM6#bf3{?7`Gvy6Xo87<0RZ(^1OdgRNuz~8#2O&BTUAoQuE zjvM4Zvivg3b$i*%bhvLQkJ$RNTsd4#6FS7p%R<+rH>nqJMLuN886J9+6zfOYk{mkD zsr1ur)uU2dSDl~nTOR>RF8v;bBKXy74|lD?A!Q+zj@y^BxWNXNh^m`OHB_LLd8rWb zjC#tI2PZkU>JEIoC+ecS-rMzpWoKTsainWU%4b6A_&wQ#Yj6M?j@9WEqAxM8cgjw8 zAV0?&6fyyk0LHG&D@R=W)&ua(CE^t(FC3^+Stb<7Bb4*#a2==SXU7ETf zqhF#ja&p5!Ei!DwPvw#u!uc6nc75iW0C$<=l{&3gNLN8+{iG?iH6d?kBW(NV4%4zq z${Kh5m(}|7I#dC@IvLcJK9b%1-62~_p&nIEM(+EcX^Hvc#JbP7|mDhsqykAdB~w%foZjq{k;v0NZY*-i8*tXv6Xb zxUxd}Wp4<9`sFEF6Z-*DRqSk%@toeKv=&Yjmyf;*OStOL6BD1kcg2nQ7)`m7GAoacRPN}W(Cy8@_{T0 zCTmjeZ)(Qwp97C~geY)8!O}({xTrfpyD^7B)?Ww5s@P4ZOV*r@?T1re3KPEx6+?A% z*?&y6wtVn0{~(Ckq~>&kWTUY7yP}?&FdK(59PZYT0FY;&v&L@kV_`|=DuUl6#HHR+ zBlV$(vF)0@8r8Bjy_sE+S}ny{9oE5hX!U7i=3seuwJr8ljbYQ}ow-a+2ozHs%8iae zv#m^kw2`~2kB~$G zO=M%a$=*!**T|B&(!EfMW7X*0xI2KEr#MkNyuWD!?6G{BlfC}XQ*e6GU{Ey482*zz z9v<$~o;`frSoJ4+`Yu?HVFzIsd+VoZb4Xfo(z59UD!18WlP=>y#cgn(EXVXPip5_; zMYE&~6Z}Ad=4ONd6bBYjE_8-x8qy<_T+KCo&imljA4(1>kDjxZDBlKFW=#*1Lr^sxrCd= zjYs*|(-Qjr%YZT~sP63>nBE6J?1855{; zKJ@nZZb*2)0o^&_@_Yq84P~55dORqA_u(?(axhR4cM4`B7hn=ceT2RQurcs_3bl;w z#=FP%X4~a7U1u8pM8043v^SPZ0A|dkUs=4IwLNx5;)D0}`v6+@O48SFqL|wnFi|#? zhSCi(cHHgwN(U@lRyj*YGHi0AYTBP7`AY@mZhRSzxZfQoTwJ-1xFfhn`JjHA$m2$M z#pa^kZ$vbtqfCo0dEae6dOM3wxLk~RRzvlq?X+_oar^2|xOm1NGB2$x*E7C{j(bY_ zv%BTqC-FgjE$1fH4f9F*qrSO7pEKR#;YE4Hk}q|~jI0=KG)ya;;&T&?TAUC*`oDso z_jP!{rDaS@=+QCdec#z}QGK66+~tep2eToX%HZ;lKgB<7-r5dWyM|tZeLhQF(JYGm za0TYBXp$-p_TY5#9dYc6087|rYI6s7=-KrQ#5W_Oz~2v?j5|J zY>rdI;t62pXro4sJEk|_$xYYwLtb9M7}jG3KopW^c%9haz&as4G);#3p)}^`y}Lp2 zgy9g#DMt}T3pRbU7cI|3)|L}y3v+!mFEh)XXjY{urcSEFn`jb#r0bjU%R=b7{JJ!`2){f)+x_EfoTZ2&<2_TL3b4RSt<}0PM_)BTIBpfyrQsdqxnr z>_FS#QFTy>48c%2G%^5~=ERYeK;$?K6;uWTfIe2))_-O^D>KS?-9_2E<6gJJb;QRp1)TJt*wXF7ZpVk?W7FO#sE-5FOo72#HOs# zLp!a4p`vWmL!+k06n7pMPdIW`ChO8etEhvaA|%2doJHY3viTw5)c!3QDW#S!HjM!g zTpCkq8d`;Ntng%%Eu@cTp^PcsJV3QaG~(Pi*AfOjk|IubP#6KwL%U6gB2jTx{wK!( zn8#NVcq$n7opMpmex}IxeFR}JeY9g?%)!waaVH5^WwG685`l0+E&>l_u_Fcm)}}ae zO()f!JEl1Jbz#JzKALiO6v=fz6$?vz%&`KPIKz;5LW+~}fdxfAXc3z7fvq0e>JNzo z&EM0;f@DgjXnJVv5SZerec}lYj>@J4dT3t!5(&4#VV)Z(RL*`3fa<&`lCR^!5%3Nw z=O6}vEmhG-5(}WKJc>m0tZ*cygQ~HV0q|ZCMUn&}D?lVnG|BW|sykQ)0DN#1$?{I& z2+tp?J0u2x+&}PS7Ze7-MMxBh#{g9$Py8WWY!pe}e&NW)A1Y@)kaJK+x@P@;2p=Cs zf_YFlBE|&3gD4u2{zKJ>$^hUCjUqAGEgaDYkys3XF7~1k%K;rc9WteZMtwAGJ4|u^ zb@7B#cjbdFeY8~v%)vI|=)EfBo5MFr+(mXxc)}iLfZ|?$qF`XtY_eRt@v{&LRR9l6 z9R)$`Omg-SU^j}@HLO?s2VoCm+idcL+u#ihQql7tD1-fTC0zN@E*RtY3e2Ks=xAkM zpji=h#x-CU4ou?K?)&o%LKo<}4x|mcR`?stZG=+A~ZTG}c{ zW@2b9mi^tXM9)6dn8z!Kw$g;>1fIZeZ~i#!^ae#B(S$cFX975A{=RFY0m%nzu>?xK zjS*_^?0P0f7g1~P0d`SgByMlPH?WWvxiS+=YO#8}jL-}Rm;iN#wru3%ddwTMtblc& z^60W-kbDe%AeVVE1SE-a8k>_5gMdcle$Av^EQQF{`> zv$v}3Hj|@iy?!aZ_;u+NUua+zj;qqQDDmTUCIY=UiDg!qWpd0&hSZ%ZPHmtHo+wRH zO7_o-9+6(>)JW`Ia>;QxTe37l2t|@}3!Vu@A`48!)G;_qOf)PQo~5b{v!er21eA{UtKB5_dafM!x8=yHaE3dI5y zD2Y;gGaB{3iz)14j^njT?UY1e!+R=iAjm1yW!6O~Q5u2lQY0;6>%x?YD$V@XQWCR> z<-{{3_>K{2$rpg$J z(B&04)yM!c`A;QXh#Olqzhi~oc$5E0P*})2Zii} zSH`0d;ZM}LTnWlDNy|E!E8arNwRI*@J3_v2s_4v_FjYSG0Wf1+YheUthL*yx^7yr1 zNDau7!nnrpnNc_jHw%bxTrl%|eBrEYe)#PFcAM*FBXyv12ih}vX2Z%;J7%D=rz_UA zb}S=~iVzx*FD*RoWgJ)SmK*&*C{gPnH74jC{3;gE`4@w8GAYLyeOp* z90T@=So5x$B4q|afqP@a-$-AcL9HEHIG#n&A%6kNn*_SBx@I=~UTcen5O|nBP2rP> z_94X3q z4>{Vo5LY{~U=xQ|dmtTDsA~>$2v$Omg%+`G$$60lw@4W-iID>PTudo|4hccrojX#5 zQ@oOEUW7ACqj>ftRf@H;O`@6$ShU`6&E>+?E8LbvqY~yCJ{t|4dKIl!;H_B^i+(`J_7K9I`>Z8<5T|Dn4=zW@W4l({c z9|-R$HK$3TY23~~4TYE_8s$A$6?>hC-lNTX){zFWQg=0k-6(GHb+#vq|*>aX^shulj=8XZmAJeDYCTaB)(JSzBI*+#Q|Ge zx)K#@2{K<-6uU}SSYJn&Ma`Pkv?yH+nz%38?(5Dt0_F~3_`_yWUBf?w_-`2J z`IGqL)eQ@Ll91>O=CJkaXXfF zV8lPv&#HjU^bUH>^!nEOL+FM@k`$zBMXg2als4t6>+^O=>pk?l4gV@MxOZ&wzBMQe_lCPJpBIsik~ zzm^9Y(KfkKs;Wkyr?rhCRFyirA6*woOJ&&BQ^}7y^TDO4lvlxqd zWuQMJ9cDUafwIHjo`MNB8vMsMGV8O&tA$-w!z^W=ll?G7=~jbF=`x3ut#=o4>qUcC zxubdI9CaVnv^c3&iOZrmLj!X5%1DKK>WUiWNuKzdNHxw%jMoZHGSxaAxA+6pQFFB{ z#42ABc6Dn+zcb7VWfwQoeRM0OOJM3UeT$nlLC#r!_3eDlZvRQP@)DlL zyR{0H@EK`=+C}S(Sk7*q%Eba#K%A6*j85(JmH~Oo852)mRj~rTLhp?SS5<7jhhJ@7 ztqSc424m8zgd5p|7g?h^wi_D$Zo4 zl__W24d~q-&P;iRuU~cCU?1L+**GdyPx+NIav!`%v>)VOOFV2V7pz=s;v`#Xs(QpX z1qdFr-FQC!t#7|VxJEh&S`b~}uQ&rCfb8elX`slR=kmaP z04Y4|N#^>^y(nLl&)ju{h3rIw5Y?}~M|!5jAc4oNDWA8SMEwjySQF%xcuzx^(^LFx z(JpA|MGT1i=`O7JCT5MZh#xd{7kLtKt02tjC2qZ-A4C8IEL3ztY@Vae4zKxu+ucN- z+&^>&`Cp;VI<5Hy_3We0LcP2}Y@VQ2jE8-FY+k>JxoUCKo%mlj+o~Z!uZ@!y1n)pW zEP75Xm@kM15jnGmb%!FSY zgR^^)0j<8ggeM7L{G@z@XN*8N%u{*hGq?6c^;tHE;pwqer<=}lhEm0_%wU$Wp=|)+ zOkKD}0Q6g77p{w>pPR>2n?&qe9oHae*-MxE0*ESbT{u2;% zW8wu8heb+NDpU*96>!8h-^OcX{1DhkmqFu~PY*{Td{FerMa@W%=gmD1Wt`ku>U)OwQ-;n&Ftq4}ND{ri;9w2%L> zX%jDRfWr9uknVa9@OPUUAAzEJ3wrBBMJYS`#!r@-AYn8PEFX%hVHtEwUWv0CO)kXhR0+)qwcOLS4R3G zpYLffKY1HphuNj{*L#$x#TL%8vd8$cjzueH%cQ*>Ovb#Ns=7p3Sdyx|>cK0l;^v>n zdMUrN{_2$Jy~4^~pTJF{wkgXzH=k$3PK!}MkIqXrtV z;WiF0tsm{9^auwOan?kfUV0z`6(^#kPs|FuTxq8kojMWw>*eD4P^ZaoMyPg`(VA%a z0v_QYGp8a@t|`e3<8Rr0;HF8|l2|+Ux>Ik_K1znJvLWXuEj_DjvAF)Ejdf+JhF*$0 z!=?Tbr(g@%I@>|j$QVmT27<#lT#a@6rgBZHRo0?&8L29*nszv;YLu#W8>#ALRrPWL z^%u>#i|MVLdmE|Ei0QVwX{6lzlNY`b3u^5&9K9$cUO~675vS?Gh&j4BMhSk;3pAF)90S|{HkjYE31W&!d!O8HPrPHPF?yw4;I}691zTwg_ z=3O+@EcPd_8tK=VVhzpUr|3Obas$TOhVya#f zKIG(OEJetJI59?GHqoKX7jAUXC;a}*8^ivLPlnS8z8Ri(9SdT2RTOmimRq#wb#OFg zaASfa+^7FPu6H{#yiZ8%@ZOy0fo+DN-D_$PXbsZsSpHi z39=$~J1`-3TVM^pUxPfRVTW%i4;6SsJDup?Q}-8m@PnfM7}N(Aehf={p?P~SBX%o- zYiggBk>4{-r=NxWyb#8n7_x1K;sNFZPa3FVo0XM6kG#3yNfR8y#>fQ4aoW7bkZF- zkiZnr8wuo~4%ApuP{K|ib2L!fI6;_h|HcKh)V^B|xEHzVG1$!q)4dI{<^;vn1?6A| zQbz=-NrM0z1l*wJ5blqTIxF4wGp<$t?y9yldO6d+W`4@jJriFy#0r0(j~4yp9wPn% z+mHYHz8^0*Tg*a2nZXM7VlCDg zJfU#B;`_K8QALigsvu_kFD`r%EQLG@teS2qrfdSxejF-%86`~E{1bH8Jkn-PVH5My z9#*z}`cCXFkof`vB#j0kYxkb9{vZ zVZuJGl`0Sq8KkTT!Z4t82D=qIF**O9Zi8apgLvp5Ssn;Wf*_N{1HSAWW~c)SluS5C zK@y$e0&P&xiBaM2sRGC$C&;lZ$N&PgpZ<%>FY*@`zZ_HcXYMaI1sILvg-z<*@;rA& zh5l1+kQP{l2zTdF{7P}ONCiRfuITR0>3$5V{aol8bVesUOxdfrS@IU$qRy@7+_l;| z$syW;Rji>r5Z=S4JY&5l{kk_XJaxbUCL0AU$hAD}nTTLtT|KL#d-83O5DqEay$q^m zDDdUd^m@`h5qf?+S2*DJR4nbY`>OYxXUfxZw7ukx$?I2by-%&oW&s>I9TIdzoO(J4|KN$8v3On!HOu?i3jc`)f1{Vee^W&jG8EUz6?X0n=ZQ|9$Bos8+5E2AY-1 zi1^=^4j!iFgDJB115EH@Py8|DfB~)L2J-wYNXy^Zg8Nt}Bvr}3WJfrF1-BA~#qDv| z>&FjOX-I$3g2fviEZo@p0QzO@Ra98EKaw8s2XTfe!t7h?C9xkK1@Tk*z}+kSw4dJ@ z^;gR2s+t-46@wsmurz9aC&TwJH86JGx&KyM&8 zQT}-xMBrVFe+c#IpVmbGj>HCQ>tMTkHjb0a3E|6mpl zRuj*)OFt-2+~E{K47EUwV%P=C)kcjVGxR93Zx{*jX1L%&C@7iVl?G#jfB#Jk0S5zu z5sC9EZ1`NW$C^+&W$yuVWu2v`6!w4y+3w_;&Z7_G>R;Oz3{1M_R16+pg#v^hFQ^r5 z_giltTUlPLO@E_fC5$EgL_Y#+Q%g9q?0&qO-1F3}pYQS+Om!oDtS*jvZLX)`Gsv0U zAM%fP*(*BuSX?^f?|KkDT9 zyW!MB^JSTZGfv}gR|nlLBUz${>g-Fte@Z3iegL3knGdaEv45uh^efIrzq_Qo=T`Ws z+}!5z^UIJ(DYR>PASINK@mb{4^Z-k<>gGkRfQ&&F}R#WyW|Yl-6KB_58ztL z!?3_Y+A^!GB!Li`lq5pU+xIplD+Cs_TTD!~?3i|J9rSI2)vHGZi&nocw}6{}piz6e zNHaxJW$7p>->dBC>>DxXe2Fo4R-G#z0njD*H~3Ji1);~vd>CZ+@cz~Lp9`%3KGaE# z$}XbzR4~5>JkZm;{hzH0W?CrHXy`FfUfN6Ibbh4M0weX~;e1!}k5u-AxG zUaj~Uqw9Q~w)R79YrBq1y3H(lt%7B+Y(VId6U&Uw4j$IgHxwfU*eQ0s2BkeeJAzn2 zZf%Up9!EOn>?4|0YJ*SpohC0smIEY|_=Y&9YE%>f9+g^k)`tn7Z@WNROxG*pZ_7GR z=dR!+;gGNU16JQ26F-1NK3XEF-+vLXG_wD;CE z?{26$|D3_cF})D!+mK6dzlY(ont|0MZdq4H{Q-}-wpX>cyx!cyC4y4ewY0%hIg&*xAEqc?Kqkmp+ z5|0>fCcF?LK1tNraE>pVO^cEsHIj7;h16CHw%b;=iV$0(z=4AxhQ7y(^xG3#yDOW{ zoom&R3GJ2;t~d!<*>~CBJ;x+;J^?yJ6x(5l6xOgCD}+w^Pa>Hu1&pp#zdG5jb)qAJ z^q*8R*Tj%>ss0DDUC2a7Qt3aDWVYlm(CHbwJr&!QP|Mkp zCJB@w>3(o&PipCOG8k1dqf9b93K*oM5J{>2BC=iP#2c2x8|TCu1hQRpvRz#1{%C_g zW49E?iJ!ZH#Z)I@FFfaZkg~&$6Z2y-^Y1*v5ytG)aMMl)*&&0ST!RCRTM5HHIbo33 zWVUqT2+gio>UF4@E9S%L^8yzbn3(G;^07`9td{69xpGFu96S_by~Y-tJg`&df#HWU zM235zw#s^5+2AX~IkZ1JoT*i_&_dOH zFknsg6Mb>Yle4EP8f+y-{t>&Ub=LhoFVq+6xp0k8+zl*eM#M>?L5LGEp|sS~UcQmS zG07(`XX}EExfd={S-z3MDauz?w{oRnbN?+-Q#(s-b(7CrGIye4nGJAOuIqNe9g=%0uLvfYX^A_*vSUfdGyJT zB^VygQ6AEV2z8-j^Ojf^Q-hiIk^<6UxokAhE6eOnVVX zY=`1{rFKrWzx18QgWdJuPs(Z&z6a|RyA+oJa!UP>JJ!<^)0EkqXu}6|bFZeoV2Ryz z(BsqSWm)kfw|mvT|B&G zNi1u9-S0GU)@oXR?^i%)!l1c*oD%b!dvMX3KlJ%`#S&)d&tBH8f2$!&+N_e7geHA5 zooJql3VrFD{W?o)P?`8ek9!c+whENBk!Ysp)H(j{AD_f=_V0Vl5jueNUuV+S*W`cM zcYJE!JbQUZa}Ml3&9qEIUNcT$T)7B+b-RAh|9p8uCJA6D@6M}jMc?rM#W9Chyelq` z(;7GjWudx;s30ijaR&B*G+i1%!1g?1i{LI495=PJ<12K-?9JjH^f_!XzYT#Pz^u#T zE*h*jWp0E-cAy#(qW2Aumn-kYDD(x7&yxuhsFIfAE%%MBo%0=!zqfWJRAPhQ`M5CSBmL>bGw#Wc@vpbu zFCmVvMJwcY%bU$r9B^XdZBjBaaKLt+ywf+d03jv35V?1yq)1}RH&T&xrkO8h{_jx2 zmz3ZwqQ5W8ng;p70D`1c5`Ej#r@OI%YgOPaT+eTVwhdCh_ZVRSX+iIr;9e*Py%Zy= z@=Rsf=bkm6giVlNYaKzl9pgu>tN4$COo;0^x>a^>L#})Tqu`>WH@iwqKX{wvCI}Hq z+*iHr(%A5QGj5+Z1gxHptFE7Yoeg}wSp@Pr_}8wevuuoO>=-gMmF^Pu#9a%O=g84R z%~FE32ZWMssi3=~HybWQmX57S-_x-2D$tx)NieAfWK>5mWEKIn38r(k%vKh5bHNmA zKg?rt-v8Em)kkjbBpR%{RN}UrJucQ{X$_I{YZrPsGyD7SL21!;R))XyOUd%mGeZ&pE_BWk!HR~Jj_o-x?jR<(B zmZ6>R?!8qBw*P7Z?@f&gIr?rXt7cNTM#jVn0Qs>Kj;o{6j*0lxcZrkiWNY!1gl*$Z zS+Yo>D#Q$DilPtqk}BDPk)IgwPM-sxj{mj^VVu@Ks2Q@?rd~{hNB4A83`UU-Yb`AAxRgV)jd?3?iVDb}P(UB(}JgvZzDiz~9LdZO`&?v&+ z>(3QthF!(dfxz7e!BO(Cq)1PiX2Q4$1e~O_dQ9PxyQ|duDfOWyr8uPkg583*{D01! zrNdrczJL<(k)ujI?cZ0#YELreoYoVM_4<~7j{z;d1#Ckp&ke>#(Ts=B-BQXl*i32% zPDlaC1w<*5(Ob_jOYpQCgATYmx#=vLZ~T%Bhtvr$NQL7 z4H*-5B0-WGPG}4&fA7|(Qv0a{qus910^R~Wh8{jdDx#fmnIqn~j5XzhK5?#f5AoeL z@?zHTdl6auh27H1xtWiio>}5_Aopyh*1y^7e55}#?{LOClk_-Qo3>@L)iggZg1*hGYY4#{mp!9sVnN)u-%7MXjJ~*b^X1Co(1GRE z{B>nj$rjG|{eEj42Wi&c8)s1vefvuwD=&y9Q__-nHgU8DC+WC8OMipRlU_<+*?vO3 z5w(jC$!WpMGV5qm`+@=!Q%a-;=9x5G@H;xi4kldOf0Oap|!%wbj95n3-+Zpq0D?_F@uk zzBskJUqL@LG4>oWT_86Lw6G4>XMJa zLJ}^tN(3m#vUsKU4J*xP#usmG(T8kmpjDak(D@HuY~6er0mx_R;-gy(&M$lhsODnB z=vd#uwzW`*kqt9xpbzIlv@q3*0@{YOaD4)~Uhg5a2>*`34uNHExR^OrsHg8m?U?!q z{mwr;`~4XI?FD_I_1gXztVO0J8bcyJc@5k!VMqjf2vcny)pM#{$i$X#y{7#5;q`Zi zfk{K84;N5^y}7$Mvmhkwbz{VdU{aZU2bOs_3e9OWBB2Et)9PKThKka$8a|LQlD+9$ z6oED@smM^c)yRHt4$mjA)IK*qnnGV9sS_PG0Uin_?5>cMUic0=4JN)qN&Dx|H29K_ zU94*2cjrh)8@Y}ZI|ip$$#d9QwvMB;`?5iDC7kFI({utFIYzs>g$l2qzdq`*sO6XP z!!gI(QOjj9$6jQ3tFsDboL@4NQ4B6H>IrIuk#=VZzJ=jbvd6Hjmo(s+zA0ZjXh9|o z|60u)PyMGrGBvRGD^#6ZX9m_e(DJyy$hl(g5Zoi_OtqFTFbp9NvrNUSi8?dfIg(*P zA_oz4TTN-S(f@51$LBAB2-=$5C#CjQSp(l+NPSDr=p~|v8nmAczWv_SWKjHRIcRU} z7yYxJ#UuvW^iWz0@zGC}F@)N~42)4G#r+IPcSp^3mJO@6{$+M9AM8qu|BJ7;43A@H z5=IR%Gc&}@%*=_I*)cQ3%rIuh%xuRDF*7qWQ_RdUGtHfxv%Bx^_v6mf-6eHNrK(a% ztsbdWw*vhQ;kq7~6*lbyT&A)sO!d(#G1cuph`vB1Fm)d7J$Q3C8~2rX#h4eV!hn2B z_tkUc;%^T0u?xdUwuXX(=%(yZt7CyYdRr-0{9X%Hd8mtx5p9?Hkf+H^Q+b+l<)gN2&V@SJs4=sf27RH|X zIk2CV@B%gj9)_n9uOCUC43p3`S(QC=`8~#xIaaLxCYcb!d_8FLNL1nhs9r(YKOl&2 zEj7|{Rp>bKT-Hf=Oo?Z#%ljbxCknJpz$THQGUWZK&|`=a=oP@^#lc1cFY1@T$P@xb zXx;V#JlIg{sy2BlAxtD|wJp%nlqLc>D!J94L*L>Xgp+?rtk7}|w|ycWkMwc_+IR1XNoiYL4#smrg(a-~B0 zIcYJo&HFlmCvcR-8e}n!$L=vDhygvV0ZFRWM2jlTmoBTDPF%L;O!Iw~mSmLDV#16J zFQa)p_}nQIJhi+qdTWk+REdo@2W%N7@oQBxfkUT)({0KAodx~%H~DqwuHGhTI%2Lp)_-Y&Uz069<}kDwGb0YC(~r_1^t zpg!csvh0T@*J&&kRLvwJ7(Jcgs{mDw=}f|Ci3#zjB6MY@&pOqcE}B;?98g0}NFU>) zGR=oi{;Apc=7eo$D`K={Ef_#gl|%dw;vxOYesF)@rmq_-QE^Ma8c^_|r3~h44yL6H z{zw2eLk6zJ#zGjL_UN-CP~54+5iexEc^}~E!VjRpS1hW`^P3f@V|s3;0mI3;cxU3v zVruO&6~`{t*ronf#=*cz@EVK<{IEOC|nDi0flRU0Z^V>{_iMEBd^%!fRPEe zad@zukl&X^U@$o5!;#>GI&qqQD*#hbeNSWH?0o0WP?ZTn-S*(e9_Tv!fq_uB)BC~v zEe?s~AxAF`iTNQ%Ck{#YAxA$Bhj;~e71(jr`+<`A`%)Wxd!)~nht;b@S4}pM~I}XK=+6u)jp$O zoc@hr{Al<|&a8t)$1F8}i{AEDqDkcd>ZJVTJVC`R&>~fRjPvtweR*LH-g8I5yc@#v zl+_#*id=bTBOI@=f7NdE7(nmrOZPo>+W09o*5*JIJLlaUg|nbIiwnQ1{WEG%h9KpK zrxFG;^zPt~>s4AJJCQHPVH-rp7v;!{_w7V;-(WRi#}9^$5$n;)dN-U|Xf_9PS$U1m zX(UM+VQkfji)LWHo_=bb--K(>Tu=OtmF`YGgUctMy^XrKUB!zlp=o2ua0mq4gUkCA z3X$fFo~n}Ij@cWh%6QsgD`J_&6cB)Yq7i01kNqiF6YBZ17KMheToL|{I^TEt*rj&x zFFc|Yd2!Q(ua;r65A(!&rG7Im{@=23Z%V{|{!OcNa2H;}=7M$VE(6Jh z`ev1ih{f7)VWa}D3%}eu2f6$2+7F)8{gM{H?|=UXP2g-F!{-x6UK*QIJ}Yz44TI&< zyqAWTr-O3oS{!V2Y)tU^uIv(Wk@V%U9TvhMogsC=F%GN7}K@=h(3^0!V|9i@Ax`7m3u2j|)@{0|rm$^wXv^^r`Q^io|Vc9}}YKe;5BKfk8t3uJ^3hb)0Q;sVzz^B$aLC@;Xg)HQB9pB|3L~#dvZC=mVm?j&;Ct9KV7A zL*m5*p+YD$K9NObu?c3De9+JD>#SKBG0LQ_QLd(GXX?9+=hmsP{cFi0EPU;S8U$*U zGaJ%nU{r4b;vcdygtwZ+UlTjjp&@Y`G?3*7!0fjtG-I1kaM5?!xaWJ*>)lt;W}R ziv$IA2(yt0k1`H}?d&L>ch!tjTw7jj)N%E0MplYt^HwM~Sj|$`tMD*~v*o1~nTau( zCUbcd@G+0#um6xj9;<-!7(i|Z*VE=PEc0`MDa3)DlR>V?f7(6?DfA`)d$0t<%$5&J z24k85qe%cer-ZbepY(z45vrYEd~ddSQ{>5vp?!C^d{c~jYajg(q^k}3cIYH2CfW+{ zpye!AEz<_gGpRM68h74Zqu#sxdU1%KHn{livdfhG*~K?L4?K@+N&aw6yZ}>GWz`~B zr5PEjD!cQL*2u)yR7NP-gR)($aQ}PUZ((<~py0RdKF>T)wv`odw)99fW0%hOv>XLT z4N>(KlDh%?1*V_;jkzV7VbIIdvJ!Gqes>S;MEOCz-3>^iOVXSAOf0 zGG7yl2Ev^KbG4b^b;CyV$m*A|)0JEgRed!~7!-<=NZs4?9sL6H zjuklgHj1RI4~3ADM&o^&=)|Qn4vIKZ$Zlm+p%bZ#*W{6>vo(Wz%4zmZX~HIN`-WoT zJc)|1!h@^663%y$rWJj)^n~+49)4om$V3hrP+MZ6X7`3Hq8>UGM4N`!oXFNzuBe!9(5H037c#9h8NB2MZ_Jsz{vZSh* zH;NHdunK6Iy)6E2FG~1`%lPo{%O~xUtC&7-dAZ(#`NL$QaW zp?MzWZ;q@;+rn$90#F=Lu%c&JQc!l8$CksJ`?copbSH_VlZYX{e82`_UU?#4XN z2R%FH!M+GH#%@CAw=;~9H+gV}>z z)uJ3-i<)0#R>fr$s4YEbAs&7nS^#Yf{>KQu@r&ic{@p!jg@E-BooeKXCsTds+ccJES zH!SRaG9WI2-e|$?7TEU$ft{fra zdW3^-S1da?CK*=o8$tM1Pe6UC3VlpO5iQgK|J;Bz+7D{yd5_w3of6r^Q=3P)zVl$M4L`G-$X-)Con)N1isN3VXqPPaDX0=}7oLg!Lm)4}^C$JR@umA8P zQ0$!f*8sYVF~IBx?q?I+QTxgfT&_n@YJhW@Co^f|tFBI}>+n_cr4Av$f(9%DNm7AR z`hCh4Y~k?ZC%XTZYB*)mz=vU>*J(H{bt!;FQO@dugm&N~{4>CCN7?77W$=1h>d-M( zm&8bK2sjuKY~&1-*}1pp(1)X}25=9I7oe~}-+ZCJ37LF{30VfHc-)skuK@5eFxu|G zha}&+D@Sm+9)&UWfwFr=_y*N@bcEktz)VP&R~bPF_w4M6GcW%1R87vV?==J~pFBEV z!1mDn3N`|LjGVcZJvQY2=4xJvj$*DB>cJhU)YwPJY>)0cb9<#VZ_9my!w&&8(^b3U z?^dUy+?1uCFx9^;9$pk*b%zbfCNFy5eXLR3%6o`ZjE;e+cqW%#^R`;1$UkG#o{o2 z>b<*8JfH2wH%zC?;=eW|X#Znf$hVX=<54S~*Y*MmzV-2^H9X>IPQq`C_o~DR;QK>s z4i`_wH;Ah-l%exO@K3i>HBx5E0Y;6NxH5_)?$mmHF=_ zzP_`wK>8qCM^aapLX&V$!w|fF3Yn(1l0H=>%(rp$83X(ES&&vh3FO}tlj{Kw{@3*C zXqeeGYo*uGYgQgwQP5n7@DP_5$TAL1$BXf)cn@O?GyXPbMP<_4!NyYo(``ph zEwi$RZ~7eE0ZQ9xVV5zt(~8WOG8jOg*r)Mi+r^4`#y>nrqO#N@>y|JU)Y^I=yIZ+(V9RU|h?Yf+I`1Iz}XJUtw%nRHJa@C%Hm zNT`osfpq|A<{=IyDGsQK@C;41zf2QXZI>Tam-apB+j5~~X58%NNU4rt12_0;F#4Z+ zdHe=$wAsxW2`O(A49{@VM?FbP`?-MVF++QEHAa>dT`*fUxCe8_72O|(_IduDjp1xl zrxy2uEmZ5pLEc}9Et=WNuyHT!z#ikA3OA}kya~`Qh%j5+xs(rKJk!C1)o=U1@r}S7 zJny(kEkcNQ1&I!dvWl-)hWNms-3VfiJgShbXO=SKI0RqL_Yx(M`%r@<*a5vppABK6 zbr~Q$GLfyr&^VA3MsXfEs(Mxk7LwSot+wN-BSbwAb1WrUk}TzShW&UzgJ1oU>Ef;~ z-Mlh_N-{4hH->s?1`=T471u>Q8De)&=f@-3XCcvmCkkc1Q*Sz8&j^moce&xccsZ6N zL=t2u_JEPRe`enH5$Fxf1%#Rv+fbG?yT_KPx6Y5|4Vuqxf2|eh8YEA0a@SjD${u2A zqM++3WmzV1#ITjLAgaaWKs^G525QcvbF(E*XfMGuHv3E4VA3ov+@yZ%dlESKJ9t)4 zuGsZ(o#2CB{}Te*bM2nck5#E8yFT27?_aj;>J7l7nZWeNxOgut6r4$B?nSAUt*cOu zC$eLNPz!Z3Ue#x~d|(>xzlq>r{X!66X=ZZ3UAMC|b34C$AW_nH^h&ZSA=9dsm}z;z zR8`E^5;j<46_ssK~!#!2F81(#-sWOt2fpgKEP86zNHm^~nDtVnu zC@jd_V$Tizh$9RX-b@o?JAR9C#xIn8kMNFeSfzc!xHX5j_q z({t`*ER=jHdD|k~($jb;en{)Hf*W!K=$<`2eMGyZ5VDRMAOU!nH{M^GfN<*!Q1e(< z7>Ck6P8Sp(Bw7ZuaQ}Nv8L2rZ&)+p=q^!oK9;+D1hH8^8f>tHHmOX~O+dapm#uu=pzFr&YuD&I<%xTn9O6c zqn3DF>B!DLos2OvvVO8*p00@Cj^Yl+t&NONH{mQG90uSTVv4X(@?ha|;3DFt@${ty z<4Od4Zx(2tL#BYJrwg1#FG1L!)r(8{p)Mse;%lj(Fw;$XI9)RQktxWp}D5?065auMzfsrQjxvW|fB^;XPx(A+xJABXmFfO1a2 zsLdQJd8}tVsr?<^>btP_VHA=z?boWc>IC!aU3KNABpkox5w{E1xvW>6e5t8KY8!R_KrKF&U?b-~ND8lC0!5gD40&vAU zIa8Ca1>PP`o2NhZi1m4eUkpMOdp}}_J|6xsq)jzJR_oC(KOi?}?%5ZVINGzUb@7++ zf9X8xxgNWyaz6U*X*TV;;biv;$U&}Z!|Bwn)Lin- zUnZW=yga`H8rPO56VC?GkGTOC-Et+pH0Q{Hzpsq$)|l&`(K6*|89)4!EP#%qYoCe1RU^JiV!5Cyi9{H_jA{R~xtp8r|Oqa9^nR38%#a?_BJPvzR zam>Nqa?+Q2zrJ<8TOLIn=(an&8=gg(qS~7^ z^Q7BZa-4kjrL)rw3&2gp^sAa^Ayq9uLM91caqolVL|CJ&dHgh6&vp7+^TwZ+jj!s_ zRo&$38{+EhSgZF#+V7={b~$={N8cBp-%tVEFWKxzEB9_pF~GS`%H9B3YEAC!7qfc5 z4VS4)d>l>gF5pGeI@@VEi7z{1+xw@;%F;Op0fz^nq;WF~de5MVk1WZp_X2`W?(9nY zS>|ZHhx0cYYGIXdAh5Z9kpOWMh+=rSXe|7GUhX+p>aH_+aldF+azMB= zZLedFDkaJR{kWIvAaIJDkD&Fqzx|*@hKut#bSQ=V_z;Y$>mdFBNa;w5`B$;czo?_J9jEcA`+iCj=qxieSk5i?iIY3R3K^ z#w64o^;K3hKS}yg(==b;^!&`!+?C10pZeFetyL{=$(36qbS;yK6dj~PUPw{OIx%f? zFrDeKo-giJrGlQNd4%fFcSC7HAWniq19aHnzJEMQ9s1KwO^H(TBgBNQX@;$ZDX8(z z5SSb;Sc{+$cLdL>$!11BP1qf-sw$Y1li3TgXJa*=SwgTrmKEPlriMSYu@TU9%uc1D zgNS}VLHwlLqi0c+o1Hwhe)E;k&Ro1FU{g0lTDiRU&0K@pdzN!VRl1aAOLAc76!-ug-FXI!IK5DkmL_ z3&rI8a*iiDE8I3lb|$7U_|sz>A-bb->^XtGM|FJD>qZ(2R6P~!hmw`hw`=s!=3->V zV6M#&LFXx71y*jB`jMUUV*)nu67w~JS{ZwD86d$ZEugk(h9Pgd#IfL&p+zpEJXOoV z8PhySmqd7fqy6IEki`n4o6jN&Gk43*Ky2%HR|+vsa-88e`B<=KApkMHOt#-aQ1Zcs z8I^ zA95iv5O?i(xPRUyt(`&{#e%A^FQmPlWjFCvRB?T8B}fHRn>3p>z?w4`Wpckqo(ksp zkf)fRAK{3XK|ua>_lLOX7{hTkYb+=M)_k}z88n;ny2(_1y+hMLAII^yeK-C>AuObI zbh=tOc&B9*dN}TGd2k0;73^)grfCt~P% z<;zj4#?@s_oT*Xi{#L*&*R_pY@UOyMc^~HFB(C^MQC^+e4!u67B^-Ow3arml&FM+6 zPUCXf#%NT%=Z-PZ0lJ|odZN9%Mb=!$zY4wuvo80D*hlfIGW4C}q+7AzhW0z|7F@BS ztD<&MSq&hU5}2Tt|Ji?#`GbFwk_S~uNNhe`A9Tf9M&fq4sM!H4+Z8}|5&c_*9-@HZ zBu}hQ%&U}?x%yk0SgrW%*cdJG(}^6(lUM@1jYxo($pvO43rdR2@MI*$Q78}Gu)+k# z$;}ambO`F$4E&BGcHeOCEeo7$^nzv^tl5-2x3RLsUCJ z;?Vehx+aplyDfx5eMv&>B3k+{`xbFugFe$C&V*>-W}xXiLw=CsU5mOGZu#tEC+KKT z_P4K^SYt(s$m3JYn5Iano_{q(fj1cT5gQ_u!5|e~4N}IggoU!nEsbj~5Zlx$bb;HYONB0Ew_2nU1hN-5_rTD4N^6W_e; zL{Znuye~L8gB#Q7vtHEQE8aJJUvRzLhcxR!vYGVI$ORnlHfF=z!KuLjJ3nw}Vm?fV zfK`(+5N{S#dgp~*rQilEc&n|JX00qX-68^$&Q_#Dp%R;Jc(zDXmIGu;$ebMHR8aw9jUrJL3F&aw?KPnv-1%a_e zhnd2R#s1tuG-L_}4>HJNV>Fr}l~+#zhnNBqxZ)|RjpxVed-FYEW4q!`7e|cCgy(AN zZY*Cq-4LM$2+!85tn4js%W*FZM}l<%j1sM2+AFNceX1f&hC5oj9ki_Rkab6nJJU5U z3|hi1uPKyy8^htYz?Iv(&ZRGHZ z2U*M#^NEjnLZ_J{2iu!znuBCY38ApJ+ZD%kwFR>IR}NEFo3>(G(d!ZdFpon6AjwnY z;=fYvT!6{4z^ume=t>3JD%q12f@qunYaSEg*k_YvOyPabd?n+{(eWRpuY&5AHYBt#GT0Yk7&}kB7#|$=BKF#K z*g?x)3uy=Q>!w~q+N4t9YvDeWtA$P6qDLM5DF^}aG6OGRfd^YNyN#8wqYVkWK%iQz z72L|)?#$dLa?7iME5y_f_JG?VR>yDCV;oS_~{S}xzorgD^ zHhm3y@+j4DmF)jkYw6H)5C$G}u>e0J$?XJbHIX3x;(rJqP0Oj_8t$57A@Z7owv!2U zQTv~4=Tu+B8OE|w^)q)jG@la@1@POoMYsFVzLVIIVWN+FCW@>Os1|7j^Il?was`6Z z&A|6>upyd4=EX5g;j`p!rqO{~xF;Y7k0dV$M2p78^iGPB`*#5;bEk^XfMjQ1CXegTnKwDQZ_~;0vMs9h(1lGp& zOyVKk|Fs0kRHe^j5%zyLCDV_WOG$B&!*{R zZd~sFleLs>>$mnXL%m27a+y**9YQJhp-L?*riq(w0WG%K5Ib0gL=z(E-4;9;4tCvf zo~(W&taKL~=^0Y7%>dbi2Q9)^DGrYMz>pAWc(2t2&97PJNZ3mDa!JN$<^uhFKG?cY z5Hd6H1NUsGrjNOC9FzDWAjXwItuY1~mI4MpskUxL-#p-)7&DY>p}xy{u;eVU1kL|t$155o&xNr@Qpo>K8|WfF0l zh6z#(5R_ILO~R@-bf_GZCQ!TIuNQ>;2u@{3#Kbskz(5_g4oK%;ibdWMV^aeRKXjoa z4vm-&zrjKi(Wyd#EdFmd5;1Y%-cXn?ggi2US&SmM1a@a7U=HPvK=3`FE8-3TZn`6! zg`qDgRY7Zap7&WpHD}1Ug49P(ZVCD&qL-P1qaGSIm)-npEv)J{2(dTmQ5~nb z5@}p|$dX9Mqz`cI`vfGQ%Sge zxhro&&r(lqq?Ez@7kwGVT1gR z@%Y;0uTW^aA|Aj6PAQRF2lR^*YENJ23jVWN~9BAKO}SSE@Dm< zOa$;HOJhrPq%%yUCw>wdE@u9GqoE{`QmgPcV4zUw7P@OwtwDfMWrW}B;HHuS*`tBm zi-G;i4dk*9u6iw-W7ky;Jp08v1l4;~fXu>4E z*vjBk7%bh!MY}BSY@jGNGC4C5qZlH?V6cF}&Jd`UYXy7GRq!To zTDBd|FcYa4i_&8p@utSWutW!q;`Dqvjt*C6=wq;=F#5@lAy1{}8v)18qCuwM96nRx z$O8O_UC=C08r8hIC13iCFhgG$sM=A7TT_vIp{XzUYgOn6Lhl;jE{l}HLXQ2)PgF}OKRhx7dG&1-FIhFORr{~3(4yB@qTejkqfj)v}gIV_Be6N&I5ta<``H*Q>w zTDy00tnF&)@aEIMsDfPo=2N~_73w#CUp{co6n?^-BHn$xJnk@jvwM2-a`UsC#bsmV zK5|mBIpX-*{U`Gl;U)3KqwiN1eV_8n=C#Qh{fC|1(}Vj=gt2Yi4Rdzerhel^16$lK zKcRK~xRK1sHeI{W1F9s&f$N9UKvV6QwF7g)A=j@MSC3VXYQN&<%SdRSM*=%Lx;$Z@ znq;PauM_e3D#OPbfi{yb{|eZcYD(6;STeV&@s?djey2 zr49GT)ZyVUNas9doITv6I^;?s(^VqkSoOV%{>n4;t>{dpu#8yw1t_ymPPOZ{DY|6? z7blME=luCiYNutFsV1cl55~Zk11o@!XMWqGXzQ9%s}U@AU8{%h?Mq&y`~AkC*ScG| zdN-wXIG#p4oD5#dnGwNV9sF~rklyB>*jJmTq&(}s+$`?p=1Y>CN5$#kT-)6sYp#Bt z@2|N{4wsp0Vddj%B?N3yLTcrg*n3>Kr0%iY)0cl<_wKz@A0NHhV*RjATQ;gR&%W0e zHKn5qtV2bT`w=yKJ=JC8_MOO6$Rj!R*>tTkwco29QD={^YknW~ z0=^h)Uzh2{L-_XOBS6k-k+E64TVIvD)AOSw0MO#swcj3e$Q8+%{@a}5h04 z;+@iRUpJ3G4|2OW%*fHRCgAW4B*h!Al###2mG( z!&vtxlNn{}_3;!pPcMgl)7`Y%$A)LFO7A1s-p;RAhlhOTBIb>-@*7C+HH_;b>nZ1k zjpyRg&Aq$dFJet81K>JYk3D}gmhz4&uL~$~6Z6;2{xPoo6U565_h*DTx|jcMk520% z&DTNWyT~O zPrlKZB`y#7se1Wm8sB5_YJ%{cyUe)XHTt%JL*!$>n)AXn@-+5uN>_%*zxk)Ct%*dP zeQ!P7$C&anqi{wMB*T53od2jnsPe~br-1y1EQ%x7*$q7Q@NMrd6lx_DdoDK=UmHx( zdA+!JVd7XhxPT-JVq{nzzo&E2y76?M)~x0gE_-!Dsu-#MKE$b>P4}d<=(`9N zxn|o3h8cmF-YG!c4+kmMtmS$(=r+0Z;Ne>@{P5+5kKPIHOz4TctX~4@3;wi5VWQu9 zmBtz;Z_Dr_lepC|!D)Q2rk~Ax?D%4Y_qo!DbevkE=nwf)TZ=m>ry?uRc@Dmy0jOgUfgX?ihpeLe%A^MT}hy2(?*iszrfk3 zLwWy2PW$tFkZXb)S8qt%(K>SGb^%r3J{7PXH+7$h3zJen(17@-!N}V8K;l4I*v%h9 z{)>aRlOH>roDvRIy>nroab>SK^3wZIb;tscS`+^8xN%5T2kLZVz+4P!Zayd4`|L5k zY^4H^x%XlfJH5^hZ|ytpO8_6qfE%Ki&&FA`XT5H9?VW$whXo41p8}tbfM>wRXT{DJM1$`46HVY_PVkv# zwcoR>J>d3FWDignF!WYEaF^qC|LbZm)u8kJZ0CqMIj5V@uS=Z!{bh|C=kL1Zd&qBq zi1FPH=M+|$Pe8U%091d@(3J&?92W4d9B_-{^FCFsS>1JaV*fEVxK^#-*)P25b$_~X zw^x5MeAIQ9o_6SL;Dr@GO}&2$_bev&2IAzc`yD6gemP(4nPd(RD1INk7}*%!_eERy z{uB%78>Sk{ad{IPcU~U_VA{!1Z1oMeW-cCQzCK8OzSMlW)O@~N)-7i6&*Zk6TJlGO}j|hEo8XNZUl9JhG?4E;^pF2N{8Q&xkft1{Dx~)6iarhsV zWjoz!ejOM9AKuRf3L~26H_5?1jg9CZvs!RKmWuu50-=JJ1YaM)v%bcJ<`s#)E&Ho2 zgM;p^{qEn!o5s#?06;V_VKp)DjSu+l{ic~QUZVf*ol_QlXmjZoLpV9TPepa&T2)s#Gzl`-zp8}LNWUB7MQxzcHWY;M~>n`j=%2PoD46| z$J+Z4dOuOsh0Qa5Zh8fA+jj44i2m_G1*|kl?4AZNKUFt;GKCcW_y9cI4ng}pmG?o1 zF8+r8U|JP{<)90V6|vjNv={vu|1Y#hVIZ_tt0QjJbh` zp>U)Ef!gP@T0E8@N83=QCG2z)c_$itr1tmQL(+DxCtM+ z1pjdcFwpAtx@N7|CK`v|4AAH~{taK36pH2Z0Lotr%6}*vr*Y-D8|cnR?}4KOUSL5# zqJpn+)vCA(??+nD54>m&#=3hSt_hsh(YVsKgo^rENh)il)q5oDNsl_a0|24~W7!JD zVEIhd1x}078{XMMY0LD(cU_I@2K+z<4g)AE3_IU(HLg5C{G16XCCstR7UzIw*Tm-9HibKynR5fuk=qmV4Up!0fix?$yl0V)1GUWGFI%G63#ZicFw=OgGj9Ekd@%SyMm`omb`D#Tq_UgN5N%ieYy z_(I(WJrv8X_!epgx#iCVsw(KZq)}+U%EkbLX1nd>k8Im*6l#JeP&e~l{XvOl@V?rf z6Zs`8A5O319c4?uW6}Q|tY;G4-xsFm9>PD9*uPxGOTc@$*8u`mz0dYJmPznx!(yH%n@hVpvNJFK zWU;)xGy}QbUo?T{*4NX(K?61S8`g8A?7*Tux#7%Lix+o*hp%U+@a9}ABOg1RVamnM z!Sjj7TBXHP>ua;n)@{0a*roZ?=F!Ph-T3r|u&Up1n3J8~**rmx{_EXXNve?G%U#y) z5S|}zf3=TL3yEgOW(j}JVbBEQ;^ZjNTDzZ;r@ESC~=R_5uM>cPKP)2 zAp9VMQVrQt4P~n>uh3oI&|$bGxij-~+I(C5ge_ngYUEimA&;T#APn*QNWfepFh#QG zN+ycaQXy#!3c;x8RKPH>X#K+@Fnc5 zrhcEuzi_0Q3JH;Ff`ESezSdE~giY!pqr;T@59hArmd+N!jBDNsz~z#ORn<{V_V@#_ zY`s9({iW-8(euWfX)|%_^+@BFDgmdH_<}DlGWg%zPU`4mi_)_g)h^?+zc3xUsxWH1 z212?+W(-bJ<10uncpZKR7)2g>68~XlPhVD2h(S^Yd4HFfmPDV#C++|j)v$IhFRGrKim%s#o} zvzgKR?EapA%Wd^}aUcG-ru_6el5Kl2j2ECF*KArpE3TOTvphg7Lvv3qpvfm^hO!;ve#lTz$HMcZPNQ~&@bAHdRCWvXzmM`;sQ*4f z0z<5z^5Gm~>;{=p_+O^&$`6B^tQ9Q(1vE^R>ds=ioYW2f1F9s;4-uQKryTwTEDhu; zB?@wO5JqW@wwH+FwZBsuq(-j5;2WtC`SNPiCB8`0*xJp4gB)0XbvX}aI=0)1YP#Ny z-;_uA@nN|xTK&Qa%U<3~CM7q+AB5CL<5L5FS;5a&9u-2l*jF~(M`t2x28J`IjD|{r z*ui~O_jPr*WxYuGVvfe$Dyf}g>>K_;j8toZ@`Ys*|3ASX;Xrqjm6_IX24t1w<`0e1%x57ks9Ofcko1fXpqXl& zAXBwk10>^#nAmzjo*W{{Fc>1_YG|ASBIWqd(kZks(+xix21;&{r%?PKe0U@%6?>t#nVM7`}z-p0KUUr0!_E<6%o0PsbT4Q#|nf4aRaA15^LT zDnYSbbCTNB=^7ez{x-x&vgjrb!f#H@eXf*RX=m^j35G^haM*_#hRUXalJP6EW?Gox zMBhUH29f@MV(L_|Gfh3n^mP4p0eIgB$7|x()k*=S3t~v4@z?FAD^jpOc2Y5_x(=` z8-{;VkOAipu!f*gCD+A4REE=Nn0iD6^}VT|Mn(uL@h&TWOjl5qRv;c+@URmMnOAqr zCu%J3tQX33^4Qq@n<{nj@I=;@7{%_!e+*S;6ICd3v*{T#|ZYv}k z&EmI^Jhm)bfTR|b`75>VeJC}@I;~sRUo`@9h@xxA2=`63aG8*E*%;)|U@VA`57-zY zI6}b%EKNbVVA{{iLMWuFIoBh0f}_R={7_zB5hJOFUZ!eLXK;xeFf3NIaQ5zLC2V6o zl&g;JwI!}Fh~&2WywB31QU!PBn~7o-U)SF6owXUP9qE0wqpYvvh2F=PmH8L<`qx7G zU6Ggh*S8&hGRTJM@~*G$a3K$S?;|l?R5g$6zJvdsPfbgw$TY1wpJbsus_HG4%G6oK z^zC2IUE*r2{bjdQm;W`MN|Qm(d9aV;FKBsn)lj^sI2z2p4{oi0@w2#ppYl@K)Q)ZD z*B6ueR2^*salhPf25}!hkSCPDv+xBS=JhL;GRdgvWqi<=7~*h&uWX3>Cv~$tgf}kX z;y;-jN9HM~5-~j626!vKZp`mzY3w6gCo0f8;WSE@V6`UFvGFUpSWs>7$Lmw0Hvx8) zUa65A{|5;0D*x|z6~8r5F8^Vg0tEOfNB&d?%Xi{(s_iO?QzHKhrV^n*fw2o_{NS>1 zT#+?Ab50~kOMd4{DkChN$zHJ46phKAf3Dqh4LVtnmbyBP=P)x(4c4NyV&#;O{!K;d zk!WCcvgI1WpagW_e-pq$&bTopq^_=cDuk}V$%jVEt^Qj4Vez+7?P>!+R^hQE{NI5b zP^1gBYij zGt4+x@zx~y`0tuX1iW2Gqac;0JhF63xs+IK*Vue}Qtu3|{Blbat5kfF=c+mqwcQ z#hHAG{BsTQNc3q$GbI6ed zJhdx4=;O@x9NKjX78Ahf9{V>-PsBWa0lyVo5LW6=#>`5=<4?N`8dwc#J7bo=w9C( zLqu+uR>##0l=HCPwo}FQ;kYs*=i%P?Z~%F5#Ea^?!=BZa(5)7;Me;G(wt+Oj^H|%4 zvcFey7!TGJ)Wk}}`iU6!hD?PGEy{{cm2?4eDjm#nlTOE~SCKIZFZJ*g&WCURX!oY?iA zL2_=EnnSQu@k>s4+c)^tC#;5Rddoe{nW5_3XaiA#5^E7m%k&q>8Ma=L@lTM97>EsW zEdNAO8&gIHDH<#bq#_Tk8!TI;WFr?Wtvd1T#6xuqKQ;IBDM>*FooJOGLql^$*}=}t zZF1_^f)W!g(#$xSQAunhed49p_rQMlg?+dtzD`uZ6 z8+bU@N~3kh=sMdDRHS~8wppdof>|xw+|_+CL4eNFu#0FF?Z~#p{O?nb(c;&|;;yy2 zW#1y5N?BR}bK&aUeq}?thVDVRAw7K~t;JW5S}9J=3fq8j`3&Q@>CKSv5xWfJgTgvxe^%iyW?4^W#ZPGks{C|fY$@` zEch;n^PDav8F(c8gHh26b*X-#e^nYN6O7D{Jmk`SW$Jd-c{KtnhmgONuEEl+_TB&BLx%xjE&6jj(Ot(ln;;(IOl4mx4TJL^Dl92juo zX%(o>Py>9%Q228cdI=vH`k}$e807)FVs>>!-VP%!2s?nc<1}_+T?f0mH6V^Pn}H(b zXddgm%HLktS@I*W!Oz0RBObb0-Fbs~4k!i3-aO1^BVN*r+y zi4<|~Rtr~6!-%e>qC;MjUoWX6WEx%XyMk$Yze6=>dqovFZ_U8CU-f{#GHZjYB_Can zK{Y7<8?M?`T89p#g=++ua}^ZiiwFwNW$5X9aqgN!WU8{Mko$IEa!RIf#@W_Okah=v zk*=r0sO6ih;D3&Zg{i?899&ax$)>PBsLf^_tQw%GX??#@4fPC{F%Y?ndotPS31%S3 zaxTq^3I&L=o*+Q|BN(P7bxzp^<FpCm!=x0?qcEP@^2@I#@z^K079)CBt zpEV@XdlAAsNOPVR97Pusmg1Xf(_yU)Iq1;wJW|K<(U^c#;oYiaY6GsbFt7f66oJM3 zF_`4-b#7z>Y@yv+f^+NLgDM}}x&B%&(B~Yyca)-YwNga6j4G~EHP$r)h0rc7Wn8Sl zgosuw><{L~slC>tlX^q9u8zO*K%Xz$kM>cn)tT+_&qqcVUuG1$s5+wxH#`TIU(B(X z@_L7PD!IP?82AxZ{oiq7;$h2WDMt9!11%6Qa2x5+a66NPZ4v{S@zhvY$|Q>r=$G$k4(>(q1sSwGy=qf?2H7Tmk^lLtLn zGKa6`z_uV()XF$DZrGla)?Uj=Cx8^!38XDo|Ib?cE41uFm1Zt4*~9Bmb14M1D0}oj zPY(KttxHB#A;vSnF{y%H%r-cQT^t+m;dx;Dvdc;SV~8To}N-8-$D)gqTW)O(XT??`m_rxkiv!J@GwjCK^m_v9405 zOP80^5?(&TM|41GRUbhO?Ez2a@Umkulz6LJsoQd_^P8`Ozeaw((B(Mj>Ud6An zzklxlMCN(}w`YNE9YzOdVb&S3bu5t&jTIp*Ier}{FO3};hyWI;$n-$Zq#}&3nBCx? zi3t@;bp8`SQn4Ym#^d=qKoT0IX zlm=~}<<~^0URe^RF7a;md3pxq2JQQ0g?fc9W>*P&%!E%SVTDXf7@5^(XzpbkX<{oe zqo)T`&mOJND{W+^3B_fHv$={1es@gwFgsiYT8z+Rre#d+GLiMUNH?%drR=z7A&5!HzxAc<|*e5Z#^cZ*(^uK82 z$gtev9#mD_K-*f#uJ<*^8UePENyEWP24R*f%^O00P4p~X7uLjQn4c?Bu~x0=$t*n!d6uw0a;fYvnEQ=6r$YAqvkcCCzzb?jhgC04e5n@$tGxmtChr!4}n#c62cuM?y(So z`oU9i3RUx(<)A_Q{!a!>#90X~$skBCo_f$vaTqB-Y4p9di2whG#}?aC*rjEXbdlp) zGHnVBsoJ+v)uOWt28mxp1JXGnXq&<3moX6ZRo$z?#QloaiR%yfaUHK4vkFHhEkp3z zdMD-45q`LIaK3#Z%urBc2dP-NA1cRiGiv!j$Cpf60WT=ERyp`V+E9i__+n=OF?HPF zG{QpjB57QWw-n?_5(IUeS>7Tg>h4<@{2uRD1OZkM2%8uJkxMOvJ9@Z4iLl>pkR3*r zyZ1G2-}PAy4upSlj)?Ipb+RQ)mRbaYGHtHGcbj2?UF)|OWrv3(5+(4KZHVf{VF!bO=L2B< zK1Td0>p$ovJdPB#4i?}417EFH(I*E1b$#mDAgtz({Atj?noXhzMD~9pvvtCvv7nOf z;q^c;Z*}6_it|18-|E#Is07{K(@bh@qznvjy|MtVe-phunTMAtB0NrOl8I>`yrV)* zC#$${k?21>yG8 z%7!5IBf@|D?oT%@Vk?#vtp7MM*wzmyw|`|fnCYXofAY5OK^LI*PUjm^+c)#}G|Hh` zN}+U0&nLJ{*jUIEmeOI6nKyJRf!3Sc2-6*`B}zYrgkL zBLTBMKd=9E(oi>9Z_V=SoSkt4@wJoq^#l9<$fI4Tu(?%o5aZSPgO8;Hh58-6fjDoupNG#)}*KzB?ldP)Je3B<#< zDvNR}6q~MJi+?=78V0adk4!ej;;_u}>uoXQ<`ydr8fD6^eZ_?L3nVuTE^L#dywlKZ zTBE#+Pb0IARL@Bo61U3N7xjf5ag(X39{7*I09ncPKn!@0I1HC) z$vB)}?5+F-Ls^x54Bni_@wrJbsMRgPHbJ{+84I=0!DboiZ+-_5uRdh>8;8~Yz+uw? zARKlJ8id1g4M2VHo|-vZPxueW))9Wr6F)WMcC+Ji#76?*vK>oNpRhlgOf14jgOv(L zXQBUq;s4E~Fyi`X+;!O>Z9=I|j|9Tn4oOo2qM55_A&xeC|1pbF1{3pJXk|GGcl7t4 zzHiNPSO+V`Fpork|^`rUz@ZZv`$3P6djmR-SJGSm#; zMP0mwyysYPM%)4n@x}z^3C7C4vE^Kj@Rj>bQW7`xLYr!qyT-~$J$~T^#&YGQL2G8^ zz;9ZXH3+M32PSSzcu8E=)se8E^30NWP%q|8nXr!tm1Rf>3mS!gtvt)DzXU6A$cA$t zE!Bj)7tMh4^B>#mi#< z1lEn!FE&87?VzTHQoC&xytWe8uEovD&WqtG(z#bDN6pW)nfgF&{vpwGD9Bn6im1#w z$G-|Yq;r09IE6l{C&OY@CLLZo$~zY6!ay?T8yC7_M%YZeTX+u#Q!B%2EfgYlj*g_4 zXfo#u6}m!!+e{2PxbPkj2inaJXe)GQdl6+;TU=Mhn$UvMn>`4EewCWb*$&Y9X76^% z63H@8@JI2ZPIML}T_E@#zq2MszUfiEo_F4WGxP?~Gar)~!x>_<;WK(yUx&0Yeg54l zh3KeRF_m(DmN8O-Pjt}FsaZiZky5s_W1KxentHDs76{HQSNzjP$(rxnp!j8EBP_k} z`?B1;Pwc0>Ht)@1jmyC&jxA3hQX7%bP_6!$v(1qfR&|!b*5V$Ovh8&%!_gOgkwMnT zAcsk81KoS9^zV0J8F#h3{SeHRDdbpdmsFd~qLbgO;?oc3@ilwnge`wV^c`WC9L`YG zZ25$x2_)9vkVYB;ciCxQ(@0YfZh&_VzROJ1-NPG90DJ4fv}p@%%B``_)f43MKefSo z*|%^&{0x@~AT7GQs&5b%4A0x4n{fBWam2^ zj7JA0ON{D{3rmq#Z;jA18U93S=##@&kR#kQ2vfwn+j5za6zmSx?f!L30?Lpo}P3dLg`u)TMyO2}qa zYDbW!CPZRBKt^s~>I)v&5wCCccu6&fN3s3f4z27*M33E`Nsc0+{bTew5x}h-np6I&__{pM(dZLjWzR-n zrPFYSa;wk>pj=NK9p=E5yybRn;LVc#-rGGYW{_ z`j}M4oig;RGPCv?FN=MSKU>BX-yCJtO`I6w`bp@Sjr%~$#c2&dqQmA{cV;u4_6hir z0{x0o*r8DILA+(+xD!6&8FYq9ZgVsT0OXOm*Z}fuYm=U&G1fVvNSQC-CV%!DzI>x! z{Pgy1F?Jjj!9XGG9!x`H^o`5_`&06LXi|cS(77pY*cYhkxo;9eEl>Rw2)uaE1B4be z-PUJ~#3PC)2rS#Yy3Gund-2MS zI&-3@IV!E>U=m2W8Tf)Ur{ok9ZwaS;x z2kyTp4lk#az-z1IQ~TS$EX)P+c3l*OFz)h`0_``~WRe&X?cbwGC!r9NHoJK+lS(Wi zFAQ3})(0$PEbgy;=B6@+HC3YXi0+HVf`r|}1rP@co6wN>|97Dc>yGn7%*vGTKf*w0 zCC}MF+xJ#DB$#sxTZ}n__fv@2COXnag2|jGRA?*3S`t(<(Lmt^9E=0#As_%iu@?Bz z&^o8p6BXJDdOi^C7Xp^bY{x#uI&f7TDcWFbb`{eVRwPJ(HyRqFQ{Ih7hY9l~&(Y9e zyc|f17v##e<^RX1fjUXyKn!rTEK-^IMOvUzbm{?ulsv-EPCX>f{IZ_`-Bt)h142{= zkdI5I>riewWew~NbUImUSc*(vqU5CGt45MJ$H8c4K5ZOu3ho6a$*!Sdz`u%-1KCYQ zWGR2aMu)wVCfActVmyS_K&_#&Bfg4Rnu?6oe9HN4a$KLEU!`Fa6ozr!3$HNE$msFK zr7}9KHC5JH;a}li8vft5F8o|NXoMyX{n!#`L*23{`x{eb@?U(st-zra{AU`2_%~2* z{s&&0;;*pkX+KN=_p4I-1|jdREyr$H+w12lUna)yO;JXd1c;KEgg-?@x&x8r|N8y= zcUZGL?*jdF18jct%$GS~St)u3PD%xYVPljCJh z11$#6;{|TUoM)T@=x$JfZUV-JFjy3ATaN7lt9Da%>Xhg-lsDXRQ#)LBmS~u!pR~?2 z6nRZH-(Xc1MNM{=sZeM%Yr6id33=S%3P&cKS;svR^$03Z6x`pzFcynN6XP;`9o|;z>P^Of_Y`deuU@d7NfG##^1E679&``x; zNI4a<#XctBWjL(5!FO<(mUDMvM5W!834K*&09R{YDJ*R>rrs3?T?;@pIDsyuqiY+M zmg09rreJ|#nkE+(ub65a|63e@0+Y!+#Hmj2m90x}mj7EH@0@FK=~IR#kyZBdU?T*| zI z8&^o@D@X9;5aC1`P&K`#G(lR-D_U;ADmT#D?-|G&3)gHd1jXpt(za+0HkS!(Np%F(v-;~}O^JvZR= z;p%7YpCSy2-KO>cUDIg~24~>;zQ^22Gc7t|x3B7zoa=Kq8t-))#3qt@d=-*`5d!IR zU<8RJ2(wM+c(aW#p8cJJ7igy=xsqrPp4Q(uo?BR;V4`cGY(m%Ii?(y4MM1M|doZjY zp9?yoMq0Uhc`UjOpYIx!AIRXnK570=#d6N#qx*Gy@GjBZZXaRv%) z_>$ymsD&|22%7`?;8MxSF)C0P8c><~(#+2v#kI!$WBF7kHwD&6&%pnimU1hQJq}vp z`kw^W93Zf(+q~lFwaT4Rd4iCz{qXP}1Sf6DnUjM?Dlo=K>oQzCSR}54%h7Bau5OIN zvt7%0#wuq>8MtK+k14@#`J7G{a6yqd~Sv6{We|NnGM-8>^)F`r0K&c3k{6aHki_KASLICr=7Z zqHC-Kp;pETP_wm4YPuI=wnDOXk(mxZ__j(~J`GtX+u}p3ui6%+=rdmlbAZuLx~eNZ zW2T3zSdi*NdP)PE(*T@?t>tn%=UTNm?desRagjM)U;B}B?zu8b4#HST7XZ>75MsvO zw#}q|wqP6Nt?J20obX}9rSM2qsvW~?3OOewO74x%zU%!x_OnJCmMqZcrFi!XalTZQ zx$TdQu05Z1Sg@DITjT?wJKec-!*sEAN^YL^p< zaPuki{E{9flOxpB=kH&BZ6&2D5w(C47jQ| zi$l*^9(qS~kfM@oj1U&sTqsdG0kL4q*=LCLC?)~1BS+x4@-#QGD_A{yVM4+}$tt9e zm`M{|NjcVl(KCMF3Kiq9>VdcT_w!Y!gw@yAAmSm(lsLgRjo=%G%rK4C!&}ZM zZ^8IGVwIuPQ4QRKYtAiS!FZ6{Nbc_OK@P*$i(Ae{Czc2!&a>;o(|^1>iM%pqGm4fY z9jT{T3rhNMTiM|aw*^Z+lXUyIy*oeRhULs&KxL)h%4yxot=!6SgQmr+-R^~P0^x05 zFc*-%v-|}9V%DlgMs~uc=cizKz~j6x<;(vBN%T)b&VRvRdEgUd!Qp>1_>=EH>~~KQ zs4N0fSbC0hiC2YtZcekNWD1D=vmsS-E(NnKK@ohNt@FLxo3$H6{qzty>re?ek&OXz zfH!KIZLaaOh2N>(*=BZ6d}&vc zan4bZa|(Ba)eLJWP7R4enyp^Vd#{UZh;{#mW#g6qA@oK|%s?B0-d8u9Rr)85SYjcK z2EHEeY4L6bLMGcyP7xIs8x_y(dW%VE(|Wtc{kZW24r+myPO|NLv;8=d+s!`%%_{8*NAdecMuPXM^$Le{TRz*y{gkze zin%@i)@8h4u&2Pm#?_47Pumlp1_eKy&G|*^92BkHG$L!28&SV|l;-jl(XM@u<5#-9 zo{!sG;O*f zom9-qGsotW=dURmo;2lx9g%nC=fBM#mz`9U_;PDzcdj=g?f5P3ooic^o@!#@Kv!AD?Qr~s? zuQXk4R@q+At&e32^GJekwiz=X$Qe5~STY7EMOMckiM};_e%c)}ZOc_)U0`6Xg5p`tNQ~Vdvi||uTQ}+)xjSM& z2O6PuWENvxw3LbBZX8E>vp?XiYAV8;H~8i#JnrANF;liSRhHzrT+-fI^l1|JrCr$I z3Ni0&024_;WMFanJ!ey>X8@ksFTh8~+x$4WM+SwM&-Y+~LYuhbVJu!|qN1X< z{4S|B28WrUT$gFHs|LwQUrV`MZzaR$u#tVmUKg*twWdx^Tf3*adV3k0&n7YtuY6fq zW_%Wjtb{G20IyUtjtcYwU=c^u((Czs%=TzPCB5Pm1%Fvsy zF!D!6ocL;jxHeqzSn64*sYI+QLl^}UhGR3mk5Uv1xH8BoPIQ}KZ)^gt?S`$UlIKoz zpKKUeXbu<7MFJITl{WV-2sD#o0diMMm?F=o@^^K)9sl<~{*Pf%yHDL+Y*FWrr?q|A z-o95y_Z+>)(c2nplKz-3-tgLYArh%%v;L?4nPr}^-yjZgt6tw+*A^#Xsn$u1i9Yb-eAT^Nr4~)8UVf^rAl*jHkE>u))$C%L!U`xT?rD^$*3V(R6$SKxMWwxx#GnOdN`1Jeq?ea7oGRIi`)%9g) zPGt3d=x=`bvNrp*CEALmbrRH9Cu1aJ=+gE4Z`N+|-|y+7waO{=K!zaIytDlGKdF*H zy6A(2Qdx6fW84^wgdWRhkUJfqWNndsNC)G`uds(aC*#GI`MArC;`)N;)32A*x3d#C@skH!+nw0~ zs^P+*vuSEykyU%y3y5|+e6!G9p%28AN;PS~H+nqIFV4V%6^^&&(uDE;vN>_Ab&iDz2s z6;jT_Vv9RD#=;u3E~@*K2gd(NmBvz|gqYl|Lxy@^BQG#mW(``vtn}JU|+Tv## z>Rsm9SpVg3=Z_dIGrJ|k@7{JVwIBLG%+z)dr{{Q%ez#Ecnw7EfM8kh%w7A>nRDPU+ zo#ozk-SV*N&D?VQh?V6om|?qU_t$0L4~e~N#ugdB@6!eQ50W_|5|?x>1$+hveW`Xw zTY>&maWY-DSph`x)i}b}bO9DbUK?#Q-Ct)!qWK?V5dS(*yei1$J3aIyzM%6zdUv?J zO1PEW*Pd)am3yo+&VT4WO!^v5QoW8fxsK&JRHIDBGj)b_6I`cEM)LF@MGQ6T=-b$8 zY6#`cSeP<b<*mK0giQLdjnYq<51$+Dv??oTAEFb%ZM#*^ho1YZkk3 zysXs{`NiV(E?Lmbp*+=$q|{zzydWLjV+&JbV)2iq{MiqhvQ#H3R*kCFI?#SB?|1ll zHB@KQG-ZP8OJlRSnK$Md6sX=`Cf=SNZN9#{w)^~v`nmSr-UqyWeGkO}#iDV_CqWrS zeHeHWZuftlG4nTvaW?W%eG(FRRQ*jM@;Jw;959;*R5UCag2?pT@*le%nuadb4&G!iJG?nExzhm#ClF6T=TnDY zzK>UuD_-F7!DrEbsa%qIC#`ZSxvr}mUGzIJe3nl1=fs~Ml&~Dg_-O>(CUzRC$JdtF zx5(EVURDMFG4dJw2bDVbpkUkG1v~sgI(nYFK4*;Rp(OyBm3XoIqpj9{EQFjhMv(rVRsvEYo z^IyjC18(^&V<~8t;`TPowDsKUeQvd5d2RKT@S|IM*q&_YF=)-GerUwrubMuqVmhe; zDIoqg$)9vHopkmt>~Lyj-Qbxgdv zx{TUMt@JaVsYP&a(9XW%s4l9c$P{77$1#!Ov(X;}tKOIte)V@R`f$61q3 z#Gs|)b36{-V`JK=tC$t+i?|B2nXJ4|fELWeNAHTmD zP0$>Ex+jo)hb8+-dO(oQ$1a>pVV0KghAiqAl~1wneSP6(ZV>B{5I1R|KgY+;tgYk^ zGG>#n`Ph}U(?VvGT{?tiemFd<2dedbJsx{XSc&7w!NLhwK*s?N6jq7(MR}r42OGwx zlh%vzpiK{0gdT}Di@D8h6jDf<0{L}#TxH~py%KuI;w`wW++#&E8zoE<`@w@LAZ{7U zV0hrBQVQ{>{)E^n2b(w3_jWSuUINW!?mdY9+}yX7t0pr8Y>zrw$u{Yi0WSfDKh?x- zdNHWdbW-Z@9{>nJ8g|^PshzS-)qKq5&S{cfjA|WKRPEJ6=30_zqngEHYKa&w*P6t# zPxPpi{%Nony%Uh|t9{E#%ir(eX0?^_sn~a_xo4#tF&uttYKTXxKUL8cN%HtFr>cG@ z*V0IvG}MT6_EUX883V6!4=DGHU}7%^V1(! zm$jVpFN3nV7M5Bn%&e-U?5J9xfm|ydt2*0;W@%pWBN5foku45CxjetF-bHtn^=)b1 z_I2?>v}J@$H@J5jv}Eup#%AO^ut%a?H%=A}xdWrm3HcRQ`P?%BZYQjU$8(mQGey(x zc@jCNz7&9Pf2#C+07Kf!HJh;}eeJ$kukF>ODYLQWG{N9jdNsa)vu6Ho6+MfAW@8xW z;?QUC6CVp3r)k5kH}vw2Sf5~+sjLpF z%Et*A#zy?T0jX$sO+!=a57m$SkBUKD>izG{Hwtk=;}oE#$?$Rf!GAcSTNIWG(F_|; zalIo^4M9h(+f+b8$1IyyuPvp(=+@yGp_{h0Qf$82<(Yc@q}!Cz>Tzb5$mrIy-q>Rs z>(Nog_51$viUD0ypoCAXwD$GL+OmeMr2@5P&$Ye@X{>+;SM6Aa8KiDl_;lf4sESY!p?Xzyj-XdSc!M_i_m zD=2NPmZ&bam~p#r#otkyLudAw}EWWTHM=!f!lI zmn10eZ3TrbxzqzGKDRoqJWkwF3OqSfqw;2s=9P*wlTG_x4&=<*5uT6``Hd|VBZ`1@ z$sW)O28=eZCW0hK<8c`eK6`oPaL(M#e!y-R7R4JQX8@Q!nGC}CqHJ-(; zVhE&G%Br5Zq+zv400Ivbv&vDNQp%<@GafNBK_ID05Q)ncc>#KUjU6|vxY(HU3KJ=CJmdkr3{Lzv(1Iqn6q zIkF26<+HG<1rB$!RVc_qtI`oA6ilk3M-59lmV&bf$}TAl1Pc*7HH82Z%fD-f2qIPS zC4~@8q7iZh-x>VHh*s)?Vu0{6K;>ZpGB^));p8Pc2%yKED79M|~S7&)VxAyhg-^wKLp zKoW7Gr-|r0^g7CXh&^OX`mff1<9Re9e}Ou+k%eLlx#Oe^!4+!-7gcB#Q=Dx1-;zdB zx*a68nolI;LwvV<R?#waGRE!q&mGgrwPP*w~uk*9aVjgOJ%yr8!Vr& zX(NqjEts}V~Lq>54_=~7+wHK&`)Yh*9X70M=JwH|FojV?9zr8-cNBqTS$ zXKk6y@sBa=k1+0<_Pe9@X?rXNy3zdtR_thEP}N#p zlELNhDLi@|)#$eTMVX}oI}a`H=iOTUQ`FseF+x-u%}^XG|KiM)X+d8S3gLWZsI#;! z3Jz!$=HO3Sdeh|X4BPW@!LOU{Tdi$Aroa3% z4D-G6e_c)J>UkeH1@EE^2}Q(r|K+N}nk@rECX5eqN|RO+*@l;Uksx@iakKIdLf%<< zWIPIhaE>;F8qw*x~Rvfl2P-WwDRFW9Q<8*~V?oFilL{ zU;TPU%xq~Y`pI`8kVnkQr;vR%95SgN6BS9tIPuMsKg-lLy?NON4b+#%!>awAb^pb6 zCEy@gV3IA@)fH7BybALLUa{jyCDp9q&&%vlPOeYEq9;v$l9$iq!k0NSwe)65r6=IKC47aeTdSz4b$Vr*73jeRZ!JQ;||QI(q#(1L2Cd3}T)IJJ+#dn=PG6*Jh~Jrl@pg zsWQ_{d-3-_`oWlG`0X&*teI@hH0*Np)|VDQAxH4- ztJ>)F2@YD2Vk|{*EbKxp4gTidfAiG8d3~)|c>W8qAxY}4r8J(SB}n{Wwi2W1NOk79 zMuMkXHC<)ya$PT-Okfshx4&x4n>?x{|8h%-nf$Ck9^KvXRruyJ+5jTdZ7$LP;Iq#{ z+?XIx+C0C4f?eerJ?j%JT2=-&TGl5@v`RwJ2IE6+gwlut#mZe84%?^kIQ&5N;)sH& zgPxV-b+@MQ0WS+sScqA_4(MK`Su1E|%~8onR|L1!@L>H@Kgijx5$Jrc3`9a(+8tF1 z@JM_F-X+!kqKFD3RSC&V7>{$cvRYtvtEb(@My-uq8_1|qrQtY=a z_|UTQs#l!3SR7ERmU}+myF%OgU~O!NHS5=m|K@n}c1A{Asr^`H>UQ3+q=#}IA-JT4 zQZ4^aj0at+L9#u>f_ovPtId@39}iUysO^%?^l9;Yu2 zHk2z|42_nNBZk4W6WhP5vwjehFRn?L1z$0%KY$!y(~UB zxLCC|&{m#F+x*8b2;UBJjC?lQsm$!fN$f1OV(3ITjZ9nA8=l7>>MmdM zev{QJpP=i|*u&Rg-axIuXF!~X=>>ZXHuv+BZBO^GjVjiBjJ;`IolRs)Q?Gm|G17*S z9v&8o6g24(29aC=WrySAlO}^V2e6AN`j;6I!iqYV+JNrWID{cvXhuQMYviMB%V)Ke zVi{&3*TZDH#4Ya<1ZO@Rp%JFTc$MY6$nNBNm|MpR{3!x>JLhDh!_NwsxwzsVu!~e< zL<4LP2+Kxq7vI4EAu7pbj{g#hss?NY-5CL#R5m}U5>ZkaimV1~NhRb$DvFu7mGB%F zasf8X73Wi5EYaey)QXw7kp`aUTPEPf#MZK>Fc!s%f^5>ZI6@VPvDV;KA zZZtR38GbV1&!X(+RtmIHe=L$9)w z*njE`P>kzo>HlD`@6~Ijb7z)25%sXf@x`)!v_19?kAKn1tJ$D5GCkAcf2K>(^c!cW zLAGeOYZ}8RbSHCrm8PRCP?(32Fu+l$8+cwg@~l*6WYG-5w+>tLwy~F!fMPs#>xke9#9wUEtp5PgpYDwI|8OSm#b75eh1?T*Qz?f&W>8&1bjgh zk^S(KW%`n-z&b+(3U#P6{qcMNJteG}U?|bFBGsD(&edXC6Tf3Qh{(|C#Y)oY*+I}* z8|2BceY~B~A*XZD9Z@;FqR7ubh@^fOiVaeqYW>5y`7+e4Y;{|BVoN<@Z`i3D07X-- zEmwsJA5*Q&-fXvrMa;p|M6mgNiK9ZiGRv-PiQLa}iKFpbUUrO?d~KhV^#8@#TSi6F zEDO81+u-i*KDfKPH0})U?l8dMZZo*MySux?;O_4B^}F{zXWet|UEhzpRz_w-R#RD3 zO;vS9KAG_!8Df-_pJU6X{3~vgsI15+!`;*c{l=Kl4oIrh$RN>4^77E5en6>JI-NDi zwK2(s1dFU|oLyhbNrne1!gp@K+=wr&CB3O+M-t@MU}P6s`-4Vds2l0c+=bY# z_|~t1@j7-G`$Tr4rF2O%H5p~L+KCAcuZ#L$uWFl;S?wzDM8RayPt~|vHyql4LH#FG| z@K(+*7SZB26co$7p3fX5)Ww?Z)uRVasaVBndphYYmdw_F1+Ib#WNmIvDrHr_?tl;K z&T0e|@uMa;M{*#0LOGh-qQyxUXw+dft zNVJBnP(Jm-zexWB=L%O0Rv=RPOFMUAphC&YSc95Fo*^lt2vbI06~3^jR6WxcF8256 zGIqaJ(M1$;QwB{{6LmW(bo(hfKqsm4FnEItx?TFKjI(Fi`!9y}RSa~nsvTkKV6da{ zjZl^rJ_I=?^5U;SQCH&|rA#e8HLCxZRn_(#DQWOlQ)_vN)1Fmd315!D1v=5z*xFo>7z-P~LQF@_U9Cn=N1DWTNDnmyOnR zItuQN&19`ye{v#fmFeEf++1x$+p3JTL?j)MQ!{RO?kzW|JfUu%nxietS5XgqH;Gp; z0N+TJ)@3j|Q&vT6tYn>reI5DDFtRlJqs&G>BHt+}>1};?bv`fbZ28utD$aB*ob*T1 zn#`O3%Y!gyMf}E>Wd`w>E;v3jvbvhkT9CoT&bUDa9XpX-tw8?b++y(QQzsM*4U@Ny zT#^HhdJ+&Km}5m4CxRs9`m7}KndU*p2aHh*SmhDU9ojCp+Uo8tain3hq92dK{X)&p z512?hIJP8Xi!^VIc%X;fVXr=BiJlQI{8!1zUg{1ulQO_edE_z-;awJ zReY0zp40@7H!+KKao50v-VGRAa}WFkUj<8kYwJcdI>KaO+PbTZ(Jb&MH)qzmE3Lat zKDu)|Jq=108(@us5`=V8f&|-4V#r4P5UBncRr|V z{s9ZfSaub>`t^!tnqKYgA7pPE3)4$}$FOvwigc`t$O9RKG@FBly5yL}#ks+6(0Td8 z_eX{n|E8})hp&gTvwS3$;(a`n)XaBIVqv1Y00`e!V>k$%pzfm}97aOc`$CwB>t(^+ z4CLjD=ZjAnkYET2SbB<@gdPA`CIqJD50}Uuqpt@4uH(F!Z);o4Pv>?JD1L=a`BqNz z>e;f=D9IR>MC)Jw4htZIeuFv-V3GGrY*qcQ8HQPReH5aLNd7g|Ko`Ma^z=n15 zIJe&rb9RYBYqlaXHSOTFO^2(a8GqcfH|9?E7Sr$u>`ol;!XgzTm?g_4w{xvW*ik>iM*qk&E+tay3Jn2bdVTT^gEz>AqpfQ(5LnoaP?U#X>BK zpnoi_+s8WbGE<>Lc-|$@G%RL3%t!KMG-$rVtgFfPuUOlHPxHKbnKpC4E zS|zD3fZJyGu~VjiRY>%&%oa|I#)O8oOZh|BVrBiJZObC=2AHPA3mt-Gf>%&q9A$C` zFM-Ut3w7;$?tnrVDlh;0)%|t%eE*jM@)s|^=~ck`nzCgjGrz|dVD+Z5`%Kf{j5~qcU#e$x1;^mO5oOzC`@@!2}rz~ruO{$_`n!=iTgHi zM@)LncMQWfEzPDt6>&-E_Ez4oJIfsQabV|u=aQV_hI`xJKY5p>tv!f*0uwqn6b3yP zszw>U?M@uD6$s-8P5MmmKMY7F;J-m&jEcZ`UsUxlJ5SS;JK;UtAU!EXZ`VVK=i_r**oGt|ZO3PNJY+>~u6J z=LEe?2BFj}byAOKiKRrHJ%eN&oW%3Y?`z-}AsxRRn;r(EG59gIonRH$IMU zE+d6iiq*hPnG`oATRr4-O+^ytn+=88)pdaA)6fr|d&8w4IW!!dn9N>E(Q9*&!K-pOW;Ffi+Sq4CDk6!agzECPRRcz<^`6 znht!bCBtsC2uRI=zS-dl*lj3Tc18q^c%{2=o5{NofQE4=M%Ew@as=#mYT1K`Tj8xP z(s<-_>QW;Ye0Spo`I#b&H%%N>uy=HaOE`*VoksK^ik*&SPZLl>0$cV9Q!XHK10^8# z%TSbW0h!l{p-pVl5n0KUy}=bGSBYz_70PITJG8|BaaRiU@fAdT4bL;1KS=p^Yy%EX zUr7oXk(elR-D3Qskq|aFoPa$141S2hF$Y1qKAA(I@LB?hP=P$*0cZq1M(hoWMCEX? zFi6Cj$@WL~8uRXwVtBvJvlc>7^uh=Xl)yoVp(zCT#hKWmSY~*vYB{|ZKKw(=-qVWw z{fg-0O7`P<_qV8wKnSr(Auc$Y*A_Oo-4b7~H6L&zYI57(fy`fS&Fe8pA@=;$H&$? z?J6;zGQsQvp6r8+Bt!SGJ8j0;hRP$Kl=1zJ*VRcEfpIhECi5wa)^j)DN9wqB*pBLT zfhpdl!CPQ_aQ}rq)k64Rw%7Ixy(VNJYNM`~WG_$+Y(N3lBNpIY0^1S=Q~~!K9eA+@ ztYd&`a10$PUa55P~W$~wFP530Os_Jtk`_-IDK|1<6M4eE3W^aa>BFp>KS zjEiGiilOvo|FdDA_g}|sETsqw+z9iZyM#acpo};(RX-Z2&|c&ATcRBScbBm5lLCMB z6Ih2zt#SfvERp5Z4P2nKXZnc4M*tX`8hB`sWQAGNPG;!bWk3TaKgJ(;;@c&@zJSk|fDpfMx>V_t z&_`PC%o#qhq_7Vj{dE*j#HY9wuMuEGs z{7&e~ro9PqQ4Q@>87Q=(y6O#48M!y0MeZop3Gg2#9&f zpDq1F=F%e17O#;$Qowz~cS_XQ2q(YWXBHO847~+23cQ8W54`0u2ux{k@^^Rl#R@Sz zdVa0>db~M}unLFW90`Znd<9CRuvqY>;Eg4uLrfaTRO~EB7P4c5U6zU)VJdPc2;-Fn z^dA=NZ_SOR(ejnHw9{%TiM1w^ELy>bt&+iq*X_c0eZUe&E12M z$d1rXCDxjH%)&SylG6rTol<4r%j8H#E!o;d+Xg@O%Gc$?r-dIlZ@^z3>h`u|{|bGM z&Qxin1f?=PS!4-rmD*H?O!wrFQ6i%qdv$3eJ^m}Zvs%X%*$+ZC<<9wJrOGN> znj)QianaZA^kZumCP!@70`z{f)4OQeM(_Dejy{4^7zKg`b!IIuE~$x{ypRD-`bVUQ z3eZFqr)Q)hV@`LL3AlogH&>2P%g!C82UGz^4_VQWZteI3RQnByb$CSC%x|DH%9nT$ zh?Q{+>Hcq0YqwB3Mn|DpDl@8Hrs9-bmZV!q3pA~$(UP^SsAX)K#Y+*3s2h9H3pvwv zw~}E=w>%VPSj6jl`=7GV*zx#$p16hCV2|FWVKWdr>cG(i=>HOfu-A7zTdAtq-EgAj z`3%wtCnD|JrX$F=g?|rVWk1P_Pmj-$rc#PbfI{}E<`hl@;!(~2DjM?RbETn?eZDxY zu9fVzLy_lWltU>$1ZOtYLe1s#FhrwnB_x8(GY52VU^84%?aj$8mq=ebvU9bmk}Kn` zjW*$FN}B3Zlf`0|7(*;CM8cPnt0k(L`rqH8dTIk?Tdz<*ZrPiyI=aMSFURv|^YSvT z2;to3Vg8Y|;Td$%dW7U(TI?|l9y~Cd-)q7Y34FGChmizat|^(J{NK2`yL3tKUmosb z$6KqI5WV~azrGn)n+Aqyb#@@sG$B1|#Ui^Cymti)x?Fq8rA7~d6f0+1V0+;V>4*9< z^)HM&9GkVAnYCP*!Qix(g_Hzf_`jMNAmR5T+{tcknvX`^=^8BYMPfpEj`hHtNnfje zdT?E0w%mD8Pr?87LtYp@O<$v&&(AYwA6kg-TR_m-ZH7y133g1iF_$z{8h1p~Dqu z08f0xYbiG{wmKj%lTn+ic3pguifn{k>9ZsmI|`=F^8!LM(90_$?M359FPSH|-b3Eg zVWIax57>cA*PlGf?hg;aFPQcF&l?=a{0@)Qei9~leBi{JD`&2TPk4O57i8D?&Kthp z$j)#HFJ@E7ZTt34nN4rM*>c~q5cga;qR zM~e#~|AMbjaJO$wkv!2Q;+_Jq`|%6%s^NE&_%;2_qcEFP`UiZj&s(;|2lu#Bnc*S{ zSO2TkFMR`ZhnMr_Tg2VdyQl9wQ*AocFY2g70M`ca9QlAIcXk1v6U#2XuF5^j626ZY z|Du~fe_62B1?3>L%<5$-Ft`&#DR3gxmb+n>O(w;yZUjLC0b>`rS>K3D7!KPUHkX+F zXWpAuOG)SV%;$#2{FmF~mF(h|+ZL~w!*R0i?ySQt;VvKk$?o9Tb6niGOxTeX2vbKe zR^FQfs7Ws;TN^2#6ye0@^G3>>2UuQoy&In>z2zX$Z)r9@iem{vjG8N1_5)lQCbL;r zeg7(~jV)bl2IkW2V-CfP6&Z6FqH)eGKeZ3ra#_|9qnQeEaktoO^AY0k6FvWECGgPq z(wZMpF9zu>Gzna~B2h(qp-e?4{U9%in>eFZkc@}2Lz#k?DRo`WT#0pMl6De+; z?3;uysuv7>w(@C~oe4sGj@b_!r{(nr1vO_S;9}5Q!Y;c}O@mb0f4m=bT~H;xU!^h$ zPFD-+r;xMMr0$%g`nH0AvN=GzIrGiRmaHW?pdnsx-?Ls{Dx?H9^VcD$f2N5)=KF^} zP6;g(a)_PH;pVyiK%8w0fwa6D(9xiK6wS`ju3UNY4LWqK>W9nIX4;1)^rkAW!+cP1 zF=IH*Bp(MX@GitRmbj;pTYuXinwY5&e*sO~oXx1{G~KhXT+*+5V`H~wBA@_+rruGO z^`J&0+zNr_--%c9@IconWh_oTxk|<|b7{1llF%G%psnWm(a#~8F02Msf9|}(>Y4ho zk(;A1tdI$#c(zahXFz49)WTEQB(}1Ct|0(?Mqd#4P8CGSS3qnG7E_CdutU7g*M4fX z3%*t%EwT+}=R6eKe6+v+(DTpJ#Gxu@?zc9v3aAkC=#)o{OK$03K0|%{<)A}&{+GBy zM+^p^&0(ZR4E5Q@7-7@Ga1MQ`gC)%&{J{=^6>SAT!9pA?_uGdhO`fwQC=)bZ^`<4Ovi~hh)FA8;CToQHW zXE6z7WApEDS&o<+R#6&|lCewQcO}Pl)WsuzexPkERhYj8F@`D%uM=XDYqI^IsD6`; z>(HTlzkeNF*WBv*Qb4-KdMZY|&1N+*7k@nR(%81Dp`TngGT1 z`v4KC0T$l0U|WRIqouyXVrbEJqXG+dLy_Gpt%C;iMdqV4WRc?98tu$&Nx-xdR_}y9T19Qh^!2B7I zp;fsvt*!~mSEl2_txUlev&TK)?cC^;IZQp1E$_15{nO7mJG%3SDrFlEz^yAx-3upn z8h2)vu{<537CRoYkUJitb;(MCaluM5c=~V2JYgltluoY`XcM;}y~!|B`n9~fr+-A< z6t-@w6L)v;@(jJf>i7U)i~F(rcnkCAE1QYPM`~j6O^x#{qD(|wQ}3XsbyO>!!H{lw z(MrOsQfanPI);R~S@X%xhYqq$ff3O2&4C07IbM+4z0V1|{*!MOFXC|P#a&<%lC zH4R%P`c#nbZ=6L3$@FI^-#nrxk{?o1o(a`7n&P3sA?cJkxw?bj<-_>f&B58AqJ~<- zp9!oGOBVT0i{cbJLSkP= zJS>^yX|U|d2L z9r1%()Tmc~x#jew>>e?uA(r^T`Y;aMl@!=j4nYW@PyGxj0+(l)_=4GImarm+iK{{a znMJiYXUI$%eJIp7Se^i!p&II9m{o8_S%HPVRiu2fM9!{P`y&wGPm{sN9K}O6)ENk^ z+A2L-a5(WBbQG?xHHh_qlI@+mz5iW5IPmd(f%_?9o`x6J{^ay2<3*%p3DGrSa<$e0 z5OV1uZO{2x?g_QdAaYlM$>JqAId?E890c}T6k+sToA(a~pj$&|!OA4MNC%Gm2M9(T z*w9c}(QKl1YZE{2M!!?7&oLDh7i-4#K+c6HB<8I24d`jmxNJH@fClZ%&AUG^cJ`9a zb4+~sLN3WV6a}s`;M;T}<3dKN1!wq@xOR@tmj`#eM88&Ra-f9EHATU$oHtuJK?`L`G1^fO=6E;OBQ)`oA+W0o# z?Wt*S4G^N93-n&1A~3028-!ucaHxEW*htl6E<+x1st~I|rCm@2m5rw4mNqKv#^)G9 zp_F9uvs+mZ0s~<%DKR(0ELb7_no?=~<7ps-OKW%i7A;ncL1Z@?(nPLD+0c5znro|) z3TxZaCTDrJWG3>vhY@W}!7bg5rke(hM(4YvBj%dNkviTQfZ@6=GLY6-UQ#QHRcHf* z%(e-E87A52hvZ$UP1m(05=#ywt+_=tY45nRp=fd7N#b_$(TE457TfaQC{GPOyrX+b@+cB*xiFEsRkZrVGp?g-sFL0L2*fpp7n~P{6Jo8oAUlPLIm-OzaGp4R%{l#c`=#gg||%gq7I=^ImK>g z;11fKh!hg3ji9a|PvseEXgIntd=1B-BX#=2an!vkn?WakNoeVKdT;*B9_!5$``{$$ z&c)O3W0ofD?tYEO?RxXh?dc~{@f1^WeW?ioB8XKcn(%0Qhdt>2chHvB6>w z(JCAtNBpT7%%ghHBV6xoU6W}-P2DbU$vubPkByhJ@qJC68QvEoH(Yw^9cb{|{id-k z(5H1rGd(ef+JnM@8{U~@xJl7_QM|av#M#lA3N6iExYsKxx;87CTtipf=#}0JY;e3b zj_O$fx^g`x!vnRy2qwGtrnwwjLrsCEgGaOxXLi&H@-`!`DqbFHAl$%Xv1Oe^ z@#TIRav@tDLW{Z^UhG+RjdPth=g!*7DLPMD5K#huXO9cF5lnWvi{(ML#rOB(Xar_$ zPc@MjCm3m0QN;-b1o8#ZSnYEi#T)`Sz|2^{3R!Q}%q;`@DWKYN9nal?X91PKO8N^7 zF7e~SBf}f|ZK?JO1kSgIeVR^bGa!jjB__v@OodkJ1w_Xkgwyd?maU}_HEobxytEnD*^6@=+~BQGlylxPsuJQ~@bXN(e2iy2^1-yJuzvUdn4&zu%1d%dTDOL+t>Gq9Lfw|Q>4QYN*! zZ*!HAWV9=vwHlUtzoS&@1fW#%-l0sctple5#bOGx?=2?XmSLT7T3;C)Uzhrkh~yBy zk-Jk9*Q)5r-F}O=cSgr(&co7XK5Oird(m5BIyaQ2=8xVyxIwy7F&Yz<{N(*uH?tgy zQHWANx=zo*;r*0pPRFTtD$Xz!GPfM6_?4#K{qk{hcmI0(^7(3Wblax6|SA_#w;82s3q#^{`g~Y zZGTlfK0R+XB4llmpi6I;7=0stIEBh@J*EB*QaZnxt}RPRk|n}4U%^P$}1w7s~FySbU> z1(BZ#8Gje16-8sblPp7!Z{e$<`;a0(p}UUj|22Tb2P=6jkh%1@+Ay@qVZxmLXXTe2 z0%hWS_PsT(qmf)Iv$-xd8>34{7Nu^Ey$EcY!;a#MtUP(4hpPOHsoZUG8-n~0x&PPn zKL&RwLZyjQ?T(@=m~a+1{2HHgne(sWx(LxrIe2oJWLb-{WxSu{UZ0OQFMcnNk1t_< zw&OJchmCx-!9mLuk$^`nCQb{tKlRIu^3#*b*$i zl%H5tKVNgY8S!Sde{YrGAK~T1a`Sb?5`FB?S~+3~&exsMCM+M54V=8NP*G$d2L-%= z=RG&dW|mEf1AF&Um9ycM> z+SMjNw+EKpU&Dn$=&UBBq?00lmgl!n!;}~b2`$EeD^HG#2v_QbM?mhE5i`SdoF z1pby-(J1;@qS#fDBnYwG9n(8BVZ0pO|K$Wi(sU>AIo>vb-g3XD$&x&F)(fX_M)So- zVDZ)~8_8)g(t1aAx%;c4a7wjvb(mb=l~-#c5#2dANw$J|_{|3Pt_PXGzL=Gc-hkSh z+fZ-QL*2#a^YQiO<>2j(4VRx{y3Pr}k@E8{tW@(e;5GXy__Huep%>Cip~9KZ<0v{N zF0iJJPe@CTkhr4wD<+&6K+k8=Ng)J!A>?T-=} zW{X@nBqN$}Ah3tF%}Vlbb6%caNS|7_XxqsTpE4)NEx9(}EJX@TLecz6_o=>t6ClK& zv$4(lu@Q`X@5;^`q)TWB(aPucAc?^pNs(X;E-(3YDFod$J?(Y~1<+@@2w<&_mRRhf znfXOzprD;Ae9W3FES25|s@z)=v_o8x!@P>9I1(&QDjkTHRIV#vmxT=}lZD-W-axPl z_e|t?wOB1Q^V*#YU*o4Uz^W+#407KB>wI|I8uPfw5PNW!R}mCXq6A!)2W}`@sJ8|9 zMSll_+>^*T>El_#%nRv#dMIsa7p53bKEdP0Z%B})_;T%{{~%4wX}w}9_E<0P$t>Pc zc^`gzsISP`p|mj?UDGXxQure1zBAezhCnmmz^8Oe#y9^k!l+;~oEpRECKxOBPaVGz z?+O7R{r))K+89ER#|f;L=P$E!W;}tpGDiWN3Wkh9$Q$-13X@?kv23H`d zlYU1;P(<&s>Z!&Evw(V`Od->yb*Yx9Bf~YG3g>F@Q!kW3tFk0pVdT_U)55hEb2u0MMg3qRz9 ziWEfSOXro&>a2tx1aKO(&jrpA({HDDZS(W8X};+7za!X znAt=y<0^I(#f{LKp9$cg1vj459_Dnd&~})>1!G(Kvll)FU!k=Za;w|*s~0esI0?2p zY7V+%T5mXOD(c{0m#aH#+ONgD`e(3@2Wr+-RhWHM4Mm<4Lku41|DU$3U7dN?b|lu$ z)6R*3>(?7D-1Kr^JK;;*L#(oxZg`^C-?s)hJIKfTJW|qRQlu5=QnE|8%2_5jrO%Kb zu;xmSnTaGOjfYUuq{7OpFrsA?0E!$Ec*?6Sq;@yR7wNZ1>ko*1f<$z>@@4?l)VdUE z_uneb=wu``ppd!w4r`v>es0r*dH#{S#Mb68V_xP9z32_Taydx)oYj~_?Gm%rN$nP`-m%ZsvuG1;pUlConlqkKT+deFpO}elT|>7cK^%oZOlm8J;(K%f?Kd`Mz-ND zA1+gg?5hhrhnuJ`(BEJm8v6xa;%bqwD5Z^yQ~uBFtZtyN3y5Yf@mhej14?HPi#2IB zX6TR~3c}S1PX}2mq4Cpw%6=QBgq?%2hyt9=;7V9~yxcJ9J`wMA{>92rl{`OUXTK{J zFHZuPZX`Jj3BaBn(|;z2zy z!smnr1Sr^`L(e-TXO>xd`!vHE8JTAwdGj0lX>0L9jNg%&^BG`a%6@yUaCcFFV!d|V zP=}+wWU$2sfuK-OCQcri_I$OI1!8jf@p<|2BdwtZcNcb^pfV<|!FyP2GWzadjG~ zszT@=?M)_Y4eW1el~zD8tRbBS)8|;R$;u~|gVwS?zsc`n6Os=KSFp2;$|3uL zk1yx|34DNu6XXKxB?y!T0e=o~kS9|lH@8XloHpHuotyOu3{+rox|fr^{eWHI@|LT&XK?;A ze*AVhG?GIdq=JGos)@4ln>h$IoD@Usx6>8kZg}f$P>X2{6GceL_z|VO)BQ0v!2r1{ zlSHnP@(c&M1O}v|D2W#IOfR*PF6@aFuBM!aqDx*+E*9V9qB*jdgQ4oetdBsA+x9bd z)?q88nj%Wz?4sH9UE%03XMFz4YpuFzMWV5lSDv?*;wPT?5J$=E5z~CtYmAW)HxIeQ zq6s%jl_WAT;QL<^K=bXt<@*^4K+s3H!H+%Iaw8J@n4q34_{fyDVfHF*e%`tL)!DdDLPfTyc7m=z)0?+8c zp5T0bT}|6c_bISXixx{WHefQgsq8a4_Q!M#X=oFh#5F*zatW)f2?ulcOVE*L_w)6P z6zjpbz%1V?JGP89qrdLX(xp?%ZFS^%Jg@%Xbrh=GY<5`|k@CchFL41kTsk%eEWfHT zUiss$Tv@Hu3SGSnSDa2gIxqRTIZ6y9yq#KXT;FBg>hCP**Z9a*V>Q#>``ft%o=npc z2K9O>)f5F}=^>yi$C{*}1XCpPwu4F3W(Mm8)oAZ%96A+0PuD5@kmBli6M+k%2Km@T zhzP6=(5ZTqexoX7^^Tr^D=4S37e?dX9Zr%NUSL z41b2o>s@e>$bTeeuKAb5Y@gPww!)E78O2z@!-xQw>olIyQwQij#ds;`8Mi9L}w6sc&-iz z@lM{a>@kv&=X7I8#nIWr(UspHe!I(*B)8%36{O5S6LAO5kM^|csq&9zn3Y)=C(6AI z>#*|wO(@M0oMc^0tk5pcO|D`d^la&l|F7TEOxaQuDLhEjO}0wbN?l!4%2}+ zaEQ#oxBHgV&k*F)@^ddths*EZg}_GN%?W0VB5>cp#^xFDv+Z`CUEqg{9XsPfSThZd zl0{;!cs;7$y?)d7oEiB>E$4q?Yi!B#`DFK7^^XGOZ~sGyVCb1RC;}bYTK_`=Sg0z8 z=WZHlm|se1w%OLS%2nZzcBo&<=?3RWU(+2TnBZU5!!VtpcZcybB0HSBeg+f27PATD z=BSq)Oa|V+d1he^nkjOz-}gORNTxp!JumG>RQY!)Jd5yd)iiCTvw#a9jjC>>Q+S>p zU?*8TKxH4#x<}Z?ZC8^A-JlczW*&y@Qq%~N=u3I1wN+e|>I&w;^Z>HYjV-g%PJd#L z?!2ou{TJ|(QHsDWRZ_Co^bEqAy**L7itxD%?qGK_9>L1z-I}q?xDD=J&Unnux#NTL zy(s7>;$sM>ZX8QV_?5EmIIae)1^NxH<_SBdf+>fc_fFPWvZ@IFyU4&(Tn?)U*6LGj z9N?Z)I0QO5BsFKJyo$@kj`%i4tx{SpO*xKE6f~T({#!X6Mm;dPbSW-tP13pj+hYb8 zcE9_Z(_x=xefg?=`KEn(al|!_NU+)LwDMh6a2oNP47}?1QRrpCOULgcC{S{;W}pQs zZ0^o9Jwhb6b&u8kF`bGmv}tr3FiMuVe)yfJeh+*}{)@$Sx7vrtq}4j-$1x9sKLQ)% zu1Vr{hE1#Eu_baqXjW%=YQ3k<@8GF47Ym(0w@l>^*DQm?b{807dE}tm_byBfdIp_@xEI^mDaaaPc%;tY9$1QyG#WIE1G{^-rmDd~LEg&B-| z2)Q+pAw`&k{2&Oqto?pWmNY)kY?fv@x10RrR)9_=6;*F;2eCi5$jR;UIs#8OKNIY% z*926a=cuh0b&3lt!!ueYX{j=_WXe(EmO9eenE7 zf7)U1P$V#W*dQX^V>*QQJZl+I9Eti1aH+2)RrGu*{>wDtN_WPvoI-3qaR_`ml4K0c zWQ5*b`B6;`oSfFW1~vIWtCXv{4xdh4J0`h|epu!wR`~s?14$pe*mc=AdNh55Ags*o zWNQDtfh`$OaIMT$Ch|DhD|BXQdF7u43ifG!N9$X)Gc(am2$P{oSCo33Azt5~>x^dF zxziB4hvjfw?PMtJ3A9yzQdbwEsriCDSbjRpiEr>0OJliSwNdIVw2J?(Qg2(nO~6e4 z-3y!15bj>i#(v_r`7Ku2i9arPaVpf3Q^-5Dy`JYTj}n!)EjL@e&3&E!tYDMjts>E@ z`RxwM0*G;O!1Bx-(CO>MP3cwZ-!!sF5rKQDO~q7cp?oZEq4xJ+gNj1ryy?sS&Q7KQ z*t@U1H*Y}cyo>x|ZSB23Id6O>m|~0SL=a`_xBFN?Gdtc^$E5#!boBEr1qLH%BebW* zueIb}Q^SNr%HPZVrlzSxSSHJgyz!LA{=kawh0~_DQP~gtW0T@yuR4(%hg$@Gqr4I> z&(JKPSbl}r2bY-18l)j0rd7>yX%zZGVQ-&`+d{D4+EwA1!VS7lvqxG%)ypx!JOo?= zxF>V?3@0DtlCNu;Xv>|j+MF}=?1lk>%ESXp)b$Efs2yTFtK6Faq}-bv=|< zM6?-$UxCQ$kH9^b@3G@6Bkt-MMb-O_{tYrg$8t}*KGWZa*PALTSa*KywI}`Bt4?Dg z1qSO-1yHuN(HXRm+ToL0s;D=8ilHR6~ zV6cGYN0iDpFqBGfIFw2}5|ql{)F_C_vMjzQmI8hmH`kLJ(XVP!i)w(m`*}^O`A$^l8~!EVM_m6CH~zXKLKu7t*zoJ;FvStK$!%`iI zN0I1up*(7~d?+`#tzcCsq{NA%cLCbqAm}XM`7W?!FJB zvl4$nTo5kUCs4Ozb@ZL**Q7C$`j9)8NK!R(2=$gJ%&e1kp8A29q{2ygbrk`E!@(;_ zc-KGK;lVh#{zPyNMi-x&;PxLr7|XpaQ2h9QZwMh;nGh0SL!hgS@eb@~!g4rB_yyAE zRyv8tCW&Y^{vzpmK4&)PMr;27SXwAceK%w9aW0MI>cjy^;y6s~Y}6yL+J{p|R&h)gQZVXfKN zPRx1qU+J6)^GzZy;b~)rE4T&xTkUml3n|gb@7`bc%%oMiA6fZM0)A71yX$@*M(Yg0 z7J)5m%80RC&rc0}YN)=5G}v9uE%lGu)Inw|ux|GvI>{8ZBfTUm>o9M2LLerA?3LX=?9~LB^|y9$IUsv= z;`n}uT9=|iMepU8wDXev(fIhE zi}8o?a*YEFD%%!wYUjkUnyEJUNc<+bH8Xv8>XP|dRjR&u9uC=_WoaDLDuu{zs85_5 z(i1asBHmPqY&2w|^rG%JCz1k;1i_yrt%9VNvGH&Dho4++f_0Z$2eDnqF?FJS2u!ji zpmnG_$s8O)8oNja>#{47jtL?)&QCQNvq1E!=CYTVIX>h*Pwgl|{eQAoNR<{3xqHJWRP)bG z(;`O3UNrXE+ye!x@W&}R3x-`7A=r$?h}djNvXI#D;eXI8;ddZ<1ttVUuTcLddR0>} z1SG;z=CS3}*ku6-lyW&E0kCNcP0qRBOBpUkDgFVkmYn|uUbTM+ZvOlzI&X)hJY5@>c?Y_bCLzp$%zZ6J2VnD&3cuJn2;{}*)msJvKk`pKJ};hi35v|q%la4S zRbA77Js1Vudbvdco0QTv{Yh5!t9tDsD~$}fau6U?q6#*x_+EMAT6^=J?~29Y$5QTqy zarNl-@vS}t6)X1ynYDTsZpe^9$(#u4&aLj&+wA7+{?tZF1oUjA$yeK&kk*%%wA;&7~*NoaO7dP@-0ALnV7eD|9HFO{M-fiKWN#zez0S z!T*0Gmdn-T-&T=7T2(DS4pi9F2>PecDMMBAUy(Jj3V~goJoZsly(CJ{vdB5|>E7u; z6_@jU&)w1YaovH{r~+^-V$$t$w`e+8nXhIis?{#*C&P?h# z65)%LIbE`n+ZNr?m9pfSS?GI@?HM0Od$wu~a5(`9PvKg&J}Ho^VtP1jGhYIvg6x%1 zn=~4!p)vopXuOZrj@tD5Fgf1#Ak=o?i>1#={s0@Z3$7C^k3W0aWtdzlvaddZ&!%)b zo_~62Z5|(gdA-Ge`#Hh<9m)Ob;63?Xpk@ZfCw+J`x#NoBI6&M@7+&)Zp-Cs?P@BQ{ z-Y=tY{N)M)k~0iD;icY<%euj@GN5E}2v@!tU1|=5))X=-T3tS$YT3!wo4qaU!1!fG zQNh8Kedm|(`W+m7Ug9qJ0%U3`s}4w|TF&0fm(SO8h2}K@nP#b|XcM9g`(XpAK!xy# z{I~xnmDLEZhe?;pJrmAIYQ9=^zyw|?UD3n8Usgj*#+JutM{}lT2*ew(UtK#>Ad@VrydS z?)=|#zVp3R=hnSdyI1q+Uah@*t>@R>&XgWY9O?z8;hJ@~Ym>1mT3aZdU+SJAe#$U) zs8)Lu7z-_ad4#Vg>2=e`&RYHAuZU~dk_uBnp%YTFz!NSR5P&O`=XN(;mhHg?*VG}@ z`{%P_B|909%pP#y7pPU)kKS`mv~2Y%VTeXqOPN^!hm{H7uqa&9r$Z{of?DhWs21!g zOa7T@YB^LT0&BCTB?r7+$8`mwT{V{b+Mp0-eF-U@1a6+aUp$8n6i(1+T~pzsDu;nP z8-N%C!)$ESh~M1fuFIe6xskdUbvEV0u1dGD|HW6ykNt^lA;FS61LXsd#VZ%|(_?n6 zgraAS&&_0G4_4bgc#7UfmT<#CPFX0H6XnJoygIt5N0z4-UzeE)S_5Ic7Zcpsaj@rK z-a7M{+uxk)Em}RUM362KE0w|~B6OD3@|ubk7aYS5B5dP~b?_9Nc)&G}#-j{OVL4|w zRQL*MmodWNy|epOoZnHP2hDLUYnu2$OOHRH@~@=uTmH^)ML+B`n+g7eS$jhtv%HKI z$sDGBRqBY^UQqcakyxhbP-t=KQYd7~m{px3b{8-fR7LU+HY-u@TNzy8UixoV=CBkC z<;Fqc1}MGixrk-846v;`MbYY<*)sNG_U|h9pJk@ZMo%oU!9O+QJ&(cP{3Wgk$Tsh+ zFF%2emYYPw%f!@Ilevm<1l?K+mjBEaVw#uTijAUOh-pH);INCb&*6k0NTrc^Ye+KI z6l$$^&16HYS@u{`;r{9(obl)Id2u*28BA+Nz z-R@r#_uv$IvfHK~yS$52Db-3g#5Okvmq)j)k*|DFS1zL-?Z6xTFsa|;J8-SH`?<%@ zXOU2qgVed@-^?LUAkCBEdAoY+;GkDEAbbX={;g6KYVZO(fxi##P{`n0JCJT=S6E6{B+Ra{=xz$aeKnS?px)kxft}!F4czG z)l5m;qwpcR#7+IGq5h-8kI40vI)>|%7Jo-+>C0g)__O0zwGd`~e}mZYnpMvX-=W;g zlYqXeD@aoSfCSnVO|iLZ3AV)+DTph1eV(TiowL6xTn{3v5wO0e-&hn#x#p2z)0b0Y zonbqz5aDUI`)fGi4=p9}61rpdwcuY+SO}k-o}SDf^)dS=R~8+VBwZk}Jm@(2t>0CR zDdAF}iemIWyJz@fMMd|+SYSB{!U5>Si{T+sl{fyx zx8*_yfsJ1`Y>QrZM%z|zox8O_7M_x1-}CUewKI({4`Kh>$~e*BrtEzOgN48uCQU1l zxU$=}s!=0V#3EIs0W>NhP+WWgIcaCY1{TDiq;W~8QW%P9rw&7Ne|VQ#W4xgi55Y@3 zfX!`CMK^Iy5Vv^o%EF`2OYPuw;gWgd5_$O0OzB95vjjc6e8h4+_YLzbnF~4^ZQi?` zbp-f(lS)as0q8$Xn|4Cj!^xKuG_P?-du`b-6CPfsV!4N;d7cvNs{Qx(%2yM9y{+cx z&LDSXF%&{Odj_&jLkI6Lh(QfKFpoa(^3FD=g#IMwCK$f_6_~oDhbN*R&9ywMD+qFu zhE<~IY}1N9nNv9hZc#4^r;;5K z$6>pJU$CD)W0AZ-KH!={A>e+r7SDV@-}}1j1g`pTvuKlj3n&|M007Jy)5E{j?Viu} z0JPeRJHjZ{l99w2&6dZGq?OK$QFaTGzN1?zuOdN0{C84+6(5v~+tYRni`Vz5Xzaxq z_YD?oe2cGtRvBDPM}G4-9Q>)8c9jYQ87W zKH@h%9i@fNYO@ypzH@MNyLbJ1+cthxij$!8{DH{&r9dz!MG86+ZjhyeIY+*`GnYY7A(aHYdPXw4i3^q^1!b8~2BMr><7;hYSEo`4 zI&`eg*H^i4Kj+SZ7uFlsFCJf_L%NWr&Ptr(c|*m!kl^8tUEA~ZlPIefsmnEb3%+Ci zmLs!f?oAp|x{E1DVKFmzP9i`s77(Jj5q}5^W7>Y;|GZAJ4T?%B_J?#kENoQQAY4rt z+rz-t9ZmnrPeqMHY>QZf7NU$wHO2>5Q7H`*X&S0(Y*JAPgUaW)h^zU#G~6FiieFfa ztHw;}z0wE=he}AH_<^@V&|oKRq!0^%!Z1~#jVPL!v|#9@d782_g|nP^Ei&oU zz4J@sq=Vmh=P?@4-anP*_?4B!B?CtL*_{jXq52O10cR7em_>gkSm*YR^bxI!Ol zyFzKRH%E27!UT>i1*KayUf{^?4P|G#gf5N>LliFduPAr|gHl-RLm5Rd3f%`4ePwhh zY5`SrHr&J)e+JP+<`IKeR>0%+okTQve&U2@WyL@P|LypTzaKcx9XIO}^yC=$9YOHq zd7W8yTUDpWzG{iXE=jWx+W`r70i+#+m|VGPblz{*wdTCPZy{f$PX0owg-Qw5E3B%N zg7m3@vVgnp;{{9p2f#Hn`g*%FfM;iS0jlw3!)BxUV1F9PJoXTr;a7aVh%;;R9}*fjNn#vxjzzgjV|qk~)4KvzOMwZy3CJ+lk8XedsGahbJ@>a*VJ zJu3WRs;ejSz<``?c)E9>KGtP2*Ql}_c>3P(ske&214xFT&ODLWoK5~jx>oH|L4Xaj zi$cCG1LeI#g^j+ILhYXolS?T=%w}jyH2^Z12qktB1U|!~C5-Xs9lPw7ppLCI+&Cbj z3*sLXmN|nJ?@vcdwxOSY%jd;2ypL6D=91I_fyV(7jDAjtDNLd7QlIPL8?z~;J1B6c zX^{(fhO{izN#I3+`8fP_HH$ z6^;y8rwwqau*ngavOy@4fa`@fG!gH^bni(Y!VbZYnpGw`Q%c^YR9tIvwV1s)6J@1y?(=M}z9U%+B`wTs=%8pB`S$Zg;N7?hh=;&d8^XU2A0<9p9L4 z4~ZP*H{nZsM_nt>ixQny0)rR!Kt)cjK=NHZ{HAl>vY>ZVj`JRpyQZZB0Zi5afXVu5 z{rk#7xEk4O)xUJ!qUx*%a<0>1XfTvBiOr8O~B5}+`CEMv;#r5z{9IS`GV|tt*Y%28+b0XfC+Pvl$ zY{#|dFFl7Nr`ad=Vd@0%W$b}?u%+FeIR0HC&8^)^gq2GvU-7Fb%HXc2pIV1VF9?qJ zMVYV<#W5;A{SlZWMq>vPB>}FuWRO?L-}=k)zm0r4i_hi*p@tRB8|9O*SmNh&(Diva z&kNsya&?y3%5L1Hn(o3KdL2`>FCI#9e_V@5W4_*VB{s=&Yjvmkh)YgQ(sgHT z^XG$wdWt}XoU9X_FHSY+>gmyF`DA=jt{tG4edU(q-xm|uIZrYSg%~SEWKx9qjvU(8 zJ#NQksp1-D>N-`9(96OL;)tqDBDFu_dJMvdn0y~_nHRo6J)qLE+v#BuksIB5zx?$R zjix+qi-W@G4#r`g3qePP{j=^IA6q4<)VKB{gOgCq%eo0wA$DpL5|2;gd5F8XO$MDh zRjAXlsnB&}d#Joi1p*=%dK)dDQ@UIO{oo!nxCWZet9LeMzJUo+G-2RCSH^&s)C>7r z$y&04&vC9o<}={oaDh$OM9T<3*Kq7L77@{>rc#is-ja2A2C#s4=S83zLa?LAdO+M6 zgoo;nJ~zT)6he(X;U*r8f%MuWlth}KSmr?)-!(nL)s>EVhw+mwc?YbKf5dYcI$$m3daK4QA3 z`m1YV;@B#w)+>Wc`kxuniB-?emZ`aaYOYW(RF|{4F^o#ztAe^M=Z(I++j)K2G2_o? z^pmAM6)}a0XBOK$s#W_0CT4q~N%HcQ5Yh&eMiXAKSKDv@9J3PMFCv&jXmABQPXvL# z9ora2(fzBf@Y)u3{LW*#z-``){B?;geL(A!o~u4wnF!@f>=>nXY04lZCLCrQV$E#a zXRN&ev498?SVbyMOw5R}%XoRvfxNWed{iZ($R+0(qqBh`THh6^WRT&^j)e2+_|v4z zRZ~EM`BjvbSTW@!n*fiTrH7FJbKjWLyqsAi_etsk*v*Lt z=&YZL46Z*t^VnwLWHkFY<{+sFU6`aBnvNs0rJNL`HmR!ruowWsQUX9&NpPRhiv#}S z{RLrKz=n}dh1g73hV|Qaw=GtP2mX9_Espw~L;oA2{cw^mo&z4ltL&jk`dE-aO4~n< zo46~_H76kxX6+LOCM4>nfYyO_oF2->kghHFESf;Q0tVb&EXs=SYjXa`k#?d3DO7M5 zV5zD%FIx0*dTWzPMJ1R~%PWcl3n5UpYPcvmQ#|9;72n6z!B|^zb~XV_*3vP=Q-@cX zXmbQ*1>^wwJXl}2b#Fm<#O9lrcpG5X8y4^cVzxuih^YxT$?}cJokNI^4TP+mO+ik4 zc2IR+>lfph@SzMCJaq757+UrrcVT4VzKEP`>ZCh9!P9ki42-Y4Gv@_BS!Vz!YZU-x zwK%6w+a9pwFHf3@G-i(lne(Q?PPhS}tTXKr*OqH@N7N0c5I*7jBYh>yI}Hp5TpBpU z=LmrUS3B_?@OMZ;C-?EK3o&2+Qhf7Z6mDZvxujm*Yn*l5`N!KTP`l(KJ8_2=PS3m*y5@~>o*>$Nc7V&_0LgHsdttonQdp-i zIxjBv>6-1qyXu~h6EyVM>|LHW197F+FF-_Bbm((u>4|2KItBZ~gG?+v>=%$2SF51X zYh=jDkaK9w??}X(;maDA{+@7csbhnI2r?`X5zQKR55>A`@4EI^!ezH~Edl@F?$oFA zP`Ds_SgGL(YyjgVIiqt3FT!Rkhu}u1c)LQeBW~3;CKTO~P!ROsQWzhDQV&BzybWAC zyoP1BVG)2sEkg3y(~XqtL2JmS|JPMN|4xfS@o&6! z$#*^L%iup@AcvlHAbmlv`R1p-F@R>!GEvy#S$wO zo@Q5Vbq_T zZ=w|37FwZ*QV5`<)?jUa? zkMO2qhx*;^(RG^zv_1kZwKs^ew()qz-j9fp0gUg8X2w#iNi#~eGjt~*SB709-oC8N zg{Q$nsate9?IEmBFJ>$A-VR_*js1TR4==tUepMFC6$T_R(WY!EFh|BPsRcu~F@mm# z7=x_dTUltocipP^ss&#yvVdyy26t&^1KgK{|FyGbfo&3w*+QW!(v# z%U_XiGw>UB{Fg{DsGe!pcq2G4!$e}W5?Tn;id?>va7S?9%Ma34sgt0D4v3zI$E#jt+ycG? zk)*Rsl(m^X?2Bw4qUj+&0f?J5Mo%(%=gF~Q-2V%)h5bK>EeYV*G*zi+$55K;T}3lm zo~9h_xx?_#7#0ya%~&4NI>H1AL!OkAURwx?QJQF%#Fb{V(J(?e(y&aa&#LTG&Dp-H6r=gaG!$osZfc>dC7lE z>mgYy%bdQ5Qi312$=wcHq?8j90)Q)%G5~Ou$3CVS`wIYEk*w0G+)Brrwdd!(?$Dr{WEJ5s z;sZtW(|0=kDAusI`r{%~`;om1qaAJL(aTd@e|G7-Ky>IyCpN;O=oL>4QB-t zALEp>*YV~v(z>T_Cj-{z?ROEsg9`?gcuFK}r$dYMC#}0zy4x%3EVDxksi8@$WrlX) z?I9i2arZGQd3;kbn>%(lIgu*IwxbV29<;EbYH7Ij{C& zjS!vh#XPz)SHD-8sir>FP$=#ah<8pESQ>^D?{mqkPogqW?A;T%-cAI^#FZ=~{-c!pc=D3<|9KcqA zGJRmHaxZJxc8cB4AH9Bcx|yHSPCRJcp16u29Hh*$wvN0s&7vE3DKm-H}YhxAlE(BTnBJ1@^8O! zDtv3>Jt(jDPvHCZ%E$K8?fTC&L&o_}j|ziDvMGAkE?vSu1%K)&lF@^j|^T2 z?wLhA54gZ}9km_GeBsnx*$`Pd0{Os%9pi5I)z(13n)p;x6 z=FvQM5MHQZxfwXN?E1GFJNXfz zq*|m+&yesJoA>u9!V(It1RHMO$QJL!KiRjKs-aHu4*~VQaSDj-q~kR-&wW^W2cJh` z_Vq;!R0%30!pfiWNgp35GESN|-QM+H-PCWTBZGkhxOJsqYifS1;~hqjxvL=tqYHxv zSpTks=~JkV?{=L>Irk7F@Zt9Dc=dWSTiV!|@xYO9KF@XuNSIpX;_>wib`b~#2QZ%p z&Rl*m8#0R$%mm%3HAqH0K8$*_A}#{G2uBZ{Uh=53UmsmCq2~a~3${)h_kmqq=ghPPjQE6$^kFBAZZ`7kz(l&7`E%Ly}F+ETq)UQ%wnq z$+d=yiS!fct2 z(O|KLO z9wv(_-PT`U-kkJB$WG{xDfp3tI%N}AG+_r7+Z%%d$z@aOT7zcLpk$VszcX*m6p43o zdppbqU}g!V-I&gLCSq05W3n8rF&2xOb4)lJKl^sa_;Y`KdFklr=F4WxO;yRpr1d7J zy^A!*z5}j;_^M#cOG#ig^#p&0q0LH>2N1?#rT-BYw=aS+i@%FP+Sg%59;Rew-Hv9fGmJ9_ zvC74=&(_Nk6D2HHwpV zL6j<*_H73a&xw<8aj=9|>L(g{w%K-Bt>;QQ(jW@@AmQKp!Y|(x;4Y~lNCbk32TDaz*NHH(sym7B*{MI~{z9RyKyzSA{J_mJ8Z0?hI}wh@Z?+yjjD#(oYuN;3pBb zI}6>l~sXImCeer(wy;?R-~{kqb{1~wyw?PNUkrJwq4v`|S^4*){ax}| z=cn62yIW~{i|R$|+|j}H)%(dwy+z)~04bnneVGmL%<9kv zvK%l*rBNE|m85Bf#}9*v_g_R%)P=MC*n}~xDe9AnY0N45l8HaH$~S~4%AE!)YGBE< zPwoIFQKo$b6fm%WK?VH6GVK``Ho>y(G&K}YYBKD~^JdXxpMK$QR9icbDBnA(F{a-q>2;i^)aL?eE`~$ z+$&wjZdVzZ3=DO3D%!0sxnbk60&CW07k%}WWL=Gw;$b~%p9FZ(6(JIy9BKni!BQ=dd)5b2Rms_ zK~T&4gnI!@-kC3J4pqCwGvk<*1kd16)%&2apRr>L&@Zk5MehaG8Zkl?IGQj4{=vlm zV=-0dj%Qp-4`GZ6uzn4T@msXe-x&9V7w%|98TcPdH$U{2x5su~KL;w=8lPKFzTJs8 z8{b7aV-!0_vFxk3WBScwm$qXPALr_u3-!y)Uh`iry{_MKPjaLEdgAu zu7hpW9Fum4PWH5k&N}lstFwE5)SE3x6phtnKpkyZ+qOCMhOQH^D&^%hyz7bvC&5bp zF{4>?*0FB#k)4Vu<$5QZ_pwtZt5!w7ubs~-)wt4MI&Z?wYd z#`8WHm3JoDFh||)=foe8LoL>VEkNY*V#2D-%J!&0YG+$fvNvO~kyPbvuiBTn1gu|} z-*TC1xa^J>i#((Iv_ij2sf#NnsL3Uns(mKsJ~BO7 zGw+*zr(m84T82drdEwDk+{S8_>3^EHo@RUm{>w8>8-xMNKa1e_#7N$FRA}xu_g>O1Z@hRy z=GOUNESGz!!@r5sYn1sv6-2(}2K^NXNBxRMx<@84EfrOji1U?_8BM`BD93aaQb9gI zgR-|jaq~>70?!OhrC~)jie6nSP@!^AEPPo$wOm-hI*OAbTTPmu58h$TLvQ`*s|O(} z>OMw)XGQ`IwjJF_%I{>NSL|^Noo32B27+o1OCJ%isS5!|DV)t1Q7~VYPsj z23Pl38PPgw|I0hLx!08DOhs=CDGjINpCIuta3d~dM60O01eb(yjY+=xsZ)yN)q$5x z&ibfZvvzvNm|$vRdW1(U#njssSYIUHiL%!@_pmYAS;-71smOi)CTi z6qrTLuc7fW&=$w6Ntf^ZR9>MNRo2kre_}M#vV9CwsGKm&duLHdd^L9mM(=ac_3C>I@6vvl@HoMZL5GG{pMx{XEB1M{ zGZsLBbGL3~nzJBM%biGC${Wx|gO0;pkCO*@Vv)!A7?eMuKQm7Vm2Iy*wN`BBQy=D+ zpXF0u`>T4{)gBtKIIZ~hiY|AHIIxpOyulMLXr;XYx8U&poNzA$UYo`it+@UlCE~wY z{UQU(!i09nP~xepZ;zTb6DQe+l8ZD+HYBmpeqk0?QI_3EVtcV`oKN6*Awcjgw(GU(H?YcdT)EI#;mG26WxQ9SqQBPy zUJaD@R{v0se`xqWG##KC*q(t)L51&jr_FM_PX^JIETnLFAqjmUTaU_=!H9(%#?s15 zOi36V2;{+tqEbfvz~EuhMKtOM1{qTphg)Ei5trR5lvTgu$`Ya+O-fTe-mX4l@!mQ^ zX(p=UpYr(Y1wV6w-vqJwXMqTI-%Kq%DMVMLa4nG=-s~EO>aA2(e%ZcfVmatE+;m(0 zZ6TL=&n)@y7^F~dkQYghGug?c0Lz1j^a{I?+4q&y(-yy4!!nm-Ncn3>t>hZ-?jlzY zFKqQw1rT8%+RCa+`CBaoJ*_6P6yu3o)xU{0FLOcALrlRi~kE%9j z@OfWZlRex<6{=O2U*T*!-&ba1sgtXRjNmWVb_mG}dzWiSA5;!CejlEkrh07Wgc_iQ znVc*W-lahLznv)z^-gLl+sEF8<-TnKML?L!yHmd;z(mQL7rQ~JtA}~nC8k$8hiG)| zpOYqrDFpT|ZN@qCvgEWmAS+hU>H!{gD?Nb?9n}x23Q$4=Eexx!$}%9YuIzuPEcvXt zSIqzWlj1%tNAT4xT{U9TbibZBQF`X`OPtj0_6XB=mZ%(r!jZOEpjrrpl>LYQ4*FkC zo`n;Za?K%aJqpYd&3&B&aeWFkCAtfi@(AOv4pM(1(pAO3VhDST9BeFh;N3kFD zpIABbtIY9T&i@tteGB``2oPbAX^_je_S6N+!&IE`wdPJkvf*Vtp zt2Ye?QWZ-V?K&5DXC-h$8gP;4n)tNHJ4wwK{$Xa(N(RKxfMv66Q?5#7|B)EuJrdsO*nq)7AT}DMQLM6bkRg9A_npnExNvDPLl%!;*N>edy=i1S`Oc40!i&uLIYJN1K=hm(KD(@_y|7 zi)glzc5^zZ2n_6BMHa2W0ofu7DMi93o7uPZ%u&H$3{rZ`4ARA};^UuFvo%J(9VOLB zsv%}oSewYhs*O==MJSeLB^1L9@|Ffg>;tXp8vbQO`AcjPGR%3mZ43)7LH9T3q&7fX z;$Jv2p=4gXa}Ra4hHr*-6*dA+(O+U8!(!Ip^OtsnM>54Un^dMDgX0#gRCZycRUaf- zO0UulrjpFH`cO0%&{xb@h@}5+{^U{}!o%uxrBqC)TH(`p@H<Rtt(kzL1k@4#O%DMCN_cci&-beQ?a45$c9&W(Pd2r4 zky1}dGBwkbQg=%+HFJ|%P|{9tMQ~1#pBXNy!OYH&m(ru<5hhJ+S9kG|pg3PFq{}Rn zrO?nax>If2OZrt2m5iiXPLr8c;WSoGGnZ9yHCfJs#Z_!(JpG|(umrCoYnE;5)%j30 z^e{@%m)i+Y1C{2$PX16e4E>{O@CQ^4&D^6mE`M?+lqkIR_NfwwPHIpi@?9)op=HwO zj2vJK$q`cOY;KJMcu-4DCahcwWE%tKj>T3g9nI^D?kVUsb!bdy&yp7QshoknKv|GS z|L=16kNq-p@}*zw7M!%bZlcaq0A88Fy% zw5xcy^=^9;uz2CK{xP)9@|FDB9u_|FfY@OO+`H|ZG;%bdR04d(rAmQM;%%Zl@JT!d z7}0$PK3r@h72}FKsw;~l+sjgfF~!j^1C+r7r9;0nG)rRBI8H}jC4aqctVwQ z5gBE+ZRbz!QK0zQ4PXMib^{qzH$XYWupD(8mMm2OlOg3>Q#bFymD9hMiOcwA8UG&( zJ%G#QS!04etADNFz5^Zc24wXi0&b(f?Nc>=_-5ZfDzd?usBF&yR6ez7tG0=s%kF{J z4qHC+E%lk~qk7MD|4_DnC?7yq@y(q6f3FIFemLun6JaK`i(%o`l2nyixKvN5um;*( z1wat0qyp{sdk?6rs|V0W84G(rG8tt|U_k%AUBNe_Q3u%iCn_aF%o?ae35R5QMH0|X zGFDx~%76#>rULvdd`#w#yi}>RM!SD0?bp5Uog7q^`0#gi=;oy7h90GUB|A1#MPiRY z9s$7>F-3^?4jW+qfgB%-;_+g(tNz_I?9;$sUT`vNl%Ft&ab?2yg2*IJ*q@Pw1OM(o z)pyTB_I0gNe)(PboCbR;#wPTcqE2)cBs{H0UICo!_?-Im zoZ9pcMuP?1GV9=}5m5~&T7BqiFVvR-!aHs}VpLBgl7Bw~gh5fFs)4w$)=Z?rh~{Kv z!k3w!h(T*39Tq@f-Su9&p}zc{Wwt#^YobKqvR3%5dY6w$jEcUr<=`iVxS|qR0;yHn zKDA-tqA#LEKzGN$v{a@!5>=HBMz*k$VMZvVvv1OIezr`O*ojMa@l!0|1^$1N5{|qzu7VkHBg6`%Y zMHK$f7l=bMqj`@n0&CI_1rBQ9J|6(mQi;-2i3?E|9pYbhzKqZp3o#D|4G}AeAPX95 zGFLPJ4ioo9sUdO-B>YCkauOk*o3)M`ome>c?6cp2H^fERfKZP`P9I7S2Ljjh_Dwp5Ghd*AlbGQYE?$u0z-Uj&X&KC>fFJBoX7?h*bsl0}M_EP*Z{LT_*sZg| z{~{3c;T15L`iVg>&2r%N>i)B%`y7qV(_Xf&?SP2??qz7`d2i>+!^gYrg~eIY;^F1> zY*lHq>tgJB&xK#mAVb1osWx@zQN&J*SKV27xC|<&!$znK0})2t;yV>skieWD(-1p| zCve2!+0Z3X>h<1r>ZRXw><)Bbjx+1S*)R^>-ILR6)bxRGmi~qUW3J*04P5Zy&B@%! z*rRK%p<);o0^CNxV4(aVbzoRq53z9oN7%F~6zcaCVxzSX#c+iD3LFtN=Aa5=7!Rf( zJ{%E31QO(sIA~=`8?i%EL_Xr^i&0)?gBXSLMRYx|h6i}G_*g-GdGTO(_RC9;mzOu@ z*5Q=fx98Q`#*&w(1VQRkSNJo)UDymk-jYKB@zRdj zp9cF!6MYWdK70ln)`OnhA@(u#nI;<(%#XMSjhs6jnYcr=YM@W{zMj5MX$L%H0p~+g zG9Kgb&#P0dJIfmT*Y`lHJ|&Rk?`-*M9E{s zf;fzX1bs3V_5-|J?)v(IwT!vI)-M@oLMNQ7;$FvfAL>ri&`H~(m(fNuVy0Rm=X}Vf z+9Ju|kjq*+Z-}NpnW-sza(v2+2L^XtO_096-ZTk6?v-g8svz^Rr3j)j#vfLXz+owl zwz&g+?dQ*4vZ`MnU+r?!@9Y;5>hJqo&_W><#SJ!jQ^Aq*`Ek8{+%u@TL zE3n7rOm~0{Dii@Y+&$M{1&Wa6f&u)T^@0SPi2oe|9poD%oH>hsK)P(6AqDzjQUNq$ zmP7ZO5{3Qrf`@h(Z%05Lb8few_q(!OFvQv;ocFInGan0;B<3)(x&Xhn1G6hHGgMi{ z9$kMzq7YH2Aas6UvgfYR8BOz!LAVKE{ zu^+f~22xW?FWN?Iq5=kL16bc)Dhv#Ar-O^C?dKVX(Sz#k_W;d5P11WkttTlXKT|fHI-8!RRsvi1l~m(4 zVAxpq2_CNZ%7H_9C{fAQzEHX8rT2o923f}Gp?Hbn{IkB!SbBa=RC~Udx-reC_|&GcP^8ESqzKsZ}yDRuFFF8H3cbJoN2^#P-*K_Y9MX%843 zL-@c{xjwn|z{*glD}H)>zK^}1V+~Kl?gQHjHD{Q?sy=Uv6%^PHl}09`s-mQ)U5Z36 zLom`PTZiEm5JW#Df8PPF{-E{3w!4Sqc$0ne@!;0;%U>2UwB$g+$v_O^afBQ~2*SFB z6G=&srlPy;AffwB3jTP}(1Yh~de4}zS7I#iNa(xAKggnujv*~0p0BKPSK8^~!`tOc z&ZtNNK^X;hHhuBr*3p%;<(k?biXRe@->b;XhC>qqB|125$dznh;L|BELw1z^#7`bT zjDCU(K}z|nS)VmU7+Uu8=+b!{YgJ~<&UzCu`wQgK|QF!Bo1 z!olEr>SMPI`VuMg7_u0ooGqq`2KaYYM)+fT5BeD?)mJeHFC!*Ca!@!n~=eED%^H z(+L65=mxGtq=s^<1o>fInnCu0`j!KeaHJ~yNx}Pmy5r*FB6uP5!4tVrs34%>)7*Nu1wooHgKB>#`sa!{YLD; z&Z=#oz?}LjNC`tCxU3!pbXvP?Ot!)5A->^|xP?1#)6V(FWjkCqEV7*l&-x+tsYZup zAW-wq=g12Ee_=;aMnGWGa$T^!U*%V;Nu(2Z5>sn=Zv8~FZeI7L;gG>qAWlg^s(^ds z#Cni?XW9jzZZ_eU*dL7D5WDL10vVkasq@akpKzu_{bE+fR1%tJ%%3j3e4ur5;lWyD zupv%m8DN&ub>pbTdfw&&{N(&0f%V{l>&eqz%Cey=hrdCMfqr0d?+b#Q+@?2t1Nd-i ztv^Q%IsuE1I)bbzCOEoQ<_J2%VZ_1WVr%J6c-2oBFxo0iFvwZZoF2#0L_yHN?pa-_ zUhmHkFp~iwy;>;5T<~B#PQq^bp%@TeuM8j$cgi3aXT=~wQdl5VBVZupS|E93@E}RM zvwbii>XQhH-!83ZGw{cRYp3o{7Hd<#2^!J48y?b8>Dx@0JU~+vVp?+Vgmb2@`@Xw( zp&4!%O!m{Sx~gNqeF^i`SjB5Xq^LThp`gN1IJcvn)1X*+*F~M4AM1k$?|=~lp@l&J zDW?{?a9S|H0cp_n3v?)8;-(X|sL$YT$-!$p{627D5>8?^!)dDCd1)2X?z_pGi$Ois zm^krmJu%31Y3)QF0%hD3Iek=i9HWV>34}pZG_%!xX^D%C4Ua4Mx z`0TN6D-NaT$q^Sp_JH-aW6u`8X!>bhituQwsb(-5TOsjtA=z1fg z9m6)b0LHh&^!Qfmb%~M-t3LuI-_H>gVUh*7GT%i@f|FfBiQ1 zo)FiluOiGmGWLZw6b=|uH{Lf>mPpqARxy~YH_~wD_7P*k=W4g5cB6j^zVgeofM|8e zG0g4Ps3qbHv{=mWa8HRmph@ns42fJ?5}wGbNIwtd9#UqWN^}?<1#7{jyS%-%qMl*B zbmc(L4GbpFH=Fv+nSjU4IQI>gtA{g!u@4q*Fbcja=bIsEx4+?}m7%unpR~RZLp~#B z&2*GSS13ui3k@Ns(KgClsEoe9nuvn}gGhRE-1v@)d+GRln>p>@Jlq(j2q4dtlX}fR zOTrH_9*B_CGK3i7Np}s>?ag#V5TK_!*(NV*)a7g5)pZ-`MeRX)*fk`1KF86TIl#6s zoYwzVgnC73dv5A9#FO&r85fN)w3g8iKb!w=Mj&Lolh_gmEmrNcLou8J^szOI9lxa)kSg49lsEa<#9!>oV0l^Kj zny9|R_o)+2I{J+7Z=k+;dGBI#!%yGB+5a^_W*sle?#t zBU<)}jU^N<*$9K`@oVk05t+NvIMkx`9pBo`o&NQd1m&?{yff$!aabb^HY8^|9Lw&y z&T)))bPV4%rBZj}773zRW{)J-K(G3= z#jvO~K5(e+OShb1XxgWBhaWGFeoAGMDa$^T{^aRYVM(2`h2J}%ST*0TB#STzCzZac zM#1@hp6wx6zh-l_WL`Hz-?n9r7t>UO(d8Api_H`0n%@VW>)16uPfYI}YOcF}JGSaPQu_kWg z_%~Mj@Y5oyE^m7QT2xjS3QlNeDkK?Z^x@5MX*V?+YV$W5pBOs|nXl$<;c#YDcV?3p z<_a4r#vZ?Hltk+tzBQr`)l6ep)H%r_$kcluB5d?l(h>?sgsAh_Opho@RNrAfrdXK@_{7vsye zl?dazi5}D`{7Jv*l*(NA+7nlz&DFIb&)>JY*q}nK+q@U10~1w~DcOpGqsJLrlPObW zBwGJRzi%R(1Lq`24oa@BnCG>>mz8c1xUQ+Du{bNbQR2L&d5|eyZl9R_ z@G0hWe091%Lz(REZSMPqzd|*><16mNKDMfU!h z#tMv%FDutU;E?!CVavRP6TZ|qXt-)z$BY5R^O*X}5HBDCTilpP2f3XLGs={9!CVKS zg&s<0nia6~Qd@Csah8nhAOYnBJro{P3yv)+8uchw-EXWwL@=Y>1|X#$gh<<9=8AXUDuW5SV{I1^gaK zv06%{z5CHKDzdp)ugiannHTi63Ch`R#Mq><;>2F71> zs28zbdMFwp@SU7iG~NGy1URNNHZtJM^eiI}4Uk^cLnP}#pmgvM7#va%O%TYmj<2o% zFbS|m1Bgk?Ju4AsFUp3n;Sf11#&ziyK;83$fJklE?{qi?8nH%_(ZFL^Ybe~;EAWdw z>?gGBPHucF4b*2M4fsq_5Tqrb#e`yjW<>cvl0+L&B8-U-kPBbkpkZXzu08V-e$$|# zGm7apR-j#EFH|mVCSF+mKYfs?aSqtmKs$O6xjA+W8lLPeJvL7OEpLYN9oJ8|dC-vc zWBCVg$Lt;1tKI{Y7t#Y~6WP1)&*ExxRR+{Afeu750TM*I;a_X5;$T#es;pX|xq^UJ z|Af-v1^jZP?kCXETleu1pdYz$KVbzPll?eWVD(-bYu7<%&ONbOJe#nAb9mPPCEYO8y3gpcIsjy5M zemqbE%@Eu$Xs81N<}T1XDaN#CB6mQok_gVgPXSvD zt5OT7S3wdmBt_~)fE;W-{Pqgwk-flxYy@8IUE{dxr$?*GbW=bE9I z`+w2^69u&p2;l@K3Sc1sJ%;5gD2*8m;Lreh6u zV}j5uKg?K6sqjW~gJwd1DSb>whsa(DKd$C7(681?;M>ySPy?VAh+*K9pTZu9#)NQC z%>F5|9}}2xMr4Zo;ogKHSU?5Eg?dtfzNpf)%$R=qELI@DZd@l!9Hk3X0X|NM3SjGj z9bh5^$#M{=A}`Q>H~`z?|IuCnRRbFCd2&!39{=JWb~D|XZr*@dJ7zQtWSu#F)lChB zH=X(!YW47>-93@U_*0-LRLS69R>#pEQK)sZO>Zax)6K$zTtl$55r}^@Ejg%EfRm^A zuowOSD^M=v4s0Bg%CJTVnfiNb!9Dd;TtTe<$f%+@pgH-NcnB1Tu)xKY7MkmrLXHsa zf!e@3V!@9D>=z6OmJld~!ylk!|KYPG0(3zgxUkfi_y1w z9f~`}rMP=>clYA%PLbkHad#7Y5u<9{C%bQ^dDo;*~%CKgzoSZ7{R1KU7#SCfFspe&n|%4{qij` z5q{4twj1b~K|oodj*VvfTilGx$JY1}`rM9ja=DeW0{b)-TK*-3JW3_t|@z z4kQS^s*B*C5)$hmLoJ|k!r4Ht*g5v;+n)42zw-hhCaW}o+ zv@j+#xyFEopdZp$4LJku|1^B7(93`uL9fvObVKpK1J@+v6L6`&0iV}%fPoL(H#e*k~jwR4jKtTgaO?#t0%W$+H}e9F6^1a<_pDhb{*>U@3X@0T*n0ol6OVw^akBR=8^D`y#NL<9 zo2^ryk2l9VA)>x!?=eSYC*`I`3fPr$O1b`VuZM`=fx-zIZ+Ys_bU)0?alZPB2K$xd zqqI2kh1XRL^riPz-)XH1FkWfl%)dnjrdJi@ZP4<%9xu92)#BK?S~BIWM}qBZxU3a- zFm|2(cwzLtl59pYgZdDI7bIN>tddrCy*%O@a-Rw2k`-y6r~VoVJYsr#j0y$LfJmCD3^*vaQ(=7CnNT>R zS`t*=WpE}?2O{Q}tz|29xMf^T>F)@=pf8v~i18ypxst$`@(X&GYN_YfH4!)?>$|&6 z>O8M7gJKB30%^YI14)oXD8CFD0q2Lh9r}(~v@ z3f?>6`8%>NbW{_e8Eo`>k4c>wi|qw){N2+>^E?}0U>v;X<~9TPf}HD)lz6m?$_VJK z)sV16XrZG%B!iF8EW+DR+p8H1hq0vDl7S@3L-a000*}kFm#18~0#4vX8>p(%0g#LO z#cLqG@*wcBdI~rnLPzR;@)OYRKh7psZr{RZfF#;R6M-gNX_nxEufco__dq?r4qDDZ zvjf#iVKV&+JS~C7___x)JsME=N~YZ!o3#r%sLbSLFYbmrpb4d`3^php0gsGrzW!(q zkYx-p@VtCa{8ek>88fK5eH=J9MSb>rCelE__wz9z7HDvDO2L?}^v|95MGkcJyzc>X zK(4vhKsq_&&u2hO0*wF!e~5gG?2|ODI}Vx>x^cO=ds}Kn+yN)pS5G|6O>_Bm`&&Kd zEPKz0d4Q8c7jBs#>w6ILt2fJXg}WK>!&f28RX;ux+>SVUOB`d$UylVh^A1s#f8rNY z-ZXm>e)vDfBtgwP6tVkn7Jkuh1-+lJK`b*guo3=!qXQA87`1=@T-t`B>cez^r^+uh z+l`$PU>L(85cgaXfSww;DEo(l<1s6$D2TmKQja1BJFQZh_R?L%ly9yT`hSi(> zD7yyOYPx9}$45(#NSsfI_u4V80U~C@I!fvFSn*Ez!+4-g3pnwaz`Wf2xH$bbBKKZ5 zJYg(V@m#s7$%pJFVH7;UTNZae^JM>0=FNZTQOhT6h>w2Lq(0YZkNm)c$mok^xqoV@ z=X_^&4HtV!Xd;qfcuH;VExaCXF>>LG#I5_BphY|N_cn|XO~(P+)^gg9-l|<&rYkeX zC*)|0r(LRHc6Sc79JkzH0G!CEHOzg79td_yWtI;#}(CNXvuk z3H2X~+*LB)?J39S^+^rer(WM3%ROK{*re7xL@^zq^|=Cgxpc#sV$z>WUtxbOSb%-$ z$^dZ`CcCoPfq13m4H&nu1y%a~<9_=a6`A2T-?w-IaKmp9KKf{Rk5|t!y*AvSM@W%> zzz1(;g)mIX{RUP0Q58u>V591xy@CxQvvNR&ma$_k*p_LWwaIj!R3UWXb8esSYFAQp zsCU=pSAG$(9u9cV!+W^L$#;g;>nqk?vn4)LmxTDl#fBqXk@~?m_BQw&S%f~!RpRhJ z#SbV7hIl3_rRC1R-J%;CC*C&0+X%5tRc9!eUw!e@K^sJ-_3}6}<)*Pe<6=!es<8CS zlO^c8iHSVU4a`uTk8CxJ3p5sh3%$oV3u{jQSaQ2tELg|N*5u=2ZKoh=2}>V@c48DB zKnYPhu~w*U&0}D3`jbg1cIn!y6CufAd#iU+MSn_0>{WdmoV{`A@8Aj(yv2Ju%IO_i8pV&GGk*Ov^zkftqjG#Z z6Z5g3)&m`~tJ)+N4_M~rLV*7l!uOLIE3rp;-H16!$JKD;UonFQD^_ATqkIuvtX{VE zwP#KFTLklHzhqk5uzi}=*Wo)0F04Vfu9`I6nm{hGJ2t@#1DZA6F1?1As!vIe1ff0? z+xa_8P71r%UjM@W_*1sTE&kW(5jKycNp19|6p*x*EwDvEzNrttuw?Zu#F{I#_w?by z^K~!hMDc>A`-`@byhm);fo3u8)0p+sjymTV?hW0xk4T&|qhWOP89Yz+c=VfZsLt^P zV(bBC;49Im%zj|W*w|W|R*WD|;*mGP$t}D3CM9_e+osjmhh&86Vud5W*h{V__ts8+ z!D+!=Nr5LxErIX^h7=^ib{F7JA~5Ik@tmSnHrmWx=7PkKPt3`K+E+&N>^WJ>I`$Dx z1o?tCyfz<=3_E_O95+||+9u?6vuddwqsDSiSUr*>)=wM%1%oD|rbuU3qIxT=W)>8!PIq z)KBN0^)+O9s~6pL7M2?;?yb7^7j^xbpksiP7TJsn7$56}ItAX|)G|60i`xE(XN29e z7j!JJ4^aIGRxH95N-%#G&p4<0;UhZDDzo?_T)pRa*kMAI_q z?s&emiTCnr3p6#xx7)`3Y@JFwOZ%#TWANxf6X_WY?8x zqkEa$umw6_B8}QRPpvN5edBwql(6>V{yh6(=H+vF)fOqa4I&m-@4@+V)c^FM`f{02 zz|{RNT3iDDG5qOH=hM>PLgyplXVTa4ZihPbw(hoH^W!dGe$~Xe`*xo1mdA|OnfEVs)N`raWdK6#k*h>u*c5qWsl>5GpwJnN+X}{FA5p7%9(gFltHg>lg!x zoWN6}u5g;y-Y0BHz*18Kb|-?JIg!A76h>9?jR*&&B#P8;5lD0r z$aaivA3wSR5?#dggAkC|;uy=sV{^Y-Rc0LH;*>egI|*UZsPekFBN4_5UY^qs)ROsE z333uS*QY2+;k1*zUwD#$&4ZKFn*M?A;rPK5F$`5>;gx}%q^iRC8Jfat3W_2qi?km` zH84v)FgXu8y;n|T%D^b&fHKW;!a)&_~haOUhVj5ag$Zz0zm|1qcFz;`#wm*;b>sl zkUQ9y;Yn-)AU{1veedLmB-3Q}%ZRH~1jJ;8zB+znkL&|x@J64EOpGRaV*~i0E^tYp zsEE44zKv=ZL!e}j!WRPeXdDK-09_y3SlFeYk_;hSVJ8E|Y?cxgN}Q^lq~HHYJXH(_ zNM!;8+7y8kFMAw6;$Nnz4~-D+waXv%Z4@;I0_A?Zas{vzap9n|5nkay@-l3|D}4v5 zoZ@p823^$Yj6x4u)X6JkEIf{HL6syyMTPJY|KRo`KZ#u$GVBd-7GO={a0QpZKL4X& zMW%MD0MNFGi;sDkN#xE9v%g^w!gpM`z`zSUHllj{sSVu8m`QkN3E|#L`vx&ya7qPn zGsaJZlI>`iz^Js-R>+;`MG(wP9Ls2ZccvnlCRAb%O#SQxLNkgXjr z7FtcDpazY?C7VETlPuUOu_hF{9gpZJ!#(eLJ_HMj9omXbAJjw`s;!0%Sj<{d)2}Sl z={oG&Q8NzIr_qzIxZut-=ZrYbt`Vk2Q38Hzsj9s@*U9^qrn3#kGle(tg%fzqo#A zt)5IHO+IETVoeQI8(u=u2`pzt2vw7ujUP|KS4r0rqiwK-SqSKK6n(drf31<|XxF8V z=U`w$DlU#^dceOsD>VZbozjs_vZiR7zEa6Oh2vYw`-M|& zwAGPQTd@u5aR)=0H5l=NCYjof8R!+q42C*(qQWaREh7`>cg_N@1K-p?>%mv>)Icr} zECr4qTZ-(LH0C+m>RfHp6@rc(KCcVb;49K0BI?5}BpojoA2%S%QuaIBXE$LS7e*^Y z-Wf|#Nj!5GC7Rsm%kdg5486WOb@S^VuFP_cMZaYctE#PGxtO<@bK((Cc`IDX75$D_K1}{NliM+Vk|q@yjN1)u}~8KZ;8$8C%3NE&IAgw8S0sX%pz6Y~$;j zvyID{8!1=!sVkMg&(DX7>#+&jubbqc?}yNwU}$fAzIaDTZLi+IMT|TTia9=+1+2nD zGz0%LDKx$HWyIQxaMF(2LUc?bfKO$%T(&P-OPM)S1EWz+LV4oT^Gr~$YWHh~pMI<+ zEUYl@RT3LGk()XG)+T(SDl^fg#xMI22hCrN{Uew}8o%oLe`1jL9Q4r;g|v*I64yo| zA7gOkZXe%uYi`XyoZ?9d#G)rgUbGTtm<0!-&3q$T~)XY%UhqXcyDo;1Zv5;X~tLZCVNUFSZWoAX@UGLY{JPa5(IJhujLzCyr%Mr|}^D>n| zZF`Tpx`iGtAfDq7+64_b@af;CM|zOEq5{qQJ=hn@-zdnHK2zXB`HN+MSpIgwhVqX} zsgY=6H0(Db+dA4_n+XhvB>-K9ivXdwCd z8_y#X+#HuiE*EdC5S)U@1D=o<#z%v^K<#yw2-AbigwFu#MVomu!znRsqe`^8y7Pb{ zx<)ngl6Tl2@sG_Yc=taf9QJSO{r)T{NJw0ZRz29R-Ll^own3QQw}18O)Rx0I@<{x} ztBN~~pAMMM9;wu+l~bq9gb3>I_B|$nN>Mf-E)LG!n>|1vJ)e!%P+ABw5!0%#m`P?E zo$S`f_2HEaixHdpW^bDJ+&-mGpp=aod~CxBg8ep>69tU_y(WH7)kjt@YQ6?a!r_5#lS3vHfFMo$vZz&L5>f z1=rrL?ykMwJUaTLE)0?4YNwsPK2pZIq^EarTD-mbqL^oDtgOj)*40+@@3-UR_Gl_E z5p)aWh;_DheXi%QJ#OjKnUJA1nRd?2{i1$|U>WrH0Qg3-UIOmd^qk%oN zp`}xUc4$XH$Uw>T^5bmv2HL3_OhwihrGhssTw#FDV_3tEm=6k3-oUrwIbWrwP878% z!P+XdW)MGcH6IF=rouuT2_JJrZWCFsE9{0ZcV`2Lc zp@1$kD_}w#(4!j3p@BkCqcU5ffrc_BR1;^jMO>D>1pM~un1cWAz_a`*6&%}e^OjT> z*2*KuiwewzV=ckL_k4Ft(OBb!6=tf|E4I(7;q$(q`qLb7}YGbd7YiOxp+I z^G(*tZl_;oKy~(Ae}X+uYr3FF!M3h5V}Ytlmv4^-LovzND!e!HEj(=z9uEDqZ$ zrqALNF}}k-ilP2-l$IKO{1WxX;I@1l*%R0&6YgNuy z-Ff4EMS0H5K|@{vxb$E~B;+7atpBAG2wjG{Arj=g{=;Gqzl@;ePTL%kPi7}fV*0Y) z{n;MhbKRm4!R2vMc|B2DC%y3javKB4pHvF) zpdoUV{0Ppkj_~tjMj-4-*lsxfpkGs6nm=UUudlnC5786zEz@nf_~9qs>PROXs@n%X^9@I1 zg~&s+OOA`Kzz|X|DRe+t$*GRj{#R@NPwEP80tw8p!m^#Z)cx;xx%bDr#a?VR6ZzJ( zL^GL)(^mdbL#tJkIE!dinL=Es)mGf8N4klJ=vw0f1bN84Sgq0*{Ra7JH?6NIz0Wdv^VcW%6%x~;53Ai zp@N#^L7tyfu8UoSS+coMlD=`FU@*RTqcC#acad}jZ7<}v%RH?w?n@B&2gP>sFGCMe zGePuDfC@VQ02htaj3-jId73_k}>ebDMo?!QD;!)UchJ<*R- zP$_DzuxFBfA@wPM37FfaIfF ze&e8DS{fC1wk?xpO{Z|SU#)XdO+KP2vLoZEpO*bxoRVugNZtUP;Rrllm;Y;;X+w0%K(C)>fQ zi;FzBbyU;t@~V!jT+HD5cn4hKBk_LLRWpOX6h&!t3%1I11xJ!wLBhaf^u-HKA7V2z07d{klrgqen$7W$UFque&-r`Fga>Y(L5Nym-P`aTliI%jCZ#$U| zxj-S(m{T4c;0;d7$T`HY%&dyrlUDRCR1IojBO+N)2DPPgMc^$@$(()9lAf>g)-cJi zaLlP*-;}NrWG9o)9QFL>CEk_1491hIrg+kV=52Q9a0Gf$EgsgG@Qb~ffAxFx;GH2N ziWQ}X+0^zBb+R29ERQi|bGZFVMLu`+AlB?T;^=wyV2V_Wbo5}#2+uYi&w`At=eoK7 zuqDtB31MPJEGV0qj?9x1)SBgqKP)u!!O@yxLo&*mbrj}(o4g6uk*n&? zO7C$$xU7EiX7!6ZxHNjJc@^_)wudhRuYBrY$fGTOw-rV2*1GK7wjY1r+O~^&*l$N_ z>RMr7Vn+&2UN$&NK?>646&F~Xi>CdC2|TMAzGS{(LJo5|(&;09Ho~0g-dfDxzV`ak zVppz@n8LMYTjIlnKzn|yx+1Bmta3~XH>ox6Gz(Z;jUHvCxFL_NW)izXi3k?Tz9*mpMl}vf zfHJsTYJdT)e;ys2v0o0)DFKL!Y5NGoN$OJoal4FY{YiPCSovrs!4hfG^Cj; ze60N#r3wD|UMAE=Y0_eEX#Eux^}evi>I+BR69n~gAWxmP22dqXF~4PDRK-vu4QTMUO|$k*Ea_8$iuzM1nq}_ut099Nm0HEm4V?K~x_XbFtw! zN2vHcg{78@-t-O`^PaQHAQukB8Oj8ytVQm5l;OksW+71~$#f~-VWS&7C_ofh--+Uq z#5+-}0Jvjf>jzP77wKSt8#0E!GrfJ3ckYNIDr`pvwWq?n$3k+Vz61(hu*scTK>w{v z9z?m;HUnLFzS4jUNO(eS0#Hi>62LnPEw1hIq2E7Ald}PaWPjla7F=bMn=hZ9m&aIm zRjKp?031&!f`Ah#u)Log0`S7-8n`HefjgsbBnWdw;G(N0Eb*C840_fJyI!Q=?jtOy}8(ywnN4P|DcIoX7Jq z^B4{VoORSSI|3A!-DfTuNqYllz#lAUV&nkc8euQsuzb=?orkBVfYmfqtz_z0M>6ZZ4-?kb!fk>K2WtFDCug|-f1#FM(LjZE<7NSV!qxaJ|1euON zf#t@ADC?S^+9r-%&|sHE?n;>Psd$!ukgMtUGg&?2&c|Dx+%H>5*?jOd7q;F;X83_Z zBN_^(LZFwQ4G&DWeKlN2mO80!_{g?UpHfEqsB2^(hpi;~aB408jQ2LHxhxe|UEq^h zv=c@Uq_5hF_o_7Y>Lc5GqsY_X#tJMmFl6AcLI|iRgbM9HX7X2WefcJ4$z#UPkz{CF zq`F{M&c}pvfbsFSS9E>$r72q=CLs3_3kRuEA%{UgKmak*m*M}MS2f|Ba!!=pK&<&1 zZ~+O?j*Hm~6}>5IiZiR1hip}Ix~tyMw=+@R{tqo=Qe4R=#SO?H2Ytan1qovZoq0ZH zL;%5N(2uev3~^I%&zC5H1SjMHtHVSC)tVO<=E~b+ch3sBFwd|15izrtceO)jxH_&P6D3_`q~D!jRN}%sYI=-+{rRjB-d5UItj8I^=Im-NSCZ|{lS&Any zMqA5BMF=_0h?!W%=>V9Yp1*tn6nQ15S$L0%E(K#p{3#YK|D5~)2K`eSdcK+1lrY87 zPla(Yj!2>xivG)i2}xQ1obeL=M)}#>)ugcI=2n5=nFrWA!J{@uvVYmel6QZj?_OIS zmnQIChvJBoK+eX0;)Ez-1;kr^zmDs7jBcuqmW%Uc`&5bxj6|{92Pg9pxM|bv>OSPu zFf~v)&R9sfG4R}aJ}H%tGqRmPs1nEdA}lg<5Iy6ZMiKe=7G8S<7m)~dd!8H#5yEMZ zvHkY+@slW?59@4?Iq-g@f8*{HnrOg6`q`?rJs)JmqC?_Biv_ch0NMXs`cdxV7R8(L zBpY*`h#hlgP;S^r&or?`&n4>CL7Tq9W$oebV=9lcwqVb#yr~D^g`S9SttPI;7a>f# z&oK?-AE#5qRj}JH)9wh7^f`7e5Gr;%p8itj>4MEWhq&C>XW(IniQ4QM8{^wH5u6hO zlEjhlZ(U5x-nHbmuxus&WnEZ3f9K-~%Cd8I9Z`hfka-no)vM$QWt>hGnO^8LCj03i zQkTu8e0HBk7-<$GmNS%Zh>_&<^n7{T&SDbzEK?YGg?Gz8miS}+mVc6ig)*J*O|A68 z)%4mc7}>%@n78+i*{DC4isoHU`j^JzUNN)c^C8G_?q_`D!6n=O6rPkw3XHf`;cqX$ ztEkeQ!r_xh7?lDGFZmrx=bnzQafcxEQJ9P1MXT%Cg{*&u3A z%K&mKo+a=_1^D5uQmb^U0beG=>+{1e@a_+7`~o7CZ44F&?AZC?iFMoyo0&*7Nb z{doAh1xKVeQ@MYbnLn&%J>5Qvq}l11@js`E5`llbdh*VACP}HYyxw`38d}WziIdMO z6a2r^KS{FC4_>Ho)4G!CnMYW8XlgSll7@sI|Buig@$P7lZ<2Vgpe7kQr4bihg7BCy zY#Q_~zE+*x_=NT>=9#lmGhX*~_px3Xc(^xblpoP+gHzjo@W0^iC5ZOC1n&g!jbNY) z{5KVv59+@36Dq&En&)p!O?W6MFmQ5kbQ%oLm;;!c%3*jr=}^LihPx_Z@&vYOB=PP5 zXFJ<9`xkDg-c3s~VO$mne#<-i$JnFy*&?`B9u=ia@@5)mc!f1e79VM+n+@D1n z0w+(rHxF>y#hbslOi^?fUO+#bRtprhPXt6UT|UKE2eWsIbfJT`XPl2Vbh{m5U5F}N zGL0<7P3)d~fMudgGu75p6gJs@-aNhjeSeN(H%~7Z$m6MS@3bkj*}JIV_46Ya!n#~8 ziLzrs%f$7)x}J>p($d-GlHH{Fmsb90X8e*_o<9&q$L2 zsO7Y^`;sYwByingXQMSWB-{M)fO9pTx+VYuYVU9RiX;a~i|iXgMcsq3X+iV~OM)N( zcmDs%K~^Gf4}#inEuIEYDUbbe!z7$r(janF&X(!r-3F&K7G$ze@;Cx}%opF_C4Z}3 zV(^())Lw7Bt=Lf_{ft9yLO8kMVt)Q_2kIzab{bKXMjOWmgf%^2$|QP0EUB5!m_fiP zn2v4bc~9HgE+-?k1>Nd2JCENusa+v@OC7+Xg6!9>&f&JI9qU9eJzrb>S!d4w!oFS^ z-iGplUMy8}BXGtBzOAI^F$13u#@^owPIr?(eRWqC5j!FGneV^;4Fx6K6Af}VahCxC z{x8x8%DN60|5M`%q>(;Uy%{=3iD~Y810!bhmDF2(2`YgZ@GilNVqq0gS7kMPIbS0hfB zci87u!+jQr3C%mIo4rx}gQpM2eM{@jY3vf+XUT5=wRMilgU`>;`{^Y{fMQYibw)G# zZ2ZH%nRhSSYs#a)@umY!Ee92x_et9GN!sFkTg=lrfAz1WOW!{yx(1~@eVyBs&q~YR zUv?*NN|0st={(iyp3B*Bs_ejKrc)!Cioqj~I|K5Px&rbXSGIy2+sZE?j|m}akrvd) z51Sd8o>7Qg_V)V(sU4?>AUgUKQhkrHLThRGu(ULjMzG|O)IZJR=Asn-1m zlo+T*E65M)^5~zL;N+ANlnYIoq~#zIl*ObNHqH{nn7r93>jenn-!!d9ihUA(aE#BYjEu(A8g_w-*2XNPp~^!ZC>hivimi%MrDg7EYQ z%Vs5#@i?&5*~ol*D`tnI1#WV5DQ5%j%vaA_kUA#gh5@>Et{miiEd(Zo#r2pG!G5ahd2aC{n@Fah0__uOJZ~ zSp7Axpb+2YAGT*-fU9qayCKfzX))PU4pHxp##L8c?V121Wl_;t$?W+@IVhXU4wRn^ z`;X5*Y|jaFRtg(OonOzJ2{C%rU}aHsW0E%lKAX4gsvb!p@G|F}9l5yxPb{?Nrxq-eCT~d5L4eY1Zx^rkB0N&D-PTqMDer zEUgEhzDXN25`#I1%U+h2oR(>W!$!x_#UUwTgWeWW7OL5RhCevZpuv%(=gsDT#!;7@ zyqw9CSZ4fKHOM}_&9B?mm8j)A7@s9ib~tu|dJll!Js%Z;@-3OO{@Mb zMgaZBxv`P6{MG~)*NIS1XY*i@G0Pm4@LY^f*D#4X(%8joo|Caf-H7eqP8r2(ng^g> z7I;m9YBfOl?4T^87d1pS17c!&S4yi?e>%>gHJrHXd}pj4hLkY*-%yVFA&pl_cg0T(rYBR*(FjNU&Y}*5sN{ zo;oT(xMNOOA5`nkg1=pplae8_vP z=tn#FADlW#II3t_4k+snhK_4~eNV_}S)0<)*KzqYYvNOWGa$JdCbpTOFAZqAh^}lg zgBk-*q$=W6^$S-y5yP>KK6bnRn-U>+ z!s=-(|FGR;Bsu)q~F;FL3=sZyVA00@|ChLP?v@ z_=?+`*0*y&2V2YS$RbPtXgOnVBMIL1{*Ay|#;j2T&~MJ0r`R97$Y(u9HFoCK(`(Ki zwSw#iGi;kP+s}1la&0NyY}*dO@X%IEuF?G5`Qo#@i}Ndi?lHrohv&Z{Lliw;ftpSj z|1`c^^ITLC`=h85Ub4bL93h>$TriKV==o?W{-l>&%%hxm+#9o55dW+6K=xl)rwD6& zG8r`%y-0%pnX=9;zh}mZdN#%+GxF-xSK7MB2xE*aGT!wULnBu^rMT_2+XwDa~2~LuCdGl%!X1qzj({A>2TiarLD+c z72$sY_{RS40blhy;5)fD0$AP@IFxvo6Q_jsC+|#<0)rKHDnMe`B@U?j=A{M!Uie!W z@D^Z)e>>6(2BoRBHAfW&ek_0iRn+P91;B@1{r-*l3JN&!ob%F9fR-`a=5s<}ZZ8r=81Fvf2<_k1o^f2D-W|40dm7E905$|@mX^=Xh@ zimMdgaE(`sPJaf}ctKYv>RTHNe~#yz;~;_h6n`p%4$f-%s|1(uTRTfADDJm*%VB># znGSfUBC=IVW|HwANCEzg4lFo)#8=tut(k`9$$7&QK{1hIRPNkx_OWwFj9yQa+m3B_ z%QMFL1HH~{z)bP4crc18tQ4k${gm+8mfg=kst{siV2iSVqt%`#Im;|4H)L*Z7W-W^ zC=wIEf5o5NRz*v7sHtW?89#o9tCB7&M!RbR!vGq2m+;XH13-Iiq{$H+MXVE{N`!eZ zViEt@uD{eIOVR&NYQcBy;5qNvRA!M@2m1%71!eXs@v)@EiMv>mQSc8 z3c9j%oFewD&HQ}#+h>roVVj*wZBDnlaW&Zgo0VhyTvRA@-^#zq1g&FjMD|?(nV_nU z5)UVX$5rwyy0){LgY19k1QUgDl*_Vc5_ByXhUzlNs!DJ)Rpq*)_UjNl0y~ZZtnr3M zILFLjgZFO#ssml1QPyW@;MGr&Hbalbl$dU>+P>T}XV*md=6Lwyl6;J35MoOy&Svw^au!cjTuu2Akb+rS84UtnLrLiVgetoWQNKXmOcJd!~N`k?tAhS zs;kNU4ktGAbKH2)E>16}_}}G$jZ&lZZ3aZSj3XB8_M5+h$t?~fl2O1)#>9pDQV)xD z9Cf=POK$2X0^9genG5w&lxQE!TlRZ%Ce9Y#9v%uJcahG01vHm+N(O$KMsP!5Y9sAD z>@$P~kNA(heiJ^21Y6T2Im$hB=t&hrtwvHgf@!06lmdPMNRx(22WLJP8JJQ|ZJjPh z?1kbn?pz?i_sYz+O=AJYe>Tb4-GyBehPY9EwE?$Pb7d70N5@}vWgId=cPwqjR@%i~sORNKB6 z6Fvx?(~(Gf8IR&$r1k*7hsJ|5q+Z_3T}_R%i+OH{yPOhv(Vn#6E4C-sLY_dbni&J5 zRe{xd+GmGcKtnlD58Y8Lfi4!BCpA8HRr)F#R(hYQ>;}V78eJNC6BXl9(N6Dr2sw}i zR&E%_(tPV{D_Iv94dMZV>aT7M5fBgnnpY(oVS!Zg|Kq*?FXBC;eGTP*RDg^upQ*uP zWz6xbB1%su&R_}dRuc4Or+f?q)hfPCMDzB|kC+k%amdAl2@XFOKpSpZ@)MELgvUAL z@IEVs1tyQm`4MdyD%0GP*4h_7Z3;vQAze=2RE3CfGh%VylW0X_!DL55in@OasC%P9 zL;t}Q;fHbi|04QhG~VPYYw-2s?;e?IKHaq=9xzs6CinpPkK>?}9e259j_)NF-o4wH z7eLNUG0~yX{W6(zC}oYaRoC?kUPk*BGK9W0Oq3u<43$^ukA?)~m7CdxF%<^Mg9aFO zp5C)FtTkUu3JSO}Y14ckhR?n;22^bl+7;+Q)Y`2PO!lKIH6k&Cs0xA>0$;Fg&<+gz z1QK=Zx{|VGG+W3pwLtS7N8B#4+plo{pR#;ypE>IS>FP4kan`^B(it6Zbdul7Q7rj^ zJHRI>{^-eJB=AJ}whsW-`wzy)7=QLf=v3OAUkE?>NSBw0kTArOuO zm2Bw0$Gr>tLO!<~Gtc+Ki*?x<8|gB$)y1T)=!XSZ45eR(O|r;Phm|?8iN|D;p4j0Q zY^IRTOnsA}aw?_)XGq4iET8;vdCqipeg$3wg(p~b)%(PcVUJd`G-VFo(=G7kUn|Y1 zs5oPNabwf7RG0UEqVU6wdn=9~0YUS5%PA2Ir=-{UH+7$6SuC3IvMoJ=%kZSI#pJv5 zVT(B;Av{0AU~u;~MS0;vJ7gIIW@GWJCiK@~Un!4GL-SwA*TqS@i%NrhGYD36Otze; z%$2cN&MVyGT$`EeBiuJe#FVIY)5)Xau*=_ND3n;0zz+I;L1Ecu-Z*QxKz0zMRfjrs zI`Cqjfb8Ua-^qpkW2;vaO7ObB&uYb}24>t~6_kk-_B-ahO=!gNQut2Fb!-{KB-LJ+{d-MWyGavWlD!~&R^7Qc6n%4wlLF>3O zV3Z^gK#}pN!TxXceKyGD7tY%|6W)TK=tvPAlrF{nYs~p>s%kgdLOSB-UI0+Hd*i{E z)B9oYcEW~!%#vX(>(R@{&zDaepCc;HNFhhnH|sHutF9^0fcpm`IM*E2M~tkab7vo4 z%+w#7_xBI&1e$gY-J7fE-#Q4I@;{h43+kcRFrtq`b@fd5wJj+<55AtasNxF4}3oS zB2NS$du%s|YO%V{^bLW8l-C0VhmXsAzAZ6X5+eJG#jN1+g@(jGIgD0+jexNGL0l0n zwUB?4NF9T-Bd}GHAQEECLvVSS1mGT9-PbAG6Xv?1 zB-~~HiL)uL;xFH@bETJas*avLDAV`!)o0?LVThX?eR={wXPC$VQD4`GUXXv0J%5B2 zuurX+{2&Zb#>wXaRPz%q4P?*iy%JX31dy1}bi1K(bOf;lkps$VPVb!0TYIzWT02+J zpn_|+ouHy*2efPDDhtX34t7fs_z9p^*HCMUk&bMgzHFVYtYg?+BSjh_;r!>J{2V%@ z4x^y}q#F$j_evK9=HU&l6hFP27lUmCCvQl7X@`w<`aJzluZswM@!w#dt< z9hVpvI*78flz2+%h=Y#Ts?wUmpw*nx9dYiL=V-UA#_KBUYJ+Xw?e~z!yDIJq z?`bGcjLM|oV0OI$O_RiqQr_9ci|FZyy5W9a>mZRYg#W?bel&-tRe=dCFh*LX?*YIv z*RL6T5fO;&&mR>Fqwd(-KPo1|Cq=1Q(<0&ZKT2bR*}IT#7fqi3qv4BjUxbq*w`^?C z;pmh4FUj6N81E|?Z-mv)?Lq+drSbh29FU48K5;qrC)sy^Dz29$9Oz`4=s>&JWB;*q zsZWu_jtjFDJ~{zzPB?c!7;i4AY#BEsB7?pkakxj;)A8Tx_x8!c6;50@{$0TLlvjX`m(?82Sa!4*7^wg_N5iW} zA2R-t@GWTqDn7YQ+|PEjB4^oyh_*I1`V{@M>9m)$a+`khMu+mcAaSEZLb>w|)`-Q~ zmU_Z>vyhUQ$V;2D;Dyba%}q*N4GKG=r3#FlCwnHb!y;>&V_If}$JM>RT=246Fw?%3 zlUhQWC!Mxh>#o6MnO4SX(=FpIJTDSAVK=p{yUM%jxvb4T#T5QONcU*}O818UO81oi zCEfc8NcW&vT%*(xDVH`UGsO{YEhrz%rzotK1?X75vvE&r*k4j;5VKHNB>s2Wy}==y zMgJ%blZnEO$&Ye>7C;g-OwyDw8 zqMf5;V|9Cw0Yfyo^>}az(7mbGE)GA%gUi`h;Mdd1e$>;8cA&0C9DXg3YQ^mDHu#4AHtqWPWc4LQv96!iXrvM;VQ zMydk)AI!aVP#j&O?h6SLJcQux?(Xgm!QI{6gM|=+yA#~qo#5{7I=Jh=z?r=7_w8@* zefF-q@2OL_Zq>40Jv~!h(|>e7{rsM_^03PDnNNT6qLIF#tmoQ1eLUeJRJefOk7o6d zMutXDJP!^9AD?Wb1=tF;z0hZP$b`Ttnog8=@~_YD83@0tHceJ||a^gTA9kSJK+ zV*~4Z6-Hm-jDE}tb%#{@ql-fJ%Hdr9CG4Haekd!Icn}v`6pcCT{0JtLae$aK!~?J5 zsdE9QA;prE5)$1_5Kos5xnDAWViU`#VFsh-whHAL!QdFG)pvgu3oba;PC<1i-B6xq zHV#?;jw7UJ!t$F&>~Cey?*CZX`{Ueub-E`B`$W|8N7+-&{05*1p;mkEiuOC88BuRBxi{nv?iyz-lSS@-Sa}I0h{iJH@XsNTazqr`|Vu@Tf zabgQwK1=7fsZTjlgyH|028R5>l<;BxU+w>Z2F4ffl?kCC6kq?VMU@S47y3*_g}MSs z{yD}k)1Es&8#+&Mb5hcl6+yv8guP>5woLv5RXPkTcZa3t$Bc@!U2Ua*+V>PB5okCI zpc74IgJ2D?`D4i??;7i8rlty%?5X-24TmNuk@84_FL>~Q0 z*&IPoT&V188?T!rBqg9|;jVKa>jBY&lv%6PaK2^tJqz)U1tt506J_=&Z3z$#+gu~8 z@$%oIzjzWez5k}_{X^ob`tnmdGV)=w^dmz#VMg}D`xD@DITI9qC7#6E{m_2+@YB=r ziQ`k^frwAYSlr3})seHW#O}emBA2td{uel6!!$Xl&%R!4<^PHOHG?ZKc5v50K5ZG( zKXY(kEaf_%+E{c6tuW)k6G-|lsua6VWN)zHEai!qGRBB7r%zPv2Oae4^?L8a{dxMB zC^RZ2;+;o+u4-}+c1^A!M+KE->W|!{;oD!ZfkkwbD|Njntnag&&AWRiYwDYqAD^5{NHT=I>!dPpUV%0D!ml$Pq50! ztUvvl7-&~=SSkBIV1B_sVZcb0ou_selzG^c^N6Q4Ro9YKQR%3Phd$F7bqw$h%Y=7x z&>V_(=)>2rk3B=+I0HinsuPu+)L`n9+6=QcNx*ib2A=hg zd1AjO{e*|Fr>KjPYU#+p%$1UJc^DppbCUVJFafpc3pOJxr7ouHsq~PWHp~{p7mWC0 zrm0u#zc}C7tJN**@ULYBCOyK-pCHqupez|PZ{(}K-RGihYrH?>jPKokeoA*hAa3ft z;j{BO5v+5bU=QmKruz6u0KF48@iFXMg+dwha(?U;G~pH4vFAxpYJBTUfx41#_#{We zPLG?mg9aHdPg0*Z>Ov&qN#IZD&CbRLe$DOI*M};y3Y(fIX8HV``!^K!ftclT4C_ZY z@8a>=wQA-i3S}St#yjr9NDZ}dDu3X z{mRuZl|L|8fVb9WICXL~Oh(N;Rhcpc=y_^V{jKC`YEaYQcIyN;a*&h^`s!+2Rr2lV z{~gaOC~hnQq_~PU&I$}fvJPIxd*S1I^?T)d_KSYxm^^d#4&c8~6znmXBp-W*acjJY z9(-6@b_d6zm~hF#f9uUR{JYyiD!sV^t=ft@e3u;z%U|d%Rg%46#u;#(x^}u5UwT>s`WM^ls7gCu1VI{3M=~pekMP zJMu)8cLLqMPCf*Pziv8_3%2vbrG7yEMeZu$85u1@_Q&GVz(+b2PGJ=cCUqV-z4Rgz zqB8kfQF=_ks5?TtySy{eA!3?^R?sh-5k!9%2^RK4$G(QeE-x7w)>1G21KItX-|d5r zTfea2AO88j!Mjuxhe7{ic$ZrOZvQXe9$4K2bGu-C7ivYT@sO;N%?#|@1FL(>dmW(A z4t)Rad7<7gbONsIu;22(?^gZ`b{7X@&SI4?OF(~;&_Tm<8Z^3nb;qr6-Psq*z*@I> zFqYkg^4oTnW_P;l^EH-G(B$Uq9BfU9cm!sX2SXza(%^@AWfH{n$k4!v6zs#Fso-vT z50`@Z6EoX%gs1NYOX-SO6GUQ8P$L`gSZJpC;=|G&XM*z@&JR!6G-A8`&~b`) z=JV4c47id{13vN3Sds*%d1U`j;BMrDJU|3rXsu4`xT{^4Vmwra$d<#- zadx}9tA_spfOU@lPk1+at0iybq^en)iRmL27Iuo%uOS;Y$xB^!eM?!E)9)Dt7SK51 z8CGsY*GLIACadnNgUk^35@?Vk--)+`|MKnqQTOB!zgp~|{;5+tL6h%EAaDmtg1R%v z-~E&(>4qSG2P03?Jw;x7m*+xph3_U+3ZcW=o#GjdYy(VQSu1GV88^ zx5*I`eED;C`s)%n45BTCc=~%ql4DnxrQhgBUsO4SS>~Bvp^go^lt$mYUcofF&mc&) z8f}>ap~8dEI`pEds&-UX+B!G%lfhP%g+(175%=WY#^EBfCmiRZfd4VX9$1DpfK1OYUI$}uQh zgu((7y8rO)fz`br*c>zF@>>4O5bsobb+In^W-6qxyqq0Fv$ad7(0|H$VEdjC<7hg& zz{%{O?47x8vgrI5z8p^6tXT*};_c@@F_>jdSc{+JY~UJg;M{HC&SSEYpz3}FgME8o zbLs=-0c zxcWY8yQv)(@y1`)tAbm3Np)~eW3aw(!fwm~VAbE{fAOrbdCfBpcL2>e9roLPgU}+0 z(@%-UU^p*mUa_FZ&<^dZf9DrpYJ4R*01{NeDH2rZ=qo|UsJtJe)CG~JTXCg|K$Dx- zbl7DMDI?0gF!KlkWNdV8GEbgPfd@)E#Yr+ocgM;${CR7;)OKf0XHFell0(6z z4-`7|`AUKJVqQEN4ZwBZgvl=*z3%?L+hCx4m;-Htw8Zqv&cv zJJ1R$(p|lb;_<)r?fp^rzz!m2dOTmSmG7MkEaw02@*{(G{(derZ^4t=)HO*!^Hfdu~8($heA$q z2KYkGj|>cjoCXYqbB7|W{oSEwhqdy%FgXzrTrChG{{@%pJiV*?)fV5YqMPj>ir&*d zJ$#t4Ue2gv6qsJN&dhxjn4*6=GPw@fUGhc@9^3|NPX1Bs=wb2IjJYSv&j!F+tU)4hzjj%N`LLGq#!s0)W^My7lu zqbEX{W>t(~r0(0;Uy2^iVs+*p51;#Im?p7>;sUS~xQpi)nE?j9`RrMROzIoquaQF} z_Ub;ZV(P|NL}I3#iTr%kUp}WQ{;Bu5nJ)1xDrkgd6wR96ZbKKXC-~`kEL4cg+Hw;_^It0Wq-MqJ_ot{;G*$sX~JJ^++XdlKkacLXFzZh{Yvr)&m7nX(LTlX zQQg?*mktl2{n5ueO-0tyA$3FF_f3y>K#k8}M7P3~eJoH-=-FQx|FcsGqDVpKxiAxuh{n7NoGYS4U_{uh^iU*C`9du$Er*r#K;l>1=l9gzm;N# zsn0`I*FidXFiqY_6WkyEQvu6ph%7pm06eI_iyJadc->lFpM5Y#Bv~OK@`)`)nV<=RiGQu@CcrNFr&2VRXNx|HbIK71k``h7X7~e#$Y9uBy0KU95b(&F5>TORqW^ELKy|u2Xl9i zK!CR=`O|G?xqHHjuPoB>z)2rU#oS_$j30gR+~T_#m$_3T5qc@gS3aa&Nz!`vAmcbZ zzWa6tgzFQ{8ms$zqQe=KovZVl;4ekU?^`nn{TB<`7}nj7<_b)b7b0vGVHe%@R~7j; za7HLeP{bxGyM)hb1^ErH_%Sw(oD61hRdVS&v8bt}`Hh%QL!HMMK2IX|O{7Hb#&aP` z9Eel4e~8FiD(27S20XZSx6dZep2o1*oPBTC#qJ^+=#Q9vXLd(hi8Sls z$~+UDhn0(I8y&)8x%Xi;x8-mVoGu#u5}l`PW0#COWt_^V4Uov z+L-zph%0_8?bpu@;Tfu+F~eNV9}^G&mlW}W(&JBlXpS2_FL(uxqT+4Y-@)N!Dswm2 z4vD$+&CZ}I%1Sz;8ch1}kpI>k=n#a@h4g2R{c0dbwuOlX_t-D?ZbP5)&(PBZbW0;4 ztsnAmP{8D%4}bG_m#$_2+3 zA^x+@P1Y5CHzUgAbJHT>)ZIy9LPuva*&$H0wbQPK?GEUl@by9x?(si%;nM{9)4dzt z)CL(o2AwYn2%Q+Y4({v1Gi~j2;ZO}kT|0&KFXaguzZp!te^=Q~01LJ6$($PoDa@@8 z)gTEue_er_{p^VxpZv;K?-S(jvEr~A+#z27cKARA5-99Q-pmF$oDBdwH2ieU$nNzI zYmz%Ky?xC&N2g_p-EHtXbd8&q)`N>5Un624eV*9vdHit+?B-Wl^5_F}%-;@$vQ@Fd z!AEQuUF>J2Lp`XYdO9CkLkj9wFFG}RH_*ijKNGCPxI97jVdR8)voXMLM&)c(#ukKT z`lN&4XKY8-cz!tCRKe>VWPkTlAF6tB8jvDkJQ|$k4?J1Aex$6h-y4wZ0;lWlO4vI( zG(r2lXP9(9?9V<6xFw0vUOaFZT|JSmsuR%TWp_Em6ydJUGQcq3bJ;ia^Pf&src7ou zIXQJ)qAoDNQ748TA7v7->y)d$SO~clKhEBLmVd=(V2qx0R>(bfWBY9#poIaz=W#tM zlr&4+`1PRYO*vxrB|TKw4g*FMC5pe;FBNPgJNK^EnS3TVwQ1U9SyxAwtQB?3&YH0| zi0?>1p2v5P064`=PT0(TzltYwsaR049m%3rxT;Rt@~7`v*A6y)R}^9k#8UW@j6a66%~H9p_uCy=HkOz1k;Y#Ux#e}>6x6S!8@nwJRQJ0e_33SY0Mt3q!z%0ZKLsi=Y)I-fc}jD=XhUztyY zDmb62tR&-d3v+iP7cS+k|3^wLjjJ1aYb(5--gw=(?Vk42XkNROd4ZXR?8dp?UpTYx zEWo&-?ZrRzWV!*rvIxZk%sN*cD$;o-gWGb?WQRSZ_Y;J`$Ij>rpy%S?sz4T4pW2-o z6eT>76`=PA`fUgwWhPJT!srqUXw)?M#VrncW?Ca2{}r3N`{@Y5OoC?8zS{HgWV_1Y z`tjiC`VqwFN6P&61b6|3y)s_xDd0i3unDm&dS9tcEGF`sAiMcnC;>cR!n)2$ef)iZ zHt}zd{?9g8xBDsk7lL(xpY1@YgS4NMW!R19D=}?Uxvt(PY>1Y)^H9zsAA`d%e#V!! zc+8;6yiY(@YK?6g#W{MonB0UeU;gOW7y~A5n0ypq8I(%(VGE9Hlu9=gL@nAbC5_QW z${qw9=XUnqh)UEXq)6qAU9*Ps8Z@>cQL zAkxZ^PxZ$mkNT*wew#K0Xv@&sOi!p=J#(lPf1SYaz*zOYtTs7*bdaf&83I1gA*v-O%W zD9f;PoPSl3nYVHm%S*s0zGWQn8hsu6%U5!5tj;XU@kC1P&KkGwovFj_TOrb?i>saKI`eq^~L6c*-2s{Xs>vOI*9CYlEd zU(xtBU&HqG6!0p%H5L2|cJPCX&ZHv;swB1i{CgU!<-4i^Gq$;zYqnoWNt7rJ^>V+# zbqQS08|t~mquX41^0T8V{fpT4%ao2q}Ape20(aV0;iQ{PVl9Rj=s+4xnDi8yT}2TANC zXTWux#BSA8eUh)Tpvl{4HD7wEQU8y*jnybSJxLQKs-~s%+y92LcVKY!&XD5D(L{E1wq20fcU)FpJi9Vk8M2agS zq$#0I9Bgst$Jl4ayywatHd(sJKSFfTC~^iy5` zo>klH?}^^IGCCqeOI)p@f#%}SoyHSrP|B2f^jG4mKTQjSE zvV;u2p0!Hah&ZgkMZh^raP5mt6yN>%N>Z7g7@dQ~_KMC~s|)^;8&n%Z{zJ?g?`yMs zseh9^4#+0`3&=8^trA~~^JTGJVZJ+Hy5qK2_0`mwZ4A6X^Vt66Th=Jdi{{~)2qzZL z*qe(z)74~7il%`2hvwIl9Jm1Fem2d`&Yfnn+JIh~nW>7!CfQJBx`SW4#> zLdt=V`$lo#sse3Jzw7hi>%&Kg6Jm%3Q3$%kuXbKlIg+0q?X5FRBh}-}r>*1IwdHFI z2c#F~xQ5ekD78uk({FnP37euv+qg*TB|i#1e>`)m&z{MOY=u5HFXqj)k7y-0&W&W@ zU7vRU@y6L^qMD?zD5~eGi+CrFDr$o`_I=sfLSN~H z1jgah!?^6jPIuQ~#VN(rR&wmaHoNsW#FTCIB59ZbhBZewvMX8k;+r%3fl%cD;gDM`gtJOk_eh=qe#{95 z#NV~aS)YJXl8Evy(S;=^V@_jY@2j)Q=3(zU?vknu_ILaFoz9hT3Gu)YY#OCXpUH~$ z^C)li0d08x^y+kxht)RfTif6IKZGYKP79~%;QrdQhv&bjNf(*)0Z-i!v{9?O;V3$v z6>83xXd3$9iKOpC%{COaq?bCRD2=%VSei6s&f)2q0$u|vF z;7N4y(J`?CdF^}R870KAHQFIVV;i&O5J$SbOB0+}x)2%(j5k`1y^9ZX&B@NbFn7)1 z&OEq%*eMX^PF3uq4R$u}>PHB6&SmpEJ?qCIAB?!D_6+OSq04H2^zER)49E(DEFH*7 zIR)P+w?q+KEh>`6Pg&#eJ3Ep`68J7F<^f#q;}5N}L1fz|F`Nz^; z^Y3@CB4MwTd0edyXKG}Nz(NH0R@<4*d2Q6vYW?%H->X>ZWyzP`A{9GlL{< zZEE!ao0SyPpS6??hY+AuO#=;o(0!DPg$|;w6=D*{_)s|XuIIBByB;w2Be}m<(IpX&K@vMRk;6>=2xm}5X9RrJWRy-hheIE|* zp~IKAXEZFG`TL<82ACh#(K?b%Q1Xie+vlY;+E6$jnWvJ!cV)E~XHcC5jK(J)HnfA- zH|*ZheER8TDT$u|eotN+{^ui;G^=0yqxLPR1ow4;w=Oq}dcdccfOUX@$I~Li+sc7~ z>MQ$!0r4BoH6wSw!Ur)&IyjA^_pB+aJN{A@Pc8<7Jwa@UEahg#)qP_@QpWRkl;eUzV*qbnIEVt#tLTn)7^N`-5?yI%xqs5V+{O5V zypnkb`A8zW;%+S}=Nmu;W-l!XbgwfrH1u5w`Yxc`yt1>7?7w;FT-va(U&C`-u&<8q7k5taCdHSa5p*nWaIw*;Ln z5Wgy@fcsYClvb8^z@Vmz?hHSmzcHMf;Faf#cSP46kCww#@i7G-#l`QeO3-oAxMsp(~TuWgMVC@-e_laNG=ho&i7i4P+i!4H#c% z@QylBjx^@v$Iwoi)-KKl<0r7&9%aQ^Pk7Vs&J3#RAoX7vO<5_EkT$MO9&LapXX$*6 zsc&dUt0R=BwR?)yKcaNX!$?6az(Z)B^S-=Dib7(ghpR_7yA4 zpHyj8UgxZn1kH}U{Wjm8yxQ>&vIVoUcPDw7`NAIjSR`($OzY!L)66btXuIN=t^G^F z=kTm9*|-z*ZxZh`V#V`THaM{dZck#YUervdKMb^u-tzsj-tM$ zvZm8x2;BpJUT$astwWnqE>!=-dLsBLBcXI>XpNB}5M<4{UpFSZhHgQ)CUc&-HzDn= zQ0o>qEG=U&_nNTEGcw~^qXbGU1YfD9K-N(bHU0yGnxf;x21blh5Gx?Et9~=pz`Lo* z*9=tP!P+%bzqmK$vj@IVH|S?Q`76SPfAI@0lIk-aTPK@dCEq9ImX*&gKWUFVY`o6Y z?$H|D-0f6x!51B9*wX*1iQG$0`V!Fp+L|BH^+Rq|epcLPdV@5|^$GoK#CiX*Y)z1v%!T`p#B9Gr!Q(0biDW^^Z&i(S*3ULT0M9FR#V>M&wCqUPu`7VzO;K^c zCFk0c>|Pbho1S@A^b_ z{3D`MJA#irGW|>aPx`P1r7C6ds7e?_Yw4aQmtaby(nrv(UVm^mz)B=0>ztQvUem8! zKMSZg>vrUX)$ck@<40F8DE2cayj{$^wcWn`c1zxCJ5}v}69pRRDnA*lecGR&5Kamg z%oXe-oF?3fK18{ayd4Bx?-GMjl1}42d4QsP_#on}s8`KOK6;I;B-)ckF&e1`2jw&K zFXR>O0;QlB@ZUgPSou(JQG!T6P)6>Z*r+|B5%FSu)b)jf4joQbT%_|MH@CXjatufR z3md~(Eg5zaS#O*eCI--CdZ*gbNz-0{j*~Dc9c6SpY=49p)2qc=!ajS2 zR{Wr=8GnXGu^D>yqW;|vP6Nh@j+OcO+5>IRw6rt>tCcC&v)A5Q+L{-c*}RSEQ|Scs z0O=>ji~59)gxW6S2i+OAg%=tRTJ9O&sh64SR6i2-2d{CP#h$dh^5h$MAFV*ft{JEn z-GcIs@gMpw35hNn2Gu^uSKj+H`y)^G=JORk$ZioYqr60Bi-o81OCb2RI_0ycgwBK; zx@U27OzJaw`YvOK$F*0PWw&Zx)EbmM+Pw9`+K4ZAbp_%+J`^lxqi7w{ubX3U{G+TS zAjPo&U--}9hZeL^1@SrrVvU$4Gr-#cHGLoU5p~5gWldZLLQ9Or*Z1YsRg-Ubo z*32rdH#7BB^S~2~%`{50%$)#}7scBc>q2xp1{?L4LYzWGb8I~;({v7!kxg+?wc25_ z^ioq%)M$!jO>zWrJh@sWa@+{dWisl3LBE9a`@rK7OVL~IH}aLtx77uZ@P&{E>eM;J ziWYOoQ{p%ITua3**0v8$TMQneKCttzV#|X}Y{)?0ecetoH6Gfka?SDV(#z|j zsN}z^`^mpK=XGZP0Q)LJKr6{nI~$Ro*HVpg39t=z6ZTg0{7SUbTYGwStNpp&Ony zL7!PSmOwvhGQXxE1Sk&^$l--|Ex3wf*nE=xK;e$|@?d4YA2|J5m0@m9`m(y&MGAFy zv5Bg%10I!oDfPY))L0NCJP3OBZKQd;fp~x4w+?}K*$$n##dZZqK33rdnkc`$;%|=y zyWd^JK0F%`dR290L}7aQjKxF|MPqhtG6HsYouB>O_h@8q-c?pTNBHwqcIrxbx2zF% z`FBWddFEO`h4Q^5W+=r)?5++j0ykY~e8P_4$`V3563!mBGa9w&j?HhkRmY_!L!`}b z6H;D8C(H{@@h4TI_BJ!Kco$zX?<$^<>7a%h5?+b}kcHk%-?2O~Q$yuH4ij}`2=0G@ zO%HkN6cpIl(_u-Aopv_)0bXa}h-sgHz2U`&=Fp7B8(7Zs>Qy>H`m*cTOkke~hrLiN zrF{47&leEStIcVmq&a9Jr{$2BY6CldPFiWkJbkHDt1vs!_jAtsSE{;7!mj3BNmZmR!9tY0By6v;!#?!W$jp7hu{y~w zGJ{so5wUa$=Uq&`ZsOo>^5c+9>?t$n9^?yWa{v6MmFZu}0hdg&~;(A}BI(ftWMcr880vh*B+PD`n?julM&XS0gq< z=o~uQW=i+!!mZ%G+mCX)7H;dScA4;?&jXZhEILkn2~>Np(aUg`V)7B7^c?nDIQ9`F zpGwS=!zvb_YW^L(9n%xL5zBCw5UP92{_|Zu+?oHyL^NSl5z15dRqZb%qzVU1Jy9J^ zyXm2bX(C36;C3veYvX!0%8oDeJ*!ocKo~cct-ib9(oKcI!Sgmyy!a(0!b|+z&_3O% z0bv!8@|y>1vPsa$h00fGU61=#Sbh+q3Zu(8GafHyR3^gUM5qbica6*TQj6oME|0*-Z9tG_pJv zstN+4&6vhR_eW-IE0KqEaud2Sh4kUm`X{TpG7FaU0E)T@^V$sU?Nlj5!pW4$Fw=D& z)$7zx@*$tit7stx)J^oTbS|sz;q+J(td#&;Sn;K@A7vu+>p)dEQWs&fx;>Fh0t)Qu zt6FV8QpTCWwNiQ5BA8{V(_7-XqtK|~c2~-030J;&&G!_GP>^sxLbk?}J6!+)iqtT#X`dQb#CNpko zUtrGCq{2FFl0r>Tw|+c2q5A{(WYmj^=QElwzAO`pM4;r68+z%1o@4gdx*y9$kx=>A zEk|g1BsFeUfzD79?-`(#kAJH@J-F*QuCJ`ICxx`l|1`u7CNan%@mE_x!?54s?PW=s zz{s@)8B)#MpbDn!O|fB86o8QBOzgePE;MJ&L44tB`k2}{5@z0h)!>QnK<*tO1Jpq|Igs( z|ITB3wHX!teB{~Cp?e&8(j~9gcs5&T>EV}l@=>)4PLJ$%AOC2ypr-6u0$aAZlUewo zh;~(np>*R@Jh0oz(^_a6mPA=KE{(55r<&=e96`30sWdV=+d_z9JRFuWmVBd(&44{8dB7iSnOQn z3AR_G+ypdUhns7Bu1`tgbb~Ref^nHor#+LnlW`X}RnZ08%V2hZpgaF&kiYIgSK5NA zOF55KYuAgmQsKtDnugwyjHcWCyp3OQc~=cA-aaIqD#;N3IRAlF{%F30f^<5D#&|=E zMpeXz18;_iC7rp1)s~vFK7~mj)G}274d;T};B|&Vwn=3L@{@v1?7_-$tF4+Kve`4> znCyltUdOl9yCw-R*IK8;_j-3%&RtSzEq}o}_^r}TU8A9p*8YyPoXbM8DaKBl{`r&~ zy{t@O5>5B}WUF96my|L|cBz6|`1GC=Mv@l0d2ytQ8evCi0}b9C%|$Jqi%!|-#q-VG zuB&1h0ksu*)R%AXmpziIG#dF8Bc7fO_OJ;TpRmb!6Mx;6PH@fD6#(m6)g97p%4|NT z+JF_1+K{jMA|Oo>kd_EY=TCvw76IvrAZdws!46G+Jn_~+jw73+Y)k#j zZ|F8y(z6yfpvpMg*973!&8J_<^|>ZVm#kbt(RMz}f^*vG5EbLW3x&a(>A!sVDhhnH zY=XL`L=~yU{rB3bA*g4Wi$@%96rc*h%k`q_UJw`F-TXBF~QiyJKq<*q`CjbNKf7KiHB}mXA`vO?(iz7zBZ(b1;@6XgvUpml5`X+K zLNf=Iq7UMx*AtBkwoa-U=>$NWb?XKL?Q;2fmV`6D(iyzfIH_w9mVszPOZ#PA{Pb1w1{Aqd$Zt7?TEcEx~GJ}P4^G~yn_11iP zj75fJ!+uO4fUYbbC)U0xLtX*ho!90u8`HOsdJB&z0<~#4^IozOr_p4nlT-z9kNRdKzD{$$qZPiDDiXXuivBjC`jq-OU;TDcluH~2 zL`~osFKEHA!swi7;Xz}(gI~Lu&1zD$j6_WJfu05iNRG|QYf(bhPU}P30bApOI&QxX_^s6w zHkxX*1X>4JthI6ZHc?R0`6AVqk6)UP?dw* ze5aOHFIDeDwqmLCImTRGeD(D3auLFfVcId?Gk9soGKz+;S-E06GI7A8*hHTgWz`Q}HAbW@7Te5nkJ3_2SCO2`w~BO?nbZj0fB zT*MFS&d&70%Qhv=OtR;Q4%}*XC~4`V1HHJES#GM;wHs?qDe1k9LK-j`PtmWG0U|~h z=hor?n^2-+^nfd>sv{&FfVE&-Ns7G^ruVssHg5VEKPqmIrdsP;;p{wUn^ zmNj4+58|%yyQqNT%~8T+hJHom!3a3I*i87FEp+}bRjm)?0D~J)5nAcITE%jFMXfKE z9r5XG;G{LNhWUjp8d1@=`Ww}P_WcrXv-7edgERJ~XhAMnlwOwSmWt21GYfokv|El= zDn;yqF8s;&HvsWrqv`t20%%PsxVfZg-Xk?|Ugy3QWwVSYT0EzGZ(5#WR#)4UHTUeIfd zJ;W*@zjf1dMTtLmpYfYME)aaT49MnP2(;4 zd>ORpu@l74Ts|I2Q(KRkR!H)8IvKi^)Pl|APlu0f+ISm;o~CDWzpUbaEYD8lg0{SL zNUG!M!fSW`x^=-v9AN%%jd4)Qs==`@#F;m9SW=!IKhD<(GQ(th>wosOr6eu8`>`R| zQI%{$;__}s{h2j6t+g|QkWTIQkB_kSw2rT{U7gID>vw|I z7gf4~tLVS=Seweewb@tW7e`kyM#2-nUk$9*`k)hTi(9+bd-kEH17uF9zq-180Wpmj z;BFjrS8@H?O8S5uc6G=d9AFsgKzDmw?l{t zY4ZUOfw$@{Kx^pG4k$Cex_|ZT9b*@|?@T}M`pFe9_hj}uv2Ig4G__ETWx-X8eae-a zW6BkqbISFJb4opS0VNmdi;tBw5AU{$uH%(;dB21f`_EcyEVFs0ky4|C%979ryap!5 zJd9-FT;>JiwsPDD+r2uQL8I+HaR$yI9M*H$$`Hv8lZKAUqQZLaih(!B&W zMo++n8*L7orB<7#Vzv9E@%q@U70*d7eQg2jC->E%(Z<+haZ<(z3H|)K9}P<=6^#ns z^_mDbEY?QYJa+D)^!1}$+V)nmsqKm@(YST0>S(55=uWKO*87;dUH-5vv}4c8pJckt5@f+dAm#~cV{#aTv)7~QtWWmmpR@T z{Ppt?JHBZZhb9q>PR;!GiCQ5llZ(F(AgJ2hv+NPpD76OqFB5hVptJ%sZknQ>8MXRT zmv$Wk`0g>q9wG=(nxs(tb@FXwF^(WSMSQfZOBsddp=X`BmqrUZbeBgPI@Xp(D>{5* zotzVq@Fg`Cd7>&SeVPfjyx|sUUFaFrD-Z>d#|<8ySA(jfs`}K1xyzfakP#Lq{k+SwpKm>TuhLBXcH;(RqhORoPO#Xd5AAQ$Cc@zh`#fpaS;+pDJ2W*Hp<_k+kxI`*``m;X-AHhB zP$k~#U_CuTx_ExNkJeT|L&yLmX>s;?2-wmts39Xr-Oy2T&-2$ycI0nkxmtf%ym@kD zxt|zY;$_|K8vEJ7$~r!ABCT+oYBOsaxk%w>y&BmWc*3rmZf?`gF}~t?mhQ{#YcXvS zAm*ybtH8d}p-)KIB)aYc_u71JK-enDr;Pt8RVE%rG3qwza3hSkRnYi@&8ca0w0N-N zf+w6sWTxW+7raGe$t9Iwc@4${j>k68T;jK$+q_*vEk*v}^HrIH>mEJjcU|^YLOruK zLccjYy@pOg-_m+F?q6P-_WYiHPGXZ;Sh)aI#OYb9Z-@42#0=V%47rsI$CV5$`|;_G zSb&LoS2*l=GE=#@7}oG}Qc`Di9$wna%*2Ta89>9yd;2!Q>Is4gmN>DCeV32ytrz-c ztcz+DV|w!=YkzmX)aiOdgOJtjUa1J+hrigI=R~<2lrH@9Fv;~I*=z&7qw^cz0^z-P zd&lqpE@FkvcS{eJgX5CC_5v$TH`7t+(e7)$Ax<}_1LKmzku8n82YCs@x>Zcg^~>6- zt-oAlsd2>$(XbIc+#;IWG6H^r)OZFhe&LCwO5Hn|m1lb&jBaK|Vn%B(V^h%Y$K}s? zi`SfZqnWo%$@Gsk<<#fZ7bR?ZNS9cJKX{cw_0`wP$jO%X)i21%MO5|)j{Xe4KYkb~ zabC)+{D|NKr&iIFY`)i;tT5GLSa1EY%=UNQU^JDvJ|XiB(9?l~ABQwLom~4UhH?4bKJqA2n)9^J`R@RZRWSNC=KHMA4w8M+!)vLEzxhQ$y z#p>1SgCvO-f(LuJ~H>p^e2L{loh%aQjo_RUzjnmZz&OCiDc z5+AMq#o1dx#nEi-!U+L_1rP2pgu#OoEI17A4#6FQI|O%kcXxMp3j~6@hfHt_n%lhR zy#IH;d(K(^T6e9dx74n#s-CLeHC@tecQf&a!OnxJ{DD7n{DO=pEUVTu#6yGP15e!p{v#_6jcupq&)`Um;SBL{ekvFAs^~*VFKZ9^jdTy+raf9cD7*` z(K0m;$9Js7n;m}Ai#nw#cQOgPm=8a8f6L^_9)R#boovJMUP{(c-CrkpveRAo33}x<5xUKl2HFQ_bV^f`-F$LB?GN@(T$x?; zLk4;-!~R4R4|UoVAC}J5K5>*RblVz!35p|e+MHoYQmt)>DwLtOHarx)UT>xHfXyvB zcnu>nMGTvkrH0U8EIfPh%D2dr=au#B^d+UO?{%L{)2-KC`k2!Gw_i#&Ebp zFz5Ve6c|F{R4C7tk&jC?p;>~vr8pv47XLS^+!?_Fhw#Sw{ddM-j}9t>Q^?c&Z!A#3 zK0JRHM|70KxlF=WNfarAR&i4~7N$51n_H!^r?d=CR)yhnnT4;C3UWr7=BDx-OmP_w z*RyG5v6LcE_DwJ~1)PdrLC()`Y%xhLHVkk?+-EZi%x1B2A9>4$2Ien{8{a%+NN|HJ zSIbLq0+O!1dpAnw@7l?zRFH)f8~?%Bj{_8tg%u0Ps6>&3{u{$=7!h18#Tm%75bQ71 z!~<3SXk*XYoezoAqJ-E)(zFIDP(%V3y1YK9hz_EFQ=m}%6qk!J(tkn|Q|zlxb>=DE zKP`bYM!#ex@eo9NW>qr4TCFH=E`mfGDIr*h0WM*qfJ+n$CsHPu^MmAT%9|@A(?(k8 z2Mi>NHRjBe;QTWjJ$Afh=Krd|fqWwZJ#ow_Po~DtlJ|?eU(ph*ORR*9iD}E_LoYZ2 zkVsie-UyO3d>vcO1T-eW}uGoqx(AYpbA>NQ#v#zfah6|fnX2oeEmtY46D0hyl&5{1P7 zFyNPh1=>uE#eZfAB{*V|Y?}(tb%jo$hzHst6DeSZ^a+MY=qCjDqsPKnN-*K_N)>Es zP{2)Lfl;+>HQDhWPS`Y|AQ#w(Ej)yOoiCs?E4QLI|q$ zBzLap%sae63>;L^X=l}W{8EL}nhM#4ie{DAR=GHubb-z#f%A~J;P0-M32f^GpvW5y z$sI4%8Q1#!ter>|dkgxryDG&WCnZy9%f}MioEh$665FZScOZgt%@r7sg>!@MYsmLs zX2_T{o==QO+1=rNmCQ2ml%eTPY3^c}oR)@p=@ejuo?{obC8baSX;7AEVoUBh8=riO zhv?SvTTn?!+S%{w7O3b}*UUY8K@KY>*i2UxDNQZbKxrW8&9Vf$=(u#`#A}(>Ck=G- zGB}x5i%Khafa)ueppwMna4c|ISB1VW?EfVUBCr=rEUEp-QwbHrM2j(h%N^GuP|OaM z2-D1~@l`9``ytlry2GUS{ewtkH;n>cG=Yyo9uAqsgJ@`37RQrsU%qGpji#(!16xE| zTDwG89;o7RO{&h4>iJGtK}q!Epfu-Ah#OjoC^!Sej!6ju(kD=4^E=6%BgvWHr%dL& zb%jNz%Q;Ro%B};Uefi4RBujax%VbE^f_bN!?~tlXTLtv>DM5R4`afkTLOErW^e`nm z@Rh+Os1#?A0!4HzcSp());T{erAntMvaia>RuAVqKXa6ktmqX#8OFj8hGQ?P7xWBK zz;WP!ts?VAOCb7|2||qD`{rrjbV>p%6_DEHk@G|v$so#qvwW(bDauIsRB3-ofM&>s zuL89B60NCp9^bz7@{UMsnhp)@K+eLv(|tAUbt5ReRLN((A7d1pEnh2J9aOeeL_xZ} z%G+~_Ae;PSmlin+pMmf~MRWs-Gt6@AfD{SAki8CZOr~1Msw^!q_%=|PODDWaVE&CJ zsfAs6dtN?NOSo`^pcE8ZV5XjbB$0FKiW|6qq2Sty8Mq*-u)P@Z)01s-q&?6Vb0cy^ z8Op>YzkYy{@Y}X!>zu2qR;u^h_I6oYa+Ty7vAAZt@hB` zHJ1!zmSUp4Omy8W8Q?3zyh(uw3gnBT-r>&)RTt9AcxJvKqVc4SpCqL597~7<=z6RS zK%G8L35w7lgnz zJ8tLzMskPGZN^nDtD&c`>*&Fgrku5B-%uWlI-_eg}BjJ$zH`Xw1wDp3SzoHbg+K=`utQ@oYnD$Ie2(;c% zGC)X`=*n6I)Ggrj10tnn`e+adlM4bBFgX|{k@Kn884G?Wk;Q0&dSj>f@jgRT{p+*{9N}vGLApR^S{`FRa#Zd!KVq;`?OLBiGC$+$ zS~BDh0g;5I#SXoBg&aOW82msG`Eg6mqNCQ({hK(|*@H~=+fXW!m$OpR6rmn&?#2+R zGlG?aT*`Q(p3(3MOr|pJfuT`nY% z3H;2fnZNsamf`FwHIikQ!QCoXCd%rtXwhdv-S8pY#yJcA9 ze@loR;>_kp&L=(i02~fAJD>wtMK~phE#I3eRk%_Lazcf}@{dF$BdY1S3`9vlpTad_BWdIGYx=5(Qq(9JY zsrMCqayO@qbp&UuXi@2=i)@L#4J2ge@5-9$c#XtaMzdOy_c`A}K{$}G@hsl=Fc}_j zshgMWK@!{SpYPs^0##RnS?x;;Fhy((g?n1a-}KujO>CRjnOWz3Wdkw=nBBP?w5v*Y zYO6}LXWcV|=Oyx?!*P(+A1r=5Q8Tr~#IMYs@@{i1Jbxvaj8=M_pQ+FM=3+GOMOSY8 zR8^wMtftJ6LRU6V`^pwpc_;Dmm8seDB%N+T1(V_+JAE7%=6EE|nAZq8K9cd)8!E1ItA0}|dAKY)t>fKii`vn+l%8P~(HciwK@Sy9yoYl2<9N_24xS-o)ncluNTQtspvB-cu$SGR z5Wach+i$W!SGi|gW-)#GiLHPP`Nj~Mhm0la@-8elmS%o~yrf%L-6z~HQ6K;NMyTP( z3Mz3tbR=`g#7J3~q%PgnipkhbGxUkVsU*GH_FnHIoA^5VOz!JJ{~>=@@-#zFTTbQoI>OX}B+1Iuk-bBuH;z?0I)bQSXt41Km({o@eDBCK2da~;#`FcN2 z3L21oJeq>uKc3yiFpXho2DVPm%vU1w{bCeAZPq)tZV4lfqU2q*QOUZSJ$o1)R_SWs zj~_fbjkf2E^nbW=QhH<4PTJf#otSHg3cPX$dZhJa)0(f&gEd!eKr6%Hq2-}S&l79# z7>eT1iixqKHBJ5lqs494Q=#u)=v9ST+cA z7C<{#az`wIh6&TD6KVEtPb1+3tJZKe_zmX1rAMv&g!m0c4J1ORF4W*xAws8`_m=Kk zSfbe=q`?5BS&Lbz9-34kBBh!KqWcz?Xf_IIFal}TVKQj^GuSuLY;k#7xrN$FbTO{R zw=_d|jp=i)%>FFQ$t=zSu2n1} z*(@}{EHu?DSjb9Qy-$dKrC9Wom#~Fr_RjiGADgk-X;__8^U|1;zOFK{UaU^k#7ToL z(4mV)3=ZQ~s>2bttgJR;6D_Q)JJ%D_C==RWE0l^5{i6^(-O@eihBr<4hgkh5Zt}8_AA6SXd>gM`SwdgW&!b%);sqnUk7z`yY3efV zRGWf)ugAQq5aFX!07OGxq=DZ!L02E~=5h7as{?8c^2f1^iV7H$eY1iq7Fj$mV^Zr3 z#ZFQ@KB(qpC6+LOAi`6!f3gILBwV&)I*@~Qv4(jV`pDfBK1*~V1nq{876oj_@G20+ zy<1jVR?tMzQpoTI4;&^7{TrkA)jY@&Jjk712=7_IYW8j)4Y*@Nimp4&`2AtC{0q}7 z{)tt0TI;o3H7wC;>M&gu03rVYGOecQoy)T;E5Zpp`+4KYpD+^^_9E$8qDpbj9;OzixU$srDwj!7Je5~d!37!8wS8969qd`Ijb&1O!L z%s>H+ARU{OAf>)PD$)0=;Bx-XENDW(W068e7fZ|zoF@@vL6Q_H7`m5Ebg??&=|EmO8-G#Oj7|6Tb@$b;&0dXsNmV>8$6M}Spdjt)O@i!Z zUnqli_o8KK$v(mPySZ6y;im!ywuKl0bCpTwP}W#<4zK=j*!WWdBa> zuSn6yr~6S*R%#LCd{usXQ{`$5Yzo; z*Y?%z%Eu>;{~#Ky`5ugqOlbFC7)O{^ZQZhHvMro?9%@anFN(WkKbPHm;5nfiAR&Q6 z)(Q3@%3Zk+h|h+q-e-d_^8%Df*hb7*ulop1V3%uZ_z}7&lYz>~E#{FMLU z+p&FoJP$|)_+s45FUEu6Bm27;s^~i~pe)yrJ)mriwa|H4kTZSnYV z^zD~M8Atb#HzgPX^^c>-wjS@Y{w(pyvA`QjQZ#q2@mEE!JT18KGwLFr z-0WoQZCLsffJMcK*&A0CH{usL=c-DRj2kr*v4E8`4v9(0gNWnftuo`|j$hC18NZ*` zeKEQ<(51z{MHpU$c+(7#974CQy{yDhn`ie{9#4BVW#9Y~pKD6{khWX8#G`C|>Uera z?muv$GJM`TWPIth6(Tpw!@^bPXtX!7jKOL}JY36y+K?msrQa0)jpj4O_=~>PtPwpm zxbU8H!$hAb$6J6&&if-x_#N1G2IFp=ZRXQ)G2iJ!?6INQEU9kRkeE!7G;d1j{Oq3?l2WK6vb$Q`Pc|IzcUL`uCptXzm z1T0w^&ON#^{P@V8$gtL3;Mz`nvw_MjH{Lf4ackG!{i-ro=Fw9ogEsTiZJ$q0;zXUk z4^y_8t_xf$fu;lZMl#t}72iPGadFLgCp*jm!ff1i@4fi=o>o4%@c6~jhx6_9Jkdy- zn-y8qL$x1g*JoE(!IFvc2MQ(t{*O`A>_Nl%=HsE`+D2h;mFZY?z(fTfE34(y#KbZyYrV7GpC{+m z`6Z&Ay~{hO9X=@9mPGB{C34p~WAykjg`g%bv}kawTo-oSDrhTeCu_%d2Q*9P- zjBa8|!UK6wQ1o{s)D=k7RM4{k8ABtUGaf^F4*c53%v4y>#;gr-gLI!uzFLaI@|Ws* z!&u1HvN*6Qx`xx;c|3erG9S(>CMRzZJ419!%EHkSoqrD2&E$!B6Tt|jVEixG3ioP94@U2#9bpTJCP>hvJL0H?s2d}7QI z|5StzGr=bOVqaO47n!+uW=!J?cVf~c%tUE}3C<_kLb&=D4z!g?a3ELgT^lp~WaQ>1 z))JV-xPVByr2H7$>uIEPB@TZPD>Fwr*YYzOWuG#=On@aNdZX-VXp_$Gl^;v==%?V#H@#5a$!zb} z-OdH{PSVfEZsEhK=Uw1qU;eR_-t<|~c;mUlAKT!^JOE@{X~h9G{VvHXxFeuAAMeEID| z&(-5Fmv<&Edof&7_0IJ5T0+no8ZT@+;Yogfqf^=bg|^ZBDd?( z&V;vN+~t4wc}W442wGT8*r#WT4xYF_zok$#ah+H|;)&;<@`vtPK7kX-R@2GUH@_e8b8d=vBp~ieBBkLrCmXd*Zq7S0TYVaKPed*Og1um?acM6HZ0#jqP3%4&gM+ zm10hOU`ML+u}sj=#|N*POpkblRuGHlYd`CYbg10Aw$J(gT|DPPc1P>e?&Q@w+bRJ! zhsV)>GgUgcIRnA;doXr#$%Fc2$A@*RCN>U=8plT=avZTH-S2`Hho}qOZqmlrypFVIeJ%*sCi|U__SHLm={^q?{1Kid@X&A zzi2Hc)v!#{SD;8B)A{H3jlF2DVF6wOkCIX@jb$Pm)#>BmvwAIH%RbXN805!J+!Qn>upW)}z}f;|4<91zda2gYfK}b+ z(<~WLC&Mh24Tn`HhC!B7ct@u#9m}jqGz;P<5!dbl-A@Xn`K8~5xpy&n?_$s@VMPUg zfD;ZXC!gHn)-I@QFTN|qMUYokhYKqg)w0sYui+8@V%3Y5!(&!>D%SMk>{_~-F^O;WTHYPTEm z*A*l;(5)I5Q+2O6xU6y+$^F^7R+#?QWs%9+WpFNovQ3xGYlirkHuwdwvu*Fjn83j+ zQ;urx!JF(jtjWNv&U)jop{PDllLy`v7u{dmHzn<0v@B#9+lKLLXXQ@6OplD3VScGbZe`hzfmZ>8RfjgjXo4oh$w1wiq@ z!4XNZqbbYp6;biCc~ptp6tAD$@7!BE$4f`G6S2AOi0YeQnN(s1bSZra(_&;;;?$o$pko8jZy`&tj5 zPW!ezN6EvF=5prZhk5)beAgof?GaQ%Ur!dUx0~QDK;8eM9=>B5_J0_eD%+Ok{Q7i%W}ljxYz)2ct&>;itt$YI z-@qZQ*jtyQ_>a*flsZgF(gSijg}L#!>Y5jQka9isLt-SlP6_?O=28-Ab@MA^x~R%# zzhZbnZ%pPW^mEMD+Z|La(X=R4>y*j2T(-&hLN+vG)o;G;^caOT$3MYeG~Fx6eMP%| zX9iV~(ry~a1zj`LW`vOCX^6hGBf4fEu$EeF7;uuZ(lDE=n=UiXRT&p5PYP6JKxWXw z(QKk`01QiY({;wV#^XZcNr6d!8`v7?{}q=rZAVhjCZ@@iCX_!jEDuuSr%Vj}-3=(+ z*7)8lEDue_xz^)CD@lP(49M16ILkoF)*5Cjb<;)0xiaHI=}CbcfI$;yxq-gHT*GYk zZv!+baGn9V5-3ahAzX+F?TfTjq%S5oej+PtQy0$LA=ft!S28Im?y$sua4$5FYIA-i zn#f;6SZO>8@hgC*zfZEp>?6RxoC-R8vT!-zpN=v9IH1ypJpcc3Yl2HrO0Crb#MW*= z)DW%7Q5g+VvkQnStURJN<(gGqsRf1xcY z@IRt#XH(BJ%{;af?A)sm!hM)PYe(T3+VhYG(rXQZF2t|l~Z7j?Yl?3|sLwTn4tT+^|c->5!EwnrORG=#G| z?P_10KyDY?U5{~C%!Vp)#0^~br5Cl?l$95?S(OPZ9i>|=DC07yh{?ZkT2W4KiW5{8 zwqAw=DZFVkr^AdKMvEb>Nt4aG(QMh`Tfa$_7cK#=_ zbA_0dQ-zI$?ZCcXQ=1WI0jEK^l(Voq<-aI*ngirsm0K}g^PeU3EV&A**@3+!T4({#$XSIu4=%YsUSWbnRvHW}o3r)EJ zeu7gy%Gj7Dys;>qf8BoKOZAzqncpv~*}Qw`B1umnJFh$bO(m~pYXU|l?ja|D@&0A? zB@nk0i2MJp-a$^z-F+{qd6p3M>^rtyC(nX*He;EvqmSMW8rQFF0*`Tqx?@?%bq9qF zCkEh@!itTYO>R z?j_CLCujmu?ZRvuS8jh;H#8!)l`Nyx;G znY^AWWjb%&O#X6vWIi^M(o$SCYBQ@eBeSWeut#U^=L!dY!alA4Ob&?bF%_<*oHjBR zuH}ywyBYo`*>bV>uO`grYD~UxR}vhcFc+)5%6_@u@RN$AC&M{qwv28JxO@cz%~%!@ z=>=ni)T(iGMxA7G-8M$y9>+JrBH)15Ao9GFUhRH#RTK@q@WM%U6#YT9eS)BxZR_U& zEZ6tXEl0HYwdc3PmwJxwJ-C@9ccSl}P40$O}bC&CSP@FUVN#Z=iU5|s&KdF zE9=2ci4%!~k-T*kFTMgeB#lg;ZXZIeMPn@vttQ>$75jxGDdGE=S4%hjqw$J4p$TFS zw&uW306eBIh-Wj2JLjvh+7rLSs8N8A_DjQD=Nt|PgA zrGa+uTM_*DalYT145}{>^Z%I}{8<*z-H8LT8f^C6HP(;g1)_gHsyz+vv-OM#e_q_$J6KR%d6&h6X%*sW+sfOlNj=k=$ti*|}#939v&#z<=(_%=e+~xV9 zKUu~fC(Avb{9x@2157`W-AXtFsn?H)r?C#CiSo~6kk8Yf$L@nK@ECqkPGSw3>64mg z(TE}6OI%dmNz_lC#^D2l)Bl59R-rtz0FiG9p?MgXqLfr&V(RcJCip6;plTL;!^BZM zO8e2Wi82*q5hE3oZFLM)Ma>G9=XtLjA%NG8(F~X=gnY|G&le>Z3m1w=N^pxv5*e@H zQ!p=0ZKRIkz)NYwo=Grc2>cJIOmJ&Z%~Nm29BfOZnXeS7wE=}WSt9(LN1vQW=+M5J~9(|Ah$kDhPe}LsRrd55h=KTGChO_(qCDh zzzT3up|t7{WkFSnd&G0ZI?T}^_4RVs^FH&{lXy6pCBU~v3#_3?Q${owc= zFoG}OBJf(%J@MEG4-DsWpMJfMl#g zf%BC!JuOQA$lik;8j<3%pel~?Z2dYx6aLEDJ^Zy@Q zV8@sqBY=9)Nxvdzc%0eMwNGE2z?IdVD(rU?-F#o|0bz3Z@=+sb44u}i#4)$7BwA&D zOp3~iQXdNuDxoear11-0KO}%3vrU4gzEeYD<$FL9zP8M`RdtcEi8|n_73pX-^D6y7 zjCAT0Eqrkq`#P&!0@tSZKlTBkD#NcM!W@{&3;I5e^5l# zQee%OF~jdwu8DA>Z7FeivON}wi)*BOo_v1rMowJ@U~%Ep=!A5!q2jZWRQ+UR1*iDE?8xF4hjO7y@Mfx9EBGX1f{QTrC6}Z3LPR1YFf!k`K|Hb-n z7uh9Jneyk;lD-+sj7u~W8GqNDW$CK~A1he-@rB7Wh}Uj;VB;G$82!qK%?GJ9K})mw zVO_^m-X)Bg^-1m-RmD$>n6IErrqalT?@MD(wjxcmhE23??@!=OroK;e7^K~3Q@Ir} zO0?ZpFPsOQpyxlGpeIu8Ie1{K7@aO#2nhU3NoI*oWKyW`3^iz=3i}pEBPNxH#{ebM zoL6Yr9dda;C3xLj-er~B_3Kxmr1qaIqmGGJ2FM%;^9ZU4NeJ+;e152ciBr6_V_O|Q z@!t}qug0=Fyv8?PHsm}cHG66*^HY;;P;gCk*EFRm$J?GKziE7soiDp<4N^Rb;PAqK zk8`NA=l|uPUrsZwqVcKfVR^xFPpwavuAA{%^H!!~!8^n3_QLdyT=8wZSibA6n`}9f zIp3D|EnYChE?tK@L+#el>bzPwQ`}hFb5Vf5%5aWn!i{M3(e=ssL&sxV*ZN7mN3^jK zXIT6AE%a<<_((-hkx#S$LD9ipW7j?+{9=o3yL)Wa zc#qbGWIB)5res2o*2ZL}3rK{n>T9D}r`HR7+Gw9U1EBA1TW^V~jn|5`AL%#Vkc?+} z^1L28d)%4Yx;bj*MOQ$~1_p&z19XX&J}4HsVS#5mLKDo_MaI}{DU8!NG2)=T`HEU5 z$~bl=%1Q134*+Myd@>&!`;*n-Be&lD?qnnW=4AB#W|zU+0jHb$ zkHuh#4HfKI#YmDyEJHnomWgQ7M3`0T+a(sdI%8F%alqhqMVK!g!cdP+Xe?xvfLN{m+v_HX&T(75K|miuhU*6)XAcLq6&(Q8 z+{k<80v6_iItKkuBaP8;g7UC}pCkksGj!+?`qbt3`<90NSa84c<4_IgU}WIJ_P%Nw z5F6Wk$<*Cw_z`vr`x#lduqIdl0-*=o0G-$kb?Yr~I@_J$dOI z3eV3fP#}ek-`Q!OY!h3>2JhXVkMs!i6#okhc|e>A(dwIe7B$)fQ^6|bug?i&WW%*8 zx(NWOK)T9ois5SBR8#OEDHw^9hgrRUw?k1km?0fLlH|$4k%v1AmGb>XBNF~2ggDUX z^~>7)m|rAh&e(B}a7NIONVG|E&4+ykZ>4ax=CMpV^mO0V6_{FlGOtRC^p~RZdtnvh zZ#9zTB4H_@=cS<^jdb|g35IgG=B8Ud!|FsSy&?Z$PxKR6FnLE_521}H_->hSu}?M= zo3^K_EK9HmR_EmqkyTy+V4d8uxZIH(N|Lt^|l}=1yJJQ>d!7C{)@&^Qj1a4PbB{E1C1dHgE~N2&T8TGtj%Z- zp~>?1?+&CFuq*5+pUD@i^uHkYI#0s2P*^Q!f1&I9OJrMpLtKTh(n$D08{-3!2WBLa zxI3#Bv`yP=_(R{~25JBUq83IIwUzK<5;L^DN^Vfx$g6ndPB!)`lLAU3I3DNRR9VamC>r@Z^CNTuF;*RQ4Qq6!l>8v7qGDo>?2%_92; zYNE(Kmzd0{;GM`DSM|9D(>lD}7om-Csbf*okBcXE(ES{>;Jz1o;pfmnb^!Magw4&g zX^=p10H+=CbA-`6`9=goF!Uj)lY%BTN5w61>ktjm$lie_nhZd)+XnEYh^`1vUVtJb zp>YUuu-QZW2(e|xaCSjpF-A8U>v^d2d5tr}z!&{X*kdw;LD7JoxRtm?7QICjJ>iR0 zgvI?Hf!l$+U;&2HQP)i^%ffNHANBt72D(b@hHiFo_ky0cc zI8+MDGq4r9M!1}y|Hub@Bz%#1M5P`w+WhIY9p|x5q?od`(E$^$nAlL_eI~`#mtf8` ziIb7dknZ}-j|jC`2;}n$Lcd^zLYy-9r`u#>oiZu3yhZ1o!YZKHi5cPGMoGtlMI(xN zY6ELaEn@r+2G(=~8^g=0A&r&jmRjWMh|^6%HJV&2w0#y^R^~(}-*EFkSLOxy6A-I1KoSsGW%aV<`@FUbVQ_aNn6fd4| zBX`1z;$|v{>U)0>_+5p|B>X)$dMv}AU4D@!j?tr9+n!}A*n9X28KIj0CEDmXgm?*` z<5Bz~jO#|%--zi5L}1AB1c*1~)!>^~bMHx7@3w<{{j|O8D1`;9x>{oOb*aRSvbjb+ zZSX5#h;S|?&Kh0EhE?=p3%<>4nkRl0zc=S5BA;8-=rWws7eD9XMJssC)QB(*OJx$7 zfM=v`Gt6SDm3mp+WG#y#&1sFwH5368Sx7G`obmG~+a0oSLA;KpWuYK-D|&HTGE`}4 zS_PImP9VVZuqj5IF|GFL#}WC9M+$$6jLor0?V8roGe?u9_qbN?P|hxuOVnng(xps2 z;s(@pwdo7I`yVUA^RaDPb+U%~K4C3wHcI$o_6ZwI;$zV%a9YED=QaeTT%!{i-Z*SU ztgFEu<&m8>keR`PY@2e`V0#mVVNc+2Fk#w388WlYQEzF$2*nBzr*^s`Er%rZK+*QtGm)dF?8;Swm7|KJ#z#W>3GF1s z)LX7|4ajo(syd$hel!7zAjZ5FSy8Z@J+hcQu{w7e=I2K18I~JG)eYhyac`T1*Q?IT zG&D^$<2{q5{UGj!%9POGQ6mk?{u(3GzKVms|fZEw0FVNL&)bE zJy|j~{GA6ouHGX)!7B?3Yg;$wyW>oc*T|dW%{#|8dpmZ2ynf!lbH5SMYq(uo#CnJru02G(AlGoa(q9fUd=t;A@36 zJyFpPYfjHESa&knzON507>amnB0ZO=mJle3b5YKhPsJpsWR+dC$SYIpon~;wHh69x zwq+jnWFB^G9(JD8Ml*QcdXcpE)=@w1;7NynYW(z^cl6pVZt{W(dLXGf6`z3n5Ga|Y z<>G3y_H^ricd&Gnjoap9)ArQ*>Q1iZ46FB)x1jBy2%5!{<6*OQ-0IYRFn^S#)%*|T z9#FEintg26$NVZ)~gj?3TJL&1s7T}Dj7SSR8 z=XADN_FaKUHnDcYK%~)@2tFWE!h3CWyS}%6r0?C=@H?u9ZA+Vn#HjA3fgRz>QiarN z0RdD22(y47S_KGKfM8q$2)OCstYu}B069h8#?lG7>;k-wiw)W2HByJL!Ft%Wdf3N$ zSpN)!efD42i^VTEcS*vL!aVSL?B5)t{mVs(|T0JG0R7q!x=% zXwoA6K@+H{a^+fjwwxu?tmFeK%A85XsCHiN&qa1cC8!vMHqS!%F$bSy4v&2#Y+L@q zv=eD#u5H$jw*2{NC-JIWXq2w-0&#Cp6;Ie39TzIXHjaQdI%4BTu*>L8n7kLc5vl2j zM&DOubA$_2VTg?yF@G>uCIYX(^yN6Hgq@-X8~!lyV_UQWxh6^C|7ybGWlcb7T0zYE z04;6LhKpb`If9*%W{}b3>1CCI^v}zlPQ=Y<0MY6T9OnQNJ@{3iv!7{FLaHYaLwMAx zD>J9HGC2t;eMJo{>3~HKGPfx#q?)XMleX&?wr}I(J~4|usq{K6&&^&?iA_$xENmYU zN#+}GU@kcA9XFe(11XzEMJrlb9IuP6M>03ajYQ%|XFs8!bu=}Otr?;ew;yB)nOQZK z1@6-3&ieE?-YFXoQ%;bZP1`j%DPgyjaHUvXG46nTj(;!?NaW)^wiM)iwe2XL55vISY{XRHj@U+@ldtrOqX;FZZI};4SrBy)vj_QlK_puV4 z1`y!~c<;hL93v&R(4CL-3Wc^)bmvpXDYY0yK*@R8Kk-X;=o$ z0N#2jo{`)GIs|bl$BFTs+POQa(XiX#;n@@37*YVghpRz^Of~q6A8hz-46>X&>Px#$BAD$q#t1e?9d+{` zZOpUN$#RZ5+9_B4PiNQAJNNE!f{%}C0z)>3Eu^ORD&6fq_ta}^FqPG;(3RmUI}Qe| z%3sk_d1cEFXqX9;f5>|4mqGnofDdXc|B!=C^`m0YW?|v+sY$=4LkA>W8!NmgL(58Q z8<(o!wlN(shAeM#`JI2tlPw`Re}a>tS{?`|pNNpqA78P6=#NVoAko=&gDW@%c}*OB ze%q}wfg4{6D0sitxv)=gx$f*z0w?)(kA*YG4v5pzp2b4$NCfEvmqLcDfd>2!`rM3> zk?P15VNAqU&45yCh#M>@)-G zpmtnq9ikK-TB3X$Q^sj79esZQULtz)sT}KE)&^o7zj9poW)z#6d+Ey2 zFkq?ft6{$3wv?0Fswtiap~ED_HHFX0E~G>{-{uxB*V`lxg$mIU$(56oC97$}v9n$& zxT4PRe4+%62^#rdCT&QB+M_Rh#Ln`+-2N%C#f=_#@R#DOQ6<7L5{?Vp^JH~`x)bCO z-Iqw%>vBJu;a#%}viWxSH0~%g>CcWKg*owrecg(sXKK6`ogLrOOm#t7Zi#(X0ep_` zv)rtnBm{6sXh;gK@*#cxLJ2gl71WF5N<@&Rd^_&r1m1OC@+fY%pAm)hIS{XW;GvOs zMYX4ag(Ucoz;i3UdM@N&1ul1`3_KvKmV-yC7CGc`>LnH3AEhhz?W0TPFCiDjw*{|$ zj9lv_(vS}8e{U-SkL2QJIL^9|CxKLt+vs#SS5%9=$Qvz00l25{K?lvHL5fDbi{Y^c z7xPXz15=1BxXtpB3`ed_soeww5Z~hM+s{vFX22a9V)}~~ZfS&Ai3*@PSjj?`yI;X^ zz_rbXtV|4DORpmCMy7w<@`-P@h5?PZtgh@nW_;XA9dGAWMqI;ov2^ocmlw+CDC~i@ zavCX;Y>6wLs<|;@Nc!@eSAgRDtafiPk_V2j+_!d|y+^Fx#_9+u!%BsOE`bIH#>ShG z>+g9(m%OqD#@c%USuFJbaP}5ZaWq@}UNc<>M`cmlyC+mr9Tw@<$R?%6%(&aGeF?y9c3b!+N&RdshpmK}-CtM*sG&M?(c zT6=YviH~WVqAAu8>g1_zn*>lht?{#X7Bz2=5qtlT{sUKDB<*5ex?EbdVhSnXZLbJ? zs(MeqohxNL=Gflj$&zT>jq#_?aDbV535|8k|;S z8VR(fCkmRIzHjY33UVReX(^Wb_Gq zYEGiZ$*dq{+g`yGMl8AFcy`1d4B%;^++b%!s&s>;uF+e%tf0cgUD2`uU+k7&F=;nF zo$GZcQ3H$j#yVx@qMn$vm05*R%kH5KmCH%7P}L=x)UxrS*~k1_8uD&?cu)m$9x)Z6bCm=?jPj{s&V2WVY>z*$9pp(*UAM)`* zdkI_I>?|!ZB-12tx(FA2O}`o$&u^DoQp)TkZzoLdF#l|b1G`VCJcW>;i))8J2wDNM z^}&hhb~Ct=1WxIl#rP<~`aFd8-K0Wwk=&07z1Zf!xQ}c6L0Kfx-EI$!b+nM(W^f|X zNj$J%A;cqJEhyLU%A{aM1N%>YwVgD5g60Kobhz+r_~6-Kz67#a^82t6GLW>=f8Kep z=i)CKKd?z%Z~pt|Ncg?=lS~p4;F~-5mP)MU%LhB05ngBYPEkQ}N%#kMrsCxrRBc}8oQKv|=!tb8#%r;gbty3;Di1P)=~$Y~8h0tc6d2Wb8y zdd2v(g@Gf__Titf)ooAm-(G@;I78G?_gQ@%P4ssHID4ud3Z$D2=?h~=EG{wUw>V=1O;^`1!V?yhnN@SRS7_IP?_g7G#eFrFvI;a*Ktqo zn6*8;yZ?HY#Q8KgrId1Tc7!VHa`X7dk>~sMbFV(tnZ)xSo5>hAmJO0@OH|*3kKB$> zcq6|+%g5#DyG8de+zg609$yFO6AW`qr-}s*WQJSPW2N9(wZ(-?(+mrxVX7l^R#2Rh z2eL~6Q$D1<1K7E+PbPvl8;Ev5mT_u;5mZF@6#Iij-vs91A@O=~L)bvp39C|-!A zmUnv38IkgkA7k;GkEtc7Xflk6iLT$pYC1}XmYaVui7F=2w}q+Ex19h#Lv{MLA>hZQ zLElyg{O}B+?}tw{&*WljX?vsNpkK(QQDih6ux(N4Dlfao;>OPlhh~O+C`$4;_U7Jc z%ZXS_T|c}(3c}t&y7?8}Y(B%&{H5mL<;=mC2smBoSAU847tM7l=fAwmG{jI}Z|7`UKW!Oc^8!u$$K5iz`1sU$D22U7A`kOWPP)1B=sU*k zjTH?%cppUvXz#_`>d3yw`eaqkh`rahx!nX_ZOEPdB?ZM5x_%ro}9+=PS)V z99tA$XdYkFbV$}{)lzO->;3BHPNZgXB|gEwTYsGJw5B5qCk)CI)0!b zZz>vx#V z$bCec`_~uXp&}&Qc@!3HS`)uPVvU;2YtecblkDMc2P-fBuqu zoR_f1{c$7FXO0|Sh`zp%F7(9~@Scq0i#jY3sWS72E-Z@#gvL}u52<9L^>@2xhd6yl zXD)|_9p3#+>DuPiep$|a+GibgNFf<`f9v5TL`{9)?HiSv zA&>$~xYN-HBubV{KJO~YPSKfN)}DAgzu7SxB#}Sn*A)Hr!6$2BHU3=)SP)M+{@2z+ zK6X~>>eG;<(^rBZCatv=S*gs9=5Jr7oJGLL$$pVsMj{Zk691mZ_;;ovrXkU6m9~K+ z0={;S9;@g~q<6;0IQmQlq&bO0%Px=ylv zD$dXxJ!NRMynwf6S_Yk>EQ+kJtbl9-@P&&PpTyDwnN8Hl5J=NmLc}WxNYg1S@eKSA zp7&_SIU{SAzaqI&lh6fr3jc90@fshX~s%f4#1&25WPDnMljd?P7wNN32rdh^}f&oBGl4jzAr6KWF&b!5$}OWw@^FAlbG@R$vwJU5XQ z{?hL9Swrx#)XVSsb|k@QsKSblclaAc)|KNTAc|jXEq$-a(+&KFTEiXT4>y4vxY}EHqw`3b8DzIc8$gprDb{s&T)Ge0tiNFymv^Inf!o5prvTcmG!pU9sJ zZJp<|PMaMS*l|c*(jCfEZnl)(0%z|h2C zSdG3RH4S@7U?~lcaI}%ky@I%%7hZe(O-AVKcN*7?Z@L)GpAZ^7EH;OiQsABFbI;No zqIg_dqR8_Jh?f0jbBo{7lCa}ZL96G3vCr?it{~mDUe=TKjLVbJTd(c=&eI2FVL{NZ z`ildx&%I04h~mHP3UQF0*9i;Y>E6%umzme?$tmV0wwKX8cUl53%qdHKe^*-P43bEC zevh%MVUCUO>rgori6-1S>)phlfUpa(|DZX{zeDze&>g;5)!+uKTh)dM?3||RNKm@@ z$lJe7Z0~~3sSO!PLC@9ft&H&irAb_p;YMe9A` zsEHw&|A=XS{`mOR=IqcE=+xQap?@#s5pIn2FO%S?k6gY&A0)p$6{i16`!k-3=tuHw3HLLG^ecvhOmv1d z1v)EBT=Bol*jr>HOIBIojtf{+R@w4DO_l97rbVGo0g2|G_f=--(cp zFQ^`Hb*gM@oi#K(CtlOpZKop0{cf}%Y4#-l#8=Lt*zFZ=jI#UM0qr~aoLd3~ht!?k z@KiJvHxmhYq;endTl!F4Za8@`4@!P}Zk*hgTgJ@!mfq0TK#6H2Qzb!|esOy5T@-z^ zd+Z@CIw+sfPcBrhe3UAcMq$QMLLRS_nd>cm^mKE!8iADkel5@We2%Yu?OK09kD@Tf zfQ3I3Bpw$z4Zp|aML&s>%vI-;zyt z`UqpNnoe!`B$>u!_iae6uH}re2iQ`lO;SyX%M@ssf<$(y zhn_rB96hBT!6-;RDl_~AuG4!|&BBl1Cd2)Vl_xERp!CHAPo?}i2K>>(vTFJ87oB^) z1aDzZ9mQL*d70^?Rw;$V8E~HYhZAR#T2Uz}Dq=Nhlm*6^W~R+@al%|vZx|!>+4h3d z)rb`Z=o!*KrQ#@{TfT!1Xh`U@)!MS{4bKwsV=F(kdY6t;j@6{6FrXQkjXLv)$Ym0@ z1|6vSUPwy;mEOVM1!-n#3Py8hn>C>^)ksftfwKvej2IyA6~Jypq7y6-pJZz5qw|;5 zig`L;NUfgA+dE)2#Y44uv+#XmyUWYJ`2APl+frVxTS}VVsHRX}L2C6jeL{m1Cc&Iv zVFvL5=U1CSlro!peB^cv43>osN$F8iLzp;|P0VQeK8l6LgdjaZL}x@HP{N{zgugc- z_!cT3?bUH3#f1J-WuXv_fp%>V1L&_G1&zZ%=@Bo5XV+#VkA(j_U{82j3fp(5Dy*V< z3w5achOaQ1Sw#tl(*T^G(k3|y6kd7p-t^7*R}7pd(6Xmf^Cbzg_DRD>Syb3m=Tsh4 z&%fqLIQdg96SUGgD1V7T%(%dBw)cXws!_?iowKeL4qx*NSMyh2e zFol0gsCIpR!tv`P9FhqN06EDd!1MT7%#UB8jqtCn{=f^@DfTG$D8!e?iXJ&~VEv!S zUaY)?R7wv~fU=jSpX~GAFf#spu*wiybOVaqD`5$a;bDYs8m#{#eLm;{{@mX#ydwks zlBh4?miiv?YREeJGiIu868N%GQ6{`ymGT7$7OWXYK!lx{pUjwt*)c4A8?uCTe_W`r$BHG=KI5a%v( z5Lw%0xr^W51;E2e{2a+^Vvw`Pd)VRs3qwz^eb>o<_3(WUaQ=L|R&?1de&QFlc8LO% z7-)sEOrRBP1;>Eh6U`~xkTZ#;epg^{a(o3e+SefO#arrE7Y|Wc-M=?1PyRDnzsv?S z*fdHUJOler@C+)y!81^CfoA}H`OgeQE+3*MfehSEg05C>ss9;m+M1&S(o7w~)0la} z(=fp;r8SiTu#{Y2@aMQ%Kl=6HCD{FYRplh8ZRYkrqI7>*7v7&Vk$=)iz%Apb=yzea z*K!C0Un5(PbRk~X$0JS#OKtSgOe9O41z6g{Z;l;^zW3*VlTVMyzUMd{Y za^y}Oj3ehFIxgy8)vhSVBCNzXj}8H8zb)WUgR;yHAjfJ&_%@2ARN*B7FO2EaiZH2? z0Bh4is_>Mw(aKnmG>JkhG5VB{Uo&w39Z4BdW@D(;qFJ$swSXX%kLF@c${uU6hWpDTh<@ZKnO8QiMUnQ!XOA5i6Khg>>#aYR`DHpsVdkQ8k-4$Q;P3 z$hgQ}$eMj>pNY7U+nkS>#J8zvIp|dBaOu40W`@Dj3EXtwR$*MaG;8A&ZpZ-SfWsA6 zIAql=>VCIUE$3&>ua|N$==u(Hl(20fy+l4X9;@R(Ve@HF90)A_Ch*U-k^0tt8ig0L zJ4z`*U%={&mf~HPM++B5;3cFAXjI9SKylJorkN_up|RWw`;RI?fLNev*p(Ny9i~4K z+3pV>TLfp}TJe+boc6I<4*)k*m0#Vicm9rSs_8TlsxSdnSFj^-5fydd!L)++CBXj> z)_d{(9m)LB{|OeL|86co|K}5c{$~Zl0R6*--X3p#{)T8L(ga=*nf$&y3oYZ+M7RhN z#kD5m`XhMtm*5~|X7W{yVK5MS0zBtOk0wQU5nYSQUZ9VEu~;eO)L2(K(|=LnB_$_nFM|*d;i@jJ7NnHvW!Msy(Ea zZbqeJo6j?12yG8oaha#*b%`~gwe=Lx?~L0~FfoZ&G6gbJGRHDyDW*_zTbbF-0oM0_ zQA!x4{;)3cAcP^fs-Lv!qh6(*A1V%a8k}jZTMwNyQx@xoFRjJY@7K_GC92+9hNY z6r^3#(CoAgAtmq=?1=%SCYsc!?|FB?g3x z2srV^CF`y$O^lwk`oC(%8GR2$Ps90>ta@dZhtjLn_0uqUd7{~+|GbTicEhq56{*j z0U|M*uqZ>juV-(HeJ(Nk?kNb)9N?^VL9gMfH?ud3H`9Ao2u5URotU8NHj?!I>3PT} z>DldrxYD?au>}%E67{Tlj!gDq_Q~rMyoBu>O6F<3y7m;%I06rs2J{-bBOS}lH&-K< z;_Dyq&K=*;uhyKaEe|zR2}Yry|6$cK|H0ilNpcaxP7xTA#Nus zlhWGg2^7_6_EkJ6A#O=i4eFvUNEpk_KF{YxJoR30eMMjDzO$#jDH^Dmn>GHqw-YA1 zdXldC7N^b*@GMR;lrqM82wWh~@jbvD|N}d`kgn z_XoO`$_e)*CbJh)y_S_DnaV7@@KljA3|v~~r**uxb>0nc-nMtP#&^74TGp2`w>&yx zD}L8_;YmEDr|%~iXHzq~76(emSn^SaQq>2LNbmn#@_<9(EVs?5TKdR;63aCvem2WF zob`l9D-jt>K*xy;C7c$X`pe7{brB9LfClz4EErP6J&+~Ebw3k+hkc$lcRWFx@+m4E zT2dw`zA^Nz8E2&x!2R`$RBkt=<|#@O+KoxFBUy5wVd@@0aaA#km;U_o-f5g z_&sR69Mt2QzIWSHLG44YkAG(Q-C5G9P+kxeKmDvj?BXfMRaDRR*cRnihBVE1a8Kh^ zo{k3e>1SQyLOKl+KL<h?58E8u6Pb99X2~+EO$Ll>dU~-nAgY z%Ap0rN2otyK?WyB~<<$WPAO#Fo6Pd$IqHq@N_%yq_gdO7ZO?HU+v^ z3zjCgX#LvS1V*OaD*Y99Sc4|2*ueYO+=8FQUu>m^fR~y?oR~?EMr^T7@DcWRG)7N5RtaFjf@vO0vz$h*{$mjsf zPk}>r^pYxlEX%~Ii-aoSv(t8z3|4UljVEJsr395R+p*d)zcXh)x^~xWd9KP$Dkrf1 z$1qaFoV>qUQ(6M5TtiOXH>QCHyw(}J7|e9F750BZ-MBrw z{+F+n_RxU1WC(bIgsT+-z|9Q)y2p*U;2~|X3)Y>AeZP|Z5KWORef+mP*yKRHjXZx=O(Bi1H@j zN`401BGrx`Au>!aWwl&b0^cf4hYO6;Sd-^>*kMF+Y+H2`0Po@^cIDe!xiAm}YEh99 zh8GiIz=wu^GG8Md?cKJ+2Gok*{2jD;v9Z!f71C&h{K#~4mS?vl|^yo(7M(Rck zSl~&)fl}H^D$wai;G2?(vJ|1Eg=XlZ&xoe-Q*%a$Co)AdW4U%oD4G7)0qaqF^k`s& zovZJ%mi}kN+nn@AxE0s^$RdH6Mnfc4|JEIu5L()q8!3Xq#3TYT6TfH_anaVD5eFi} zuIT4$f8X-?$?%cumPAIS)$;k7@XFiSj-@vV_~Cohl~bYM2=N5+aNxp72nwdjO}s^f zDEvW)0OaBapeh#Pnp6EEr71>O&Mtl#xZ)|b>C=7t1BGa;!j4k$zQjByhxX<9c z>6w&)o?iqo`ts$*uQ@$40W%zxc{u|gGzrNjDes`Jt`}lNS z`61WU@Ofwby_n9+>mVV013_=yHyJdSNXSME!^ScQy6CR!8XvSn%LuqLXjHpjKism2 z7ZA1IU22C4`M6%6R3l~Mu>TIopwaBx?v)Rn=*-N&e7R|U7+XSeUuZRxMpWDOuO*`t=*J z-4djHT&mgKFWA<)(n{E{#8?O!WR;MU2Q0{JhS7Og9BunHnUav{IboyUiCs!Mea^waY)y)tFC1?D0~EKGDnStQUf2kp+hG} zHQ)}eUC~TCJ{Nsm=Divu+%Va zh3FB}30I70mngi)&RnA9`-B3bAcU}{008#I*uTk}=SS)Pl{D*v;$~7{`v@>QYw})ynsY9n^sx~x_mX^Yg@JQi!a)X+w zUDWzkY~-GQ0X240##3_VrIQ0r70QqcT_?zmg0GS51su}3VYC%2graM{_YetNIs4e? z^YXWC(X8?rHOlC3_D}K?_aPd2TQF*-Pw0i1leVH}zsS92^3LeWoN~AVKh7$<^&~+E z9LF!lFY*o%1LOlnsKjkA)D)VAv~q;sPamphTH&^F`=JUXimy=c$gWPk;ig=r6s8cS z?561cMCDgj;lz%i&QVi7O~T1BE7AZumpFRPs=+ZE*aASud}aW8=2iG|O~<>_>bTWg z7T{giI-TF=gkz+vSJ~F$#r(`+!o>Hm-+wN(w6gGwU*$QW2VzV|h}5dsra=r$djXgb zG7h;X*X%RylkC&%Qw))b&>eT&EyIYhwjExWF9Y#RsFV!d zYtz}~<=Z)V8dNOqeYbj6k(=M>DXD+ zmXYT4(E9~J;t4k zo0gHYoDe0#-!27t-JUr8UOj4iD)(|EddZn9+3A1C=I!9sfcf19=PONF=^t&}?DiKG za+}k;Ng-NUJ008$pQscQS6|Xq#dS~Vl3Pn?k@(>@TpY3kZaB8&e#i*AV z!#uHg=fRX@RpVx~`v`tw9m{kxt5n6u7kVCTZI4PKmTdf3>sc%%ehnQO_pnSG2iA=G z#BzVAwy2jw6jDrz)LLV+A=B`Q8WS{HTS34tJ~hawY%SpU?VeFfSV>YvSSHu4w#_5G zAHlK+*r)rYgcrw`XCL~tWw?ir96uFgOr9lu`sA5E?K^(P7>+#{*(TS*9OlJ8xPXLBJA1ul0Bj)Gw$+bN=27BDU{x0tW7a*5Ie^eN*`H z6+@0#PP{05PHyRd&0F7<8B^do#cYil=)+ zNf_m7`0!8l6x*iAizhD}UE{SZmbREGhaIY1rXh=3bfug#WrGUlWy%SegG#w%90?(m zb>oj5W$etc4!@hoq6!Lpnd-wC1ydf9nhZS>j9gULW;37PsbVH=;?>pegS1@Z^z??E zoP50%yn6V1nAmeU^$n-w6QxX?U_7xG>GNZ-!HQ|Kp7T;(asu(elig<)Pnqq77Yg%e z7Li66<#@##(NmR^9YQUh(C7ZG=!SRT{x& zCogK}cUe>c$rE}&A|Po7Yp&9yA5^Ml!6fIZtpYm$@#zN6hJkVtKFF&T6JM9Gcl#*j zbJ&AbD zDiZ1;!E0r~te%qaR9{KU!dxcv3DF`X1zB3UOd^A@n#Hrh+0s453QGPIm@@55iApI? z$->t(B_dTF*3v^BeDZ=wC*)_iQiQgN5Ur-^T(Rn~A+@nVN*nO*iN!)9pMw%U*3)^} z*E(CZNd;_70;fdVw8!?)Ic6vG`Sk;eHqL_+kJM$z`K7>^Bkaa6e}@;v)B7Pn^8XiE zKXf;sH+?W!>iKW_EOO=sK6e8*4BSy*9fCzs5d~qBeIIbhcgyO{HkSq3iUl=P4YGvxmRim4q=0yuS`!I@H!Hb7how%D4}T#$gjdoz-v5Hbe_mUMZxoy6K{7?teft$&a{Jl2YIny3zWZ&c0t>VS6Vin&(5l4L9MyOP-%m z5mnyMO$xDSoXZyYYC-ptJm{~(XYHr7&JDn429%L}W&5a_=V6sMeM%7m_|AZ(a{U}t zx;HV2!Zw0e>wRblrAYahTMjS?XIuDaX{KZwMDZkKS9%!DK(Y;F!{Jcw3q)RLC>-ei z?2Cx>e!&*F$%hE3!eWh4lRQ9r-UT!i&o4%Fq+d+k5`dh?c|x-(Jy1VGT27Dy149a5 zf88ayy8eHGH;bt8Bv_;zq~KRzldW1MXOiaMb=ynt#s_0Z3U`yp8kJkOPc%NTR=z5 z9#;k_7wI!U51vdHOy9@rOls`3)T&A0$^=awhJ$=pqQTuo(NwTsp&(!I3})Z8w zK#7B+*o&iB_M^ay?yl&y?*AYvWga3ENiZ-=P96#@K7GN1uO(?0)tNKBIJvQ%J}#H< zEMzZ$c5DUu`|_I6QS)EnI3A(TfunO>8ES0Txz0pgdkDz6JEO_o ztZ3Nq1TibCY4ZipcLF9|FBm?2^Pt5(H2HPO-4JGLf;#g7r!0h0Nzev-RD^x88ET7) zjpC{IpDf%etNYrc$?L!Wpc0yvC^VohI);)Ys8V^Al41WM+szK=u20$)vh(QEm9fp3 zUhCcmJwN9IHfwJO4KEYgY^owXz}N>SJF8@m;%)U%fsp_UY{fFmWCEzeM+_Tj@B@w_ z7rc*Qb)58jtVbO5U@ioZ)ZMZhT^he1Zm?oL>__HOG$mn&=wo!g=~LqT5`k$I+sAGc zW&9p!AXXGqGWo&QNCm1V@Uu&`_cH7;DhD&Z-_c+N<+zWo>J?tB|-b~3Jf zEmad zQ-$SD_bK_jXQsqDe6zg)9BCNHhyr9EaCE7h6c*yVW7#&Zl=plIRZ}b}M4hxxzA-oY z?+w-N+jdn;zggKod%2d;$FK+3s?ziK^pvpKP(>iaf5BA_d9Pl2%Afngv!{yFf5les zQ{6BBL}Y60eolC1P+gYPs&0TG0SBDoz{^9hk&l{1jv9)JNkfgOi=fB z29W>AuipF&-uQ+v5J4T3bi7j$)Z^oNvn4+kgYY>r{dYu&JE)s3fF=MGKpPMw@&M9V zA>|v)|7jxhbp5F)sp!*Q(%!xcEovs_Vb0_*GBu4i0WS9n@_pwFm#hrP3nbqrxm7r0)Vhd04Ke9l#E|(nuT>+Ouo7%3z4C=(avd5dm|5Q ztG(^k57j1`LQm7tE&wSRXs5*fz-29rEotI+KoaZ|e?!pq#2?R}z#kv_U2!ou)vl$O zrt5kc>hk|XTC-UkDd>62o?r^gCE zQ)Pjf3gBHls6{4*W9B$w%&+zv5KUvV1Wv^u-%4>r@XEZIuhBHS(u0A#ln%rIb_lTZ zjURwg@72^DInf%Qzg;p>o2A5-otauqX&akhou3FTE;;{w)h2p(x*K%2G5Pyy=5^wH z;peHFCjtgR65uyhy>4h>E*An(SI+$=dBzj8Sc8ij6aZXR4lD8{S3~=_Z!boRS6z-! zny6z~9sc&yb7umC2;#ObRU|@#mHAzV`t**88>Qn6jZ?$I^==J?Ko6NhFhj3Er79%# zb^gLLrJUKyfd2Hm{X-E`4X|_XBL?I%94xq1h&;fpLjMMC6}!ii+~s{@*C(k=t~K`k|irqx1k=`~yD&aCe7YO+%2+CnR<0fNNV7o z4loVs;r$2dp$%Lmdk{o{Z7edR^3|mYifC*zYIz(|HfRF_RqjheFLQRdg zZ3ie?JLfK5`nV&1RFQ+zC_hk2#R*!y*Ac+^4jC~ap^e(~C>WzUF{DgPhRE@01+&{kwbm_`*5XGRDTR|)(fU*f9ZP(#7GUV*yaZ#qw5Yls7f!r=c2M4t}a zu!7y=6A$XWD<3oe0Ra9lY6vCVI@dMPXqbO}6UV@(_R#q?ZkmkgsyH15qvy-__}s_B z)oEgVt?#2E2^|jJGkwDGO_hVaT;E&`SJu#76w(awOYRTHjj>8DH{d8wH{cAPc&SUu1I7SIwv$%p65 zf<@ScuUbNb?CicG_WgaxXBWh`nNWrAy>YQh0{6Z!c#fCsU-_x}az zZ@)1AbqRar{gDm$>ra9pbNOxHHvz+ekQp&B9Io!;b6M7r8>Im5BQO?7v-}wgh$`Wi z@F@(GwzFE)Q1{}d5ZCAs^nKZQF_0f>vh(`ACLZh#(+eXsJ7_~i91PsWk{lB%^=g8f z-jojC9q>WR){}DrCGBpoEBF2xVac&$(MlNwTy|s@^D+sd^7w2FZO98N7A;_9LT!i>wtKbpp9vSk zZhu>#T#K^8fqz2Ot|0z<{ljcbRcYRW?c%eWlYy|tz8c3LMGoLIP9Y|8m_HWoC`#Bx zd(wvZBG4GO@2S#xtVAw`-dR)4s9A_i(2>PDeEc!=u3R)x_}=AkFUedrh(Zw1@oWkf zpkB%$xEreuhr;j8;~xqt=frDq?5+nMxD;(;m1^0F;VL%$y@z`{$(9Gl>W4N}mD-

    z{!+qn$Rgj*%{z2=6U6UpdWC-%-Mq! zVc_~>6zBZ~AddhlTY_&vve-3eg|V|A1gDM(e-JttVIrFTcueE~aUZv1z zc6!DF#ZW$4V$|5GA`lgXL2+*kgfo#f7?$Q-}hm9jOe6!6jb)c+9uLVWml|QiW-2GO! zQbP=Avvefij+RBapaZ{na4wr%+RiBdy*hMo&WBu@MLEA!9XdU$PyWXSaF&=(=X1F| zMr8nHL~aO}l>mAk_^}$b(TO|YMICvPy>u46aOCTB(04WA{bKEIIp4+H|CXY;^BdnZ z$@H^n)M>%#7p$8z%m;2G+pv36J|4_Mzmk}z zx(O4!DWyO4_5Z3Mzm+HOh#|#|<#Bp^oiC^9i;k+#;gz{%J<$N`fU{PB)um19Dy*h^ z7+bs6rxO}k2mImDpRk}%lcVoX7?IEN9{q}0skcfD;Job1Hh=Aq+w+Atz{Pl!AYNwM zgQK4?QTN8+>(7`m?8fY6pv z=~c}>kLl^RxS`#1-~Np0tFBl1T^eMC3-+113Y%xX3}*_q{(&1;dE}*5u18itmF=VQ z0LLuX814j%(J5ifmr-nJ*Tr1^2z)&fXf$ltrwA-9NdikuT3|wVWMu?qEkn(4`L|2Q zASH}BVlq>Wiq>tkGzn*&@8bau-99d*vqST@W4a1J~Rke7G zl~u1KAAku0z>67llHM4WTfR> z3b>y*VuL&GDfo=Y&sbmT_pxLErC&%>(pA8yd!|^I{+qqBTg2i`DfHq-tUn`l$;Tau zsWM+y9VV>p5BRLQ;d0I%7vupe5z(IPZOq zMCWF(Ifs}8vrW*Qlzmao^GaA{ghG3p=hf!l(U?m-U8A;Um!^b*)f<3Cj8w^pQ`AhxzI4;#vHu2`Tum$& zurY>xf$uJ2?>=f)z)O|>{kT*602eTAaH`q|rBA1y42HSyCj z^cAF)bgXEJILGU7&JxahrZcAf&AXS~OS#wePQ;Cw$N4lQil8P~Jn`?^oJO6` zv3T4LYxM)o6T3Z{I5aq%xQ5m`7D><(*ERxD25&(Lm(pY>aolSpwp|?4-mjrQd*r?h z0JiG{qtG5tgtIz0n}D-pETJ(G*w6LJe%!Ow-X!&=o)iYs62@xLa8A3%2T1_3ioqd@ z6aekFVE{CkpeSKH*oIdc0v(B|&On@o?s!1VOnuPiST>4G9$X@h#>4TwktLpAN{R4l<_23iYX& zJQps@I(zt5&%1$dcPV=XICt3VghI^QU=Ix7MC-&VEAayUDgy=|uYnu-3KK1!>QkT{YsQ`cTeDuAy!7Hh zcYfd<6mxPQ7$hC8Ro)>*Y;PZ-FsEtb%QnZ_LV4yEAF}ezB9SvW!?&LlQNQ|GJZJKp zFR)8({s6w6=^cD?);Ms59BscHKzNj`+U`5GPv7_swLuVkbBWS`Q-jdSf4jkI4S1`v zh=OMOrdWs3@==@(bYsn)52jBA;2mA(YsMKQ=&@hd>r0MzbRMWr*bLceYiTer^jvHOFJDZY~EG-JDmKMk^hs&agZU zTr^Uy@-DFzlIYwBach&PxZF=-e*)w`fuVG7wZlWd)RQgpN#HrAf2@Pv=v)bi zJkxc~+c8jh%M=D30VQ*#F~*5bDCMx-yyUWN{B{fo6*{@n2G^}@^^J8crY*FMQ{>a; z+@%?nb*6|G<7t!B>>94)-@Y2>1iZ*55@4CkS84!K`6G1d`*xO`J!jpp&~Xyy!egTp zpOwO(I_WmhnKyeYQTEUQ=QH!2pT1XAge=}4`|lkqIBv{RGWn3t>@Y8 z9bfNx&{-RTYspHoRo@B~A54_N+`?(F--$73=Sm#7}F{+J->c8 zvY0E%?*@E<(&@o}e4M(y{aW)SUl9BLPQCt$e~0T?7N^rg;NZBT0$)(v<_?GF%AGOr z{*wonu|vNeybSVIT^fYfBE%qs*Hm!!Km@QgqDFx|ah+$v(JlN!tRI&s0*TBVaq2PN z@kXge=;hL7VZP&)Wh60kOcY}OxU^C;KE6aDF9n=TFr3XjQ=Cma-dusY9--H-^?X0Z zhc*+e9p^jyD!N6##imS}k{#d7QRn$ScMP7rTN7_Jv4<->sm687W)HCKS%^r8aD_+- zIaAExdx)wqQWHO+zW|8z-j6rk1A@7;ifPSD0uv;eaA1dcJ%`&k{q8*mV6V6%KIIZA zIGE$T2Ge)TjN`q*(|3Z*&rejCrp2HCc}xjC6bjN~}CH*Ed1AQhy^xE?#D=Vtkp_P0qHmOm*CFtb{ygl@&+ zuGi`Lhx*H31Jmz4o<|}cRcGU|u%qnngxSk(H}3Z%Iby(r9rN^~koImyjX~HEPxcEd zr+V}Zz%o}~&9DH5nuTTOY6%#qX>pkz;5Sn<+7**!Iy<G6g5kZUSp9!YK# zr^`1Ec&+8x2dH!Ypl3F(U16BLUm+xceViP3q2{lykcYZ{U?%!(kWifUb9~3hdF*`4 zIcB^CLFaIVWs>k)&BjqQ9ZM%>UxS7Y15(T*dxzg)TqVC76_1n44N5jIdijvQq@(4I zw2wYAd44V*`hRiu7GP0zU)#6}h=4TGAq@gj(#Rm)-6<`tARQwmUD6=kEiElbGk{2U z4=vp=^FQ;%`#wIu_x-->`(M{R_gZV8b7uDJbIz`_*E*8plDl!p;YHz-jA(?aMCHnO ztU1s1GU;^IlX27a+#zX7TU#rPc}67^0+PKyyv3w#__JwwKF4_8?o)!(dZh~ps7+7$ z0-a#NQXBY^rp`~R1Y)MiW$du%cv11vkV1$2x}c&qH7;GTKAIsCGkuio5;=C}i#Rl@ zyj(YrRHLo1nq$)Lu1hYT=d`yy>kZ$ktVBPhDY+Ort8faXnP^6557RhERb^6Zwe`)B zIjfi%?#|F$)t5LMo~gh&b01C%D(ZC}7l~`5bTN{s84!$Y3h@+|`Rr$z6JIpyPvJHreq)IfcgiY)91ri-w{0QNqqEK{V7WblXQ5|YcQn`fyB61y zrwx;Xr{P6Sg#ikTc{`I;Op+xI6xC{g8p|eG^6R}zVQF*Q;+K$;G-)6UO}nwDlH+T; zU(bLT0&20d`d;Z_{9NhZ0u9^_3>FpO2 zXx4!fGr;P06PKUzv53|7Ac_MKYI_L^LhZcBqTJ1}T3nYH!`K1X+nHVduI}PpeY5bQ zJr8oJkb$-~p8DU}ch&|(edcaI&+>C+SnQn+6Bb6dNjASQ0n+w*hd5`966HTsBSI9v z_s6g0Kbib*z~y6I{U|yAC4`Ld-FF%Xoi5Diuc9Rt(gsg8r_{ZEBQ>wU54f6BYByiT zMOf>!?TtcU?RNCW&G*GL81?(HYu?Yw`2v8-8HLn(%vPKI4q82?swXn0EHPbLPeaQ^ z@$~)1=jvOc7b}kT2X914m)cse^ZuzC4&FHTGxJGSWHE06t~SNX70cPn#mo7kUZzdD zA?FfqPA<4c$eeKd>{Nx{XR*`smqz8cp>TY)ZUMM2gw@4 zP8IYoOeT#Gst%RQ@RrFCvS|#p$`Hy>Y)V#(mNXLeKPc`+*e`!cR$E#u)nj$C<`6!_ z!$1lR^6TmpH8pu!#Sx=JEW`Skj_{!x2AlO{2PQS1x(l*INS6i$11=4xX0KXcQ7@ad z6h_^s)<$`&F7>rpeY6@`O%m0KPy9%I8BM~}g{p{Gb(n_HlJu2b-!nB2AFBKr5xK4p zxCv9|s;T?AFXp7J>!W{8dVC4E%v*C-*TlW0nlKoNIl~IT;hEwxH>lvtf$Dvyv@;sC3}cNC@*GwvZyc*LMLOV2f@3Uz$#s;<0a** zgQVMw8y(i2(Ixgb=uQ6Fub+Koac2S?x0v0j*x*T2>%DLX#6sTYK`_-TryF-K`d-O4 zc;@YB*_r|D=7ua2KG_b|WZC6Qg140<)TIrAjVA`0hBn$OZ8V3V=oL1at$%Pif)_N( z(@I>_>l_&C@Yd@Oft@mH*;e=stt6ToCwfzV$Le^x>&bCF%|3MFon)%c;BMH9s>IDF z)~0L+#(P!vSIK6?!1A?vZ~eLB(h+j_FwIgHYs|tHdYh`}2gi8IWwLx=vGVr)f0awg7w;B&HV*6Md>whCd^E^hv;A!YrQM`A4d74+M za`dbH*N}XiBXb{q^$_>!IlSz@L9NH+BOG&GEhQ@Xvlm!0XG^Y;x847s!dE%ORRQDc zH77MpKNX#AIaS{FzINp>LlFwJ+?H}mRQ%M)v)r{Cjv!iOo_{j0X2VrL4f-mPYf(|->EB&N$PaUE-82Bxpa+T zlw~vdkgEWY{$g$;gs`NoZ4g)H?94Dqw50OD30cj@Cy5qVYv_j9V?0h(Y}|6m4=${h zFJpgBaS61X2j5WD(tJ=wVrKV*4h1$^{0p*Jh|$f{t18&Qer4Q~6PxVr%qlK+>7#oZ z@-^ygLrS4MLiCe+@j5l?rlVt;79e^;8O?KbQ$LO0RW0QRv{3`o!miYGJX=?}vKi(o z%fc?b47|ZKwO-E){D~fhFZaukY`?o%ChexP zzI7N*E^87?8$l!><`bJM#Om}uMKa34#U=+gu1T$qGp-4*o%7_{GwdKxq2i2S2`$B7 zm$?m}t8vof1A^7PjWuX%IJsMUJj@6;cx*W=RgIi+%o1%WV-T1;-2zsnovB@-rC{=W zA+B+4$)d335Tds2^~^sFWF?(s$!9bl{Zh~Z@Zs?r9rjxv)uHc^x-Z7d7Lz@yCm;2& zlG=2eKs4O_(Unz9*gj%uSfELkh#5O-evT>mF{S^6G4I*wnN~MiF?wBfi_WPom{k3V zNU5$_NvD;)or30NS#2cX#Q%qHzp*5c#SB{aERckIqqNKt5>J)YdGCo<_d&SRQ!R6>BC%FZ zXVHYa$P^?S{ElRStdgQ0$bHQ?9!o48ltuh%4g+?KjF_MmPu;^n*2gv8<$awT7%PBZ zwC1}OSovAvPc&WHNqsp+^T@5m5WpnTWQova8dsQ34iXy*iUd@gg|C!=g@4$WKq0)H6$3gc+9x>nlsQANA@SG+-Wk z01x4cO^a~F7H0xnv3*tE$IUqAP;o94(;M2@%J;ODnW}U6jJ0=RLFQvRg z$=NTl2K(hloq5#aSC3bTM4wB#xKAbLM+u_aLDYH!$5nf2B6W9)#1ng1UA}cf-+ws? zWc9o0EV%j&48S-?uU(|gRqQ-`cg>d3y#4af*nrCTCTDh?+p=lO&)lrQi^7o?P))4O z3T`Ogw+H;%CBHyR`L(+i$Y|bF8I7M2Qyfz`UWpli-noe$%6Sb>JyLn~$g;-^CEEjK z<^4505aMp!F>Zk*N$B6^4~#*pamtV#A!1)XwQyo|$ejYiE4g#+hY3L=DQ;$yghj00 zShHj`^`aIl&P_|nlE@l_soDU}{}VaoQLHqAML%8zVLdyobGpjXMRAstc2?fv*a^fM zQ+@=y`X0&np8qB=${ONl=Lm*c2F93OSM_m9>aHjEusSKY5DFBChm)%pNJd#t&`1*l z0}bRkG>V*z7|#})DPU#4D}`0Yr#rN5?8zV5GT<){X(XS$!?X?4SZ$W~b_?DArqJbw zn=p+9Q4r$b-v0)vMdBX&+fb-gd1*8!p9D9aO|2Ql?w8#__$+j&yj6Gy45X039h{0V ze>0jplwOS+42cAEW@=Molz1G~{7%`BBDD@W35{YEJetKJ(WVFcNOj?PGUAQrc?8x> zpz`|y`mGOQ`K(&CpB_W^uFxeGKL;86^`+HZvHVc7I-Xp872+xw*O15}Ry&r`GPa)n zYcciM0QQMOD_y_~FDJ78d&wA9sgh8c;Qp7L+P@7_ws8ejbuG!%G}{MdGS1EyA3lz@ zGTcY_Z0CQSHVf9=Oid@xlamNLy(pQ^lL3!Zs7VkLs|M{q>#i*&7^_fIXqMyrFGB)D zbEO2#q!wiBR4((RqPPig09U+yV!gIcvsD|OHTK+JsriwhvStvzS{#cX6F@--3I&Kd zQURN*`5i?K9xbW4>ze0mPvS^9A6e;Qk-C2r-_1jUPW zmg;$)Q|KzOJ1-3mRy?t%=AP?Hs35v5n!DE8<%QbqJDAFne7b%<8Aw2TagKa`&lQ!| z5A!vJ4w5T!M<_+MlUOhv3tLJh`5_WqNawo3#+_ z-@~#Mwa2)^^o9eUGRogKLyX6=^SkPgk(<&U1$X|>gpk0C@74?0g)X4alXq|R{@XZt zJ6l&?x5!~xKQ-H(JY%$*wL9WTQRjug+360@V(lAAmrF-I(M5(b74*@3c_?8<=*QDS zTJxx^V-}c56mJKnCw9bo%Gfk5xGe39QxiCC2Lt9zao_1(XC_MqQjzTYn%7#r6pNJ% zJaBx!w6xXMjtl$&TvZm}UKT4K09LEkb%H8E+;zr(dC^4d@=&71zH9B_rRJ)b;%Any zRO5l7$EJ*rLFbf9an?1K(Np~^UNa@5cQvP&Rf@KxRXL#?vyeDzKW+b1<%M$2l9T;1 z+BjV{`)ZkS{i7!vC;DiuPk>-Gqt zQoRbX_<&hcc8`xlBokO9+Stg-1yG9_#OZ|0sm7RM$JeFkW+MBScCe=rMUyLQ=;3Fg zbVL0(Mrynj9$b8y)u}wCkPu^h32GYHU>8_7aI@tMovzav=7oZ{A`sp7;K=a$0-X+= zrv!MNvULRAzS$L$x#?vG-%Ww;R;a*t7fZh7CvO6cBbM5C`M!aCqdevg+kmHUn;)0> zZmU*CFoB11J&SEs4UT*JWL`J_sZx-6_4X`QRk_*iQM_>^luj`dI`N8tRHa{~OGBrJ z=cJPUh1)2GlgQSxLHpc6`_jSbX(8V8sK{0`Ge_^S_@5(@M+|i?Jpo7N-c}JG&lNwM zDt=hMFipw*ek@cA;S@c-sYh^gBf!@T|Avz>;EW-Y7>8!|!Q3PTp(dlPy-n-31m?~Y z(^GOw>~p2&ibi>DY9mPj^_M)J6pvHzCytU>uY^F=$oV?D2%B{ny@>{`8&^s$X=7o( z_gJs{ah(2V;0eV1o_SOWw4U*}tttt1rj5k>=K8}yRSdDq(^KrECyAQaE_*y1Ug^)C0L#{ks=bTiu|m~BQ;^`mN1XI)2t&8e({P(bT- zIC*KUWbMNDXdviJoY2^r8;2nn^&bLb%Al9O2FC%X)7S9LrAzk8RM1CbBGSJMD_F2G zQCK|?_GTx-AGl+bgo(MIIAnEVYJBxWZ_wyCc2P=2>*a%>jTOanTXwy8w&6{}87U{A zFQt&HHk<%FC}JDKGO6YwB#!7GNZgL)(4FDhz++(1FL>9<2;`9&hNuy64XIVG3tv8CM@6>yVFo)$b}ReYq5 zyvVh3|CgPG6^oEQ2{(1;pW4ifoRN$Oue^V+rR?D}D;i~5ZG0MXV87uR6wAa(dvFM; zbG;%_-yTR|Ygl+>rZ>RG4^2*V5{eQi$e&L&i?U{J*iOzZX|(9|HgjB>9+dar1iooK zN+lW{S4!2C4-ZTtOrA}TH&M;FFtx)BNdo>zP$!*~cDMey*r*!NAT zqDEXBhrm>_Z0LWg1G|p{Rf}3^UJ(Zk*b*Vsfmg&<(jG4Zf#;2GO28%NkrX6Q;<*&j z>EVzmP=bDOfAtCS!V-GHjef^_FiY|;E?*-}j)LZhLPk<088?#MDpbj)LH@gkJ*Q;E zV~(#C+Wmk=DX%#qQ=2nl`}x{tB&J!@ATdNkW+FWWokVe1AxNlbnJ-r)hwh zkV%kemzB=sE6&}HVMw&Dm8+#MK7Au=Ym1x0dM8XI&}G$)#jg1Dp(o??V(M zNf-aEWgA2mQ;75k(?}*gA}HqI zS!7l-v8h$h>m1i91Y*)SxF7x{G;<_L-p zcJSh8&K8<5>q4%dA84SdE|oh1)5UH2O9c87xjc@cXrph+2Q7ilVB?0B%*zb2P#{jN9?ip}m8VvIF+r$WJo%j?yhvl$FGW(Lp;PLQ4hPKui zFhK54eNDoxJV`K^?D2CD?fbt_s0X9~fwQ2pOpM4i?jN1RIKnpvGJsLfKqi8+fN*N; zs#t%blK%1k0Y&u4+*etNIFR)KJu!|rY#X!=g|-rUV(zQk`&TR2eb``8-%F(9?^H2V z?#z7}hp6kh<+Hb`1ti=TlM+M8jSU4hJ&;x&U2ds;?h8*36ZiiC)W9v`-!gy!5B%=qIpGZq~pKgr1I#E*-u8`>r0$zg7i)qGkw{O<>|kW9@4UQ1Y6Q!Qus zPKg3pO;N?{AF2|do+nLxY;WPc-Kbk(uZ%2Hor{E&q2BZPZk_gw6UQM!XsyGYtBu>2 z!$2+iwns9fWI&P|Rccp>Tgp)TRIB}>a@g%E!QX`nw;Q<8r)?^|4^3lOd6tP8nZ3{?us{d`iT_p zWTlkzL5+7%mPBR1QlKA6ZibaH@-?|-O=>WnCD@d=w42u?iWFiQP&2b^r^WNK^E6JA znN9(udnVnvKHWkd1Y|`!7bni_#FPLij%KsPFfrg4+&<6!k^!XMR+ESx?`fuG<)`Kd@nlzVWjyaG;l+n$bu1RwE3_!Yy)0P=8dT%(r8@TKW^)`k|rh#yCaAK}C$IKuk*;OlAN;~~)1B8*Ppe8}+l|A5xrPw{VSTpz^a zIcS-Es8+6$*J2CdxFKjZf07IQC}8qLaNN{ZB}~&V%_DG9&eTO`mf?O+E`?`A@0#89!|(ijWt-gI1Fx+xI~=v>+4GAPbZ$bdV0%?d*AI_d5s2 zdqC-uF^CrPK?xtL>_j#eBLQXXfPX(f%fm^eoQ=41Z@A}|-EG`7*-7h*E`q|esh`Iv zR6wDg_jtFV0)^Fj)c+T%PR24{Vn637ocq?X&HMIk6DIj*2PD-0GS?_R|8hrNnx5l3 zUp2$%_?u1V*BM1{)D@tpA~=pP`>=lRL`u%?b*Sp~F!tKVIg+@9bgG{HTMmcK;CDix zh`B41d0>Mp%uaH1MC(*|Qj{3{3G`t6idOXHh%ra+y&-1`VAOQd^2m8F#se!zz_qV_ zJH~#Dnvd#!SWL&#P?Ao{+QH)GyYP_Kp@Y>m!j93AtB*X+r_ODrL*8cWA`Z9!yXnBT z8!eLDTPBUe=?D36A zStbLSLXZoAAS#^rLxsuMfcR|6diiTbpJ&!h1oS}wY(^Kxy&&EdLko}$%XJ1!Lps2YwZ=s~XxwRUOv>LWw zGUPMyE9T{AJLVdDkS}Qj)y=By8x%lS(OchmjKair?Bx3({^bBky8Lr{vIBCy>9lKT zV`GN?4~XpIa=g92x+7+rHMZs2a{Nnwbsdz#FX&1p37g@5YC0RC7Fh&Z9uG;kdZfs= z1021>Q#dYr8j`L5P=x{29$(%EU!ETiWobH`))4q3{GT2oRF}5{;a9JOy3*Pe1^C)4 zf|HLuHusD(i)j={Xh8l!$<@jW_h}(otCzY-9gk`fbSNwIO@5>>k!_ZvWW`6GP$J*W z_fYM%_Vt`ApY+0bGao|O(P%6)O;Kn-wjs$rVRbRX330=kRc$0Jt{a#zsL_a>JaJm* z(){l2Q0wr&1%~qv77|ei=dQ&xcW+rzI=Z_O2srmp|iG9Qa`$SR0X|lgqd2wL7 z-D_AW`)mn{!=sYQad+bD2Y9CZB1-0gvor|7JihlI6$GsMuY(6M3*mDW?mEJ^d@pxT zMvyQ=X5=E;hWtLl>&R5#!cIZJ?S9n>-iR$l9U-C=HomMBjJ#aBEKt;Xfo4|O@5umM zI$nx|+=%+$w)#^ju)9&5{ypGZ_IPyRZY}JJ4lv#;x+(OKe#S<;R|+EiE?6d~{cRjQ zS`Oa+DRfiYz^)LwMj2^^6uP7>QRcYy2NX`N#wxMBLn;y0TVzPSWm@O}zk zTRjIQn#EiDW2s*XGz^Y*=k#z7?888TjCU1>;PWs$(8?TWQQBlLVs4)*I`>EZEmH(D z2;I6fQ+h`GN|i&s?P_5Y>XzBOv@m@pj2_r&Ed5ILN;O%-mw+L`anHy8KL8{;&cYXK zGuH|wZ&kj&jCP>8++y$tg!_pj)q=#~s3I~TINai0ep0EZJ?Om3!ADIMyEzX|-`)-!RyoDo$>tm5uW0<~mkOEtyBQ^^^L< z@m&A!8-z|3U5V3F&F!ROt4Jh!ED1=`+=>4L*}`1Go&6ZB-Woht+ipL*#Jlg9cHW>U z+)!>vK4Opme}@k~_Fuqs-)x)%WugNO*6QkM`<*)Jx$>=JqweL1e1n*^-?g#J_BlMG zPyu%Ju?L$G#^!X@e!G$cf?pbIf8$<79jT`hp7TAlyQ#Wr@ThOqO?c^Ef49uj9WX_J zZKN(dGr)M(@zq;0{`$4i?gKfW!%W8{VyKRT9sMxwaS0)CMQuLvaC{6@PR@aRBeC*y`o?$bhMfp(H);KNkBHs1I*?jD(wr zfclSe9B;NtPv&t@h1)Z=@e4f7vnvym`D* zoVdn%ujCsj!;2gOgzR66yMiutINQNnl07<$JTo4?j(V`}aSzI*U=Q_jzWnC1hID~@ z6JcGCb0*P4T;(0uc7%KVX1So@$US2-AUU`FI1q?B#pEINNFbWg<5%!i!JTl%`Y082 z{nk4Wm2*2?`S5AM;{3)9cxxwA?RU4kj^LY(B&xw(D)6!Fff#7paEq}Oylw0Yi>3l+ z!NpYXh{Ar}iygivC$7-+0C<1T_M@y9UF!idqShgeD>!k+&$%0>_=X?F{k&2kHdPbM zL=%S`MeNRd(>~_xb^iW2gCbS{+O-!3;bgS5GLI5?351{mUce|0Vk;B~l+91R$IXyH z3W}S!OeyObG3W7RC_UoVHNlE%5m+$+rV;fCfEmSllHxhbJO}c)NMknJ8F{o^$4kZb zM8HqrnxXlbGjNw^LATQODj?58|VjaLm0Z1i;tjuxN`$HZ%wDjDJ zJZDh5f!BmbkHg@5ru21iP~+D|Tae}Ya-KG#QH>1%uAT^T;5f^5IKxyZUtRq2){~0- z_Z9gPtejGnZ`tzUC!s;hX*#_I?3(fy1L& zar3Wk;3-q4kIbUiuDqnEP50gjYY*T^K(t)zKdm{ccc8W1+z?l$g(<(?%LMpP(c2k5 zui@=)1}9I0H5TIlmvr-Prts9XMhI|SZ#4EyLwI^DE2@fvf!@%db7WaCH*nD>Brm+~ zlZ>Y#Ze}1-UES91nFbnwOm%3GY;u699U()9YQ#_{9e-GrZZdN3@*JyzzuBQZA%jm1 z2O2O{D>P?mg7-OZh=*-;XsJN74~5MXJ+Mch>0Q*Yy>b`9uf}blzMsED-feGOLDc-$ zj=x;-dH{Bzv1F-&rIDorm3tYSw*vl)@pzt$k3N2R`Y+~QPd=CpZRO035;*UP5JEJN zTZ2E$fFBKRixBzKyl4KW`A4(4lVS46TipmP3O-!Wf=7N=&s=$`=t0kaZLiLO73Die z5CNPZi*|eT9-tF=JRgA31LDXp4&m*IFAyY(5c(b8$u;WAH%8DJ#=a~1V+SBpJwy|E zjOR^EcgZ1hSf%!I?JT}0fs$o{<)v1MwkPmrvQwR6qwOlS)}Lnip836UXL8XAI|-E3 z%v$8s%*ihVUM|u8&bo|4Bky0fy5z4%pPf!7HGJ4 z6&5xi$6ZXCIcdw`wo(G_;bB{6$@2+n5|zE{2#1U4enV#F%hxDWWy`crYQchVF2JpH zJ#3tmsgWk(p_FA3Xw`Ys9~i z`WH8w>^LMhkrn*<_?N0b$$%TjUCkAm{5jS|wu@j`H$g&S1!5-u8r_OqpXNMPjsIl2 zI!YAqp8onbXKs5MY{?b3?!L=r$v>~?4XTKrZKfn@QgdLJoZo&&-9hlKur~-b_ZZlnkuS{<>a0VcZvE?0wL$Vz}=X03fUJ0GSs!V&gBK~vi zrs#)H+>@m)+H%7>m6L|dK_{NtCvwc6of)5S208!Ar73tjY^c$d_xe{h4FifZ>Jv`p zk-Jg5%V+ZCcr))G&8UJqyk(9Iz$<68e!g{U0ih zdO!^&6vuK+7t!LQXf^s;WB; zEn%zK`a!H#YY2l0P+JgcD+b_VJ@Fjyj-G5H_qV8f^bozgoSsAFjXm23KQY^PS82*& z{DIR0r8ijA^~bAi;vwZN^#?enEbRNG=`L1OOiU_#=YC>#6Fxq`cPvbzqT5UuB2=we z3V))KVJw1{LkV^bSxP4NZ1iK%4h+pGRCJ>v(-zXtb?(79HCNDZ@sOFa1cAWhA-NQU z8Yh5US0eY2VB9lXkNvaZM2bomhj9shc1ZRRg;fiHcONmBC3Jd89RCHK``nA)IMy&9 z10oM?!~`jhK{y!m@k?5HJ*;di^H+n^+kPk?W;apfJYV0>;sqS;DGfXyD^Nm9OkN*z zXk#L&kDE-0pMzVh7Oc@9ZS}0 zZP!P^mwX*aGi2ocsMYLm(n?tFpb+3675FMo-anhlQe5C8> zjd|Y_kQq;Qn>WAAxbYCg%y%i<*r7bIfzck){~1s)ebpQexYaW32Usmlu|8VY-rHH)qB1OJ{0dG?Czf7;A`e^J=Q=Vbh>e@9q1ow4-9+$E!I zIBvqDT2NVQ^M6e2-f7WECt_zcYWd=R)VbP?5&oOuM!JMVWh~#=59X^p-c;lQZl>R2 zo_ODADHw{~`z_%1wHSImPs=3{(UTZ8l~#e4CGuX!s5QRcqco)RRtz0-gC*gwZ60rZ zPL{8s9cM!UyJ@IXeApF^N=yF>`W%)1z;KJ3*5>fedQ&V%N!$~TuDs#&iN+eiKN8J{ z5OXU#cIXanlm-XFUl(-;g={^n*bu54-rDl@^2BU_wk>wWBayE($u8)fTOIwBpZESv zLSBc%lr1%=2YVet%09n@&l*pr-)Q_XO^1yYf{NM| zfVA3i|7iJx`&&_A{-+sb8*z2s)rrcJ$NhRDx`T|iSLSS)za@IidmMi~S%0_Lzcw7# zo6Z<5gsrvNu_LULj#_&1+J&-gIAFr#i{S5x!c8LX?|t6tfe*88maA4eX!`?#;i$@l zl)`oHdk-Fb-|Xl(U;g23{>J-wW{z?wc{HgFjvWei9scy)o#RJA=>rI%1;H{f%*Q>x1iU5Da#r488>?z|yxz z+F`eI#$AJ_*xvp!f~&!D(zhzxAyfm@EEGrLJYMf^UxZ~!9jU9V7QVWD5uGXeEUj1h zX+rs`bnJlEODcS|GN%uwVqte;g>DM<7gKe*3%SK+FI*xDqDIHJsNN7OQk7U!B~>^;zQBm5^USU8y01kAGD z=nKa`Ca@1@O!mvMKl)lTCIp+M0Pjb&yY?D4pHyZu9&Ggsc{=4VG6H0eZ=d#NPGXK> zmUpy1w0=n52tL*R$_V?7$K8jd7D|;9PmccGpywW~Kj+NLhj9Bx;gZw^1iTK)YJSkNQ)c7*xP<{%e zE9XDh{ybc$=9lNZ@b<8IW!WvDP&K`1kCGvO$^e6HQ zYb^IzhAcOhgn}5?zX)plF7f89lOE)={nv-tb!u1eJZs8jFUi!+e%i2dcbdKR{S>?8 zTm_*0$rB%Bt{L2Qdnr|>P|#ddu2craWnBzaG^S7_EfAKuY@IUWg!M%5z=x~sH)%?x zA_VqhfSF@2SbnWi%hnSuk3P1tc^TeRcByj9Y^deU1Zzg!B7tNSp`79bMl-YaQput-srvOZK|(2#6tC~-lu5#Jlk|GIL!WU<4qbg0m#;iN^c;C9vkAL^~72u zafcoB;Yi9o0acJ2?0I7Ok7R987;oYRkrpe?8clY;zOE;HL}%+KTK%U;LbIYKP)7ZU z-JSJg{3x5)KUGkK0IaCq8*KW>W{0VycukxWna2h33xGPxt4Ki=R=+KJ4HWAzEeP0i zGnOi>z2KxTM=<(U1>XzWoDuNpU?%+f0PwNA-3J|F&;G)8xI&$1T*HR-9>7m`9f1m~ zVF`9Ql?gu{I{;r@$(S4mZ-QBms9@J1*!iv_=+4#Qcmy~Y8-6}!3_CtyZ--qL_rjuo z-GEYWK%_UICjPT)X`(_S_?9d0c{KPsld917#AVqS*nbJEWP8uIFy87iT`gn9P0r)v z67vR$Z|?{0mOl6{JNVKos3#h9wGi#?blb7yd#9OqoqhAiT#W0hym2Z~;A5WWEtN4s zb$dJox@`m&zdPU!!jDgY4BaV%FHf-fY_a+8V$VN}QTYg2+>UCOIij}xpaN0$PKU!z zhduj#$Clj#;;uh;SDxovj3CVZ=5Wt!Ib%8jOcQ6nXMz0t} zww)tcs3!jCtCw)KY-~KNMKYF^L9;}VVhBvghY5Axuge`5h#XsyHeMmY^7{6g;~Oqx zUA6TuH#L?tCD%_o=9_uv)`Bwv#XhN%ILbCW#f?j{KUPQHu&C2)k} zE638~#D)_7#C2iu$7cymCSt{84Dn4Sof+#xUl={O_hc6zdwt^jp0UnW?uN5a;`yLS zF5f3cp~;KUR_Ak>iK67^xc%XNys)_a_tm1S=6+rM4?^Qmo=N0nFO+$rxL|y0){XI= zD!B14w=xcg40qs#1<==mpJOGekh5mt}&F3&a(KueWinEPFM zbI$>lsU-Y(r8ihP(>H>7Bdoc%?5h_f%*#&aZ8Rpvew9h~OJ3I6i@~6C-^L${>_oFS z8)D5$+MKLU+9S|bo!ESd<2pC=?hI}@3O)?hU9Jf3@17s%3A5d9pRj{(d7TD=&SAo1 zBI_exu&=oH#9@zbVnE-2GuvwyJ1AL@U`;;QaM>(6HHWvDuQ47$v*2#m91l)!g66tziBMjyA z*~D^`a;0)mWl*(JlJ}P}dbhptfqKGo!Mf^llMV15i`zhQVkD3(Dew%* zm@2Z(8`d=9F@94n&G%Zr(|fYhKR zzUR#oFXmpc(XiI|#nH(JZiSP6Q=J}#Ft2hX>7$I;lHd;{=dU@%=TObkMIC(Z2q@wX zfBsBIYa>4AC@a*!Zzgf&+2gR|D=U2CoQt{ZxwXf-AG%;mC8F%r0zbRDm~wQ?G-@{# zvjw$h6iWHKMH^l=ERt!>dwmb{a@2X}=-x{OhQF}+#C zELbJON0fO9bP3xZX=Gl4gF&F%^O}0c7aM^~P%`6&=#72DZyP=M$34-;kVvZbtw^Ga z$on3-S3Mt=W*0-AtzAqF&NWEFUo9p)y9RBSz}+ry8os`}>s&I)Ki)WDbSe83g(59{ z<)OgLPky@2VYu=BN7viGU`p|VNs z*tNrSA8Usv@n;?E0Q_B(x27*2E0qOzgr0Hmb56BUK4hHye)T$5Fdpuk%PUZ%yyXf&DF|oA@CZJ&C1x6&C`nG z>yd`2MfmNAGH8kW*wMb!EDN;g%M6ctdb}CkFk&nM-qRUHfhg1Ae&*yZi(e?z|hx zq#Demg53#xd@Sx&(DFn6W|kWWA5&wg$jw=>0Hm;)c7q7w0q`mw+JWS3O0(3P~g zV#vj&-Occ1R|5khCHmPjiG>Zafr$)BAA8-9FN~*{8QsC&a^zEKvTq$1MafhFO43pU zMZ*?0JmYq0rj$K*c4f@8VC%5tFlDS!g{49m#(qs%&^gJ>j&Ndy(oB)#cRY0#pMw_X z3om7~L9(Cy$LHtv3dfey_JZFX?(VnKoUqDR&<0sP(=RTl?#`>GSDTUighAiPFZ)ab zf#-#E6;?M`pb~19UoQSnE(ANmywYEHw<>*EHNwIYd?zI{15;4qK;93v6au|xabofoYHx}a(Ag4Xv-7J(2!+)b!H0US0nFsyK~49^J6h$Rg9EvUfz~WtrI77rWMg zXR333_1}yW2})U91k%2YHB7;+B8o63tb8s{jF%Q`@1EG)u#BH;7x51HmhvhNXi28j zONR!XGgYZbg=W1}*LgESKsd`C6m+g&k{zFun7|f9saMZ1u2-n0vl(2YB&x&svfFgkC`@oEm z$I+io3J)9+7ym}fkr&xUzBW?8Qxa%->T;?&X*U-8D0>n zjErXGk%hv|Ut`V}+l@D;^F@VFR_JM=!UqC-i6EI=!>B{j{ZF%Ay+#p1J8u#-q-*j7 zQ|Tv0S&O4AWnEs#m#Bh@6WGMCtR=|B%k6L-fwo7omUDdIe9>O%@_OIm_JR}UMFnq- zgd6pOqmP!h2kVcPxL~EUdh(50m**$F?1C!VMMy3|fN+o1xE(1YC}-WId`)zHgfSYh zrSzV*2`|+8xvQS5nyQJ4!XRE)Jp#u<0&Mb3p5-b9776{eWn3wVnjg;&G;op?2A{=Q z>soNJ4N8$Q2z={S9wbeSZ7?D6AcML!JhtbwItM9FJWUvAVa~517M}AY*j1nHo8Fvh;rn z5;gw;8CNwqRc;x1>Y%hgkG&%s=JEz3*=shXl+yA@I1AEU@y)&`N6sonV4CsT@Nx1+%oHtjO>wPu(PrB?%g)&aO02OO#ES&cQ;vL})^l8sa^#l@?YdX`KSrk?Nn?Lng_Flnl0|29K0L_buv(zYTJLDyz}ULu1e3$+sTr?0!%DZ9=~4X2whpeu&b z$np@$&N|2Pu2woGv0vDH{G`#lm#1Z#Zks7kobXjAly;cdhJLc~T$blX&c@=qr(%=; z@Lt>wM5K6lPuZ$^9HjWd<7Y*`L&L@$oFORXyYW1^NOpmv16HPr*myT&#TGU8i%QD2 z3|BBVo%5yWe~b4x`w}n9CfrI(?$}?_0j*qDXk+_+wQ||u;*5NfPuBArQNwe;radH= z8PuI>>~RaKH?%t)_D}0Yd?l4|^{Lv;l0*m=NoPd@A{M1%S1+~*RP!z=1SJWWeZfk& zl3`2f>f@4vbD078IU)tTFWkal7WAtpIhYRNHeW7UACABxD)*u@l8+XuVqHvo+H1eYO^004Ye;IC+%}calyLY~X(ImdgVK<4sv9L# z(_J&hQkr^^^PXpb3Tg&a*BTZ;W&cC12Gm}W^C-DDq>#Y$A3VuunQIjOp(%#~i`2=# z8?k0B;vAf`z3#!UO{JoausVQ$taO3cn?#^T2pON-DZ=d$gXKE$0geLDPdL)oUH5wp zZHz_pPuY}u{>UK8BT1MZV9Ya zp`DX)rI`@iS4zx1e<6faWZv0@_|0 zI`TEF#j5GL$-pN=BUV-!{sk&LHgWqc_2{&el(V3;afU}pLHndZ;yv;6>*HuPEA^w! zqs_`paN+@|9SlFc8VrWRE^jL{;a9zh+^82va~D+L6OY@M?XdrkvbTV$^7$4(RS-~0 zRJuW=;m{2Nf^DBi-F4(k;^Io8#|)@4NpS>#eueoIQK*?>lp5 z_RQ?rvnS3$o1W19^;*YK`r62!o*p~<5YL;1Iz1nC-a~=>Ag@ns%X?95DhAfCb3(G@ z-0OF9LaOE5XZLbKy5-z2_j5vK=S@*yZm=@mM?_?*{fvHK!S;-co*m}*TBBdLw5&8lzDZ}#>^{&?=TW#yAH zGBHuzkSYf-_zyi>7vmGTLm8k69?;{2Ry5JmWyIuoXa4lpv4$W z%PJo@Z{8w{MQo}v0p!tR9OXBAU1|4$tk&C71RsnTgqlczy_o=Kj~T<+^MBdCaJF$4 zVAG2S4pe1kdHMdAk8SmLZ_i)H9Ww(Le{O8h9OruF+k9x!1sU=^sjjb9jw+jOQr7S8 z_5Uc!n~!VFYcq_#uCu(;GIkU!{xcYcA4JqkWNu|G_B1-Y;$@wj*gpx5 z@S8=Ev(ugVs372aGjjBE(rcbmiqo!n?Wp{glaq7PForUvr!-keVE** zWpJg)N3X=kLGG$QacghZe;!M@Z$^SU-WfX^<8@K_8W;KeiklPUg?FTVv7(w6@`J>Tirn=C4=F>j!+ z=Azd(l$M`l`*d&j2Q(lvG+KuNQH-gfV!h}z6%T`wAU0Q&* zzI@^07(=qgf#}1Z)bUkk3CU$=`ytCu+Q6K+R{AO)pn2YtX$sq*!9Hq;>*nw<7dWZ`>~I!XK1QQ z`#vSxmDqe7`mFD@zi4xDoI8}%<&vJJ{-+VN9Cd(CrU~S9+<+IaQw*^@~hn zpyLi(STe1*Co_6+iUs2+=jU2 z<8Qix)6(m2<9k1D@>%a~IJNLk4{-2(v{qib@|4CLUc)iTy(EtlG)*1zb?C2*om22A zAEtHUI}8r9j3jB&;HHw#KU%bsNCL}*#9F0{PD=d|o%-)=%iK>Wtv}u|i z1)v_>Ic2ukFjx2Z1)V2Uj*{r%%o~mY$0}tv<77Xl!4@2S%?wBH0BVS)0lcgcvZx2c zp5rHK$*@H)uT7RpCZC8RCtZbEt*Fya0N3bkY78$L0>PkWh$>7toU

    r}@q#4Sw~3y*ns<{S&PlAtZ7dsardnU8v3l8hjVe!iFd{gm zt-Pvb$x19~c5gmOlei%oC)HnnAk=K}rSx7U)vWBA5V$?gJV3 z8|P>DvEFWxti0p1GED)kW>#Q1y;Q|74{$npABR?J}7i>&x?vc-pyM*W_|_Y{jVNiu;i z{qBgGv~x3}Mse#?*gQ>nXTG@)r!@VDvDs0}n82ONN2^~kO9FC72MFp#UJn*(F@K;R zAXp+=kw#3e8s3Su;O+EeCa@9l3?sh##0EAAHWg0~3*?Af&Bp3v|6jXWjlQQJP>ag<%X9qJ7ur~H!0VmAksvg3%3vVT(^ zA}Fh%AFrCyE=yQ8d)=s@j+Uvs7Vdn$1?rjno+waVj#imojkVxGvY90!1avnYt9*9&4OOaKu>6H6#m{C_;4x{(gZxs$^!(h5;E^j1UVA*nM(&p zca6TP;+UVYO3|a%xZ~%>25GiLjQ5L_4u#iT(UcB4R(-Qltv#!b0V@Z4X4I*gbJG)Y z@U?{eR7Ws#H>0+HyC)LQ>DXAk`lr?Aw=!}nQ^*eUWSzcUtNW2>0$3~wObt@!t55=`~2=cpV!9d@EPB`}n%^w{@aCawi``^qcgP^}8yh>4hAp zF|zZNyOzmCOSOJpB+_>su~FCPV6=^-RLegjEM=wHebMQM6N$*$HnRBXoJu=l`iH?X z67DTUSItMQCcfl&o?&h9F{nw6&W z1iAR-sY0Z!9BHy6$0ygROaUtSs?^iR;OL;Kq4&$9TnDi+> z^LMIUDnxJGV`x?0TeWb?fG-z0ZG&>DvcYTqeKfo+l;i_8Z}Ki+50AsyRc(LS-;}>F z`kX5X?BdEEr7cB@H(q@mmm5Qlk z+vUyM)L#6G(Zn1@yv>YbRaM{oU}{l z3H*wV$87#^jKIwEr(HK?drn&3j5ergCY;2tQ$MWrettU4?c!Qmm!4@nmdeb|MNtkt8Y$M2 zKhs?=C_0k*E5JUine;d*d^-+|j!Z9g`E0Fj_|U7!U?p;9=d8Vzuw41V&x=bHckUWf zjbQg^>J(C#7b3|aAXBuXuOy2ZWA5&Hf1j6|blrb6=WH(h>sDZ)j6IdKtj&Z^)b1y$T!mLtLD^r=JKa9`E1C4K4%nmqBa3p^CkqHC(s=90n@dx6 za8Ko-lP!Vzt`WL)OUY{P1bVaMm|r}Gz_0N;gvGFDms$pHB@$1f6tD?jwEY9guGT*5riywiZO}1CA_LViY*7N3=1`~cs z%_* zDgN8(or{nVIO}q|v(@wG=GWQY7PC!x<<)*4^}>XF|AhSYXK(M{13qm&t{xT>slMsD zf9|e#PNIZb+oeY|f<57d$c`7jBW;xU(-j_ZWHz5g6vEnGykdEH;H#?Qhyow6{G z2|PD3Dd}Dfs&tG}`KyGz%v1I`8jqqMVhFSCQzfYOk$dtP(Yass?)cnImWS``f~m4b zxt4tUc@@0?<&JHG)La0P?)5@@_=i*A^( zpJVX*+1?k&vijk4@TzCUM53qc$xRiCoyT?G*$y>p*`alXEKPuw2)^YDrXgzu^OB}X zb+xT>o*#z9@-E5-OBx2~a5pQVBDp57XNIQ3=MTF%(K4xyPcTyFkEWjQNm42D7rqLk zj(vNa6HSw*^1KVaeW24_39;3j2eEH(hp@C`xLnA`^YYFM?Pgwg4&{1ap=U(TW2I!h z?r7!y_I7QePN*s7)%~v<(m!rDx4GdJqfFmLnov-6lBUd}T!aHQ>MsX~W(AukU4NhZ zl(*fdw5_iT-T(5A)X!8xLya|1FK`5xLxykg9h4UiGKMoiF`iJ{82$qUn^Y;9troD_{1OtXi1=Yp2ktjF$IIDdusXV)JU7ksI|YjJArHK$DM zw;~h6O3}-r+@pq$K1FvpPe8HD?oYH_XHgvQIutB@64BBbswy^OsBXaCQDct*>YfSt zxMs_%4}BYP^4H1Immd;8GNtoJy*oZ%t%^$P$)*WI$Mo>1o7<9L?TeGVgB(8hGmi4U zhOuUElQ#RrZ;-bAA=jI%LNUIt5tA0s|B-tQ`Sqgmwz=feP2>3FTg^2DLM@8T92@Bu z&)eIs+plQI6MMGDe4MUMU|V1Jp-TWJblhX3=i_z9*krXLWh}TyU%OdF-FUeWdUe@E zs0+kiXUEli5#H96eS1K>u(DkF=YY6pW!dg-fzR5SBl13QU z@6e&-X01*)g0378F@oShTl3rV=g(a2o>;$@uX_m`74b?ly#$*KQ*lD{8~ihWA+B<- z%e*d3!#LcNIiGW8A940zz?E9(sco$NkWl7y^P+{zlbUWry(T_dtssB5T&yHl8wII$ zjz584--Jh^pF^g|r-$eqR`Wv&Kd$!CIBNLHc$Ci(ACe8L>-V zXE5K))8dP1<)+c%dyxepdKR?ms|LL5Q?=MdF2;Mr+9mHDqS;6yevIujW*b6_>6iSy z!=QI>>N3(Nul-u_9F+EuuMGwzOG&rGS%3+$6V8%PRbu&<^}j%t?&$7k76q}gdJ$mh z3Jz(dxQ+8TA>R&obz2AB5-B*zJyIrTdD^4492hM~p^)pt7#%GV^l% zes!Kz-r(6|u_*)*6EeZx6O71b?(Izf;5>wEJFmn-&4a+Kd%18S9aUx6AvQ`7`ZBSJ zGb?qQOmp+I-)TY&v_ZeCJvW52{&W;6ew{LdCwl$%I8%d}%Bl5qT}~{7S|>{}Kl-*) zzmL0hz1az$iBBguT`vC3!VYVRQzM#RYQL>pWp0u*rcUwm4zoDza#$`KXZ?B6RJ9#tAA{7dln$LiN=ggP@o&Y&kd)Yn7S-CKX!Lf?%3K;ZFe2 zm`q?)T0~C)(WAb?#TDS2Zj|g$;xbd;eT^=?l%rpm%+BBx6C5vW_QME;(Dg|_=vp19 zM8HHrIBVCHVr1X~E2#0z1m{ZTkMmc%y!edUpU_=hNm2<36|Qel$KKxNuwK-k4qCFi zcAgkT6mnG+MBCyU5@>%n3{ZKbG%XjOwnW?Z(99wKtFKrr=vXEQ=(^M2_PbuD((|D$ zpf3OyYGq9QxJ`$ntlG6z!pablIp{-&&*#Ro81r7COU1cdk(z|mpqVaTy1$kRi*uwK zi*}^aRH_1N@Tnz8W=m=wN>0l;yPqWwE_PezW6aScide`ByM1#A5TXGAOjfTN_-@7} zn!@QwIY3r*Xc9%+W$5E06L|loV#Q!*SbNg}k5WtZcP!DRFA~4+GBnBa>qO5NUbahi zA8FX;{}!b_KU1I%*xOZ|C9 zhj4RY&1iB>zR`B+UG|EvHT!)s2g_7ygsy%2NV>n< zKh5mI+Pl{N#Q?pIBib*X$N|c{p;?MQ3${P_BjtTf$pRw*&mAF{`AtHTLn(@WggfMI zvrx&S2~Y*AzUV;us9)JxVPoJbY9Nt@=Pm``oFjGZX~4X}n+y`^!$<+9taY*KvPy*$_vr-q<2)Hh2t!1H;SW-4pig5M{xQaP5q z%z`O0D%&-#FimU&#v;}n49!Vid?hSK^VA5hK(SK4okT&o#4Ob=L8K%Ar?ldlR@hkE zR==(0`XLBiMQ?n;uJS`TIiyA}nOzwQLSTD|9ueJ$zljv5B-NoLWtKot0s_ctP(T>i z3WHt=AJ(9gU?@WsNB@id8ec)E3pLt)uETq_Qt>wan)^d|EQR60%a&{|*Uo77Dx?Xd zc!kM~I|oi2ShX@7C?QVB#Y2-OOP)c)CdjBpp_-W*05f|KjT!3 za?qF}zdddcmhG>Xnf?)TUvh<`+Uwu6e2ji@q{tkMd0llkVJ)!W}<7 z(4`GVD_$W{f3jT#(Z8twh&*UHSWH2M6CZVsAIs4ZALabdNYr%IGe;O-1a*^=I)=QO z6<>t@Us3i-yc;|k=c%za}C`=%+~iRI`h>5yyxPG{mSSRd;(Bv$w) zv3=0)=Xi0_Ucmm#LDhVu;AId`_aSXAEx!h{r)o)q*;g!<(RiGaXvwd{(WGS1RY3sl zkScrv{R2dR_R#6%v%wZQb#6$;cb%gR_E+Q-pA&;$eb5?WU(c~DP7Hp@ht9L}SoQ~a zLS@S`DI*$|7_74CUb0@j#jj+)5hJDRrPK$Lr|TNww9sknZx0_pZ|2@^59h;d=Kj+z zt%k4uv>06)zgC}io)g~wZNX+3MozdY7jcH8(Oe8KK1w@Ke(zc(_&Uf*&fmxQD9v$) zFgAgmlD-x<UOY_Q=tP{GYXA6ApcG z_=j&y{DY>=vp>IJ_>T`QlRYRVvd+^G1!0=~!Y@90MP zl>C;zJU#uSlHro`sD%=Ho4D}Jk;LCeO+IFOq?5Y=nqCUUnC54~rGf=h$Tim@rL79Z z%#*vQ{{szT-mhWaUto@Sz#Q=cGwd#7_WuUdoc`MZnddOyTj;Deq+W;{ksmLZ1Wh!G zAoyoSLtTvapGNrqSoFmxA&2s$e17!JZ=Vdg7keGa9@)*exkO~~zLAFJDd*#?q6NJW z|6>2-M`MSXKK9$`M7J+kdNYxDpq~-`SI|%-TxR~cm|(pK362&m6`NmVMtNF-Lm)UVF2^ec3 zvy10SR&;Nxl`0OYx>GK z=cZma&Huwh=bVGa`~&8fGM2(AvyMB<{@?8@CnxIGV_N%(eN3q!d1*Fza22Wy@VO^^h3X;9Oy?k`k z)_%kM>mIdPNR~AQVXa#+1c&_h&^zLcz+cH?V@gJf?&=g!pJs<)#w~pMx1H!Zku;EP#xt+ zk#=`bD^yBx>qum>UISMlr+esW00oEjG~LTWyQ?Z!&PfTHycANTf;(ILt#6^IlE$xIrS5K(_$<+jkjyD z*baJy`tK8O7VvOXomo~~3R&>cM(QU~$|FWvCM%H#s~=AdTrA4SUVfSkJdyy>pi5zk z^YxgV(EQFjzB|)=(u33NRPXAEsDGFm3o8ZXk=OGDDUtni^+^?#>&;`PgcDJbJ3ZZ} zl*GDUk_^Em_bhj_3Eq}6LY#S|;Msmk*sOaFsg|q|4l{G&fUlViN?r>j;ru&v9yP!$ zkT$W`&&&qhnQO2bUw|8LK1Es$>MG6@=(mdBP=bWivYv#X&Vtt1AA|v+M0ScTriY4S zMZqd|P!oqUNU|_22y}AP00Z!%yD@e#css zI?yY;qwk3t)i_(Rf=C%}{uGs;-*H5XDhVeEGjB{k< zn}C2j80;RZ&W(qIaeW7?u!S%Xp`jvoeE$!W%Y8U9%^`bhahvD=6IDa%rSI5|g`G<+ z>6vBHAGqe$l%Ia27ub^e(>RV=ehRJ>xt3~c`{trO7gAP}&uIAOUNHNa`l(_<$C-CZZf0R8gKx<- zzv^iJIp4d|)a>cu*($d4{Rja*!;#@xhl(>~Eua4ZLM#L*wqU((5POn{6lBURMM~;x zs_U_#(>g6i0^iK?Wf`A=y9B7~VT&^}qZs4&3?b0PLuZZ%#ue{C&DM`w zd1)3k=$}GSi#qn}yQ!-_e*+}#1HL?#R+MYBlYp3|z*YlK%!7az>&=KVH->#5G4Y7F zOjMz^<0<)miRWXIsPwH=OA`2dVmlJh&ua#bwk(Z}c&WS|Idkn9^vX-^*ik6!o-Ghd05;{o)gKX^Ibvj!b)WC(Yo{nsLcuivUo6(W-H z{P)(+9P*IfWNZ~kHC5fufW+ES>jpwnG;dqBdwVu-C${_e@Q(gjoT@qsybl9G7LaYa zco=MSbLg~m=)+-y;^RU7_&ZjvJm18v#>A%^y%9KQ?tS}sXzs(6y7&iiYXW%dy)2}l zqVD4;g+STv7<>N2CbkG*@r`XVER-;y7C=p<;}l?IS~!ZZjADjtf8h%aiTC1K#_X`Jut?R z%s@?eBadvxvrTqe9LI$@9(^ZX8!oE$kFh@g>VpX54#`mCfb2&3CGqoy!lA;vWX&)k zYxBHRaJ?aS6>9QSp52S_cinkh!bc9$cV#X)N^O)=Lwri;t&~$Id`cG0lr?jFtOw)M zq;kdV!L?rV9lYdT%hjaLB1ID}@~T!Xl!7c6oJu+K?(d39UEtCDwaBS={8N=nogjGz zOEvvH)B|PHE)gwU_qUlVFWuELF>%~?J(Q*Lu(2@sm$= zs_OMA=IFIe&Dg&*Q+AMV?hf20f?8cBXb>73PX}iw*63RpTNMEE5g@}78#wFqjSV1X zt=JW(zbl=5o)If{(?1B<4rSG)!du74(r;`HEt3s=b;XI7K;Tep6G*E>`srZu?L0!= zwQ&N4Vb%6CXg4xNpl`zwo8U7=L^Ohi$jh>03lXMWp*R9B2Sai0h-GdL zPMHP**%@Sxx@06Gxmyg`$e%{Rc7FVDw6*2MTgJqAgd7qUfY1;7vJI^eFqcpz_K(F4 zj)v}v!zLsB3kh4;F5f{;v>ijByKFFa?+C6Z$YYl*%MwIyW#gHxXq6^pnSEs?WL?%q z-PRs>tU)~2pk8aAz1P}())Jm0vG^3~dae~<`xN>$rAd+H_-=oO=*|)au9TEEvklcN zXSJ@F0N*Lx{;8VYq z#qAHBmK|c4jB?}~>rf}VIYdIjtM>&vkrz)2hppNBB5Ru~F;`|o zZ1z8Lov#v}#1r1^MJ_vJ7cUkSGqm;%db@XdFXk`}sR-#!$0yI)bGJLbY!56*5b0`X zu`$%~susSnO|?WBQ&%u)5ur&fDoksnc>AX)t?3*0TAV(HOe$xB_z9F(1-XNWoRTBK zxrj0QS%Mj=cpTWPox*E0^i<}K3v*F1M~5+L5es|oS!IWh%jHpbrRAD>tsTPxo;2qt zBf_36 zL~^)*Ir22<{_N$>w^G6n{bYCchMSxqbGOo7gnitqH-{_mz`Zk-AQq>Srm`}*Tc5tD z09rH(oj36}6Ln6q%-45G@^M*yOuD#J==Lj|;+pJQJnb$kwDg_LqE5OPQzHxRQbM?O zO08u|?LLvV3kHl2c{jD=YM88gf!e&uxz^i-HK{zr-gzRDEz2)+z}OQ}w3=g?1T7$X zk1sD|%DO;eW8OSOH79u9+*AP$KP$pvz0ybS^BkS_2+jnO+!cQ_ev~{r%7jX~iEOk# zz~IVzmn<2@8AGx$ifp_Nb^mHn3U#$srT==|pyB+0ZG>@DPnD!Sqpd{1w~**v90XR) z2&*1~v3r%@YzE;8urLpJ;Xvmv)yBcY|1DA?Wq-b+BZXN>t?h>93bLCr&hP5CXB%hG0`9JSCKgeLg6l z7E2@(sc1ih0H=EWOu@;5haqUj>%IZVZ1x${@r};85*~Vm#cE;IfbhkdbJTOm^R(iiqr;|w_fTgHzSua&u25_)(8eXrd06sb5#>J3DFO68FhV>hk;dyOts zTyp>SdwGUp6-9H$1O8xouxv_K0+mXFL4y|EQIP1@H$@@ptNjlM(NrPQPrDcd5Ce#J z@A1S&mo9liqmM}K+nftMjHi(|^Y9@wY;{Av!ifzQ57GJuy-=YF#)sMuJa#4$lvQVV zi@568d>h(0qU~t<7}23zR!h|nbFe7iqjBx&U_A}|(L{-c;^vFjRQ0%_)2WrhpoQ9XK!moVBe@p9wnDzshD+4ewbz^r)O1IHy0p zY0G4)L70sC_g@NJ;k2xZD9;m=t6!z|9)wg(PJRfPCiYlc( zJQaeGHPF7oRDaIny6WQOz~5 z>P}eFeyyke1q!$}rT_E2s$M%;NZ}@808t+AOEmigb7e?xC85WDH%T4=4k)%q z0-ZK^_dvgY93)ZQV|@TS?)W;B&6Uk)JO-3wq+t~88FI%(VlFrtgG^-N%r?wl|2FlA zhUU96sdzSK_EkvbXou;Me)YwV=*T(0WI`uwGj_)X@9^VM1O7RNcSr%Z#yqTe>mwTy zW+Z5ey4?KDwlqGlUop&RJ34rEx(X>iYTU7>71p8`?s#0Dwj)6v9XtB`clz#~9j7VC zbq3A0@4CYOaMH9l|Hem?=P36?Vq59KTPs4_tm%R(6u4Qj;8mOGYJm;|#e|eI;+)JI zfC72=y1ioo&BQQXBL@>{ZjizY(yLlOuUH@3@{4Nfd+J`$%_ORx5~I^fJ;muye_bLg zD%Lpt_#w>~36*F~ma28_Do8Jq*!=00yw+VaB%G47!zpT%&!J*PH4y}1KSY?jyYwQ$ z<=d+Hy@;vatq(jX?DfQ@>iP5qnNxn6v<1ihaf9EYFbUZtpZi!qGBxR9=${|r?YCo= zVv{iib0-_7V5gJDKi+xbTV8U68{alS91bC2=A0Op2Jq!?`!rb(51)DCxN^xlbwH zdZ4~Ha55|LU5@5_|5pAfreaPbyW(C@%SKQOm0pZa3uO}vUJ5H8D_%2W6DyvGjkB>) znb)BCHzDS{pLXwXxhEbEJNmIrQ3;Um%A7%{;ZT8+-24-2>W+vBYY%tA1c^cEgX=+; zPFTi>r!>(Ze?UWvhvK4TAsSK}M2jwZL_}wpH87+F~xyn?x(V_>WNt1 zRyu!dl02qU$kl!aK0$!&Fu_YR3kQrW39V!{0%3^`d+(f3*)C&`7;|IsA~3em#hO)6 zSa}udzxh%tXe{=2{@OtG!p&G~wa+>zKOQ^HPSu=WU4RVX5gvb6X_tx2v&ZC_eLPtd zCm}`MDQI*`W6VSj_Ra+oVC!W#hxXy0`c^W6gFJ5>8A^FbBtMM(H{wL^3mL*Thbib* z5p4K@_Hgdl5(6$`fP!%Y8D4~89I8Kz`#({99#f(! z@TZNve&ed3F8{e>-v%|9Gx^R6HfmheX2o^0;Ga2U z%xnXhFy39>-#`a??QlpJjGq|)B5fPDZ1vB7!!>3-#KSE>zy62ra;k@$%i+dSL%hqD z?sCiFhK`m#4qrgS4OyYaJ{pqbkinMq`3_P**P!4Mv?5_HADnp;4o~!IgsTR;i=D&8 zd_qBK#BjUx!(p#RzQ2qnhrh&_iaKn60v$*NybTRX!SY?j=b?Vk!Dg@e07-hQ`2kwo zaN+W~M#*2u3 z!3Dvi&$sSR08YJbxV3_-F$^vju&wA1Ljo!yAa*VU2kn6EX4F5^%a~LXQfw1|h4FwQ1jVAZ7Ac^j3$GL}JJAYm&9EoUDZvxXPGqe47un<>eZc2GR-ens?~5Xe zR5y9Js08N?GuH6cO^Pe)2bE&r4^W6WiINlcV%Ym?g9BVHpOI1a+r=VF%xV0I)!PT7 zgDGbHoO(-4;AH8bK`=!vNzhcu2O5Jt#pV%KIN5bmqK_hSy!;+wkM&}3DTE|_F9EuJ z+z|aFm?YgI5soGmMs(!y5OV{;U~$KvgZxFbH)LS|?TR=o;0>fF4z0px`up@k4@~Is z{2Wm8Iv>UUgh)amRyzL{rhe;hR>D*U;30x&)E>0%YPfa7h&&wKLM`*_oiMa?(U#~ z;$=Wan~wY;hFd&Dd?Tx~ZW!+v*xsl*V7!C$nh&p{AZZMR@50E6-4q4zERA(lR^TM) zUx|PL#LCIWTmeMS4aUhgTbAkOq$_@&t0q~ z(0r);YwF4Ewt_oT|1uUE{t|FI68<*!pz|tFi*rmwpzkgO1r%bZrK%Kt6X3aeX?HLu zSwyD=iDgXa$B{8Y&HDzFTt=luALWpzyIug!obV102m-h&^B4cPC;5p;bNzeVyCw16bb)efcVb< zGW%J~5uy$&L5Anp9STt=pFx;;?Z9Q0qA!JbV9!-3^zr5VhP9HCFCX zbfkl%r5sp>PE|5HUbTgD3{pT;@={LnoGbxwEs;~W#DEUL{WgISuEHaDj8UiKv?^4q z?9zAKXlU>{hp6x&p~>N_&}UrnM(t$QOoQO^64P4kBn}^Ch7JLU&MIB&rPyADIa5kMIAY2yvG=nVPM%UBmN=)R2|S zG4gbY(dLIPG2yXlFZlxigx}y#_!1!jH)JR)kOu%zw6gD3+CdBTPwVWkt=H(Z5!FM@ zRagCmRjQuiqGct$uZKbsHG)u)ViMoi50!ieO>FdoF)|oNk!6jY;bSxu3c6N%JAA

    )fOuFj+KZ6@Pwko8l0+{5+ zxT>IG?SFp?#zK&a3u%cFNF2&IJ;*gZ*rE6FUl<_%Gh`Q5#KC{NMrMc~bUOI!kCoXl zk?8ql7&!O5;f<-wc!?QYZDVj8y?fVo$Mz}g2YE`W@v0mae_+)_P0VKMxS=a=Ww;YYB{Fb0e#y@HyQ&-KRzb__EasVQAc*ynZs~q zDndt%%~@w?)`^1_i7Uc-5z=VavE7ZHP52Lyh@K70(67>G$78qTj6ezq9ts|Vj#kV; zK<5@lPXMF<=;uN*)X#5Id%fH z{}+XeAQA9^rcVY>{s##={%PDDo{Z0IZQT6h{KDnK&zIfd9uc_Ae)reE59(&3e7tVf zwid1itTDSdLLk-ZjT((w?&{#6r}56xct|d@`1&A(hzT6^;Bzu&cDmd>9$IVa!Hi0M zT%3-#%b?!UrCpW>0=^%xrBLrqR%MnZ>8t+sEL`{!p`|Z}PRIfp0$| zx{D!XCAXRWldhOL(IU|zF+Wi=udBnaLvV2qE=|!Knv?24VMvGkp)=<&PiV(*H_r>Z z**WelN(?^2trEmYAeWlIw>T)Cf$X`c<_YZuV_om?W z_0`CQ`~mLfyK~f&>{}7Ek2NvRla8C66-C(6W-z2oyHDl?o(#F_@=<3V&+jJ%6O<2q zGAlTqr(R-Jvn6&WW>m93E0*@M>Qk6lzdR6@mW`423hGn9rP~Xa32s!-&@*A34C?nJ zZ`a4AJE?gPqEj#!k3i&{$*PBC3nZoY62OX93&Vmc;N)!!}AR24q9FwB1vd`eX}Sz` zFqjv0`VIo%W-A`NB77o%)EeZwL3J!LXA8Hf%A*YZQm~+=bBdEg&5$mEv8twfMF=fyS!4WZ>^c;Non}#p=GUvbu__ERQoPuGgru%F5>7pPL5r8_wQ8AOC8oaF15zWxdZX}H- zAd_T!WWfZ4AFw+W3s?)LP9N#uX(m)oR@S{f6Yngsxf$UN7gPb<{z5|(#KSGH-)p!rj zo<)B!!GN(CNNBEvn$VmfdFlg5$eb~8RJ~X=`UyaZ|Ds<2YKiVvbyhqyU0$o%#yVNx zRP~~CNUoZbZ!9c+N+yY9HfP6A_TM5KlH`x7P`0tBmb~4@)S7m)LAiN`md;r0{8tKE?^M48fboHieZ{!I+rlyh3(3wR5e-W35tA0`m{yTyqQ@ z->jTVp;Z=-lXd84_cdY0GfzdHnrxLw@b2%Ya7c#e$hTakxgQIh{q)%Fx|j^F%g~_@ zwYDn!cv^FUm2|1oM3RYbWzo~Ic3_&kG_0^?SxaeT*YP*Ds-=Vn=jzg+4%*i48(-HymCp?tJE${)^XELvrbjj<+V0d^pCY_Dl)|h z?drpF#R-#jXlM7OV8&`sIh&L!=3@One}CLxUDLs&kXJ+OjN@r=w4`NwfwS21*kwV~ zLR{3;-E_zVMHX{o%mi6ahWp#^C#q-*VEZl~S`&dY+rIT|2QW!$^?a^gh4y}l zWq~-59vEYYpdz^q37dk!h6jZaZ=C}<6K?KUyFDWSgSInUxyD~|lcN|u5DzsQJN@D) z&Yq^hwtCC=<>MQ*EW)u=U`g9hAqKb)X+42u=$@#GKniA>W1kJyVD4^cJ$c8dMCHRBD6vTC$k+I#PEjJaJ0{* zL>2oN`dBto3ax+5ZdM|Ndbmv}iKpo455g?um1hE%!KUokN}Ck;cqOr@AD|VgOrQK< znhg*nBnu-uHT+Ve{Pq=g;+cCGuxp7rFB;E&U0w z0Ip%#HBr0+DuEA6hauR)hz_r;AnZd#k{$_^W&y%on-cR-g-V`iPCOOMPdRXRP1w+v zYUGg_!QD7CF$KG&Pnjq@2!M2LJ%^ptV5XIO{qj73&r=qdflL?O9|GrmEh-RnopuR> z{Qg6*kffPhiQ_}?qriwwtU{GB0m%7s;sG+?{E$Z=su9UUneLy*1LA$p0#T>m(+4tE z{SMM9@dK;%+%o&d3A00x%4B^5u8vOY;E$>KY8%xeUkE6vvHfk&;}VtD3(>j8)@OAt$cyTL?vs3|O`W6A>9z{+ESD<}IS3nt7^xfdxk9 zrGHW0K)CO;=(xn5Mk&%HQuK>CKRr>H%9_TCxN8z7o*d+K2gbezKQe~J%FQma^U6tn5|H7PnCW;|63I- zEzBE!({$v66X=H#%Fp1SdFZ~|eI*dm7XeHm)y`?kZzksD66pyaTPVB?^3K8rU%c0A zP|1-vi$xU!!c*r{`v{kSGZPlbj{bo*sZ!^3$9w~KPH1UJjf0T{$ZKUj6Y7&O0G~b) zHcxv30j4Fb=Vm*Us{?+ehzGeLJ_VaT&>REL648KZ&0RUJd&9uj3H^hzruQo+#=}bCAJh z`RLVKCyf~&xNvu)o=q8*-O&Ik_D4}fayA@hOK_wW3xdjM*vtmL*_@Hbq;Nrcm7UWl zwanz2lQ+M!Ql2RcDzMs3OWrtc*bx6B6;EjWve2<%N&L(FYpw+vrG<4>LhvO^LyQMQ zR-8Z_v#&2^K~rLRx`hOPAihff?q9*;|KaQ_z@qB5ziEaL8A>{a?(UEn8YLu#lJ1a@ z6p$JixLlmY3MQc45?K@_F2zBAnaz4v|Z-}^n^^F7b+`R%pVoO9-!eb(Ny z_uA{6xpC+hyX3Ie?sp7~X)^@h$gj%@Fj`H8`tyc0o!9_l-~0*_?VH*G+LGD{6*-T4 z9+l3S-9P#9HF~4yXoWm16qUjm@)*^@*(0}k%jtcSi^0)=ozs*z^-JJgcf%~$ynbp8 zAEQgAM#SZX=Lw#&+s8hgF@55{?DyK$D#22+ez6TLngb#OU6ILtcXh|Xa{6-vr~ame zfQqR|JEA6pO?8WP!&li)e6RqLCkpx|NcoP?roR36U55!DG_Ck_uigi8Y9lFY`VK!J zeaGwj)OW6n5_A#5G+;w#b5P4zxB@b_V*9$PwtSTrzq?p-%1%99ZzQN&7aU}}@by=+ zwcEm#W9qtzZ7=2t9~)od|1K)$v$e#{W| zZS8J?=_CJT7vY09B(nSpKQ81*bYazWMZ*MHynb89QkOmzo*|5!t_|}^(>G-mmMZQc z3Jz9CWQlh2y3pgfUmuV_om5MI;(K!NkR*j{YFP01kAocIvr~NBTHYJa+%ZuWAiaeq1dNzAEQYdrxJ^5-(}OiSLS=K&YQfqUujt~(K(4X&Yw1U ztr@GMN z#l9f7l#B)AeLkr$ZF|61wj{Lx1-7I?>_^pOO0?KUgRmH1oG>{K)Sq5k(s9Zm^iS4v z1rOQmf?iF3jW61wygketmVE15;3r3H@6ytR#SH2DUXpYp88q?%zCCj1v%ZqU#?=lyQrzYpO@@4HMZt0q#GDU*qI4}4L;Jr2Bf0QX>+k?R<0 zP|4d}T;3Y?#4)#9(&|Jz7S(6KW9nmM-Rpw`Yt@uiHLQ5wqx~eW6L8Hop3hxvuJs9z zJnOH@&m^9<2ripVFRjNn_GZbL`YxL_-d&|{U#!iLihY_HCs!nXYtaB_b; zpcT2PpREF-16p*t7M%v%-VFPG|Jio5b=mTz;ykHU%@Z8mIqdzkjzCOUw6VC{Q#cZ~K6zd!cR3;DLJ?uf90 zz-|M{nUoAelYP7^Z{-86HASnko|5+GeR3Zg1a-R)#xp)&eJj6K+sL-N4zt^+w!2Oz zz7ipUH?l7V1O0-io9c+oL2etzHu7}Bj1p+zUra5lvfs;hEY`jXoUT80m0m}mcq(w> z;@aN~2QHrK^`r0Q7bI{m$ALR-#(O;IXY?8v1)DQf5#^Hrtesy7aKbf@Uo%kw+M<2U zS;4XOH|NcI2Nv;N+tzJ>gEs~MHDUY-T@&Ju(6zmA{hb}>KT?;3P@IVoFgIvfwcY;K=XOEyx7^+2YlsFY{_NdW zFeL=WV%1}2dg?9$dloE4!*@?UmpHqNW_&f#!E;DN(vGID%pfq)bkoKOu3k#XSYRC3 zW46iSUf~Fix6bXZD(_Pp-(FDx2C97tIKX)7I}TcWih;*#ami!e$uaSAz_$5aJxFHv ztC;DwG0xMa_wA5Yaor`%sHsU8`Tk;G-z#0Ay%0&6jijl7vg5VA)dGKX7)ThR%LQD5 zSE3MS89GjzxI9U%e~V@W2;4z0v$@eRp`F@#>(p~0f7!$}61$FpmbmF`~ma; z^YGuF)C*h!byBbvkA4ldROEbxya+44daA|LYf>BKTje7rd;P^(AuLQ2Ax!o8l^Eel zyg|<}s9V1YErwD94`BMaVWt7mrGv#%mfWcx?3ZZ!)BtL){@|}V!n%K7`&42F95jRr%mg?cfn1cJUwG7YM?zcrQ>!Mzfjs(C z%alr6a-XH0KE@Y+m60_8(i}{?AkK0(Be9}}j$zzFfLINP57f3K%f9B*uzETs3RPa9 zRUr+x({I!5 z_bo;euabntSH8?Q0{uqmHL&kna^cT~o8$~fEC;jNMIU@fjP34F9l_ov@xktjq-T~L z!81@)%Rew@50XKq&hDtG<c#HA3_a+NV4z0^>PWe9MGvVOoymL?$2QdnexHFj(pFQCG+7-Wg&5bv*nHcQ49IGZ{?@kD#}&xc z$=k`@$saHNzD&Q2hy!wxgfcxizSMrPOJxDr=9;Zifnh7#Qh)%^Qs~nU z9#O?VgmL}w$Us>sa{qXmiQ4Gk{gD-k+7Lmsll2qkGDiT!29TedJka<%<}><5{CEMe zg>HQQJddJZL@vAU=;#W?`kCk^N>EPV#e6^xU_JdoeJXA?RB+BjoGtuRqy zO2D67r&|dDC;FzyVFI*Z67pQz>v@%ZDK2_mnf8$i*ruP$Da87-!bpDgE$Z#b6Kx(r-JPXTQbb3jdmc9aR-Jq)S4K za;m;A=L~YI;ryGqdIx#ru3PV--#SZ|NIbh+b^4K@O+mh7v66HC>LmJIqSsO>@XFWv zbx}SpvKu%6L^jxIL2Q3P`7cW&X6Vo7JW7Zw$*b-A&+AFQcJ=y)vAp;F{$yE)-!RFE z&!Bvg(P&fY#Jj9j8pN36K%(mUj_(PV0k#uIvBr&pAUH(a%aJ=lu1ri4LEGtAtvvry ze1ozSuc54-bRm$z4eqQr`m-`#EOOh^Sd~`E=RqALiMi{wahh5x@BH(mE#MFRo>-nM z5zao5Pv&KU+MP)?gpek^+3NhJWZ3&UW|p9O$oG3S#N%AbHH;KUq3(57zUo=6E7#yJ zFfXF0hWoN+LE4U#h?rWBE`(#0=23n(n@JburA|Uf+m8Ot3m2`X&r2-E4a-r<8)vjo zc>RihbQN-|qZd!#X86IQ8>OgZs|6Y;{OOtG9gQ{K0BvrA^RZr&`1xKR&K!teBfj{r z;-LeoybsS-nHn@!QlC#!y3l#L4f9ozta4S@b&06$hoi!JVg&=;{kNi)8$>fO%3&iMWZw4`BQ!?`5TocU|J z;(L#X!4<5y4qUPaL~QF+8of#Pekks56uieb_`O4MJ2j~EO0x38Pt^`rwf~v5i5FKV z575~F5A@9x!fxLyYrgXJ@XT>K_TNiW5Y{dPrtb?w zZLdN4bW5JBP!9{)WYRY8LmB1yp|)ed=ya}ME-^(?t|9*Ud1^a-#s$Ow!qbckMIJ%U zuMvj#r=+l|W4(vK8uE#v$%^|nPrRY7Sfz|HG{ea>!0WCzBvH~olmBlBZPmLmC1c7g zE_GlZG5@YE1J@v`rup#BA8)314@1_`#Q5#Z3!Ah}=-XLjPcQQW z!I2fBZ)YL7FofBYpzoJg&5$;s<1x%G>|V*R+P&53;56XhF!C*cfBYE% z-r4-XCp5>+V_G}kqy5Z+f&!RzTK1Q`t9keAUBkPQ;tsJab2ky*J${ekppPHez_lGb zzkV%EPd@yGQJ#Vr>DjgfhuOP@U#8Y(U|(wpU}a(>k=dH8|53<6Lk zntV2%u;X4g9F^Z!+{V_vxca#__;dvnmp~^=UK&o6uj<7V$3yK zvP57b;3ay9nzKv+Mm#1d-MtF8h0t`^mDJ^npAA)EaML zk7W$vT7@4c8Xy2(2iQA`$kVI;hCruxf_kz>eEDnq^t((NGNH^LPa*)t;B@B#aPLC9 zdC1L5qNA4~1*C^{M~cUMf!2&sywG~0n-k=L@G8G1jV6}z2cVC1%E7IA_4%QeX=aj- zo5S$(2ui7H8o&O|BU2%oyQtCMTweaHSvrgnJEdF9L)D(Krq6-=Y6Q@4!czHPtL< zoF-zv+hPh-zWBjMjk!%AN0cyI6osp3xCNWy+A1$r>#Peal&l!}QKB{BaH_~7oU?#E zN>Tc(fEWGf@$*QPexv?7{gx3EGOo|M_9$ACCq#j8n$W=rn=i|9jREqKH@NFcT=Frl z0k7||33Hps-^34>_mvF;mVaao#}VkS*&Lhn?=(hETH)ruAe4BlN@TPpXtZ_8UuBF( z=uh2F*G{w3*C_9ATklQo>RO~HIu)Hqz&TGWU$R3&?s`|WWXH_cJWhZ|{|b9~0QuZT za-bompYY?Qb*in@X>@VNtP%%KZ_<$;RU7^i&-bQ8~fHxLug`~`Je3hOBc4tX-bz#F4E=+*b{fH>;5njuxJv4KKW ztmJqAy}l{b8O9-M4N{aO5BKE2NRo3?MxvzfLX=ZCZ3-`irs?h8MITLgx9&w-U;dTx z`EMtGIN-V$AOut3#Il|-yUY91`m&%ry#HH(9sGF{>d9^K)+BNcj&h!jAp&x8gREUc zy|fxR&a8OD54%4obd18L{QCiMl?E*i0^&cg!bPT|!SI-l6R86-u7W3=roFb^8$256 zZm0pJ(5p}Bf?}Bd$VcP{PE@iSN67<17xh<~zy`Wr%_PG!elYcKf3qyBe0l)d2Ig~QR-aPR#ofb(!0)t)U;1$&e%-930nKKE=Vz6j#s+Q7gga>q#3X-}`b ze)?sJhIx^V3Xp*t6$2#W#t^IC%8f{D+XMpj`+H5z4aqb*45Cl=c}@B$tNVQ9EzU*aZ z9&ljl$*_RJG4x)?li=ER->Id^{y(@AZ2@h_to?-P}pgQ*TsUY=u*x2@869# z!ZB10Ch_B7FWRQfjB-soChE-C+GeDLKQSiSoLdt=$G0no>&Z5)?H8=5j%ged7^jko z-RtMeP|E`X(>#hK&@(6%1g^o6j!+agC)CAN$1wqsfX+ZvIJlS^0kLx)CEIs2`QNQ* zWV}|(E0CDmZcXE;OcIm!zGsP_we-TZw( ztj3o^)+h!@Z$}ETKce-W%b{I=v~EAO(ftdGMdA=!!=TN3d(FROp;=Bv%I+eH(5zk6 zJMYm*%E|)gT0cA*9Kk8e;8f$FW{?-2Q+{~HN{QR6n zjf$neDgoeib9l+V!gH4@Ti1_VG;H$<0yk@A_WanDL*g+;wto<2|ArcSr%p3aU zk4rRuE2sT}Lw*u@SXglRI+jf2!bO~@#tKZie227v=O$t${QR8nxyDK?1c2BX1w5f# zU-v$PH|gw-6r&#`mVnX%S@)%eZbPf=-saiGDB}3(T#6EXnC8p8-J>KJ(^m!}}b3wMNbL&`WHK;2f(v(U&OIww~kz=~uq=Uad`8{Qf-u;kMAEBU9=MrPYc zDyj>0_7+Z2jsEGi69yxm6V7H;K1j;#*JA><4oSzvVPAj7OGl6bhJ?@^mP&!q40FEq zO8Ge#)mzo38G(4Xzdm1Uf^CTFBIa87|EOovQsSwDiSxaV=jCyPY=z!i54^k)U(b`S`0=J61b?%)}JAg}=3AWA# zmn1C`d9>blE^EsoCAt&0LF7>nb9MusGv%dvYt}TgNa%J_bp+#MQHBrC5rKK+sC*E5 zn{dkeFo5ow^G@&}icv0q**+e=W|Nz7{}c6qTYqw-C4oPwyWlbe2IeEm##yN=waY(z z==@qgR)eG9VYc)~KHoXho${6mO(($D%Hc2%va)Z!$xb`;y0V7_;NE-NfepLaWUP}3 z^wXzEL)-G8w5_hf8LdPy!SpE+&ZiD{Wyb&GIJp^9b#<^GurSiHnqh+&YNMw=%eRV7-sG%QI5~=<|sD%m?k6MLISmY(OS6mwA(9xYlVj&LfK@FmW8D*&yguW?? zQZ;dmOxw%WFdH^Q&YJM9ZhFGBD7QtSMV6H`P1S1^;)@1qYz)B%$(|S-f!JQ&No*z6 z4zeBZgq(t{fletIWL=lSLI6rU74E@|Xi|vLVisB)MiSvTnwYdAdLg$Wrt#F!E{ux_ zdi`hy9R9GElMz#6>5ePT5tZa5_@(*kpt|A%Oa`?_SXeTN?F2XvsSoKvdqP*l%*6xP zACDAePPzs`im?iuG{A1V60G5KLp#*Wdczvu@v;08`EeABlWk>DSC>?_^MVL|!UIV= zg#HUsafn*)aogV0M%6DN{J~D^6q!Ny^mgtjxCdE9+} za^U|ygWudaY0lqskep3;Tx{T5ee3s81#nc?zkalk5XyIw*ZI7a@-D4YQo>Ne(4(ZA zv{o)5^DtL&(-hv$$7@Eum-N3~>vra3ez>*fX&)RluDS};v+CCU3j+hZgn(T!0W~MH zdb%>x06?o*5+GF_TGDMdCoEVfN_XPYjG9GJa5 z(Xg=`uX8hf$e+;Qpx>4lzw#J+4~?gJ8n~&GXNEgjk`}m-b>E`d~jO&)H*hf{F9J(Bm>7?=xGP z$NDhW1(l0D?fPITE1-4UJVdt+o3Kd-4|#pl&C$jRcXzk&z+I8FB&TmK0uEa=k$Kv0 z0(VMw3?vF?tGZe*97Im|GD@6siRH)b_e?odrbTFivqxuIbYcqs`O%5UJMossrT zM=ei;#%0VNtqe)}bMdLuZoDr+(z}GT#G;y?eUF2G;-qRWf{ldPvi!d*fj%v$Ib}H; zGaDC{!W*^7f`mZFI+Rb(DW564!uV#!*E1o82W{EeaeqU|y-1XScHgWL&}nSQl>j}( zgAqNL>!@T97tn#os*3@=1_SqXS3wzc*1Ka+Te#5JHDB|EDpd~fM)i$e*_2qJEQX}; z5+OTkLh}?o=4XYmCCFc^G08THBFW*3(U_y~^9OuAkL@;p(h+^xfCc`J0S1qFY)jbU z2pdHo`NAk_Jhr4Xs@1no0E*8|qdHS2>S?T5;WJMgCDUL@k7I+d4KLR!C2sFAIyb|W~CT6C_svPhWqMz9&3=$ti}cAK@5O2Nl<^AE)s@VhHUO>-Og#ZEg$ zcQU5e;yGH(MT$qnxF_+xvj#Keb^g{_kSYIuAF408dy@pJph*A*^pov3 zd%&5FvQL`O{H_Vyy5X;9&&uU(<%+EoH>^wtjM}r`AoSihji^PF34W5{`YZHVZKblr zV(w|${jsQef@+9DsW(#;&fNMt%uQ+1s7kHa)XWft-u9><>qIv00{kJ3^iOhJ{{gu; zo=7Exi5NSz7Ocla&kw^Npto)#Vi^!B_5EJ{CA~W4c_82Uo z{{mQ`$qwo{(EhTzjv6N50YKB__1(10CHgYf+AM;aBl==Q%>v;IeZmY7HJYAmzzjdj z-1-wHl_n7G<`179%=Qe9w7(*mJe9MywO_G~-3bN>3paZ-sQ^tckLb&cMPTd>N>=RF zdP&z2$s|0$S)fPd^%!B*k!HcGH8q5u2|K;|pq|Ole*s+gJzof!(`JSgQPH631=|#g z)0;{mt_9frdcNQ#LC(q_{X7>KbI?x>R*`%vM<{uEVO~(CTDjak|Ew>S%Gtmb8$zqRWIKUV)n@B045 z;MiUHLn~VcvBDF+M0FuKblvt88@CJ8IbYzUrBJsWm$)2WTKiiuc)}={P-O*PJV>` zqudVlB>wz{!tk{AGcP5YLn#f#HO_bAvBvRe?%KlJpbk;Qf^8_X(q<)r?tkM-z%_26 z#qOr~=t3xCWDUuDFIObb!BJL5@t8~qKSxzFiPaiG%{CR08a&HyP{h#2fnk%HEU=X4 zP1OiVIg>bZlLRebmIlmbXfsVJP!az2VJM6(x1VGG!of%0EbB%; zx1RRJK!aZdIZnD?x*GA^S9eP)0et6|1Zj;jPhS|$3i$p@(oV@ zpc*akD1?yLRGly$rM0+OYy=yXS?vQ1v7)9nmf9}*VO*JmHQ8Y}seo&QVO;Z%ltC?I z*u^!UT=P-NpsUFHQJF|ra^no}G&z~!9W}XQM3p)lj?sf->e%9a4@PmYp*S>U08~R; zs_w7x5{zvCd!r4_rZ7Gu`^p2)>V;D1*fcQ5+QfKg1Dpn{4)K`_U5q`*yDMfK z2?`i!5bD46D%D$KPr88q!(ZcrtoLCu;iGjzhOkc~GV5Uy??+mbIdO%c#!rYOUS5fY zy3x*Nj;*o0MBRPf`q7K>zPY@_TAPg|O+5$g(KUZU-d7yHtD})4p0gLwm(Av43Si_X zsw7<;zUQi26gHtS72ZGgQW z``8sU=X)&nRQ#ja*tJ-`yED4GQ_5cbs^YvavIgMZ$o&pL3+Rb-jTn37u${zHhs$#- zN5LiyMr0@;5ZVrDlE^QobvWy6+o_qQGf7(S+&7XJh3S0=JF>7-%7O=;H5ZR5pGZ2( zd7J4uuoTAMfh7GDAmkMcE6wTAY>+aem3_t@0Lkr8X&x`5K2g>ViPu zRk1;0Hv=o_8^Q|JjRi5(z%`wD{!&$lGx~o1<*R1h+ZT_MbZr-Y{WK2&9EZv3J?r>c zovD)1cfRIOsy7PqwI+HC3i8@8Jxkd)B}2v%@HRz<*gU^$w5_bZXy9m)pp#xX(yi63 zbK`cR`(-H(bUYv2Sr6W*Ew&`p-EPY1t(>=L-!!%Q_;$&n-Dc^& zF5m^g;~K@6<$c{L{@OEuH?FBa7j_mnI1q(Re(((;;qCf-5K2|sm6<II{u`D)h3I%>Q>EL(6&_?x(Xz{ea$AGqjsqI zB=V6}V;A3xX>GBbFQ=v{JI(il<~m#a@Cv8AuUZZUNX}Y2bE5SeGpP@mb+?aSm$=`D zJKIE)rBL&gdNjXrd0plj?@2zA=9w{>=1Kk&%?X*iHr9E+z_aOmE5Kq@&M3N!+g%EmJP4#$SE#~%pW>gG# z@~2K)%{j5xIrPIjxNp7lh{OxbpTb<{cpTnQ4SDBbOAweJ zgS9Tl!MfhV%WBl^S~#4>b3-C!MQecEB0~_K zRbYEnflR-r^OC~YC!U*nVQtqsl1IAjCS!`BY4G>dZyH$@-*t5K96l=CA~&4pr{Jop zGOxPn;u8y;iT!6+Qo}Z-n=G1j?zKd^n8xi3@IDOQm3@?Jc$Npdhq>lTwmLR04l>3Wk7V!H*bWg{hTO&5 z5(V8Vno63!g&*EG-=RV}D|?ACGp&2VIVq?N1TnFSCeAPQ&5E9uwNlN7exoTSD#Gl( z;2{L}HXYGjUO-w%<{t6oBhuFG`k{#@jN0tLDg=xT%-TEr&AIL^=fl)Br0dx_K8P|? z9(VSXxiqr5lKF}OYN&Tc0j-QeOu*%FnKnv3F@2AH7Gw2On8A!uorp#G=g>$p==3}H z#YBJAb1!%FVu?jQuuKBl-ErOtxjIHw-WL3y;?t(Zh0Y~>I@9VxCkPniHh&h9vYn5J z9R-(>$R#ENW4Z}6{U`<&D>ez()f@<{xP*DM16AVERHJV{q!29{>pQCcMD(Wu7#-S! z@om8PCGe;jl#=u2JF}NuDFM^OEs>i242@iNnjCxjbG({2(?7FYvhk-oYG#znHXsFG zQ!`Tb7#tNB>m}+^(-3-GmZz1>WS<`qzgp=na^hP5+$*ng>2Jd;oJMB2tff^^ z)t>f0Q{$*YBKTM>Ow~G@6TKX0Hk(~Fy2*`_{qj)iNm+Py{m+odr0lkp-^T6TT<~J8 zq2>iuFpiN(cD(>qN+b`>xbd#GMoj6#rAT^-vtM7PJ=c|n)m;&aP53=>PN=FhM6orzBrT)2x0~$Kbq)Q7TO72*;Ky#{6iVL)PxCN zDP&?$wsL97B}i)BN;@vT9WzrMSw_)qx0w$k)?r@fa=o z397JUz`hgm*pnStDZz1vX<>Rc{Y@lPhOndiW&n`SZK(-8+E^Q8skLpDRGVx~`Kq|G z1(RsvZ7;t)DG`ELK}0Fkw#V&bP>7J~2|a3kz8qXiH41GjSRqbrmyXi%6y}+w z3x$yxj@}{BsSd5QDcABm{C<sGA5)&EGP}Rh%Ot2Z(%zdnj$-?uKaXWixeB~XuOks>mz?6@wVcv8o ziyYxQ<7-)NnXsJ}Nsu4^ALag}$fE_IHbp8%N)2GZ18fG|bvoQ4HNZ6`_VB=8*^aKz zsWdHqTO1PN=E9*EmeAzGp@>yRv-(|t?O~u1q>%RjYVZaTx$fssGQ%f-j238qCTMO-0XFQR6_w0Sw~+;ufhQ z!gE-a3r=@yrwfdWk^ za^DFz6N>q-82PdGePW|``cFj%I+!q=nh4Tn!WIFd2*kq(8}qe(=VDRxH0=@TKWNrhc8!FUiger7Di!75znUM#gsM2okm)0X4Z&}2bUzBHYr zuY~80`|8A-PIT?!3e!@v@*`$nQoAT6;tgpK*@_9NnUR)70JWQfm#ZE%QKqyr%Y5vd z8YDI%M{Cb`16Z>F?!i0+xCdTTz%n^bGa`)&60HhE5c_#;%W>BObAz@Vc9L>(CGR`_ zO}W{^4B>}{!+|@Y%!|H}hAilV5TXxa030L`z1wuIq=M7>MM2P(@4pmx2M4GbLQxdh zF#1Ux@NLCV;M+>rfTa=;1aOX{zNZu4{YAd4jP^X>JpPL^41_ydNiiYl4R4^mNDqPb zA|>F#z5Wvr-=1km;6t-4s$iZSb-|}Knq+c-!f1owxepml_p5oRt9HU2Ymj$ z9j$}UDh26O_EJQuBbm8CB9YP7CZXyirC%_8*nCvC743EHSqu>NkT{UW()V2S+Gq-T zk@I^FT6}OVW`V8Uh`&Nmi_}P471pQNvdn4Q_v4B|ocZ0VZdk}N1KH>5RPgIP7&n5?=C zQ8i8F8)P@{l+e{9yx;Q5Vx9W*jjh0g#4C5<97#2^cU>Xx|9~DKw(g=7cq=iDDmtJa zaMAx1mp5|%1Q)c!kp8-BSB|^+MGWxg{}j&z*!~2V0yhw1NBnN!bOxfDh>I`5UL3%E z1Pr?l*M;__?#zoPTaEzdJ}e(0v7)qjerVvp>~?PotFrKPL3NUCWGybn_C={Oqe zywFHS@Qk-aqI_dfqKT;ER8&hA$~O-sTJ-*yH9ckyE4O(MCrSS`LR?0e4E)@Z=ub#! zTm;*EEE80Yu1F~afwL8^SfH@0Jvb>~ys6xZeI9kLsF)0GK+q#T#qmK2({$LtN^!T& z-cen{jA;U)qaX)l<6wgXiG}wAQRFI#GKEJ&q-D>j7-?+TIL4$6k%FOsM!1$zPp ztGZ=bvY(P}B-7p99I&W&Ow?$hpX8xG=AozIrN7Nf@4#Ci`0<#Tc^M~!3I``Yd^#fB znw(UWIqjxhVl>}3o5Zv^s6wK!2o~CqsI@&n&3fpgptBkB1a&C{Kei#<9iW(si`i9CGqi?9418_s-jJ3tcZ7)dd{(*glDi^zd<0BmZ@^AS0wJ#78RZmS+L8UF1 zJ_IC>&`_nUHLa^eQzmkAK`64HgbT>s7IqLq%?aA74fY+Q`i>zXyF)VyNhP7QD&NIo z&RmEOUsJ!W6mHeEb1oXv(}9QX{t?%`|7Nua&^iiv&<*A9;wkB`AiEQi%_2#)FQmUN zQGW({3X-mOu{&Jk{jSz4u+EFL-m9wKOR>Rgxxve;F%XnGRx_q+Miv%kSMCRv6jICP zc~3et@~yE4et|%iNU*q+=jp{t82LHBR*IEi0J2>EvuIcf{}nS4UL0xh!K2vSK7UoB z`Hm;u8@yGu_E8VkmF;$37I3ELL=CBprQO|y+dR*36^m1ar!XUwhY$oful5{pA|orv zhV*XY;2v;b0W^dMkfZEdESh0(KV>9#qNd8!pimS z?A4r_-u7WaMN;udXc!KThqR+QVkXKEINDgyZ+-V_YU3`Fk(JA(}~ zqaueolR-qZWik*x=fsaHO>dX((kZ=mwvzS0xl11d9xf!)IUbN|lW!yLs!|(a9M+RSlWRn2s4);l8o@Mq|*9)P|dtHVSkIu@y$l z2tb~N10sY15Z_7$&?-H5-dJ_P`LNR0^%|tr6Y5(D&g_hZhZheHRLlOT^7!D1Q#;%4 zBN@5iY~DrJ@7h|wnq)1$DoX^IrGsUoW5|E;LY<{HdzAsP?^^6qjwXA+T@EafnG*ul z^i81sH49(Rt(A|Y**yt|4?)`Nwz5gqqvr0F;MOdv6&Dh0nmbXdu?k(Q}2zHB<%XgV7bxkTN zV4Suej9JIFTBnA=OCVVTrof?5QVthY*=J zJ$;{F1r~gkN`snf>6j$VE|d?5L7ptR3x4Loi9A#8Yt#7~#Caj$%!@SkF#9+rQ(!^! zB2BG8;|wcOp1GcnF97sN@xCcFiZRuMDh7$ao%JfOKKj~L$8Dez>g=HZC)lX6MUth6 z|2kq#amVb31X~b+sG35tTETp!!>!@gVAfJNC<*f36fwgE$wP~_*J3&#mZCQki$5cn z0oH2|$&1mIH1|O*kh1AnH3XG+!~^j$jIh4rObI5aQABdI#v}Lyn4M*TlA@u6wkKXF zx_zJ)q~a|5FOlmjOqCf}4Q|1ZK>g|v*QNwh75;n>gFO25STq{$^gEx0PwsMq@K2~i zKxZs$31WcOl^_JH*1}>~%oguG2>3Z#vYt-4?iMn0p|w>uwA68Y_gw~~xGs4iXOGT> z$mVx~+d3Bu(M+5_%;HKIByOS?vjk|h>#t^j9Hg2XL!}DB?#I)i;U=)f;Ywn{@vGJp zbFA#suP#ZbIjzvra%8JP(IXdu`gx~^dD^_EL8Uu@`K-EYeqE$#&Y^VSEa=8dgIa}C zW7y(?iFckh&Ba?iUv6wGZ&v{a(gT=4*mp4^Yd}}w<>Oy5`9P>We&*MQnrmM3Ek?Gw z2dFs<@UK|X14$y?TTtKX1=uI=uku&5$hs{2O!1tyZ$fQ5&BlyB7A+RXRQB_<&E<96 zPlcKm&Xjmw&Kb)WDcZQy7M1`MQwnKNDA#hgXErq!H_z)HCwg?J9cd4nCFPxajakO~3{ zf!84o9u^>r0sdyxgKDny8xG$AI3NnmoH0O>$7kAE3)eR1=XZDVz_$Z9lXsfCKhw@x z7%ws0%^TnErqlK{T@w?258&(#$ICgyT5Kg!%=Vm+hc9XQXCk3CtN(Nb`kIIrEL_EP zTl0FYK8Nb{kwLK(gsm(gm_<(-VQELtUvlmoIIukzPW`Nu1f~aDut+g4v%*NP`x%V& z=j_?Zh?kF?Ks^C5=wj^C{X(!Jc+E`=%PT&Hju1p0{=7Xk9JBK|F##aH1H@0G7-#kv zj4!D%sly*Js$<~2E1Up_V+QWo0$I4{{)rgnbe}UK^wz(<=QUwoe#f=mrq|TdS>0?%)!6@BkUI{mS`-RBsKDGtVO75|ls(R$Lv8jRnq&e=ZIxsqSov z2GS@jg@7~){OpSeHpVyfii&w@C4s{be$&80J!?{DETC zf{*GL!o&Fo$1p+5%5`+%;ScQ=v2e=ie5sh3EsL7;D#ZxiK&={)`{Dx_6vpyCPa;vW zn->quNKd$c?}b7U#^5>dLJ2sVA9wT|@Dwu04Riam#IPAWQ{Fs9jS<@xRw6~Bo_ysdRtb%6 z2!HvKu^(9oohJtAs6j~ZaX47PZ?Li06Jac*T*t{Atg?BDt%A9R825V5*fR*NYnJ-# z4KQ_1-{$D>C|=I}s)K%SAv>II#=uWo+e5+14iL$S4b%+Ohcx_&gk=+4!dUrQt+#n+ z|GD9{@`k7S-s`iVTvrCVs>P;=zZICl9+n{YVgVcpuk?GL`^7DoHm?X9ZhG~`9^f_* zSvYmx^{T&Whxw-*Vi-&PinYAM8Yvm6kIP7oBUJOUED=MEGH{jbWmRM}k46>NbTCtc zI%QzPh?jiLOHtjJ1AW|e2I8eM!X94ghAhJ7WHU#Gt=5z#w>o;&H(3>3J`>a?$@E)e zU+pZU;}dy@)4e|+L>lN#hO)}WJ2NY4Eo{UMou2Y#`nl>a#%Y*V@;f};&h*=XE(TGV zIyu-s9Z2&VQg8o3%A%~xIct$O#Af-bQ!GhSCeho4mhtr>+T!SGkr(qt#sHQVLvrr) zls?suM`;*ISZ^*=*EcU9L`m$ z$c2Z_o@*wfuCtq%O)*Gj%V$P>vsc-j3tmQ6M^&HL$@mQ-w9_b>$ zhPl0!L!g?8^$dF)BiaIYmOQqeps<2Lt*F9K&ZfEI-80QPG1$Xlqt>Ttj8Uk4mb7U_ ztdjyf!1Dq_h ziFABgiKH~%$@U}hIZJd%N8UEmThRI0AGyEFBm1@MMe*3(kR8FQX7Z=EKEDo&t5|&~ zdMf>5uqO8^(aLr~C$vwnY2VqdUDxk?w69qGNN|>gD~?1<^WhV%Qfw14hOzX$ciGTg zMRVH0WPRIA8cLvZmM_CD<5g2vThe2adB){0fThIUS~f?=!VRUT25Yg{jwAF4!HsIs z28bCNNTzc1mSU|eBu)cQS>YVEgBcOv@a@Ym8wIF`_gS0b%OSf`Vw_gGdk>iPc2*Te zXR}CK-9Xo_}c5bsT5PPvVs$>U@pt(@&s@0;w%n}ySux)v&dr0;=Z`MJX{uccU{~a9`5e` zaCdt+$M2F$F89AlO-)Z_dXk>>bY`mR`-*#}l|Ov)KsIqIlje|DMbFtX$Kp&b>^`Ol zf$YqL{4Np>VN+;v6Rwj*Y&7gD7_C?;sM9m33oS2PS`U#-?HaQ@b+)bIlsbT}nEVl) za4u!_P>>7V11C%w1`S<`B0HEZ%#VXCQ533Gw1fsSCLK}~S6Rw4zv5mZ?L52=gkd^d z^57qvWMZ3jI^TRC{g8oBxwBYiAe%NjNtJ8k~Z+#riWZbt#cr4|vnp z#SXtpjoZ@X4{^ZdK?(d6lMz6mtTBdv#Dj*D^ZTUG|Ah!eDFQ2F&S%0mCpw>oTt4ZH zmHQu##e6@w1QD()a&jMmdgH3j{*Y7*5+y~DjKkhd5MAuPO*{d$thvS$p?ry)L`1oB z&-Cx~?bVUJHmMkG5Iy4O1YNiKoS@rv;z2)$8G^@u<|_H6m4@ZU&8_@h5zKiTvo_{e zv}oN5v6mZz<_=Q*P1iy=CIVH`pmrqzD@|p|5{{>k50g;ZLt)ACNz#ZZZa^1ekJf`U zh$@$fA4!_X!;K?O<6!%FStMg=cy7N1SNb2W^ipAkd19&)6pZ`O@Mm%PZHe$(9BVkr zN#mN&9x**3pZTIN_+p>N%s+@tpCBnpMPvJXR-T#v&J~?)?eZ^JRNVehN`yPP{rT*+ zkw?nF!>EJ)f5~`i?N*09YY_Bda0Dp_rd|t3gr=5Eu8BlJ1x*e|0tF``O4G7}V)ATd~0bpW}PIm*sW|TBA*6 z;4-_S244uafm;%ydov=5FPZ?>Ws!BwfOgg(Q$a7s+b!t_TV*m@50-B%MLj2;Ssh`s z{7B%UN|&^G^)M?i!M_}pgEBx<+#mm<4toTGj0Id-N?Pt2h^Yo9HH?H>UAPTz1;$&7 zwHLim*vi^_gFo8y%cZ>Wz3(``^=Yet_i^jNqf?g8s`CV$4jb!w(Y$mXw`X}p>(xl+ zoxk_dG=&>8-u5pZl7#ykYTvBPWuYFblf_YO9YhmyiKI}~-WDN80;(YJOWzXn+%5HD zS}4kVPh&7Y?dE9#WuWIWd1V!L07KvNd`3I?0(cw2uS5(2JUou5uIX;iABe_Wo^A2< zxP(~cTtDBBELKnpyTIN#Vv~rgOi`HH@Rvd*)ioV=iNOM=Fky78XFl~Eb!#6CySkcj=X4Nt6uI#El}aJU&brB z*UDUIOh<0dppc$2v3RBtbM@0P*yCJU2eI8;3FHN)h~vLp59R;YUZ3QELmJ-LhPcaE zyP70e=~xp-e7;f{lJ{7&hA4h5-;5KolVZz^IKbR_X-eaJEXe$6ymZ5D3qs;*=V&L< zz!w>6D_&7aDM1P^sI2zb3)5__HEae`D=BgNzdpW0lEwJBbK@>}flUmBuTMO_;%bO; z91R$J-s;}K-I7oxJ9N|KGJC`0>hADz9q_R!o)I{HS;P(&0C23vfE1=Kx^C~X=Au&f zT_#R8^aUD)d2TBl53*m-&Ne5WJ90dvtiT7lKJ`knP5Kwvf(^A)ZL!oEJ5WpQ*L({n zgK)3|si5)!Z19acv+PWSmvZvPT9%;HI{}&+2C)OtyW6r#GBu^l7mivGaNI76{KO4Pq%^Y+_g z3kO_oQ^@v{?rEA3ebj?b{6HG9CO#T?HPec5UN*o9L1>At2Krov`(l?s=KSaBT3V(Y zvHC`#xAAEp@dnVt^Kj_SxN4H^{psQ?r(N5TCXPC$7BS}XjbKKce(}-G zZNq{e)$I(qpO6FcUwUcKi9^c&7B?3Tj=FDmy5IG$+?qXl!G(OxH?svU} z>$ZLAd*m)bKF+sC*_AenbsBoat??PKZWXD9s8$hPkDD7Wz$@HuyIi-G%WdfFe>dTj zOm*LI7B%dMeo?RJUxdh?lD)|1I1dyp3A72CY;xPNza?!5V$RSBiapM`+quaqU_6jP5Snv&*QXhYqR%Sitw}6&PWu~Mrg`>NBMW0u8w@bA z!>zoYoCwTWdnT-$`OPNa!C`m$Wx2x*MFGg;5 zdOYvFPZazx#(&tyBtt1e8usixV&PY7UySxx;_Ko)DzF#f@&;q0Eb=Q|Y*g2yb{vCy z!+AFA@s%kuV5~hawa%Bhk9OA|#qbedjHnq|tRzWT#7Sy!9-Fx<+ISn27hdsJRu||7 zld3E2Gf=9e9L>FRn-91Bb;pRujm|`io4Zp@>;{@G$M&_yepRPJWh7PS|Ne&(Zakr^ z_6El<DYH!})Bk^xVQQlq6ngqS z4;aLoy4Ic=X!E+UUERJCreEw3()2dNBkpuk4`-~)9;jIQcE6l%N}V|ABDV44B&f-2 z9zKn(7ULUC*0d$eE`m}Mgf3LHa?(<52f7+5(^qsxjCf{Bso(|9p+C7@eDHfr4Pc_| zJ58_7kdtqvd8@iyYS{7M%g)_SKhksm7vIS`wCJ7o!J~n(Fw>GK7trT?8lpwf5TRjsnNBQHQ<-z%Gns2IYf1lOk zDRpuz9I@RtC_Zjh?vHJqEuL-J;mQ$p+Ss1k3l0QKrghs1k(YLTng_N$J1%W6K6T9H zrQE4y)7snqA1ESnoT{Oh&4-ZF>#iZj|8%*13(=()gXyOZY&gTGw-?-mwP1b(lbk~r zcKS77$1XvfJ3AO3Rf*yw{OQvN=|{Ig*?gat`uhKt-yvl!0xP}9r?{UxZoR(#U7FKl0i`1?eyM7^UIh@xPeJr{fus4 zoaDQ-8?WDR2-Rpr4;ABeg|87EkgdCO}<{O&vf ztXM2nm%%7$?y~crXIHi12I(WUIhx+3h->Y7{p!0{ZhnNDwHFkalw8tBcH16$uW&uC zwoG9vdB3o?;6q9QwCYBOR(7rFRJE6(G}6oe!edGpZhdSp!y8#oT1ub}x*AZ$Z~LCo zrR_s#A@x|MB>FS%`$Gw}N?55&QBFu^b~4`bEVMAYhY;J_!KL=kAK%M|w0f3e1Dk|g z6hhHzuugbKc$fkGE(WnKE!d;QI2hGJ{aPi9If95%;V31Xi*om$Bc9TiE$ z4O(D%nIr+eB@`#@FTI-}9x1fh2Jt9=d*m>&yvpVPXFf(!4QpJqwb9!8OQV|; zV}f|U$0l*;WY~SLPxlNA^Tr`Dh>lB#gc?^dgZ1Z~yy5qBYT!t!>}DezqU%29?o;AR zn@1#y3t<msjy3uYpZPAuk*eoi?i&uAP}tO2z6*QoQRaP#)=nuOh9=RSDR+ihp(fPqxjkU&Kp}tS1O8aCqWB z3vn9`?XErCZ4eHC2HEqawx>3eP$4DFlR*hnP2btnOPZ@iQcyoU2Q3Gz*{hmqnlmg#-Rl=Rg~L7I_ISxC~zgbu`gIxgHdeZFT?+L4KyGok8M4tiuq zGI1ZQL*VKu82WIZ3tC-5z_ zZYUFT+@dEFdpyC9;~S=gO$syS!FPv=ZO0abET-QrKzHp#p-;x?=$A_9D)Fc1L)ZNt zWkl|AGV{MD!)ei8$8ae^C!&L*dt+4Bs<>T=GfGeL%=BWoS8=t3%tDd^&mubLqK(+_ z*%RVsYcG?qJC^Ld6^|bx^l-uC{ch{f*h#2Aw98 z?7FBP5vIQV)vu_o5dHeNA0S_h7v8PkWiT$|F_?2HVs7r?-s6=5l+11VEC1nRJF5L* zpd%9nrW(6#+{GgjSy?=6^8PbK$h(uh`=!D+)Rwkf*J#zFSkPu}-yy76KNxa5$#aca zAK@J@v-t|$75Bk=Z+gHtyE)HZHMmmAjy%e4JHb9V4UJIJL|2KtK11VB{__w; zuXUmlraA6l!2+fuB-Kyzod0q|?3<=Gfx~C=s({Bn%fy|mqRa%K(IXvIz1)(9b#^lQ z=&l{N*(+F#`A+=d=qPljIMn_;=%|w7!QAM4Q{$l=HAp(o$$q(dZQ-Ca?9j8ZSx8LX zypDW({3lEbQ;S-UDHX%+pOw%t2^|fi5E`ak2i(XpGaa+teCU+xmNVk!j7X+n)?JUg zgh(RHUoxVUMm}@81(!%ZHb)GBL$^OYItGjGPagg{FeQ&}=>FD2PHFHXVA{6pTxSiX zF-%b+0=4Q^Vd+r1YBn;LS`EIlT|W<5jj@CWr|Wf%2uvtR=F@-viM0NNx*V?;PLBN5 zxeB;v&^*U=UztBkURYuD9;i#z0`oT(Ufd`hu9V&GdyWO1V}TR)fd_iKtA-8ZI+EJD z&)y&=e4HOLZJh66su~62k?S=jM59VSetyfKmiFHQM=dwmWz zEAe;^6Ivd^{I(uAD71vmEnwE7;;P-*#rds5J{@R#+)%H>4(Y=V#lsHuEvCT08qbo5 zL#?VQt)3}#v@58QL`B7yz4__3#Ok0M~By)vh+;ht+u50}8sJEE3D^O!b$ z$T_{K&mi;{uhGkHZVBzElPuWG+JULvA+< z#l81f`&UgBC#*BxEdsYU?6^}z-_Hs)hmyCqQL$ufdRyOTh#e6I9-S>Q!?lA+|6nqw zjq*o^9Nt*!bClws9MS8B#ya^=+_J{a1l0a3jG%?i;5utAH<^N`K9u4N|z1;baQ~FrLLN9ovif>>!J0iy&E!*X9TTHo|>Y5 z_e)o6&l=@+Ugi2oQ%UB$v`_Xs6$&BhHwDVy+8R0$J7Ec!LiJC{dGmdlvzg@--&5o> ztTmbA8gZ&#G+2Qf`qB3%wo3x@?{!@k2(Jwpucez;5|-9(3;6P2bReEw?ha9PG9tea zHSshfym_G(0fRxfw`Om3RyYS?ydnMn9BQ=RVrw+(tlc^SJt zf)(+s?w8VZcw>@Bja^DPA6#{T1t5vbs=dN0o_9YGzc6MLhA2N8x~gHf zp88>a2;DT+HBaPOR>Z4IRIL=UKFJw2s0L3aO0z3FHail_w`|9|fHc?1K1yI9m4#24 zRR{GhhB6*JvjKeLSF^u-s*Rh1^pl`DdC31NQhGuU^K5??q@f0o{n`f9|Jt4M#ISmF zS;8WPz0RK#huYL8tMv3X720d&-##TnQG=qLiBOXjkuYJ)*?#$3qa(SOxxm0!by7r+ zaj?bWjdC~8*RhJhKkv3hG$`uKHn4{0!wiI{dMoHMtqTYSy0fy>8e*Z9F?2qyu%U z_Gsn&r|W}P4r7ptYIh;N4Tk@=Q5E?)nXi2O!Gcu)g^QRUPOhc%G@!u8bi zE{87d?tZ$J|87$T0&u*&^;l2?V{ixx4fg0^5XhIoMm++AaA%_-gkS{d7f1^sa(=L*xZ2po`8R0t z#1$jZ_OWu-=n;C~&v_kszaAPv9vXe)#PTO*^_}c|R&c0JmRv7)K?S=`T@TkA>+^l^ zigb%YA#>QX1VCb)Gu-&@-(Pu@oMlYyXHISSen1F;M>H}{47SmwU0pS=|8fW zua$-k2z)ncV*94-?kqdp%YKGDncaW4uvxV+d)m!vbeSN~@ zz9qH?Um@AqAP8t^|D*p>Vzx9~GRbuHBl>6BCf}|g|88;>{9a>g0e8#O>SDUf!*!ZH zyCq?nnEk87@A1eqMuY%6*F@!^*?6yl^5EE|_a}zuA+1*aCqEBYO0K8W<3G zV~i}7wHt4;;CEo+Chn8Maoty?jnA(QZ@cqvr-~=XrLM)Dp0+KnN3GharLOR8^1pe2 zsYM>y&bNt;cPDM{uaG{9E*CBtB}MhZRqC%DF;y>FcWX8u=ur}FEc=S^$4kz{f?~&K zudid}rl+^3_C!FBXnysBLV02<%~b3Wy-RE@V#eJS_DEP}EBSm68C+0bXAHGAp*$E( zQR`;!;FhWHh`253x=%@Kho4~%@DN^7R1oNOfdu%m=~ff*BzQG$#s3|g%dmPO$vY(t zA%(5FhSs}=Iej~6dwEU0se%lN!oM~^t6czY!ml43%Ai){IljI=iVPLR?Y$LVVXcS1 z^k`RU0_NC!!@+lIhAaW-VPoUGZ_-6&zztH&{WEvM1sp%00#+z%ZAvL?IoYUcZ8~_< zV6^<(>sBit9xgc^L}MK$m~Gw1h@s(^{Gh^M%GWZBljetql>^eBI*=Xj4~bIKEOYsO zJz*`@9_YxwKKGGzY=~cc!+pOC=FU{G@)<-{S;nDZb{V$F9}FWqJLxRmu7RWlvlsXZ@fWy9Fe77011b$f=* zh>lf5DrxOBbWjtrsm~VYGsvo5C@#V~dVjL^$8R334n?|JFzC1x@zV<@*Qb6?MMGh( z*MgPl2s8&AUsykd>Moz`yY^LRY7DwgjsCHyTD|vxiZ1=I2 zlo-d*i2<{ICG2&rt^obgpi=_??&RwZ7rnOhEs@3WhG%-@c8jXu+JQIh_? z2km-Ldf2gL8*{y8k!1rt&?!~NTyEje3YvL3UJDR}N(pHdW?Z;&1mrJkRDxm~Pw zR@TB(y;r)Gq^aY*$-L(=R-dv{5ua|Ef>x9i%S+AnAcC}eo!ogFns%oAx%MmicAQt?N|hTtNOknWaWz0|{4}Adz~j8f zeffhP4o2VXn^~3nH6@aLN+|ddh{W4Ej5E_)0}0oNl)p#B4wDh(gxiPVEF$`LWaHF{ zdu)81wAzpxA1G6>4^xy-ner6N4lq#eAm8LLHDO80OzR$a+!ywNU&BN637S`S#bBXq zf^0^%`o%xPoyQ*)tqQHFeVwX+g{a^uc;W%FFpb`R)2Cs)= z!T5gpbB(&{`CWF-no&o`ldzAr;jvFZYCCY_)0#kY|J;kze04$9!DQR{7S@}GLmSgg zh5C0RV|(hGHx+Lf$R+jTaePv4RHPg63+DVjDD?%PV1>uz)>qV6%(`vDzE~NkHAP2= zlOx|o*=o-eIhy+Q|3oAb5{ZHn2A4_N2ue0*7ZKsJ1Swg&s?E%h@azhxam4`nQP*S^9kFCTgahGtN^sTm7tDAj5G&R|fRn{b+Nm3Tm zL4pRTQRpxYGMXK<|8{1^LUR}sD?R)aP{4PTtLQV!kdYx^fdywSbVUI}kUf*E`zF9Hit9THX4p*k+OQ4TO9QAX3*B=eE^J)8O(xh^% znp%}_51vJe39rwv9br(8*hsXr49b<{Rod1zuV^#q?E#$@Vu&!i_;vq*(Zy<3)bfX^ z@CuTp`XztxW&1>I4jNXh!5wPx@<-9==uOD}wy9oK15pwW@(3PL`1eB%$*lWD@}adq z8@)7)T9))%wOQwdiDv0$$t$khN{-P^b+yhpt)#-g#n>%`)9e1aP{%M9T1j-15{qMn}R1)`pv#S5~YpXJ3kvOh-Dq2cn-B>gTYw8C7bL4I@! z?*j*eRHR}|LeG)~n9Y)W_A2phz4%S5iZoZxq+X89INX?+l6@^M& z;g^{?pg`@e4Xm5*iSY79bnM$R?AUn@@a2*Eo&II0-V0!HXa90|Dd>Ax0^UKn5Nvrl z8v<{(dR<;0dx8(NKZZWu^glq&Aoo*PQ0ILVcn$^dQ4Ro~fq`BRS0??~0MDmMu$^wj zRdv?e!bJ+Uo!&c&9q4&9Ms@S!Zs_A_XDp=|=zIF(WdQVkv2$|+)c?#EXpaEcKgD!8 zoHBlVCg%V<9w$wIGXq{U_fxQ&nLb85pXl3P5B7m&DPzpcOiUl9o$DVrJK8B9`9ddZ z0e1Qao?z#v`!Rs(<$=DQH`q~=SxM=Ab06i@OG)^BbYHj{;6=BvmIBbb%w__$y_(U-W+e%yXI5qclqEH=MwkeEiFfZZ*2|sow#sETH#xD^OV{Af}z|F_cK@xlz zdCfO7-9BQEdG6w;d{}xvY4lXtwb%{K>$8(h^yU`%(gCd-wUpv){MJ+pAL7U zekj`Mzn@+YtVMl3vEyH%m8GE0*X>8_44y9UC+0!?HGS{4`ZN>_j6d_%T_b+V+-&a0eBq5?z#%D-gqAE)CXJvy{|G7 zteI?mHtL)dvql2JIqU6S*9({e>)mc$E~n>TP(Xl_y>?LR{SW-CMe9s+VNZMT&JTkU z$;*MI&zVx00ZVo1g~HVJ*r^TTb73kGD*mXiMr{=KekpJ6^4_iXJYB5hCf*d_xp%?_ z@%4lv1I@19N=jh$JJ3EFUO++%qu+dPw+^vE-Y<)q+8#h~lD59*-Q-4u@Y7(WcFBkB zBfj0nbAt3G2ne{|S4HZ)^?JPZiXjHAce-5{%^BwmS}`kDM}a_mf_D}^M_?rs?cm3w zK9G+0`B-yTOS}8+-N*4xd5NbTTj%4>@xI>NTxCW?bC<`dRaPp~ru*$8ehKjgUy=c8 z-$05Yz}0hMAf>r3=l$jGdSYNnamx2*8{~6!C;ayEIY<*n&qrHYY<&UD<&S$+=Jt=< zkpcXYW*cGOs~(W<`US#6(dA~_5vLvJ?U3Cn@Nx4wV&eNNb_zAEnp4YT=&924UR8wf zb(t?=+4H_|=e=M}y1B6L;q}JG0KRa`Jz)(Y;Bk#wb^UCpM^je8?tP__I99zf<}JSy z)n+W`c4E#c1d(-VvM-`pkq^o0Hs|f1mP+L#_gS%ami{K6dbO@lOABFhGw|(wq(vtT zUwq*?su07%{Fk zD>8doGQZf3{~~;ID_Pq7xT?PNzB<)!|JUL096s{66QRLk$M+yj{Qevm!JPSiZ72L{ z^V&DQzk|^H4p5edg!=yF%NMvWmZqVqZHh}^I+ZV9NIv&(pH>ZNQGI7SdnR|=FK{AY z;4f=;4OSjWF_O>C%#elUzas}LE6Y5S1rLP+LEuNG$IrxWjsDjpNrlP|&GDzH`bCnY zPj)9X?}vSYO|KErJgmeW?mWAGSVO^>$_E@?K0Gsruy|TREyex*B+ENdLR&J(EPVN( z^VYHMVcQl*P_tsk2#cMPM5)pqhTP%N*Yv4T?AuQc(OQ*`YnHFv?glS?IA{F-5DoA) z-)nh7k6GNW;kSIVa0GuAIXcLeBA(pdkR@G2wDBIA^3Giuz9<$ovSMik0RjMOHCAHy z&mswM3XLo$d$K%!1Yh5OnCpBDsw(Hu`=3oWR~ZomuJ-bk4=*U_shK08UTwMX5G~W= ze_I;W;i&!c)LB5fMB)IuM2upSzt5wZ06i}uS?s?OEK$m?$rLxqNvL?Tj`yG~mn(dX zAK<98QEJt(LT|@!ACUynX_obs7~_9~q_SMGQVa=xqTVsfzF-jN#Wj&&j5i*3N(1Xy5mVweC9nF5LO-8eUIyf+8MLfEKIi zO@h0w`?f1FGK@UjFBNXmX+CvPd_30fh&TbhBrK&Gjm}-t^lNqBaOZ7&jDZ7e`>gpj z(F(9?RTc|x+6PY&`Ct6ohZ!QM&-+_v8Ml&hfL=L-4 zq;dN6l5hUxN3APdqzJ*zP&T4^V+A#umko+WRy)HJF0YYck0-`;EyAb1McSmhQxH^W%`TBJ0w z#~h8!vleG9#$dYLZ^Bq2+{B>tNe#6K6kj?c6(0kU2$gd|?1$T;glbvRmw61N&)%pP z{5gWk3}9S)gB_Uy+h+Om|6@--cvq{wbkonXOQR*~O1OIw0?c#Ds5 zNW8fa9(~X|KG+N0qAcbBl0(h~_%rDTfcr6v&|L0k0BNuF$>$r(FXeeTgPn`d$z=pV zYt2^FR1)iD$yY0lAR$~A#|oybCTGq;gMue(X}f){;pab*Eo&*q7)w<~j*T!@;j`d; zM=-O4PGfUxt&+LdJ}#1%l@jewjUb?+%#b?(bsZ$Fu z=0p;`)4)C~ul!8Z7mb4W(X%$=sy4-OBoP}&-(stvV#r`#C- z;eg0LUrSK+yq8&S&+k|^p9h#@qMllzwAio@Ad0V1F2nxhBxDjL|7M|kfD>F~FQzb* zrdVtae!Oe*bcO7SfvoY|u~$4@qtBj|Av?YX2$GdR}S zM;(~=J0w6LY+6K~9JXkTe|zJ%q2&w}2cB7!<(9ZazXWYRz)9IYsI<<+JHVa|n)C9p z%RtqzwrAZ&i^ZRbw9D;MQw0vn%LW>iBLLSU6O0BQu-zmPu{LXisTFvO=#s)w&2VOhwH1I=ZEub;uPPW!}gte;u3?-fl5fy6k zmFnPVLn}f_aJ@Cl`meMN9+ zqRgbknw+h331wj2Z=yJT{}M^hv+hPy(;=KN^a%|n+cEg=F7_>tGP0yCjMY7x-*6zv zXo?6Y>Es1Z|L?(@R0DE}fNKQ&zp`aQgefcRH%nXU14Z#kmN`l{De~O?)hSBY3q*P3 zLHL!|C zJEH?p6>(#J8B8zG?w1#4Dst~13P-BYn+xk-O{i;4qTgO-bLn_Gz9l3dcQJ*?BJa+Y z)=1YeE~5FXSLO-`@{N3b5GhZvG7j#zy~sa$p>4jFk~2-EF_B$&9qQ;iP|IoiG=)P* zEzpoof;C0qu(^vN(QZ2@SvhCEk&`*3ObTTFV9G_#oe8-U*Atni!A9j4g^SOJVi!zj zDUgf7yv@Ne^2jo1S4O{(gCh}{8~M_&Dw(lKwhliOb9c;ONZetzZK<(r$lGp} zWG;!fzLh#oR(g|k@sn~*(~ZcaK)_tOdo!*S_*?%|TS9B#XZzU(`)}`O~yQDP^k zNu20{Zgwiq?Qi7ihg~p8Al=RxQx;}7H%uM0;_<#7d0%$QBZ*R2Y)IZw_V!;a8Asj5 zfXKeIPUBg2`;NKa;4aiEaXQvGHP=?z@9gC(SAH~)ValonJ@=K*HJG^)Y0yF19g_tP zsR%}L*7{C0B@T}2?Te0~64o`h4Sksv0VpN}-DgW!Nw1%xS&L1{66H_BivxXx-BRH>XP(!IhW-6|AHetgGPWD=x;4H-qnb6MYH7nKH)&RzBim`~Dk zlS_K3Dm{Sz%x@`Kgo&a09chGUsd^L`g`ruPk4nS2Vfzl1zC5#k{`L?KPf0#(yWz2v z{-TP%(8nY6(*8qemptwSo{2!rP?7^VDQZhSLZL=|fFmqXPrgnHU2JX1J$NboqlgN% z#An!U0nXrpm-3q!e=P*qtS2pM7bLBBOBG_~ z^Gd>eyPL7UwxbXvx#&+&EMdUfVWeRzuh)4#{jAxj2TIsed%{@$M-TBwf@sa?4!q(> zjEX+VM%~|q>c4)=aFmf@m7Z>jxngX;FE*u=21;B13Q+Y|YBOS>$S}^DV3d97L3;vn z+;h1dt$F?6F|B-})=^cayRiRPc%LVP%nU4sne8(RA7sCj-mO|T98jH#Z@(O^cJtrd zAsvpPbhawdl;!S}`Ip>($U06!$uSud;)W9UE4rJZ*IF){X>wrDjqW69XwOb7?bpG- zE3?Og4;x^5^^llgzzJr|;JvDWb4^4M-#&76839tSAZEbeMfoXSYtmmq7JDS*wtUvL zU*c=p&TN&}t0}J_aqQb)c4(yaMLVr2vkx4HlA0k!rx+Q1w3JR0jBbH%qD$x{U#PAH zG~e7Y|cPnRX%m!WqVxm##{d8A^XPn&Nz&ej|f9d;WvH0JB>+7JDt zpZ&J2?;po#svcwRW3}|N?9A}QQVB+VVyGJZN!Q^kZwD!n36-;K$#@H1lKANS2F%Rc zPz~h3#Xp30CWyjkBS@2aw!Jn?-nBC;J}m9Yo?1A5m9|e}k+ymt^1fhHH^jYE(gshvtpt`5T>jW2POi(=)hBU=Gn(?D?1YvfZ{emVsE0K+FnUqh99N^;Es~14Wzp>b5pIf)nM3OCca6mU&`W(3SKoTF zT^^_#vo(FgY^Yn(sd~5_FyU#3*_8IsNJbI&=r6fZAnyqpNSF;=7<-GN#CH1qNX^Iv zD+ech@E-bdRth(RK)#O&aF)w?HHjyrpU zcysgH+sNZRqB5Rv&(FADkhvN=T{1_nX5aGwH9TZ$4VpPoM3}Q!$2I1Gm$UoV@?o8q zx61RaH(h6L|DZ67Ti}T!h&pg#M6td%^Cq4IXgY`^DDqA->x~4hen%w_Po7GI&+!y& zhN4wEz)@A3L&M+!9V_3U@DU@OBI~+RY!`N{-1dst3ZD3s0Tlx%~EtN|~`~2$m^=Qs88rFa5r9Grr>VVe> zQ?uVR-ixGb7bn*+e~GCs3UgeO`QZNq@ltwC@**HB7D!q9?i0Bzt~M&;=-!!0Z_0dn zMZZqTh$9+y!?YYem2GQn?OK%5Mi3#$?K7zP#XyPwh)5Wb{5~8XzVIO_*=B8(LZH1I z0GyJm$P~wRsDrM%VE=7{g!y4DM^xfC|aq)rHf*nFk~ zly$P`3zI{r(6kd>WNT@ix#jN1FCWr15lUAm6Q=pE!fzEH?1h|-&2JpDs|yV}o7Oge z&_B0Iyr*(o!qoTCH{oltI~XQho9&UdDn)hG{qr)*}XI{dZll7>l& zc%6fT;M*@EeBuCAuLyH7AKkFvd7HmdXYm)=?lrMx8U=2-XC*hY0NV#2e=g@`X(2j3 z*AR3nSU(%OtDlB2_%7df1Pw=5LApw8q7H)J_ZQO*-YIRx`7R(D+km$)&Hw6YLZ(iX z1%yMR+3?-D;_WFT&M(Ir^)r_lUokDwt_y?X?4aGsH*R4jYB-9MMl#D5})ff6)3u1f`NbCg>? zCH2T!Gx0Cs(rQEGmtTM?t-8G(HPi5_-8ssO>ICf}A}8DEu;WmaHm_umnNAJa&`ao;Nlyf|F>=j~yHxd(k2iU5uiXO-VQecGitrilsLN-~RFOlwshgQA@8?cqeHaf{2Ba7I`!1Ba(u8-S~Yn7KL@? zzZu74Oa7dbO1L89T+y|c2sYuIO)|<{8pUw_^s1|VpBP)dDd;r<6u|zb$eqG&iy<-L z5m+H&*|KVdlsYGsCOf*Gx+*=Jk5N8R{)z2fXVRjR_Y)w~Dtju_+&WlY|ICV23&Wsl z-ZB;-ZI=-J$4~eE=SUy+JVHUo0uJspr?l`Sq*#{kf5sNgI>9sk%b}&nQ>brdYeL>thEc(KF_Vfqh zYekbe47~}#(cLaTv9F`0*YV%*dnabxT{kN#{WxshsTF_wAC)>i5&T(!QM6ZtHtRKe zuqW+I)mM7h)J`<@@mJp}9VnY>np6W#TG^?S0dGJAo`&k=Ul~gmND;=Qdjc(2Mw!jb z)j0`&5Uoz5T8~+fOa66j0^*Z91oh+GdWo3LmUQo|z{s$2_*ULYxz#fBiIhF2hxSb~ ze7}Q!P{FX-(q<+L{4m(5I$VlSSl}rO4raA!cN2w*6Z9+|eo3?=a27>$Y4*jhX+hL< z#vE-}hQN|~8;L=h4fi4h(3oKfc=g=e&QxsF zZ}%0bVNP1a%bmdKLWpNt^Bf#R_W{wSkw3VkA|gC|cCc&+_L$34J;9<~nZd;_Co`Dq ze{g4mJ&Fxr1+8q#0p67@fW{6*UCZ1F2jdy}W9;Y(tGkXBd$B8pKbMW(#B+e@f$>`c zto}-*Ex|5*BMGc#&4?TJ60)+D9cy(jY~&aVAA)Ka$6VCFrIVPN>pa9G1Lmflb>V-# zp>qWH-zP~YH=WN2)hga<3K$P)oygP^AebXq5ajbsu&m$!D3Iye2`my~#}ck(DdZ&g zpp7FH^QJy1N)>6xk>W3V>b zSo{9Vvvu{Q99=jO*5Fjc-LPGxa0JMGtjJf%?Fm!)JeEk*K{( z-1qsA(f6nEu5_4^C~UfAx%{&KK|=nqU5j4i&;b^Z&oxj_vxv(^xxmlrl_PCL>|ArW99m3^NBRZWMlVyyXjf5OM6EW z8)*LNL`Z*wB~4QogVc+JeL#io87Qv0I#WE$iPtE!iq|9G%wuU>ekjoV0%=GYvLshX z>#V_Z`9$^X>@%EYzd}rSFfzn}V6RcN;%i2@LugY~lr{)gwQzy(1kQk`2FCO0}7(flYOddhNn93e-h39{wpQ!xua4$}wo0{;x3Ya2#VTe=82* z*O-(aAGgb8R$_P8y0H`l>~OD4cm?94GS$DbQ9mizU+By7)Xsg)`V(;4x>(8R%M^F7 z-8udlDmn=jX@z#CNPsF3?5pu<)oo?h*WdgL5>}<-O*5+bh?ofVB(zu^OLOi2y@Wis zO07sPsX{>TvGUSOc0m3{<9nC}Lt`-lEduAHLMNp8Vue&d%kH^k#veA5UKL#?Hyx3F znU%$vgwDm85{rmHIG>3W0UsXi4Fy+$)8mH(Pt#INmS`1xF24ht)!NCq&GC&YT;1lu zr`u6CH>pQp{0crQ^%TA0@Au_M+y6z?IrR#|1<88bwr$(CZQHhO+qP}n#=C9X)_iky z&isLO(MctpUR6(dix?2t;dg?=6Yfb(NHjKXGD~k&Qk)BDqJaQL+54EH*S17!A9 z39^;7sz$oEZj!r^__elUUf-ziCuj$Mfj7lt!Rwh001D7FXp@?U>ccw8!Mvunou(k~ zu5H_1@pw42F{GKZC}E-0w^`+auPZ!~iBSCl^bPt_fxuj3T#jz%6NWVe=!~PZfl%Q+ z-#A|07l18SK}!6FU4q38tG#huOfxQ4ur200_FJ`>jRWX+(a&$iQlJjx5ymICs%t@G z4#?W*#bh;uQwAU`VFe}|ewd|e=|MNilW%}3cK_!YkLD~Q{-x*-XaP`HrWhfm;;sVh z#qcWq=YPlmqt^626Sz&X)m+bf-)ct+*?4i|-J(}xk9?(K6ZJyQvb$zoySfDMi$U0H zFJDTC#FYaAz37Q@T=Lr0kSE2-N$sSm{E)KlWQ*~`!53+?uE+VXib;11{q1pPxi zDCM?&k>-jCf$AL2MqPcg(5e49Zjva&n#~juv$o@U+S&I$&0~eLgG*3u`g3Y;2R9y+ zt^uxr>oBeFf~mBY1O+6_j9{x2JrYD}u$RRN{FiYu`CZLCaVp6W?3hG>Dv@U6Oww7Z!gEPEPr{%1*OzH~kvpq8p)uz?Ve zeT2wzBm6p7W#Ey+`wCX%T!=3$33sz+g?iy3vW(u^j$|UrhR;$b0r=1NiE;ltlH~22 zJu)?@W$P4w7lBaPCRbv&f5kuKNV$-adnX7BEtuV#6z8EL88F!{CAQFGTmxtEP+I%t zw68ybAOb^4vqTRqlCZIWxCLZ$9Y1Kr94ej@fWDcu-Ej1qpd67JyA-)U^&1RSH<E;+0AQl}_bS<9`u=%V2a#qYcRVj#i=K=|oQM`UvE zql+MiY=n2tae08<7sQ8BJqpZJ4hA}*pwiu=@>}RHhqFga{v0uqyw0yy5wAzKDa;LA zPdtM5F9zd6@t&1l&KCaDa5)lq^^@;f=DuONb`&KLZp4U5z; zNR2%(9}s#+3%5w_=(KRjU*CFemP>#5IgeO+B-94@;IZ+El(&V*56HSnbI9*W|)o?VCS^Flthb8xSbS_lZEXR14*P>rEAd zo{b`83%OtIh=O>gTZnOK5}YWKQW`Ay*>$kvGPjhvynY@>4`BiW6w{s%2gGB+`5m8s z{x1S$3QyN(ukD;5vuWCSr6y2AjD5iux$KqckjmbR*?Zu?!J0tmLoM&{j!C};U;U4quOVl@zc6>mF8B|wntI)d&P zldAbU?5hIhh!tOd7Yg=a?94$idGR76Z-Hzb*SQR@R88u;j=wE3z*Pl)xF=!p9Xf=1 zqfhq7T?;m#<&5S){}m={SjW( zorYYgn8se_T0a*@&xAU*4%;XJUdxWcEzb^GKURvoc&sv&xEUzk_U&mkHp&gdEEW-? zl!uE4BhGzaPc(g{tc2oX4!wWb?fk3x)M;-+bM$?4LFZ+>W76Jam4uG@y&uFX1-8U$ zD3-iw6nOHYX+IK7ku+=7AYR!oh&p6}t=e}WbK%*>Q^D>T@B(Gjq7V_-aqD-$`hNAm z;M~~fmp?oS#JbM9$nca!mql-Xo>rWC6RMQ@1!u>RVeY@AdHBCzt1iy3Wj&N1aOJHQ zG3BKcaKR8Rt*&EL#GLomqqY+rB6Jh&hWqmV!m)m%m8{Wd zS;ECCUdC0LBDxO33fonweUBBGfvqkoSMPnK9HP9O3waybgwoz9laR;Kz){hFew_~^ zUcL;Z(iIJ-%#d=NdLs>=jL5!2#>z6=PGCcx-)hm*{3{}a_<3lNzoKB^K0lnBZjkH&sGDs*uK4$ykj3Q@R4DhL z8fuyes<%y#HDqA*vDe6nv2qh|aV8Q=gPxW4ed*x5l_{N|IV3LMp}_+Klv||CM~T5) zZTkhn**y)nti$zzni5G=exwe^)?Va-r^2+>=Pn5xh=8$Zv(8R5<$q6}d;lTMo>(p* zCvNbp(R=QX<1dko5I0!jw1(;i@R`VS(2SS;2`=~e!r;muGmD_+YW1Z<4E z7Z*!WKtrkjqLAJbL{a-knc`RtNRkvNukI^dcK~We_H?gY-@rw2g6!T0z4i zqATS<3Dib+(khCHr~YB)EA8M~PsluL05-bz&>rN?%_@e$DTRF}<7#L@iCy+aC1aAC zV?OvLzH**DfiT>`V(5012E?z*nATC}ELS#|-#6aSJ%$Z$%?bn+<$^gNce^I2g5B$1d(oo!?5g$)8MO)!d zs7vd*E(RH)25oweEfU^&%vg?Pbth)p`mP|XlQHiDJcCMInb@*;1)mg?{AevzeMBVL{hQdwn-r^k>F+y)a@z&jNLD_?;?`i{Xt^9z2x!Uq zs#K>(d^6e4@ix%jz9`pR3v-Vo`;?x6V5x&^+)cgJ+nZT3>5gj!HzqK%%O)VUC~6Ayaj=@Ch|ziTs49uOJ!PSnJD!41no7*z)L~R0?IfmM6 z_P|#`O^Y!PT18l#ZaDtdQ+WNwWIgAzv^_ zcsWoD@3atl_t+el+!*O{HaAeK7;60sqJeJjT>8Q7qC>k8j)96-Cmbt^2V@EP8Az~q zLv$xAYMb@FnLy$^o%#)2$CFg8*J;*_)Qg0028_CZggtGJ>$^JaIPvIbIpft20{4}0 zZQ49Z#Tz055{S;S@_LHZN<=~M)pEIG#_H{V@ACrWKD#iQrf3)X0a$?ck$>SndUUf? zeEInP%mff1oT+HPCn>|gvBuI&{+0Z7bNo0~kbLe4Q5e7v%DjINUJDqiS%hx-p^r4X zK^mdny@H-!p_0N1VlwUA#owBiN!Ua~J?>D77jB3^{)mOIQp-s7Z-4bBHXS^B%0rcN z6Dqpmac4qFjrm0~sSxWB#W2TRg_~-xV#Ap$9#Ml>kzS*kaHmv-d3$L*3O(=1QpSkU zXL+9*D4_7QRKjGf`yvJ0#9XL=fr6Bq_h*(S&zoKik@`;GspH#mgDbbg1WVytG^%+{ z%=L!Y*)UlQq^YpwFr$Pd9G{yE+z{0wHl)liozH^$4Iv(PZYTv7xQNH0KtCzN2mE$) z8rK?Rc^#mubaO?y8uR_n34#^L*QQG#?0REue*X&JV6^3GSMxcG*-cqi_MZRdSrjks zT+dHIBhLU&!FBuJRjR(31ufZs$Hbj#Sf%&#!@46-TzfwmSXtGhjoSwDy7JMZLk7Mz zD5JO?!Qcum-cW!T(Yyk+1nq6hKP6BT-^A2gZG zzs>0I7v$SCROie)fC|%Tb39fW_(#=Vo8Az*`u&)e6T|n)khbI(#BdZt;5bsD%b9j# zWb0o$Fu)3|yooww(JmXnP_+5cZA9Nq8W> z$@LmV-8i3Vx%}Jr&sre!I24i0uuTVpjEwRU+lE*}m4?=yByB5l*YU+}B(#6$F@y&l zf%$t{)Y=U0+FQGOo4g~nTdawSTilzU(jd*hQ$4#PQ+~~#tZvWNw2*^b2o6DQr?F~h_jY~O zN7vr#-wzHDsTkX60lqO1<(7^v3Ot#Bbv-fgzJBx_NGC|(ROmX<(J_eJW?=6dqiYWy zz48o*iq!A_cZ-fPU$vta)m%)~Oq~a+J{%f!OL9+sPrqD$&(Ani_S&?&?fWImC69v@E03 zr3YtAv3yc}`<}Vh)kJ;!WJZ;w6H&y{$>O3N{&6sMHQeiFkrj)b^a}c9%c1&yH(+J4 zyYwTADtL;H(`>{0f~3U03@CtitkQ6RH*|Ot66`9uteM}6Ce0Zl7n3!2oO)}yT9l;- zHAllS$<+(9M`T5fQ2n+PyTYeD)+1sf7UP(=DT9A44N(|bcqpN|+((Urd2zb5Og2W@+XH})tUD90u(RC%;mY_o6B z7v8@>fjB6P+K;U9U05Sp=#Ft|88wrV} zkoBHj8JRacdMU)4-=qpeunZ6{TBoYox6 zc;~T0dFH*8TU_m1%Jmkixb)5i<6N_tI33dWkBK3!f9B+y1;ZIFt9g%S0#!C{d<7^> zG3wpAT^Ss`+HJZQ{%0k|PbOw00geue1n*>gAk=Mavy1$ z9!}9eT7&0!9ux*IsbE!vfw%-ORxvE5qi-ZN>otqQ-L>Z?x%Z$S*9M)A*eExm(LPhU zG9BU9rf$O-heCy=9R|6c)I;0Mo;QXl^8e1@~Fz-$#yoXm<483 zff0+gX&@ZX0H(Rp`zuK&&jOc7ZxfzNH>0=_!{SdJnb?eaC?$qGD#^|(RP-ds(Q_;w za<#i_e+U_Rf#vjO&to1DSPI(JxgIdT(VK-1>uaOqtxiaV37dba!d=E49GKUjcj17H zLA@-_UjF!7mGQHr1vWY_3x@5N=m1j(veyl7rY~u_R)mrT4B2zikGp>{R3EDNLbV8o ze~0Y1*FKumi8*DP^^z=Y#IDI+&~9fIE+ z!B|P@PrM5c<}d)*o2#|tym)5?1*M!il1$5$=K4n z^FD3QfTX4wP^s8=ZP3tB#Ob8Lvc1|y8ZZ=kTcJ14P@beDS&!$rM}8_{L9@ZmCx(i= z1NxYnzWOIp@kgB~umBYcQLeU6LAQEn+d3r*XHQHN*U)!n%W5CGOpbhJhQ3wC9U(UL z9!1L@XA?TRKo%HL`Ys$bX79h_Ldo^PNir&LM8_mNdIv2K7;=kn3JI6OoVQf=f35>IO1+rZcMDr#6^7$CO#I-@r!hirD9WD9y@SjqFgbx|lq z`{-lXdXgq!pK_do5uEiHN?tLBB-`#er_i5s{+{61DL?rH?Jbx0MyWs(q<-!Z&JckW zF*Y^>n#R6;e)VA_L21v*oM zFTLZT>r0YilaLzS+m|q*>RHpWNIeI3H@0NON=>XVB;IR*FrCF#fb za$UUO(;eHo`>)G7dfHKj?VUIWh2l*Vn1{~{)~B&t+k+CQt8|))fhtE4oxlV6Psd?* z$zuP;wW476{7B@+E1)0wFx0Hp0&10PFB9+_&dtnOsvDPeL7~sz!?*t-JCwu00HHg* z4|pu*tD7o|cD|MY-a41Zedq~^IJ~`%QUtdlEh5poRmP6qGHzL1{IsHI9xy68E={~L zCvSVP_$u<6>;sd-g1Glt^_pP0r6kIyyoRna&2IN)s!3F)WkHFzW&rm20?2_y$*I0P zWv-#Ke+$fAuo(q>?49Vt_cW{`yWoG>y)=tXg`Sv|HVDOk@1@%D(p7puAlnx_f9nqz z@M$W(X@fMA(zQMo%5P*0?JX$)Ff=5=pluDG2ORYd0kfLm2^!97`#AAUYnn3HbUdMPT%n6Q5p!GD7JRwmk$V~$d^%;%uY z4uWL6Nn=$n>N3;^U7w;)+ge4^KVIV1ZrID7k4_VuKErij^q@lti%;JFRkW(&aEzhI?OKYmI3?+wM22EsoABOd6*>;wo$A>f zc2-jMi7R%u51*S&tAw_kUgal&VqRccG?>am%r-0_{P zsHqD9`hA?KOCMDRxkw)vqoeUN?`X59mr2R%?lBPoirFmZm-cs_hwriOp|RYsmr2cp zs1NhZp5M81uqzMUumTNgwa;9R*@7EI08mi#erXP)NCvI&_ zBhOt~^PDHX>uN1l-{mR{$&a-%%WTf&ZTeVf>A2_vocmfinI#G(i`h+NFm4&)fVod1_|SGC*47 zK~e>!=MS!o5@uQ0VBt;YOe$KXo%lo}jHB;p_xo$8%7k{ZYs5h{IpHQ@K!C%1c*-I< zBN2yTbk|d7EJi@ew0ZiLbmaNdqs;l}AkP*fx|QxJj|p|zn87(sqGdScpQye+F(DFA^Os-GdJRm2Mpndaj1r;PMew{;A>UGzm)tn(qM?{RF zx3nnnum`vSW151{{Z9f{zx|A`3iBp$bT7aa`Irr>9nSY$S+^#{kU9`y*?=?0dTFGo zRXNKJcq;~&qH58)ot(B^?;(CLq!?Ty<8xIiE|2xH_`>gpE&k>|(f3{;G%h3}&*O;$ z-j!F(s1Ig@HzgcZ?Eo*jU6)A;I|Oif{6NWhnX^N^4M-qm4PT|^e_S7nksFCqza6rx z)~xtH%AKg=6e(L-AG0>xP=j{AELWy)YB+O7R^n7(hyXQ=&u4iiRTm3F-4Z=E9ewjl zaRX9TI)n>_Y9xlgc-BcxI+>G873N-1Gn5W?2^`k*FLdslk-QYQ_%*wTlPNi)frR`% ztAiuvJb5K4c7%Y2{3lyWUP(ff(&B8h2C*-P9l8^yt$J&m-Lvt;Poa4F1f zsNI6>#{)nPzPD1!%2P_ETH5ugDy=T}_(~ood-2r=wyiPBxqz%6iMsNbsE*6ufvr(- zLzQ`b@!CBV@3{{sykGsp6JhC&`=d&zVkO}_l(gJ*LGil%lE*(oH2yigBT$|vS3ZZI z?@pupQv4K;qlLd+CVvF|Hjhn$bgAu6Ta=bLT;k%8hasgsF)d2AVy|NS?+vAd^V{pn zFhPw|%)3mB(qamh@M^6T%wXTTuMST@_vyD$7 z@v-PJfV`a}{>C2~aiw$n(D+FFeQz?T%#bJ2Gnmz!hwM2RlgFx83EuKG7D0ez&um~% zw04;)gVmNj=^n(^(ceDCVIz6gVBI%LW~1!jMmBe#C^?wZOAhV!^?$o5LGWA(Hv@SN zr;#+6jp7s3#`o_!xagH~ST~;jHy>(u!4h(g0KU=2bog#bGaq=r2xDl_@J6!hTay^o zY!lCH9suSJ#iGRQi2pq{(p5aY+QLE$nmb;nM`SZD{YfL?z!MSDGxx4-NZZ={qD`X2 zfA`|UyhZFJT2=CUL8Cwn@^e_WSdm+;E%f+oF8nbN z_@xHE-3#YdmbNG*8m4d5+YC&zWkDP8rM$(>^#IMbOy5H+@y!YQ3eik-(>0^HvBLB( ze|3+@o-1cW(|&>s?}AmO@Ly<%>~!CI27)_&TBenylxzs)-I#tVR2Nhef8?v9W02w_ zBH=G8XSaEZBKCHju`9*R%gJRxRdr>@5O$o8zPeDTuUK$28pv;2 zt6J#jb;$d>2^$!`26ex)K^&(0Y$`@Pngyh5ywFAk8+DE}r% z;l(6H2vu=gjAj3*>>4aUV%j}q@GNh`N`vZBq)k2V8RH*_=PN;rP>@uqNebdBzo4su>Q;I5{qin~#Iv4^P0=WAGG8ev@F8Z05S9E$WPt3&qryPU^N;99xLykOTR4&h%~In%`fvsnwXU=>NbV3?m+6Vt|tbi-er2Bo7Vo zn?gJmQcWqAJ@z)H=RdKuwKSHgB#aWNtAgV6CFRrUl>U+I;o&cx?$_EWU-acwBx*8g z^#cjf8m_T3Up68LHz}_WSZx8_xW1GA{o05hbup}pQFWgLRl)!_xT>663fCP=;oabu zp0DEbOs*u1bNg+2n&K(W-$-j3ZcAdR-1DtZd5OYsLSb~z(=%YPj=LZYfODJ&nj!t+ zfdS5C3R8o*P-Jj(3uhh7=4YvRg&dH4mhywK5&kx(>u_kcbjob;mo%(wU7?QQU~xBZ zkEQPN`9WbbsY|DJdhTtBO0}o}@zonER5_vzS62CwkBmT^5O2L5oIvxV=#`*IEB7up zn>uh-_*W{igx-n8($Ym_4`r$FA3r~UJfcB3{e~*{Cfb`Tc<^p4#J@pME}vEVrauH- z%L?SeVpX#>m;FvL_2Ak;rT>bFL}5GDK$<>C@qsFZb^RP!2P1|s@2auJtJwq+sd6@b zVyJD!Ou++jtR8o=zhC821P{^IL(!;-;!?WK@_=1i_%b+D9{Ob3qKeusAxnEogF!&x9@1?F}ETNi^=!!7}f$I-T-`Kd5=Tp+*o{2XfU&>ock+LF}} z47{!a)LGqf@xGVtu^8t`wa@0zOXBXPR;dRnQ8^&H8|bTGCrqOI94!6D&4DK1xJJ%w z@uYEa&Gq`HH5R(YpqT)gB>tv(?YhpPq=G2!bz+#!D1 zW3sx)_EjXmveJ%k$DZAgoOanT)Jkt^5C(3mX-T46a zD>`R2^1x9}ez=Xc!2Xr~;D~f%*uJ+1C3D?}Vwk}$h2454AwQ(~PUOhZ%%y?q|0byu z@C<#w`iZIfa~wuT&J;s8N{sXUiCJ(S0P>~e_{JczMo^g*ZbJ59#9;AYVKdWk#6Dv4 z9>tI||Bj;4`{i$c-FuO5EZ6Yadw9d(jrD^a%+L)47=LL= zU@*~?Q=}$PH{IT7bQ?bOfTw9KvFTI1wrRLa?t{*{3Da*cOm0zDIYA6$J1}a*&kHNq zYbFQsi@_Hck#_9Cx^9OJ;RBOZA8PEE?A}_Fe_RRs+r#%cQOhjmQF2@J4U~zmO9i_x z!#@j#{sC}QKNrY}szLiPQh#PP!DG(|7SaK6)s+MKV^kf*kJMas1f>CfcHQL}DBDLqP9W-?d>r+2kZ=6s8b6!PyM^&k)R<9JQiDzba6LompKoNj!BKsltV}<2d8^Jox;7C%q@*M7D49cN z!lLBfZ^4DW$iJ+=o3QL|0*HZlcDu-VL;Qu^n!~S7Detmxfc}K0$LVJ%qvO~7p|kv1 z<=NR&s~6ou@%&U~gC@cuuCY<~(F=!|j?IH`o;ccVPRcbGv$ikg|J5VmF(CaKmLIhZ zpyg=x>OZ0vYwS8*TGHVOv^E{QfBixG;}B8JyB|YCyQ}tB%BGoNkDPw)hWw^PZWK5= zCR>IoNH~qE^`2oMz1ap|3z#fOMWzKaSJ z4F1OJNVBo~d5Re`N1DFUMj70Po>&>`8ewl8d>pZlG%zHfy1qv@LkRmh8g#lKI`Iq zvAN}XfQ79&uoA`uKIE_$7M-{t2&#;I$6IcJG-FtUR{DI0*3#*zsG}+9HqaKM!r-Lf z!9z>W=?pBYj$z%rFBy8O?d7`Kh(=D+soxCQ_t>2(&HX~56=?238{Dhd@DKoMdvSXLM+NY0~t zno_6xYgthR<$#iVv9k&Z+Uj7yE_#G}ySr{EXG8)_5X>n}RTOKO_!FKyjUIjO_y}TO zyoDB)J;%A#VIMEV<-f!8@WNjy_&_fuQf@KANe_cg4AztL{~Ckj5-(cUAJ6}A3)`}> z2TiLPqwTH$FBQRT1mD0O@weI_L5E8Y(c2+}|6^CPDT5Die&~PRuex?LQ{%k`#fzzq zi=LA9U@{?218v_0jb%k+OS9T2B=*iLIUY8PMnxT&2x&U&Yv&!NNjZW!rr{muQ2>Fz z1{dmPN&R|QlGSNc5}?P}u@2z$^e$T!%Y^q9a&0K3E}gaw&SWE{jmS1+aK&pHZPlAE zVcD@y7g1SbyRHy*3yZ@jwvS(RUJ{aJvWH{aX9lr4L^otzkk@_vCGqb?-XYBBMHeA8 zUyu{>10hP%L*Aov4GZxQoTW-JNyolmn7lQ<`9gS4R1s|6JR>jtl0-fw2#$(AlC7wG z(xYaTQi{~hZJJ7uL}xLnaawl7LrSI&iL=d))Tpzv(Dtv|fWZrOk|+WtCIF{J61CeO zj3@=l&SR_Scj^zVN=Vid^QWT|e3M`Bv=3^Mx)c>j(2aEpg@1TJi7oaJxEOyoxe4#41i=#mUj z*_JxLHo~SIwws@%@d&HI17UA%G-zDPQ&=1hL&?33u{p)NsiPZ(x5d?D(0VV0u=jS# zX|Co{)tHjT>Kae(H9}y}1h}KUKVEOccrSv2@@A+r%>yEMy|kLK$k~?e(|?8wrF3+3 zQRDP^UtK z9Qb=Vz;nMY>WD)@zE<#56`Pu$Pa9vK#K-$1i%gtAt?UL~rgwJCrm2A-bA$OU$a$Cp zyvKlIy_c%rvPUKzNEw$Uo8X>jsp@H~?F+Juqn8^)jZQo2>@xCmktocgS&g2U`hdfL z2F;UoUTg?TP3!+yCr{2#_K~@uP_*omzipDr!~naqS*EG%dfkq}eiQUx%#Zkf!ojOJ z1?ST+4Xvz}^5LlTexB)$#dT1j=Qe#Bo#zWhnWI_b9qcXovS4@$NQqE|k~3hj{VpvS zh=g|7G%ghNX9NZwK(-y_RBi(%S>Xh+M*ulmUs{O&Z2o%i=`UqCc8Zf^C#-uT~AlqzX$SW952l zd|~YaJ?u!#9VJ?d>Dd2TN0;S5!EF{s0ToHCY6$lQk7`^|UaS2(SlElU3|0;=w#Ua7 zhytd+c)+`4C@A}vf><%0L>ZPf&M=VF;C6WYYX|q;AG{9&;p>P0^9@G_DmgXc<8x_= zh9nnGTfoAH0mESGBe0npb4HgAh0FD63YwJ3SAxm()h`6Xgl?(6D;d|UGki`QjIh@? zCZ976rL|_8$Ty%ex{Zye02bb4+Fv4g#zxXhHPT*WeFPC3Zci!$ojnm&y zLByjKW|2yyC>hAUByZ@X$(ftdj9M*ZzU#)AcBr@1sH)JAE$EtRgR+;N^3ImC3< zWowH{%0>aguniQcM6v{C_Kj9O;gK~MoKHyV(*s=d0^D}3ccRG$rk{{Ry5LGd2b5gw zx|#-=J2?5xmo1cq3+Lr07)P1^Fou|GRua+%+;Y+f8thim0`DW)nI@oGboz?iC}z>b zB^Uv%$8v}I1s~kI$IkHZV4qjg&~0tBGgIGiQAxe{O#s_}%RE6`snThS35e<2-^$UD zDX2H~CVuKfTRERwWxKtYV+vaQe6xoH?SStSjSmQp-uLTaU@b=1x; zQ$u*#k&7o?5ZErta>@pRvQq(Rzi#Vsv|oHtyf}*gT6Blz+fA;bEC-%~)&AVc$`%HU zxy(9T=~NlzqufgP&GC6HqaF%!YGX0Un`9;7E#lZU8-hzXF+s{LDxY1f4V8RcIe9zt zwy&l#SBSW3myJdBB*&_etW8FDSXPNvbSuR;-K`}5FFC8}qZmIE-~@$k7rjH`^QcZvMrx9&yHz?ps*sh4%*}Ir5|ct6r>$N|oATU| zUNc8d1D7zu$s^hU_XV5phq4ffV-T8!Tu&_X1Gpj;;Ctd=UYAPs;%=Bw?}Q}mEiZe6 zGcidcR3$P!|0b{}(Cyi9V`{bjjkGR6ZEQ4*l%O~|9mI$crX&9>IHCMaZ}ivpf#;Ig zK)J=K?LY;1##>=ZYFnREZ=Sa6U36PWc?7U$Ut%vRS3UW}o%& z-bvn4;nDFU<82Fs(xr5F3E zxXmzLE8>xT9H@eSP8s|U;4e{KOssnSCPCVqi&nKA0Vhmk+GnEcormQJRgxDgV4D8K z#FvR3xF0AnK+oeGIO_4eYp{$k_%H^B=B&|&!=X!6a~*U-PWe@JvO?lW9Y z;Jf@c`El9tYqy_tGIu{C9%DI8w?a(Vr_WC<8~>35SPtR+mxaJG5$qhW37KTk>RW0SWanQcej%6;PKnbzwjKI^&Ze-)Viw&#orc> zDC|rDZnYUVP6c%iPf59dil1O&Qq%Rv7IZh*d??{uAS(%*oL7fvFk!Fxk>i$;+3m7e zL_HlO(6N8prI_*5StY>bV-i1vHgdLBi!`o7o`D!uj;{92BS~L!#@2AQ*3q%tvu`lC zI5dJ-&9&p)BxSH335A_P|Kz^sB)d8@NRW@rs*Fu_`x>@yv`5=-I4FLRlQv~2y#qh= z(Z_?yTZZo@lj`s)M$`x0wyO?*Ljmo<_#}7i&jrwy4gS>AP-&W0mLpnJK8jRC?xk-A zIU&RwN8vu{W+OZOS3AG>V9VM!l)1PF=Zj4}Z9*~o^}fX%v+WE^x(wfiq}PCmV} z#%iAVjjCLZehhWLwYXKWc|RkkEg~H;TQfvq)ha()E^hyM`LYI|9-6YyCOk_M3?zyW zzG~9oWuttMTE7dsT7Y_PCP-@#4#|pqUpBxC>;m=1p6S*jm$@V&n6LG!BQBS3XXh_N z?tk2Bs`Ve-ICG_J{zr{+s?vL*yUyFJYnKCL!zwj8eiEL;nft^5C(>~d|q z`A^A#SrU==Pw-JKvmd~K+vp4X3mzlg-0?knHB1sAo0dZ_V3&R<1A(x=2wNbKEp`^d zFh^`q6VX6%A@+f?!x%%-RFK&G#fr50U%l;Y*}Vcg(AEQQ-1`dZ^2SqObQGpDW49|H-Mc9Nkk6k$X}jNeL?=bI7*!dy@wbIdDeTWZiD zt7_0@N)Iz2jPL!R0Q%Z4%i`qrn}Td?d`b0;WVLt89{9G zA0++V-NI<-71sgUN~38`kWaFlPTDY$;}s@swEJNNL#4C}@C z=G1+0`U3vnS*L{HgVI3&06dfZ-&va)I@x&s@2q>ZtnIhPkbd&?87003>1xvPD~tV# zNUMnI^!@{Ah$%u)JCWK7C0^euwP8K??`4*fY+2sgGJ;}ZB#P9!#m(L3a5fhux+z{3 zrEK03LU~6EGCZOWnlOaYPfD3KWxHrQ6SrDUPQQM=er*X>>yxaio&IZ~%49JiL#~e2 z`B9rpldae0*de!shmYOS>haiQ;bNp!R^duCTePffN;SzxkH35q#n#WuW6xg#X^Gym zY3uuVs~=XhYzGdNE4}^Hlqgla`d(u{Jy{}9fN7!HkKRdd;)Whbd^&-jP@(INg_|gET4>|Wl=ERQBJUnF zVT%`(PIMFAyZTcN@!-Ox{q)1|x&MmZJ?D>(WPc?-ciGbQL6zZpX6VSCJGyOf_GHHU z-5s@W&_YYq#p#zS-}2?f6K~gt)89Xz`@_?dw|^ajrBwZmMezSkfqq;K?}KVNAE3AX zZi}2@0uCRwvTdJqBV5YW9{Q8_SjnDCNdNMyC+kyw@+;~k`?7zWi*%Wcde-Fy@7c7@ zxmWMG&rw+GQE*7h5w53r)2d}hquaXCw%8XwgSthP`*r~o%wrjxW^xdQnF}9kOSVh~ zehLFmM!v+QM;XC3-4;ne=|t_AzL=CM<&cSl5y=A*T$Iu(d=rp(R?|>D#>wDkGDNFh zqZVmE;1FxCIAIE<5?>7UWOll9EZAWxtlXAbaW(?^kdo_;CO~rwuCNdhV=W&76j#BC zxXVOfez4HM?pQt*H5omGJaJ!uFWQ}e9`l=kn*J}}%~{DLNw$)vwjrRm-*nUxITP!G zO+ahn>sc!*=N;5~i(A%$Cpv=`fQ`stTRK+a)=;Z99mNFhUg4wSwhZz|nMfMMLKCAS z>&E$5k-!ksA$%}6GnY7)JE7u5q>QP2!2K@!Dheu%7c0ZdCkbDB9yv|kTHD4^INBJ% z&^CpXJIx!>B(iRlDTyXkmtvgeeo*5K_plJ}gW=7{#cGcdh`vj0n^7K@s<#sJ6k24V>VG`JeCb*}e!eu%#otLV=EVZ{`U5N>6t730Jh1roPbBSG?!c4tH7Po~{KARJ zmNCQzIU;`eKA+Hi23-IuB6|t@o^KNlF&v9t>>UX7n4kfXm?09;{IBJW#5V~d$R|i( z?mO8%12WOa1nv1Ag)(M`H&`D157q9a?LaxZdH9bxGGYau#G`ssIH*Y(b9n8J3lq50 zOGJ$tr-dmOUV}hWw4GJ*OjN5LS&yA^D_dufJp~o4zJgCq3^?!mfp)YSjRud>un(av zTfUqH($DuzLK#6AP_-M=j*reS%|%ZofH%venpGZ?wC!4EKrUKn73N!+M9gOO9Ch|_ zYO%UF3#ue!u<(TJS~*iMqS3E>kC|1m7CTwX(AVeQPE8p)uxD=uZkq_Rn?Bj$RNSl^*dBgtB)C0twPOc zM8U&;!MW$Dn#W@hU($3gi-e@dg(hp6jx88qQ^w>JETrr@lQ}C;f#lfAXa2pcc^+?! zQ`-d&y2HBZP20$G26a;9ni1y&7ukbkF+~Q5b_u6vA{A$>&?IaFDHAbQrHu)Dd|#_I zc{Nd+Js6B0ss-sq%vGMn4rCYVUwY1oH6l2;{x*DK(A6Sc2Zp58)vOU)V6Vh_%E5wX zdC|O47c!-BcO6RgB35_#CHJVWoF2Qjt>K19d&WjpwNwL!#PbaeO`v+i9LD+NtU6%E zKc-Zs+GJFfKtl2t3Q<&U8}^H3jRj4ldN;GH*(t7iX9_?<1p%}%i2^kf7eP-{lOzLW zqCuV%4q5-Fidz515|=6u7D72_B~7!@Jih`5r}}55n%PFCMg^zVKDYS#hRxUpjg4xU zY{zdJL%Gt1Z0Jo)l3q;k16K7r)!)h`Z}GT6u9BTbL0nAwNuP3;MC8<#cbhZES=CQw zCll^ewRN%Uoj^gQj%NPWWXvJIt>umnBr;2x3-G(qpgvxEp?apa0%2;IbSrAh#6}`i z4faJu|I=6fwQVcMOYAti@REC%(D3|!|6 zziv~%za}6?lkd}}L#L*So=n#YUFG2`oRsxkaqX*?X?{P-lvW2YtNxwP>^gIg#Ae4G z54pBf9qUq%@8S}gXf0Xtod2B0inU7)=htqq_T>l*nC*O0XpZ!{81#vN*42wJ0tvKogoVUykT$Fy`5c`?=Q}SnY-Tl%2KO$o1ue+=L=VbK0&UKi4;4xeD zwW`l*i%PC8b%~nlc-y(|(T2dg>JbH%LGvEW4%5p@=(Sq=VB5{S?XP#MXSgx9-?ohS z?tSM&$$TYCBeD4}+9hgt%siYbV$yYWQ9&qh*Vwc57xK2{-`3f(hv@*<(aYb>k8_u> zbC(t#ua35mxc9N-f9R5%7rOssNbo#5T5;?9!^PhZ%KIGtc=~p}l(g#B3n!167TR}| zd}q!!-uqaz-~2x-YUAQ^{+$GSU_&mGiGhI+sUcTfQktY!mOH`G|B!<~>-)c=?^k%{ zHg-5#3i2N~*dpNU)1!F1>{eb(+3dTyjP-kW=dz0E&po&ObJ{&0na7c#?q|C%CH=6d z+#$`|CcLNc;8VpTLCXZwoD$x0^IS{uFrVV;C~&+&cPHapt?DCwsaqA^?9>g^%$*ph zH6v}BcITYk%copz<2e&}Yf_JJ)Y6v!O{eFWNC+Lg)8Z|HbmsvWSPt}pVlREcVa8*5@{WB*!v~;sX-!c8} z^#Z;Jw=I2{blLrG>mqK?4u*62xl`|43;pqV_R76Bs!c`JUbl?fFTCq2^P6NMygm4O z^XXOFUbt63IA#0N<`3gp)r$S~QZ-wy={?-hezbn-$;wA3e~W&yj&a<7S~REJ>hkHk z%NS3t*`5D^7d5EwKWaE)4h-t!z@SEMGed$pBPFMHVqo?m1CiGIpS9mTZi!s8!s55e zx)l!!EGF)4UD9i+qoTUAv}}J}rup1muc8l?pR@g5bSrnpj6GY7ZY#F=5T}@l1y)Po~`zdR8dozNBDL9c%vU^j#5t&xNJhn&S-O zbd;Q0+&WcrmL+$ovA^UvuzDj0|ATmj%^Pml&#XPjv83&C%Zjw$Js0PGJ|S}B$hYzt zd)-Qe{jZ&#dj73rmYu283y0U|C)_prBF+0}+rxUM?_P^DCz{Ls^47j=yY7hC`;YV0 zX0u!?Zx3GBn)=G?LFMXqnzqxP)Spqa?o>K>h^ru?z~J_0KFLK>_c-u-`|eCS$^P`& z1NY+ODG63qljj(mjr1yxy!*oD%FUgxiYD~0PIfQY*j3W7O+fIW(&SxHR!a8+ycvNZ zia71Pd%t1vGGI7AXJKGq2PSC{0CE|&HG)_v$-r~pb92x&?YGhrR&)bKOan6mH%J{2 zKs22OQm_N#Q&ZB=H44|q9}Na7?gC;SkS-vAXcYB8Xw1w@E<)F7x?VM_0;tpg=z5ry zAl=&`QHG!xLV`oKa0=2(a~HHr}$%Q3@;d6BNDo(2PhCJ@8I2jt#u zjlm@djTK2L$>?t7GM8jJ%+J6ea|PYX)pL+^VruMIV_x@(lbNAXP7KY;>1&WRreZ`U z`b7bVklnnE86G?pdFW0>?+%0XK|+U>g9WA!(K$xfj^4vVXfNbMXovUr(6ytN;Rx;L z1QFUH#SFS$^g;=t*HRc=FR+LT@MdKLDd7jg9AMvnz6cA09)qiKX-Qy)NkLj>acZP* kmcK`snX_fMfqzwITAsF_lW9n@QKUgwNt#h;ptprB06SQD(f|Me diff --git a/projet-vga.runs/impl_1/VGA_top_placed.dcp b/projet-vga.runs/impl_1/VGA_top_placed.dcp index 18950d5216b0d8a1827c1036f92b67fbb9c64b6f..b1284ab8f57c70474a4b9084a68ec7b19f22ec05 100644 GIT binary patch literal 1096305 zcmd>mWmuF^yRHa|(u#yg8I(#R-H3?9P*OumcS)xrprU|+guoym-Q6G}%}_(vP(u&R z5W@`T#rXE#=R2SJUDrN8_BlVEH`cn>{XFY_R=mv2i;4m+-jy?F&RjTS|KyfN?@^#q z70#J6Jm=1w!3RoBO&mEq>})?oNjao)kp=a{8yY)Vlcq`bmu9`!2#^oFfA&U1I*aL- zD>fG&7!{lPW0&<0*o_9gxF8(uM%}uQVHvvw`9C^w> z&GVKdwWa6DGUePP=MSAyDh`^%DN1I8cmpuIkAA2g)@S*j7jjgUWx& zF22p%2oxpmnWII{GlW2PHRYrXpE@{ln3qOlaWPYNSzKMLSngSTZR z=B3=~?*oIkJ09^IeqJb|1qF$L68E%Nu)(;4-?x~n>iT#!my z_pZjF^>M>2EQF*MBykArS1@3gmzH?ZM=`54;Q|#K;a#dvulUxZktM5!`EbpG6FmO^5l3cDNG!_x4wYI9@V|AZ18l)Y-0y&NadJ$WSdJ; zS%p5vrH;tdG0Ns+WRbOdbYmZFF8z_Wl4(J@b*QoPwt`7 zsVuD$@Y*_w+gNN!PLiLvaGjs;(D<)f)>MQyCZs>Lm8zxNEV@HR!w?dN& z3{N&zD~1a;HtgZ>7-CV_dxP}^*l-4dCwl(1wZ3w`FUGh%CO2*#Z_nk7h5H|Q>>tfF zvBdd0?aYJ@JDk)X?kzODrXrQF%TB`Xc1|tw(8#s8K@qSy=rHeW+c%n|>D`5x`0!x~ z_$4HZl*qy-8MU`f#v-OW{n&@J5sGuW)?_Sd~cooPNO$Oe^wx|yf#Qk+At}>=PT}R3i1qhQf6#g_*~aY z1qfB79L))e&d8a?Wgi!xZmbDEw;?VjUZbneFC6N*Xi>1fZa}d!42(6cjr?$B&gcv( zIZ%fKytza|&fk0cP}Np^Lk+f7o<4`YSH~(2B?N07Ho0$=7k>upx7Jjzk@~LaCMMKs z8$k4Zy?5tlS~s@se0NCp^dTG|5NBw17)12=VA2N+msW#!=GWO@1KW>_dZ~GDP2Qd4 zm*}KH!f=x^cMsw_TOZ|6*?-Wil@M_ty1Y5nLS{ULUV{@vG&iJFP%`N64*8ZRvV(dE z@<$yesL3>+*5)l$y300`36~thv64H6x`SK!x6y$Lk8^frrwh879PX0T&A+u+Jh58orQocNdM z(u=WSotF0lZ-+JLj6Qnpu-jForL-q=n0IUupO@t8O5y)ik-a}iwX=1q&Vtz^+{%-b zR01s*(BKWa>jApq0UFz;$Esw&lI`m=kSaSN+;Jpx<(naGL>MyeUghYR7`R^t7Oud{ z(kwhb|GZnBXt$2$HNnIzs9Tq$b6pG$Nlen8*{56LxKp(Rx|uzV=!=X=aZE=;{P%`k zu@}8TK_3Ub4a#fN&S8%ksOkqll^n86UL9re@dZ8C5#?!J{**ojx!>~}EyPhfi!41_<|U$- zBXYlkCky%vR1CTS#`~J>I!<1XEcN4cC zU0ZEIxp&;LyURBYXDi^l)KF5C+JDroxY>=_)p{hrah2CK!2sfS$78%CG}52EXsx*u z7P`~&xw*FJ7CMeGJerTna&O!BIW!nqs3X?2F(FY8epWFUI*MXkw2QNFe+jxJt#nHq zCDG@W)wwIE-@GjFJZgJ3ZHc$RgYB@F(*O`VAs=say;&e81Af8!?z~)Qd^SUe1481l zG@4G&ym$X7V1@l`5UMmUChlgbxbLOo3uQhlli;z4_V5|PJ0~LoMRH3BiSA1)g@;bu zZG;hP8TChW{%b4jbPKg5E=fEGGdmB(OYqRxjB-QLVQQ?G_x?U~92siKdj~$Yc%y0Z zLs_4>J9KAmuxFa_(gqc6zAw$l$avBQThXz{z*_uoA4b+!ihBFGxuW*0z5VeoHMz<`KDUeJ%Q;!z?evoi;4sj$gBT`2 z@_N=TKcTXaXiPtV^@WDUXvI^-P_g91a0(1M3O~7H65c)WO`asYyT-i$Zf8(;XlCLM zbM{$5U!V)?-#*@rFM;K7GR#mu44vCO$y3%b)hDs5dVQPu9dxGvqHi}8p6rCm;43~C zQh(rV^%%;*bEJNi<-+kw8uCR7i@_DO8T9x#u%k^e_@bI}Di{hzZNQjE6!z8 z$4MnQIfIDYK19h7hyR=G$peB^f<|k1N%svy&ZN5eGTp5O>2t##9>v0(W8*%as9goJ z-A~^1Euk1~+pfXw?Ufv=c!y}zHrsUvt9RJrQQ0buP1XU}z}@W@j{?kr&h>8$bV>eQ*!aCEN~3cuw3F~HL@pM934I$zd!6?4UO(J$m!`+HKyOI$LPy!3y33SMl3e+ zk=;^d%?=fP-j5?td0!yC>yM=-D$aVF*K3_Csi(NVPGQ?qT6uo-(i-*7rhHGBxvwxf zm+Eo1*VcS4m3+4sH*gZ`u69hFa%*VfUv-}_7bDecxGAvezO?4+Hkpd7y8|4mhSvM& znD@3dvP`;Q=HFmD>PNnVS2bJ5Dp>Rq1Kk!4^>K4xMPbH>Q5_vcl=`(G4q zobBK}E(UE~sJyzh*Zyi3Ccah~2)gHjReTI3Niy*cdv?M|1?^E8=Uk9@<{L66=ONnJ zk1{S-$ZI<+OlX%Z_3vh==X`Ga=Agu$Q^)BzHw3>{baMJw3c;}b)gXT1fQ>Xfb4}e3 z3n~+!9i+SxV*wIy({Zi$F#zw128=X18A$Sh6h6Q^{87p~@;%Gv#A5_{oFfNF8s|Xa z?1zFet>rr}%aM8z%*@u)b}1Hdh@ht+cHU}eEGw#Rr)KSIl+2YL%J#1Vsn!yYSP&r< z16e)c?^cj|4Nkii26y8vsNf@Y;bS+BvFnQqGzmw&mgoI_!jDZ9%pFNO+nW*A>Le-7 zU>g^=`g+C(yA19UnD-Qu4zCNA!cQ3}c;lruYxG zhU3x05|dbGGL1Kx||L%siA^-GS)ci3WaF>D2N zJ0~YpLOdZF9V(`9(7G?tP$D<{{+JWN%}QO&Mlu0K#y3PQmX$Z~*1(}1m{xY|xM9Ht zzk|KMuOZsVeVCzOm3j(z&PDIV%cuYk_u`_**csT^t2NG`S??p;y;gGX(S?OWSm$=_ ztYLSIzt8>(rl(-ael5AO#?z&a7|XEFR>>V71z(duMPmPgI5O;! zIycDYWT*ASduO2`9{|?M#L!Ia2^CdQ%U@k|%VLe~MuNJFK&>EtpDL`@+5n>psyG|-`(1fPU1!Cjt>+Ow3?H(bIkVyOu;(;XO2ZyKdBq;Z417Fq5>w zAg4PzmzdHVE6&r0xhO!x7uM_sVffp-Ppa711Kdg|y+153Hy3Rt=IlVT1vz4J5LpM# z1Gg9AJ44`&r1h;2sG*NYwhRfxT1LWgluMHH zih8$C$19M~23v+0A~z#pH_8R#+@ap>(Qy$Z0v}A8$?i+Aua93|sEPa6Cy~|AoYDUR z6G@HVcw=ABTYcEQwD7NPbjxk{GyW5hTz$wf1a&X6uMc(I(a(+FcrxijwYu=1 zs!&!pK0<+b{sa8VzFy(!j($q~#^p($n5Bi9`vPz#fTs`Y{+p^SpcUXEJAOkAXtlCX zqagrK16mD2-G5UBsL%=cN{`>*0a^iJCLmtj?)c5st^4eOPU9O0Sbw)ymEvPsd0#DG0ibX9$ z?8e$sgM#|>iR&I>wDV+7;>1M*BMwK4jlaHErH$FMcE$ErYYz*r9M2~B+iUS=52>T-iKEmdmVQ9rjG;* zfhd?v9`W!C8Gh1(NFb^-LUYQhFvz3aB|frc$tJIWmmzR?T`_5?hfBpF_NX;}|18z} z)|adM4)kz`lm+!vQfcw}I!|BZPzV3#zOFZK9na5(HPHq0zUFylH~B~wb`w+C*f|J1 zu`I8wEFlDP1_`mF&k;MEc@-F#*`d)x>=waZCKVMtmjf9|6sl7yuB)}!3fIf;K5?!; z3brd+K6xy%wk-PSTF-JW=3q40t|#64O`-g2@LgXB@JF3{(N59i_Jya|kR-oKdr4bN zVLAd=iC%_OZVzVD(QeXK^$DdRz|S0heewC2`s75cXmA3dHOMv4WSiQVBk$js+5oBY zIX+O(91LU_q2~GM4coGRw^q$Ui zz%PNNvH<*l98F@0%fqV(TiRj=EUzaK5Po?|yGbTJk0;S2h+YPL>4^iR-Q?k$6vZc4 z(cqEF#%jViO84Z_<}Q|(H7fCwee)cL6!9h_VY3tvn&YR? z0M`$ps|bGz4Y>Is^t$xVe7XP1SIw_{>Ho^t|1=sQ@8Bra?&$02a*4>A-gyqwJ0B;4 zR;~lrn0by;hU;#KxQU=|tR1)bs|dwjFwme%D+v+o=HgNlLGPnU`G7*&K~MoR3!wMu zbm))$nH2D^q~v{1N@;mU0ye57$$4A7+qWYNB(%fEB95rdNI*rEK%D#4yFEMDKtgkD zETTvsf&V|0SNf;n)D5|A7FA-_R*&qN=K!P~ea-Bi?A12U@l>LU5GnUk-xCf`^P z9{m5D0zkX(E3k+~PXtfLD32)|W#LQ(NNXz_S)(Fm>P%IgG!cZl`7K`*fKtoGeFIVy zvMJL9p>)6Q4Z6O%K>p2u)#gw#vaYvD6|?K?T>N8g5_{Wj@O^FCzsKzTW3G(Ht_3A1 z*m!p=gM`p*EE0%`jD({o-X!N)^=|JDE0EAC8;cksAtPZsiWlPi71r$@S@&zbG5ERO zm~#GHwg5LjmaWlW%N9WXW7$IeTDH7@EnEN7Xcld0)E)pU)fyVBh>`|W$g?b_$_@dp z_W_;#UW`Or6jNPJWsMv$<=iFnvmAk$b6%;aJj5%a>Hv%P0)?j=MnVE0$>~-NGWnSl z-+v^9|1>H8)`s!_hw=jdG@OLuPd5z6b#%Q1puH(4uwks7ZWwjF7*kGQ!zf3@t^L?A zMt^P?ql9Vf_To}&K@02*K;FCrvy%*zl~F&|rVMVt!|B>o-vTJAr{bOMeGN#kiDP=- zP?G6j1y(9wcv8CoAZ;-PP_-A)Zm{H6Of?oxHogr^ktbKoF2}D0(SIc+_8wac+NKmp zVnj)Y0-HCGAYk+6WMTQaAi+)-q|*sv_-lgHeV-uz*5>_B1Lhym0#oegWA6X7_2)M! z_(!L@(@!^Vi?;fW9#c+0T43|`1~zX~&ZiQir<=E(CjkAvd82-8-gYQyJ9}{&l(cLr zX&g2wlvLa2z|v)RzNP`wiCXk8%Q}{@cbGD4$hwCb4H>WTM!yojBk5;IdpW)-dR)Ve zPeH<8lmMkvgUfB>Gi~omP3roMe&wO)K;I{oR$(4eS3Zkydsn`UReRUFv?~u|h4lnm z24}u<=aW!{Ik{FkzR76{GSQ>spq*QBoT1hVA#AM#Ae6lSvg*II?&A6z+yy~R%WgY5 zy?P2qqM_^4)VVTWxV1w9ObSVGT8-$=hBXS~(FVF+5InyMgb?GP|2Gk~Ai%%tz<)$} zIP`z$-+xqpj~~}@ZP(V*%gyu#Gfg4keO!ZQmS0%Oh%50@a6%>drb%C(@51Q|;APbP z7Crj>6=hM-+uvDqky-cs&XKROzqj|ieLUrke=t+U(l_jSf3OL^_kRKEj`#}@efVF1 z?BD(w#LKMPLdo$5vv&af3G|K9-Uf7^l0*9&1&8*1z`k7p&VYR*2hMW%Kw_-7qk8N-+(Iq1{C=>AcwyJDf|tH6`ytDR zkwcbkfIW-?&VW7q0Gt7P7(HZp2|QxsAZu%!`a3hTopEyn4k>@Ia_2t)P6<#?{{qDC z{udxO&%Xebd;bLp<@*;P{(!##p-`rO&`H*IrW|$ObmqCN9$*@PDFSvMFj2tx0J{s= ztr@r7`?tPdoZkE^e;zW|lb{{_fx_D`Ve@&atQfFrz`g?30a$-&2%%LIg7dmvrQ;v$4fAJWDvsY<%-oC8nXf^= zF>bpsJHePaE<4>O?%xOONU>5z@~H!v2b#YmYd7zVaQ)8oHm$B^6rdokQEcRX{yoadP_=nj5t?9dcI zhE4>?oB*-{fXu)gD2M?HbeMyeVTm0l=B~%k*L9+C%X&Iou+Czm^cu59#fHx3SI@RR zzsd~QBf#w2PG=+si1OVF!arDzsLr2vqV;XlOY)UQf3QfC8}A((|6mzk|1=+f$d!Fw zJ^Xqu^!JvyaQP42vw!~?<$`SOnKFbk_`11cfL}+hrut9S*0Zm~*@Z!T_AC5HvBw3V z*JCGhs0GYkd^xCCRIENd%EHN#)vHHS^q?3Lb&*q)r63W{rBch_vX*f zcN6Eh|NQjosMXT;$LHX$`ZsR=KA_Wp-Shq?C|TY=1w{ll;DclK+Am`2_3yxQqt}|f z0@Uo113-W&0wxdG1HfbelLSo6E}6)6C7E^=FKSx)+;1#CDifoJE~-^oSw38DDb*)& zwWPRyo!FfuMJ_YILGNFh5y7l7-v!pjAcEhRu#!}PgM$McV$h)9P=_guD}&0h^l-!@ z^?@J0>gkJ*iy9i(y-pISL0ODH^7nD|udDKFU~AB7$e~Fo+Oz(0frimsgFh2d=qtp# zyu*2tv#0Hfe?wSpGAD*MBhuoeyjGMQn|9dTb&c_L9FOD`UT^@bdCD2pE?S(F*kzpi z+`PCipD>>zYySLl76W{XR;=p7Ss}YsH$mCF?+m^I@3i~p0!2=($j2CmvLqV`{`@Jb zZdL||*M@XrSyLF-s9sYyS=FLB9@Q2v~qv&Sn5v3=Crj*sJ_v#ISJA2+i<=GO0d z{Gn8%dH1f?<1w|tH&+Ms^mV}cVff+>Ih|W=J>x6RqPwBx)v+cg8_?_*O=e)GqyP+w z;v63rF?B5O(X{K;SjETs=b6apT++%Q3E-%g;Rp zFqb+upiZ~GG$|TWg8HwL-pslx+9k)@AkbQThLks4SJcWvv}^6#w6VDKVVzLYg-x!= zs^&=7mZrNh)Zc-j0`_hnxUV+Pwu{UeoBNz6-2oWA<(1C7l((Vmt>tAjN4A`KBL0;! zV&~15c}+sgzN=)Ba#tn0>jy!vl_tE{l_F9Td zsUYh6T^1uZE`tae{OW2jj&RgFP&~Az4foaYh~Jr+j7fH@mHjDtu|s=GjL;Dn=^noN zzDGZM>^|^|uCigctiO%n%$nQKDuYE!BCv=BtJ5h?Ic5jOU2GG+!S*&Y)ykK4Mb2di zVd>0rS1*iNE2Oh;e#KGn<1Dv4onnbQmr_Vz`y}bC zcjsSThc`vIfBB*>xf&pq>%>O1BSy4CLS31YN6W=G+!aPm=}k>Z$K@DZnOqFjZSsgFV+lILIV0|Oo zGQe3`keFKY)jgx9@1E#&I6a$5aG~MKJ#YPG>BjTQ+_|$&LP$O%ZDLqJpX@isSFPuQ zT^6jq6!N6wq=tsgIENDwEzZLNj6W#iKA>W2N@Jj+?mo=GX?h)Z{tbt9aHk{(SAx0< zZtx&4F||G(am;f)Bf-T_6#@(!oCW>|mLcx%o-fD}jA635zc`)G9@Jp|q~vgDd!pM7$`y~z74V5B zOa5KBS1kMLT;p|cIzYD<&mOmGK@#?0jOEw;clu?39`lpFI)+{SS2XKpodZ9k`Puw$ z;pU_)xC}-ERr3+-C3UuOuAR|(={Q_%?GI?*;{=7Drq-eZ40dmg?E_B__kcrpRCx;*x;c-#E4%7maGyjTH&G zV;j{U2cI zi7Q464nVO}an2m@Y2Mg&q0AQ!GGdQ&DLARXhj^D?p1*sxFF=Bkh712bZg+s?m*~my zHN0g%btm@f3GK`}w=r#xX;F7xPNTd`k}vwIbODVi!e_!|UC;1`uAE7r9K?xb&&J&Z zYv3Ds-g{7K`DgNkC0k+@LXLeln}S3Xn|st^{v1xi2MwH;ce2hFYN?(3R0uq|ngCC( zUBHuTD)8j0tB`$WVk(RXh4VS!F(VBb!F^ngXO@yT$%v_ek0L#RUJ$=L9|e3A$zD@2 z8rOSh{YBT&vpf|wwrtxPwlxvQ`$Y#}s|47*0X71FO*C2qr|oXmSuS0`T^ZmGX>ID* z_gCb{-t3j47P{WZr`=CmJ=Tv!rgJ|NNa_I&>Hr5pfCGBKfhpjCnmy}mFW_L>?H&)H z%WX2^3xMb`l6;>oy*y6@h%U-Vvw8kLZpTm26_x4QfZ)==XTMBj#Fl{Ifs%ZTz-Pa;fCC;znnJUEei3qELsdOOj)Jp!b#B!Jr@Fj; z^4}+V;i%Z@Bq-)hu4}CTw4sLs+MqB0_i6Lhd~wJxAJf+TVyLkWHP+tf3-n-}`Mek35iFd%*cApT2W{1n#E zT+`z-qIh}!1u$R~7-@Ph+{Zl+B%2t={tr;C# zo3ypV695n6_cY}#PHdea0_JJut!&&~YfP_O18EyQ^vG8dWm!FezZ>wp#u*Mgvba{# zD&>=eq>j>(h2fX@;Xf0LV1?gd5}}mAyr&CoM7&R=JHKvPgB#q-9L8W$SlH40UTdk^R)9v+mL7D({5jSJp;#|WI8y4i8!uJ0A7ZofTmz|N*Q09 zjwg;pv6%q*gL z#Bb~SD@Yw2j0Zmxs4uNRBQaFi8O8UAPN(uD{4ZT$x0}B7nHvW0yNwJO$$I1bV|bo&^$h+ z0ZP@F0Gj)!G*QVYD>0Gnh)CTX}k`lyj1}TbJiCl~ueHIbt zNzP-GQE)LkCL)gRLR_4{^|==*;s3bC`@eKu)V|JTfxgV6%yl$xD?rwqZ}`&8C}z_y#6(IebuScs7)_rzNPiE7oZ&*;NPL9T**>{nsPAEIJ&Sl%ckwC< zneTtVfe`;qxXFJG<{}VGNp56>Jjn~iS*5lBk@An9ye`JgOSavbdhv$*wYW615E;)a zAY-Rl`iT;Jwsh0qj_D|Gzl|BPn?)^;OFZiiS{~r8}l3R$- zcua)T^F9^}i_Z~R;dVeUz=-Vvf+11=o+dX&Q=Xq``dRZm08=1XU15UXKLE$pkP?q=9krv*!CaIRpauHBS5( zO`U%U_7i?Oto}{-h^c#Qi7(J6YF}qm!WACZ2SOL)05@^oH@( zeP;h(xc-ls?bwYyPO^4j&8`9dxc7BG8SiUGjKZJ@>pCGraYH&^)E0Jq0TV6<5(nO) z*uXPtO?!Q?!NZm9wa)|xYccVwhBgi*oShYk1^JM~x>~OTKC{3dFEj6M;&cW+-5t%T zsnKc>dpgpY%EH+YdZLGVO;_t+zn*UhhfmZ?RDXZJIsBfz&BzSed)I@@53`Iy?(IwX zA2$d%U~68X{cW}2*rWP0*l*Y%F};TS_#rQeeU4w@X{;VLnT^_(%L>7*YYVCXSymxboNke|tj+o~ z@JFBIU4V#Mg&&b3Nn3>;*|B_QE}hT&5x*`wgFu?EXtrv#j5)}2*z0=}&Hce27pPrJ zV$0Jw@*ZU3oOUQv?1LsQ&|xN(c#yRu&<{LoP|ZL)B8d(~-}xcHA)&yD*l6-5xa<&}i@+&&wcnRThSZ@NVK%MI&C zfj)c(wpfSRJN~>hKKw|5LmjL+P*Pq2Gv__YNNu!;^dUDqj0fOV$*&5Ip_ZFEwn{z- zhv3j~N}jkx%@rX({$k!;5mQg{?`kb7eL{4qp4I1jb{fOeA-wO3!M>k*D=+>?%MU1w zx%YG!=?3*yvRm=@cQ)ioTTYB}Yktza&gUc5LNIXqMT`C!lXKP-(oJ_wawYSmE%ms? zzgNGZ_)$IB{JnaP`MBt8*|>DsPWh?xGIY<5mlvA>3GLD|)Hs|R=v%oMca198#P-m6 znob_K%;JUho9~0Phj$pyvXIrXB`j39aKf8p-6>G)=%EfDKbuC1wJp=ga4Jo7 zc(1wA9CY-d14(Hc&3+dJr`%|&=9K}SM~B-YCFiX5SLAR92=>QbfKNwPO?v+KL|O{< z@SER6dqt__rR1?4o=P?5`-0}XW@GI2KQ9ojr=wIuvY}4MYa0o5Kcsx`YYMyhxn$@1 zjU$_9O9cX5P+#0im`BEFIf`OYHO=sBc!x1cydTYQJ55|Y`{7Wpa z4^z#jMWLeMGV7LI{s=}T3C@I}Tkp?melcJ0?Hok;8 zXk_#)pcc`>BS?o}-*dh66Tm-3KzzZWd#dO99N}nW56XLEt*5C%8f^Y$ebG zM6x&`w`G4KsNFlEu^anjaV_m4iZfNQD9EzHCM@!OJJxFCCOAOCpzr{h^x%!ZyBi_`TcdvG+u1;e{dGXiM>d)G4Ol7gVBbHAgv9|VWxM3u!1D=fE4j$yBx2`Ku2NZ1rEiW}rD z-(v3+iB>y4JdfzKYySuj)?K65yASj81$)N zt=0};QDf7is0Iw|V5{Vs4W^w{LwMSsRc$!kPq?T42!_Y7VFgpnfO{=s<6)6 z8#ueRZcFH`m(|Csg2vzsPx|u7{HtuX&i+R@C`W-zuu-cWZG}HA(~=DCOPVF8ILQI2 zo#S$U+MAXz{+LmTu*qZ z;<)STiu`>{yzoDM-Y3}|S*lB{Bb}tPDcP^2rDu@hU0r5h+RDpt|0M6VAsPO5YJ7BD z{6fqb0EH|^QA<@or*2MMn0m&%T!$;B?dAXD%Rhyq_V}dDPdfF9b-eda{C4Nz4e_$$ zk>VwzWZ@I^DOzAnJ^#B_+nd?b&6SSW;~8iUdbJa=1Jl|s;K;o`jdiH!9Zc&oj~(XG zl5Egn@65kjPwMKp;i`}EY%j~Gis20LJsW-PbxDg#!dqKb@mW6N1oKkCx%1r~rGk;? zyG=I*_n8@MZkLV^LGk^1q3Fp;yts zw<@6~J4Z)IFgkP_`0lj6!P|8|-WLOlkB5fT#JdaZ3pD8YA0O`=;oA>X#d#Kd&<=IL zT&5W&(|m9d5lJ^fy1AB1>B2&GIP^%Z~bm-zX=H7dshA+_I&V zEAfiDdGJCyiSfY7|Dfow3Dk*jewS{wKqL~^?8!O8c1}dLFcMp6p@ZXn>FoCN=e3d% zVtY@o#93A)a3&h>GkNSRKLigTO5R;S>2E=L?h_uqMXf7NIp;eDC!4q01^)z5KSei>?UcZ`9DaP$xuh-n?W0hdMPv3j> zoQV2!IFHd8fu3rqs(G=j0W|kCrg*p<;;@^9yX(6g z!)odHdXEyQGnLO1#?15I+Bcd}Q@Dd0qZtUP&EzvH?bj$=vvnqL%f}NT_>f3kmcr*K zD`fgy-TK;VI}nD}_TE)>9id)Of7#jSEl26Dd}5x1lr!Nb%0+gw@isk zq8(QnQIC<3u$+&~+$=Xm!9>e()M+^Tw5J z?@EuXG7W-ZwWel;SGFQqmeXR&yIX3nd4pcNWP(T8()ih-Ef-^M@Xi;rTrAej*UXU) z5e!^cSbn4S@v6qhK%~j-n`T@$nJ_cD%@ndIYuW`Q?fjIOB2yX>bDES)I_2A|diO|Z zc$jjLI)RsD(#vakDiH`dU%Zk2F4nzwQhVHbwNb}~EWv!6=SRM#EfI~bSy|O`%(qq_ zwE9)ZGKi+hIA_X;LJcQT1*x)>X=04(H8?^O#G80k<5*Y2wy5KdvU#lv%xZlE+o2h$ zuZXEciFQEVL_)uT(0~DCNHwt_da0(cjScfb26NA2WFSQ-B*z?tD-0d3;+>zuW7*+T z9Dp$5tBwh!iMnKpib)x8P~oI=Kk`{n@$sVRY3zQeN=J+yCAai`WbQ^YUD}n<4{>2f zUY+NgNKj*PVS0Soh+QZ{qn$SUJ&@h`HrkF$5+1m@iHlJraW=~l_MNTuGO_*FUD)aR z?G8t%c;X;Ne%nCs+eMtFk3zbVNw#<+aPKm(Rg&dpC>2gBIp&yc!M!NXh%()$RC(#q zZ`gPrc+2nt*wXIBxjsX}N8xu{a^xtZ=!@L9-%xO-$x*6=A2eQ*^k-+~F7Ca2Q)+kk z`Q@90?A({{`?I&L5y47W+OF?XIn|$qTY5~jN7Cc>uaw<gL;fepRmUiRK- z=4=g(Ap=gXn=3X-GCE^dZLJoqE`;=cj4~nOf3pQ^#8Kl$m{=5sCuWe4B-T(~AmysakUBB6FqrH|3Z{_f4-SZe)$J`t4SmX$gL@bdmuo zTEx^oqhB1i)Xw51rELZZ1WczeTML4`KUNg8f~iibGBTz1skd-4fT+523tez4%4#_{DDx+S`>U>*~`kvC3-j%;~BO4Rs- zG4JU|e4H{Jv$6=fH6Yy$U3Nko*m3N%z3Q<0Yzh%IF~1S5t)cqL&EOT2LPvRf8D~;T zjxyo^3?#qwWF z)4a@H_GAXUbX3Sz_Fl4V*2W0m!!b~vHSN7*+N_0CllMqwl5E951;>ES!IuXhJ8n@bH1MMV}jDtAEI z^p~$-f~cD99@>G(b<0+CIr4fo)!^16Dw<8_!NlIr8GHugRKGI8E^{<$CPvK_tGeis z6t8@yjUjf^qhHI8EC%yiyLGzlrIE%Zw3nrCZ@OGf(=OcGo?hg6RAz*44!Tdu8>1@n zNSC<_XMyMSNW?HC*frrn-`ue7iL^to>rL~(x3OZ4 zB;}zG;<&HbwyeFHmI8`Ro&>&qC8lK6=-$T~_#}|{wb&)G5e^zNHQNEj*MVy(s?5y~ zpq~ZX{!xTX`MzMlUPLceCAK-EY30GYjp{VS@f4^1LI`E-j_D~BoCAAyv(@p3ctK(F zl%@!I9gS=3z&EdVKpiP#MxoZAE)qB7d4z^tp?VqTNHV2SlyO;O@F2tp9~_{XKKKAy zD%^JVjk6>FNaLtFNG>@alCC1t3(@F6T{U0bmO$$>h%)sLyh#Ym6K1<;|F-3Q&*H`OlEToXb!Ui&g0?CivE&CxAoYrslnk-9KT z`*k5GHg$#wDgSy6^{Q(uR(UlCf|sF)R~Qn&xw*49W`7cM(uEAvjqL@UhukNf@lNr& z;GHzNxsaRJg0C7sG1oc2Srd(u7W3d}zzVH`k=2RhYgY+*R>VyLE1_ zD2$C^)nh<>D5{y%?O^NH1Lfh2tj9*E8jSOKctryn7^o~C;x@CP3m+R2PPfgQ+Zxkt zjmiU_RDO#jxlF)>xmY5IOHVjjZi4m0$tpflw0H_HsvL;C-RPAT-#kJ3ZChs$VlGtY(v3?2R!!_X`zizX7bdbX`PRV|4po_dxYBbmsrB@RBkA<$t`Wu&@_GBC9AHZb zH*ztOz!eI>rYx`v+P!7Equ%|6+wDAkCe%|==xpoAB@bYofd(Z8oGw{ogn&kDQH%O@O`Up<>5^U<-e%ynpQ^lX(x6p<`B1vqW&6nHw#gzDrpyh{CIi|F%XL zH->KHX!SeqeCCxr6zn**eTy5~apj~yGkM)TjE!o9y5`H#^4b}l*TueXi;%0p60Adj zt~+YH#&}2Fyr2j&YV$HzF|Mv50AO-+M9JqlRii+S#a&2XWj(o)*GVmgsA zn9-{~a8)JG@s^SCp`N>xlJ8Y0Gm4^{v#@sI+-6QRCZXz}-6>vuCyBk0YvLbD3*n0@ z?$3FwQ7!2)GC>Ni9BW|E0ExJZy7EhIH|f(=m|Ta1rMU5Hnx^^)#<9@zb+O2sqRHfp zMp{vjYI#V}N8}7o2|<#l2!7SZp=Jk)tED^y>*ZryGl42cI{O}IWNVg4mQJ%fx{hdM z*z9?lDr(HHR$+=IL;^QNI@k!mX+zHz?cW-%*%-iFpIb25_?OS zCB#d#QW&g~WsRdg*gJUDjDX5SUC_UdUh~u4%q!&qY_`2~36D%hD0>XU@#z-_%5mM= zuN@0!lwT{$}53t_nOv;dDbx+vyF0iA&)>?Vw z?vq@TaWS7}dL1+AQuSU1>v<8?vx6rxPzzC^_qvGc-MPQlyW=ITv%)l@=I{l$8eL`@ zQ3jlbBo5!SHV>bk5I=BI&P2_|TqytU_9C$C$jE{=bMV8~ULRHUzu4ANEIp=>nP!^z zdXAyM7t14e7Hgyog;3M`=w_G_X>dcB_pH@i@F%rO)c8X$mh0@|iD+}A=2DW|mMw*^ z7`t3GtBExl2Pgvw2VXDsh+2 z4v+{_Y_`f@$s1NRZ3xk}S8+$q#iPXD?&Y#l)(KlmCz+`vd7jmOit;Kd9LDAOU+leS zSX9fh0BRtJBxT4Th)R~6Bn&7)B}YL-a?VN`G6(_^BqL#95D*3=XGsG{&XO}j&M?Fw z!&|85p7(wGp1se#_ni0soFB8MyQ{0ZS9Mjbs;-_jbjde)Q+f+@-!c9mEx^pfPtX&1 z0O_MG>YC6TS|HXCv;G7cdl)d*sY)9l1cic*9|jy-Eq})O=zoVv6kXaS>Ywe29HYrk?FZAFyb*E$PK~m!!C&fa} z;$QT8ZYuU4qh(^QfE7OQg5g1rKL>{TpREVZ8*i3fSaZ~>l37K|`=!b@8JI(;)(>u3 z_6X8VEGm6FYBM_4YL2GIcA9^FoVHQ@afFOBrLTao1>k>`*+@)7zh0U5hF%%c7tcie zlR+4Pn6FDpa#3a?X4EIzk~?`3sK8IR=#`?J(;P=Ie2b=L*`nO3-^UZ%SgK`IHE&eQAHA4)(Wm=0tTl6s z{{WM<`i&vyO4x87do*o?@)Mg)EcNgir_YS7?LddS0>)056suN>;W0mfK^r%@qsKk5 zidI$cl{qt$T$B31h+j57teaPc1pI+we#A3N=k8A=uPyqT%gyjf+4=P6w2FW@G$Chj zv$cp!c~mxwbOkMDdRWuK&G&XrxX8VpBcG<&G^6|?s{#J$#hVukIPM9soPOS*AXLOz z9wBT@TB%C73)WO<`ld|xt45gmR@Q@1l)^4gZ$*B(TLXOsL7V8yZv#W`-f3aOT5DdI z?CxNs@3?%tDOwt(7wtr(hSL7JrIIl4SbjCDs@Rc~fyXOy?q4>=_M|&V9lEjWO7InyqIM@*sg=g-Jmjv zHxMw6FL9-78?tRsB6^rNC?z&lan4T*CDF9N?YSlQ6>$v|!KM#3&!)E8=m=X*&8Ahy z`2EM6c(<6ds4S%?w}9_#w8-YKZ>906EjLC{nblcrjN{R!H?^VJ5L?wgQZbE=9&XV( z7d{J<;e?UvIxltJ!Fky{i5uPsLx~DUnuk>(<9VT}Qs1&3rbt>{ld|fgMbyaMO%Cvu z!x_f7IXuIinAlUoP;#euxaAJT6qRVY8Yolk%mL!fY7df0V0hK%@Zz{Ir!#LjY36`t zGMZQZGw*&02}zv~+(%xz4@0J}z9Hj_`L*grN`D}G zlfFZbaWA@$m3e>__6SiWE>6o&uT_w=Hmx6JsAc&Eo0m9yS`{ROZrH=9jq6_by(L>g zX=IaDLKSTTW2#N+?pFnNOg>#lH|-59E!Y?&q*vi4?9ZqQ-*RP-KYF#Ryia1p;%AsF zC&nyN$M)2XAf7}g?t4IRZ2RO0Nk8G#m2$O>BRzFz{XR7Bd*2C_n24;Ki!6%aK(W;H zQ1gku7A_D9SEO6?Y!>_Am6M#}&0ld#r=S-r9E8(WUuCce>J9$^dp~Rw%-K^8#W|j4 zo(u$w1&ohI|7dhd_T0j1ZFhT`Orn#?4elDAEV5f#;#Ci4P6<2%1Fp`e7{L7~F(D|; zU~C0oKDsP3WKqK+dlJTTih!4(tL0b^UzrPuxXbt&2&?LD z;TlIut*x@Ymq~fR%+G%Z*Zu2m>9J?k{YpMAo%~OmJ-Dm*FqO=a`GFou+Q%uIqY3KH zF~k-(s-wl5x-)>eDb2efGDvjTT*l-h4kn(A+Vkh$wQTFR391IXE|`IYs$zH*^A50$ z;3pJtbFk+z!ROYc=m$v>(yJ?S3+@#`X-6s->w7SWH6~U2?cZ^o?3wW7Vl+mQ1kS4Y z<9v|Yk>D;`5i?#K@2XpLl9QnWKU4}W3%KG91&;ZQ3D4pkX9^M4a;=QM@>zwLYKAa9 zj&*U%+jX91PXdelJ~6S9#%yZctf5oA*+i*ZDuIs5dy$NzJCi=&cC8(xxT~qItyw&x zV==eMF*IBcd6E_5_vuyP7Mp{|Nc1bsp8+0+@T0bUf4N2LxNm28R&KC37mk4Pr75O} z0=>!|-b{#_p*o!SqO!-_BL$>oXi@eSb9vChxVEf`!o3G)Qu2Z84P<|ay67m|Y5~PW zRS%B7#X!h`1e-9vakZ{aV(vBJfueq;<`XO`lv}$l-KQk~om7F5;Hmx+_0g5MuNcd3 z#o?4z@~FV&6m>oSBDjDBdXMh!r7|)+V@2Bna1EoK2J^T!eBVz#-6}Uk`-)EPa0Eg$ zt@`L=f}qzjW_ilPTzqs1dSESubi&{63GWD2Z~qrkgL-R|#ua(rNjt2n=?t41{=+Ft zMQtlGo#Sw3Wq*{+UVqaunKAils$)aZy;(pwQXZ8RKu>;JfT5T~z5al7KODoCHgekh z5w>8U6quqe6HIaUQ2OC&+Z8M^iaJoQZ&G6_?1tVJ=&HzVa4P^{1^}3Kfcwo@$h73; zn{)w=5FU|!*uIU_Yw=Q4eYG`6x{qF2g54fQpS!-mMF}Hd$-TMChKj_>R_UM#^QHYW*Dw2&74M2EzUC zk4147>(r~qjiQqJG;Ft`Wu#-&WV}nsj4^BrZdb!M*~xTvjSB)YsoHN%=}9^h&+P{oNg#0wedKy4sNi%3|D6p@V(jTOsl4siA@rRJo3His*|S(&a6A_rd9hv z#+}aTwM59Wj2o{KKj)$JE+z38-)Z%r_`@4Ax|&@g`pN>H3t+MdxWe0nBaZi9bV&T|}?ll*${)!UE6K!~=Y%sRM`H$E3zFo7xuZ25v1t)YZ)H z1fgbm-LA&ioF^ph^$q=CjeD&iW^&hji^~z}n&XsQ`9f3OLNjKt3;LPE-J+}+;_EWD zSOmm-9wP%exlUOgwjPsIqI|(~tl!1uEj{dc9l80NO${aLH^9Ll(=kJ<8v<(I)Vh6` zJNlm!c=XH39er;aA+ss)kWXi|idx7jhd>I|rM$F06my6ZUj#wol+pA+6622&NbwOHWtVRv1?kXl(4pVe{pE$8c z#1|@uFI7}N@0Q-q7cFMCkgz$qR$JqGx45s7)N!j(#9!W5PWOEOYH zFBFY&uAD@?z>wg0DhlfvG5qIH{ zKYmN~J0vNzG01Chcrp5dyo`2N@<6G4SBc$d?s=gcqd!+1da; z=5_+x94NDV0$9RCsmVc6)zVeh#2?lprItXngyWl=Bhp)4>CKGK*BnSNyoy?OupY{2 zNKydP;~AU!P3AQBA>Y5RA5w?awU`rwJy-IZnA2GKl3B;sR=or9LfMi<_RDIhQzz`0 z5dp2!(I+5&ubqK$x;)G_oVG}bHg2OjHMGx42dG9ux8iw)uKq5oV$B^R?)wguWwn%? zda1tp7p{FK!4I__a-YdoGtn|xU0=X)Fv3?4Ci%d#j5w2PCF0}ADNwS`CFH28CdKSm&+my{}U zWO-qCMIOz99OKOR&bs(c(7K+cWzS-s5B}gmxM?vsx%?)#+7!Z7Xh6uaXDJtGE@vF6 zAJTL?w;{2L%LCVkDwJt>3O8&{j;pmdi`v4POKV2PDeMlQg{-XumDk;BT-wL4qRd9W z!x`)zg}Gh7c-iNWwB`$!#6^4VVtIY~vV!h7U&pKE*f*4^Zd9sv_R)2LWRSjR>)vuZ z5Tze6%p3uCZFe-;rC8;u)-wc%Q18O@Pz&R(ekBLQIz6v^r18~mM59{41uW-b`3+Lv5XYc6E z6KVo7j?ymg$uq3r*i;^)V)%sG@I7-2+ap79F$!l3{g2w4rw(Ob@fG}lfVQxF{LOac zy8oU6knDWbZ-c)kk+EoLwZdoCIuQM_Cik>Js4iNb4Lb96v_HD0=9Y1dPOf@$C1TkZ zo?*4V!8_5N0eoBWzOEjKwuYFGZM!DaDhyvm*P}b#Sz2GII?>F2FA5pMXfNS^=VQeD zHAEwL1MsdYPL-ze&MI!lvkd=#&;HtXYED}%YL7B(ZT5QK*^W^%ihV5b&r8uc3b ziNw?6aQ;oe+$3mb;|23^c$T1c*YX2ABa4L;6GtKp?!mT#F!0CKM__4)E@KDiOc@OC zHXiZ#=yV7>7Jg1S&f}VOx1n<*s|8}3>!a;fwB)H$AHawbNlMen*>G&Y_3%I}y;G_8 z&*oGtxE^;yqo8e6-qLkkMdZVR5oWTCseN2`^R;C0d`wRWW#hH-aZ6y@1O7EC{-U#F zYOY$D>E%(pMXxeWX+}+Dxl)qxL`$D*o3W{Elr_F}!So;oZgVS3iUr-Vje-1L7Eot$ zcvsW&Ng&kk)3;G;<>iKq#*Z*_CC-rnm-|*!(R%^fI{KA2BYLy6<1i=uws{edrFJVj z-2S=f#3qitFuER^HP%=L)49WwBb{bd?AD3%eSlEy7YP z4kTT1k>VNw@}qajt$e4=U2$g`&4?_XDo%%NE)Ask2#i@R;lSh7q+F1KCRNc_uoNqj+}f4)ei?IvB#HtakmbmE%U8 zh|Ft(?*+?JCdBZZF192$EsnVQ1LWJHiQ9=Uql$g(g?9!8*gcV(TfwI@DDn zqlmXmaECX}H_Ntts#VYJzG8uI7AkQ}s*6urgW* zT&`DpWNczQ(3#_t`KGhm}O zQZ*l2%d3;QjXNN+ssE6m==}3U6`m7{a{bu1XoK6LOuN4ON+)w5e*jSz*}9TtxFCJk z;;X)gBb4vC&3Q(?boGmn8sUq_+f(q_=P?aXTxn12(6eU;CYuXpQe_AJ|4-z$Nb8ud zT`KoTV7p=}!RI<=T$VJ`CnPL}%A{&oT1qZHcODul^Q&QvE4fhq(B~#CjrXpJ1^Am8 zB9&7xecVoFbiz5zK3m0M)c%&aIaC&=7a-Fo^$?TF0;FgtBpDfcKWOUqgF~e#2kP6Y zUw*pp)ChNWrjxViM|P~{ zA(FOt6a9Txa!*etai=c05F5srimf~wjnWSBK#4pdC@RXR-ZWTuTne0c(LSW>t3(6g zLVw%kO~(Yv3`2zp!HxxdHx``9vIVCwHGP#rAzV(?RO^(DO0Sw8=gwvYOT1>GR?YL| za@ya;5X8&QlKhx->Qh)LpkrZdo&H8xM{(D&fIcIosN|jI7-*eoV3eS}h@<(F2SV=| zL(yjcaY2?ENrTz~4*K|!OsdhwCNC0V)iSWd?cdQsr-wDPKaJ+%PX+QN zBfz4EajWm9;n`t%@1%tk(xx-EO51%sZ!CV4Vnl&X-TR{Klf3twDbOFx4F0&G#|UOJ zY<}4l$2H>gXmIqE$DP$9MlRznazo3fiuXkhS>6|!hYVU#`sRu=L7U0Pd0l0UpQzJC z^BIQZQeaozOc9|l0_c8U;^^eQ8T}2fdR=ZeHJaIA999s_F(0TY-or~AB9|>=N9Ez zu~syNVUBS#`8AH11fIBr%bm%#5qCS_3{n!&I{%7!kZ7oo2yxX*$%UG`s+5vl=60S2 z9$S~;RBLp6=YSvX5PN~ zDfom957h1{P>-$xa^ui+H}Q-CY+_p2rq*kk*bBC7)bVV>&K-pU)Yj({==z(HIbi;6 zT@=w!-=uHMVG9^;4++UQ=y?mXb^yOo98|^pvpSd)U4;_?vt(?S5v*4S;t_x{usb}z zC?{*<|4yz=ew`X@)T+kfA3qW`A*a}vd02EI1>{1uJQ%s#S%mA=M}LxE$8a+O=(X8A zM*&Oro`Js=$hpgyQ8Mvf?mxeO-ct%Pz5&am@PWuG1B-44qVgdGj-m;4p|orO# z`HBL3?n@ZCa4&Gq8o=O*Voa%c1$Z&W`!7y&2w_AC+s=Lr3wFtRu_r%AC@VkU)rElW zfyqL-1V7Jw08;5%L94j&kx&bpbN#!(5aR)tr`-e!eJ|cDvCCK*tesyXW_+^s0=A-m zG$PJ?n`Gtt-h`rkhjiqcst=-4(xd4ScL)11CgolBUNc9=KA*OH07%BCDBx(o9{m)Y zme)u|ca~)CGhLKwY~KWlK}JR+y(d9Ez|eMXiu@uuCgb=J4NVooxaV8f8AN`Hd{2{# zcwh%cknB%^2h*uWktP<4SQ}*oGs%#x?W41O$vkv}RC@-WZ`t!>nIU zlrRb~dH-&ldjnvcKK(h5 z=W^oU2MmSwt1q`zgz&^3G^Z|_hcFFe?KoT9|#?lPFKW6CX?(6_0+WKVX zS(CG?)jAMz>|RkNrx)9vGw>&X0_o&on31WG94`m(Us)%`qRui-p$9I4IQ!L!M%6tX z(wR#O59T~{6vV+Cj1;I{JEBz=!8X*Xiy#>C6IQ#sw6F(AVmI<=*A7^3qoqrr2VIm5 zhD^jvw|4XtHGtKMD@Zq1ctd_w|4ExOEorn8A+AjGNx|p$t}m5#guPxW^;loKgrQFL zpIplMF66x6Gmy!sIJyL0W?C8vaVd|V-rC1Y!Vr@%oi4y}m9TRoqHkdkob(v=8cYEt zU?9zBaNMJFgD---s$e!KT-Yt9Ll~~&beKlR!nQ@tRHP1)ohcp9NtMrc(k#!*P+5jz zJ;MU4O8+j1$Mvge0hOP(Tn@J?*mv?)cwh`RBC5S#l2j_#Oxxq<*|s#w6LMFd+SgyQ z&R7bbJ&7b=Fpo1(lu+C%ZYe6cc+`M$X?rcBNu4nQyhvv&5c3fN{sZL9sx@TEYIIGS zynUN<&`v}IwlAK2w>0Fa2#}DOdR|t`* z8UeCNkADdH76>Vrv{^Y$xPWT%mFN`dmXjnhNkI4PqZn@wbl-cAFz28zrx`@kYz&HE zIvLik_W%)FDaDlcHcu~G;tZN*Z6E>9O$f*Y3l>^-tylG@RjmL&Rirm4I0$1rG#`8I zE8KtrmoeY=_3#EF#W64MaY9(#vt1Xi6poL-^h?&GU4p)eh6Oe~PA^+(hg0mH!mmF~ z6T-M9%x3y-9EgOOr2`{RrU4-vIynQMGE z*)Z137t7jKM{Ekonmi%EHe!C(xV4IVRhQmL!kF`S$oOFe`hJ4o+{R?hKoADfVlHrU zP~3=7VXu57i;7#tU7}fURDOli{eH9FOeBv$Vr76(91gn#gBxWlJW!Co1&!=)JYT~D zOQ-R!d76?Kmxa`P0>8^=$k)>-FuonAgX_60EfRbqFmiNen82%`LFA{u`X0hNDWAg? ztb6b9y1ap=WEj8O~@l?QFeFKhgD+ePC%XvrWmX&rKBsPWsKpzAA{#ew4s5n6J}r(%9^^ElWRQ;^J zbUa#q1Dp-TUSzxwvWGQvs@J+6zgsjn(r^V{cGVOv z5+HeiAsna26|KPYN{H=OK?&|cIs618I7NYo+&Q5RI8-?Learzze@y2_b{k2 zfx_^h&d&FoZ>5NL8NIo;`#?<`$seWMO%ngfUdr1+UQ@tmq_SQWf{lEb@sOIE4>v#$ z+7#_4;m=0x-ep0DmNm4nr1#fi^~7@WK2vGWxYxi>0;~KMHTuf7HCnQsl*F_0QR9TX zIcQye#(Z-MTDk9dlP{p2xf9sQ*1n~+j+O&~j@#-~16kh%T4pYlWVKOsPr??9=5Cqt zN;?_4p2T0#RlVCR#D>I7y8D7g8eXP%=U@NP&a?h6UwJC0jF1^e+(p;RC7tTHE# zIwyaRS5}0VMxbQ&9s>?%RoPkgjruXOT2z zUSeLOTD8<&V!2jf1**vzY@y3bZp#wxwVM>~T^vHf=k~j6Wl-z}>or9fk1ia&c?~3Ui|z zb=>KJ9i+VsC%Afeufk+S^qg=k7Jhs}|F*U)aHl!N_U#sL#(mBHe9i8&f zR^6Q8%!NKEB}%{*_&i+|b-I2V>!rO4&do(e#gm)pFa91%Nup*lfzw)%A~=OI?pRf> zEO48bZQJ;)*K53)1l01lIW+(i76Tu!Q7M$D>~CwID#)4?caI7}awWYm9IF|2+az52 znGDoTCZiX8i!}+km$s?bx_t*P<*AeHHzURd9Rkd9)Q5~swbt#Vn?SlQo!LG1yV8tG z67I=R|9cWC={WHD`-FnXUWJzfF{?*r`D#6&$o}vFpsW1LHfP3%7CabYqJ90*Byt+6 zVIMoU4ez(4W@o{(7iy94?ER#|%i`gR1&~#u7ubKCOD(2sS@0%{kK6OZz~6>xEY${) zWzVJ(Q$D`1Vl`e(GSon&z**bz_%!S#@L_qGZrJwjsA(XHCZlyo`n`8gh+cl%gXQwc zk}#WX(9*Z2#vM>G0XhuWGf4?}ZaW_++r<;B&!o7yJNMC3v4#e=qvqN$?hhCfw9or% z&R1I1EILS3$GoLdWIHR)P56}8?dt=7aWk~8^Ko}mgK5uS5huZY z&xZkyA%9MI-z-xhz+)4~y(}cvszS%M_F5ukDh)~e+%qWx!BXY0;Lh#2d*FTNt%0Xt zFn5b$*dA{irmQutOat3z|J~s=ebsTFKKmi!!aE3HJLl6_rm5fJp=OGw8~CNgeQqi_ zE8yJXKq*1A%MCQac67J+NJw|qiN@05`Jj@ihU)=GM9tEn=OB>CQ_!{7yEe&W}?kXco`Qe+dpkc>(rGon}3k5xM z;sk15knRgtEDm(J+PfD|0jWzK0ffHoasl%;W@#+rj}%x5FMM+xI(LEw?9uS>z;}ba zFc4G~_+*0>9#UIbq`X6Q@0F;k)KA4+*~;?6_RJYkvaN%uLg3xolUcr|g6Zm84W&;T z>|{#GGhbnK*jXs}swQxRV!PT*CAxkdp%zo>1U6(QLYXUwEZbs~OdkQ0X|;FfDS}h5 z9a0diF3t-g1O-?DyOKkk0!p_!UziFj zX>i5zb|&6q?04r)!yKU;p|yuXctV2x8k{{or&*o>wVyQj*>cxaNAfJqU-TD!6&>td zF8#uiq{??=FIcgpzVegm7u6uo?ynvTvAJ$X4MRPHY)IYWq211Xhw{^whMw~YO2@7@*xlh@vQ_lWw!M{;ZL!G<`-Ebj&`QGG!NsI6(BNInxx+}vLYCi{M?)D7`mU&KT2NSu5& zVu3@M`~#K9=vr6ZG_*P1%3BA{<7wGrLj0qH~fae1E2oa zx7|rQX3XnHW%Wy}8*I<#ypEf!!ybyV#4WvhjM*QGA*#sPX4Hzp?J9;yd3ArmvW zjnjO_x_RHA!Vb%`d8aqDPK<%{C1(KI^+=@9JM@mHzmO9Knd524u970_ifE8HasL@G zFPbOBLuW)%+a>GEX-tv}y}-m3*TzJG#0SbK*n$+9uH4X<^4yBO?+vGj3A=VnGbRml zOYoup$r3zOir8LJN-Xe7x1dE8t5|*#XwWIjOXno|o-@s$`fc}|0(3E`zW*7DL-H;S z0!3E;OlsHtg$6IJrKXn}Z;t>ANmt8Qmb=sH(VoJY-;KtaV~*z-8fDp17_`>4$D@JM z&+}Ff$>zSo5I>xmeQM+U-ni2sG;W__Hlki&^a!9|VAw;@$x0X+p3%(r;!e{#;l%a3 z^PgCJDn{9oRWOVQny$y{M&4+p4x*h$Jh&Y$NL=gg@#LQK@yl6YG~d9Vu&L9gxCVF_ zqfXE?M>DmKbW;Ak!(greff7|>4qVSC_rB5FJDo@pLcI_6XpIEU~ z+_gpX(GgtEREKppPDnCU9Nf5>z3zjG;aU5Ua<6yCWH9%uWafmWHV|;1?Xbf*Gcya% zP@e1hUFU{Ue6%3k_{B2g^M{2Zx#342q=?&2MGEV-k9!^}7wU~R*jA#1M2Ql5*f3mQ z_3VgW6Kl|QApF)XIwpRyo)JZSY`C%u2&nKt7+Q7lS)*Nbq_GQ@ePW`?(TdvcVM)%g z-wjFYe2!-rj6(imwLgxOCAXA&>)yi}o8o#vUHBOMD4_&1(UxLw&>j0^(J`)rXHwT; z(|f($EMg3lLQWzcC5A0wLH@iO=%q|^4Vx=!ykZT`hYq;dd?+DLe=`^&@9n#%B8A(U zsdV@6TGcHrTiNK=-5$$ze!kC>ME;WZXD_E)gVk$?f~oI5?5bD09l4~^Nm;IqE}321 zeB7}q`YF=GMz~72lJf0=eKqCV^6SB#KMU!=e|PN`S~V|aBp%#KMSA7jI4cHSp+-!4 zNt@bwopcG{KU|t34bL|8ytRt*I>@g*+4MSH8=pL{d4$YTJqxHsZXi1fbD!0ohly>D zSLb)knU@~VU2I=8$?js)3cKChE$|yHn-|lFYhmjw3%scKE@G`;mX?!D*Qs*j;F<}u z_epyFLt{;Ly-%(WbsuzglxoV9cD{4r!Cmw`KyL^r4m#DI1nelyjxTmag->etH&OfIB^;;!x5#lZAE%`NwAe0Mg3F8k2e>_QMwm9+Z{Svx}MhO3v0GW#Q7o= z;&r++8G1;2v7mcCu2_50py73PalTo5w!(NCDziI|LY^LuF}RA|CbZS9c1{dATUqQ0 zTXhmh>}t0ah(~&I*ESe=wzQopL>*1aEkcCjk&{NA2T?~giJhpmwo^oWCu%m);b!e{ z)X|v%Lv7opZP5XA{gmMZ(Uxahv;=9YtPO?aY?O4-Mg$13I#`9YqVbbli4WGvq_GN&5dkSt;_Vs)&8~c1sgG0CG_v6s=;v`BS-}7|P&E1Qgga&gup zcE(e5GSg+t&M*trtvO$`r3r98TGJK40V?AH$kzqcXEXZ;06*(^krx{sx-HgE>2Rq& z7H=jF?qs2BC60E+b&J%se`s$#yf_XPKxHT@VG-{{*7db|oz9`ok2X-A7bjwfvrBJD z?5sZX+0OIrep*kj6eW((a`{NHvvRNF9e0MefQ{b?r7rIvp!xNQNP&GGuzbb+MARaLd;$iGd|N-%(O4=2SR%x<6r4Q)Eo zg}L(EjCFLTOruyKwx>r@21FM}?a`J*89WPnGVY(~;nJc|Ji!6h=iv>t7mY`6@4ZFI zSd5-OCf0xO_U@fW-#NS47nY*v%5}rKwC}r$1+$WHOc2**jW5Lnk=Xg4qgBN3CSTZvT2r`hh0rWt=u46sndjyR+$xq{ZvYOmF70n8=a(QD^o4R2^BYZ> zJrd@NrI#dXe5^!IPZSe78H@1p=j*ql?EbC>#_79Y`a99xke5A&fGXtMvD$K8s)E~D zi~#wiDx94+%wuE(v{A?rMybZpFf4I`1b{f2)E^)o)d> z75K%ug^k~;P(Aru70Q3B!j98#RhY8*CB**(5!2J(s=(v?r&9S=J>4& zbH7yqw*6ZbdJcc9!oP;hr7DyS{Z<9z#LZ*jje~ta6>zrzRmiR@hHV3?z*Kyx3MfDo z@(wOlfzRtw6*dW3$%)S|RpIDT6`H6$uW{f$A@lPW}8BlYR+2VAB63T>z7Qtewx( zRfG z>n99Vh&GsQ(HwOBfT60UO~+U|>G zAI(L0@H=|FtpB`42y&lo7qe#9U|HO{?yP_JE%jS+ePUBc+3L9n{T=lKQ^wWv9MjW9 z(g!aWoWIL&LtSrdrN_?2SD!NEBie#(cgCRAdkp#2ZMe3_l~A|SvR^`ZaA*yf-BSLl zf43F>FI$e=G=OqVVrF-cRkhkZsqDW2C0w_za4@~iU(qRh@6?LuWD8uf=HhX>#G0Eg z4ZQE5pLJVPCYj;Ls_#fC|1Om9;vB@d8~Ct+)#c6Uxi+~p^>ge{&X8hR%@ub=Y3%gf zq#+*9;SW>U6YW%ZmyabKuLXR6=&XQa?FFacJ3w@?0MR7|L{|_n%Ta(?Hsu1$vY~5S zQVZ|!nLOGvDhX+X6d2u?N4rPeK^oNxjBd!IU89nwjFJUL7v#~-QFo@SyvqMKruBaz z&ujlb8s9%kI9bq2O|D}`XW#Jo~a zDJHCZU<#fSD8F0J(gM1Ch)Q^K)KK@#F9^8o%j>vD4NuLd3%D%E>p1rh|IL{IyoVOs zY{2Z6j$Rt&f2S4xFIx^gmpq5p(fOj2S0C?9#Gp11^0jL!1p0znK zU2_jWp)CL2H=RkT}bASWhJpcyjsl}{wVZO2xm~Su$H42ERrDm zq!{Gr1=t~bT%sI1k;|tk7~`<7V_QzgGfD$F-z4C&A+O^ar7<<{C*U#J1@_eX<@5fs z%deo5|61SSzohn$>fvXw3aRNPCaX+mE<5s=G=JZPjV^m#S$qTfdXfINCx4*FANO$xkyeB9A;T*h3x=e7XLNym>S| z#{mKV;@fv*GyETO{J)aX@_#~O{$q}Rd*AeK`XNRpE zXt8N6pTIx*bV7%;qdop~KZK~*(T&=;vAoghj)!dLQ1Ab(5YZGeT6rgwdsfS!EEGX+ zvbOwxE3_IkTiy9cRII`)(~0=O#MSXQt#OF=BazleUKy)9y-bB9kJDaOp6uJ!a-{v} zZtklT3lm>!-6`17dN>(_G;n!Qy@{C7bp@^`@^`gbAW)33rO=+vd zKWQ|m_DX0aOWS~c883=F5v{zHOw`zdu_2$VKsF}Y@0d}u0Zr%G(7uM!HtwOi*BJnafBz0rnCeely(dV*&9{;i%I6FlXZub^q4~kDk>Jb z*Cb5e)|)bZJ$!oo>T~7Et3N8Lu7CCwz}925C3y27YPFA%K0L`sl}HRrhyjcx%m8Nh zjH2XdgaVQ4eR+xgwW&K`&rkG{G8iwZby^M z%Bz16(4?C(@{7CCx_V`F&>2c>pz{7i!qt18dY$l0G#xMe%=-($deqttfmC*nJ{WBz zJKODk;^TQDAqJly?m7>mdS=UDMff_Ik^Bm?Wvhawgi~dg_;ZW^NAEB6LX-5h(`c!_ zPm_q};@>9ebK5o+yP#WFAfvZVLdDk!#n3gbyE}u>e5PHc#k`U><4S4hYm*N^LFE|` z7U=_pRY{;l7%2FN11-eFb~3ZvgZ{1ZrF3?x)*mL+sO|~;p}M0l_h(=LIYo{5A7cNe z&1BpC-xB}(&``3+Hec~0pcWGI8DqC9viK$cSk;-0#3}R9$r!rn8n4E>Y%G-fIX18a zX!rp%hyV>^IiFkJkK%)yhVssD)ntoFqE-oetBxi1{!mp4-}pzMzadJE{{ic7px-o= zM*fmW6Y|fAWSmc2ugDUWw6P$JfVKnoz6spB5KtwEfCd58sY_Ns{*pCaY~g>(Ie@SB z*Z(nNf3y8ZZ|Z-`_y5-=<27spV7Jgp~}?i^p8M)Lrk^)1J>U_ziBe9|0R)G+dn6w>cynhphkO7pfsRW zegQC%5Wqy;20GSN_WdCm{OE1>cqU z%c+d~YhJKRE{`}J?X$YO7sT;{G$L1_s-MAXk zpU?238n+*rc(M|rt|-hW!?z8=mn z79@FnN?HbN|ff&n~NE_J;> zvwM!Z1EWt8nM}4sSMzoC(Teel7HP#Hm&AVN+;+#rJt2;{ ziI9lh6^4xm?T^Y=N?){4yl1U_xcbO zIlby0C+_KbWJ>5fkZg#bX4*mdl*7q1E(&JP)j7Jb-mhy3(;Bc=6tHg?Ef}R#+1ClTC>3nv&3K8S7#)_S6}dpE26?IDe8Zw|eXU~9o%dw41Jv)1->w6Tqp(s!?=%`2_!h-7 zF49s(*NAW#kA7ZX2-NJxGUiZ}3*l$d5~)Qf4De$=AE?i=#t6^!RdrjruZ#^x`#eh} zP}ndImAsQU7EBc=^r$qJm&oyr1&7gt)#(8p#B<3+&%^DL*jg9ObEM-dojL+*F*VUib!^2rmD4TvoudOp}7`x5KR>I{9(Rh9iM zBGM~auFiok!qmXkjWW-^(&!jC-hV;JQJ5O>wSH}%qsM7_f020ly0yKO?3gE_Ymm+S zkim>)Gwvfw;5}$fIgyHPJxo zCK<-48W{N!`$0A-z3+itEzYAw!&oqEDaR9%ACwb9mZ&HH}ZkN$Qib|3ja> zN_JpE!%yp$@p&+!ZgaXeghYHKAg|GH_U^lyCeV3H*bpNGP|~09=u0@BCf@(U+FOUk z^>k@~xVr>`y9Wuu8h2>i0|A1B;NC!RcXtR9+yf-ITLOgO9^9cJIKcyZo8Qbgv-|9R z^X$(4(NA)?Rku!^sybEgId65tBzjqnmoXy&6J)ZZ$$U|UE2AtR6QaTl_(I+&cR)eS z<0_bWY%GytR=D*KMRDn0)bd3=r zCyVrK!&5^&?_ib9-xVUtIcD(WL0~$38zlvrhYI3Z>#4`nf+ z$g?P*hz3w39VmiC3>2vVidcN#`mUS@@GUIQww^H4S=I! z9G%Vobbexa^*@4cpX`5&er|N_IUnve#2;^Qffypd{|DH^CvE-@&`N+FDgOAgEz#Yv z>$bA%G2H*{!=3p3Oxx+>0r1mr)PA?V2XBl!z5e|DdHlBH(f?XjlQ2iJNDLIL@EL-V z*b2p>DM$(+ShVP4hyZ|*Fz;J zUs6=C%~-R@zG?FFp|LFjA*Jh`?aDdFPG8R)JYr@10!>yaZ3fwhp+YnbQzBZnNIIGC z>LF!VQR?h)DR|=;a3Kcp0l@$9Y>?rkKEp|r5yPQ1Ai(A8!qL1#zHSp(?YucfhF8qEgi8^7hg%!I#NiD$wEPN1&{E>q_yY4(q}wQs%7w$|_U?gIur%P%_(G`` z2kyE3C7kf!U_ENyxAz_aePZbA5|M*Pa2`Jto0Sln!(VA^bw z6&&})C$ny&FG?N`t$C0BZ8*Ylf2>X73e-^!tAz{H3I=M?{9naNaSw_A$B4& J0 zJN-}SO7qYEEiU^P!czGwq<+7(k6WzOx-0%|bw+&SIQJ3HU(Y|X%lkq4-sms$!_f`y zsmC1f8S{_mYI}%yda(RQ>3;c~dIR__!hdQ^`O)}a-G^^D&ONA`eYW;b=&}Wh|9}10 z*5B%JXMuWX-2D(}_<#2~d^Ea~?)ubx8GhaNzx#~F8{SFZ$JJHkWmf8` z6&$Pmiv;GlCbJH3p(B4_Q-QvtybG8atGT1p|9~u&#*@oI;#*(1BA(GaNE- zXfdn|0*Y|jO3w6En!1@iSzKJ>e6g^OnE(1p#!jD%u8{*9|4mw0#0w?qZ)wG} zW{*`zDIpOr{?B3)*yVJUbCyTdaQfe|x{1oHR!wJ8$!1;I7I4!`((@m)Gy>yFPId_YX6* zZ#z6Me~yZqM<0Tsc7**kf${F7^Qv2*DSQA*+1u6O4<>KUGTJ~VoUpat&jD@DDf`~xGFW3=rS`bbS}&||** zOq=>-SQmsrLu&6N;;Zyjn>v9)>=%5hoPm<=F8u0&IuQ^|X2zihR3#C0z#h5UT zkO^rX+g1X$KZg$T<#H5GP%4T(dQm)HAwOEQ&B}oIg>rMLfFJ{HhfhA5+7`;{?}S<_ za7LBb1p=h~c?Dglf&o4i`lmeMKyv$x;yObI1#V@%_fBeX$fozgn9pBed|B*6f7kjo ztGJ=4icjzs#mK0=9tD|}(@RqRO$Ulm<_E7^1^nCqRj;Uncj7d^ypPq@p5?0c!{&(P zqGLY7bcV88wGHV-h_11Yr(a3Ql13XGjlnw9dv*+c{yVU$OL>nWMT>ASc-H z#^krmn~_Ocr_)J05PT$iS5r|i!e+L_h;9u*3D5HQ0vn}}c9RJ&8N}^z!rEUzu0n~X zo<%7fFM_*(1+PR2gQ4rAqt%=SC`95zNwkE-DLF)z#yeuCSmF#dOyC_VI2;dc4DyCX zIh|+_j$F#pp}6ox!kXl%ed(JvPqLpLJw?(@z~Dht$68Hy3J1wSZtRr&%DdN9Y66H zpd=ProJdN)cnQS`U8q7qNGPdr6J=nMRN3T&+%L4yp{ohgm>ANv4@yyP0TL`BY?M+o z?S+nrTJBj4tjI~Y`3^rQQ*mhVzE~Xf^L5i}SiJ@zaTecjj}hpJJ!=Pk&JKcRXx6#c z;}*$IeZLNQUUsd0FTzi1j?NYfjq_24S`}hAsO_b?gr0GBsddpaYk}-CRDGtfd5=Ly zgKjA}D^ZmM{8gZsK9b-)*Rvr&`OyMkV)n*=_@eHI z1O^GPP%5T4wGv})%fpssq03zk&dcMA;~%amar_l|!R^N}_DAQro4F$L&{|WFZXx;m z71yQYT^7KY19m`r#~epL+<>ymH@=f@kUg*eXic*<4oBx$O0l{SXbn6!kq!>#i$Qxz9?y(2Nvw3R2fn3lW@g+CT!#3fX=Ijl7` z&@Ci+4+>fAg3B@xw#!KSwHKNhw|@yS>U4)K;$*o7>A9yBra_4stYM#BIj1Z}lk!pp zGr0QKTwJ5z^sa`x4Y$Fd8t@Ij)j)60uDaqZRznH=9xITGu2W>ub{IA}B@oH1=9rJ1cMq%SJFPI`ANx~*(e>{&X(U130 zG-Hp=>kt@T(BI|v%ocsatFo(8Mi&dFn~-5S@lhX$bkl@wcK z5u*(mxZThV2vAY;Qz@6~6~{Vf#@4lxJi!j$fH)Ep@HKadAwu8IaRbrCU(W*Lld2Q; z2Z?xn)B{Y@s*Uf55iCf1&(%hmhBYK z73d~erPaz%_#2ygbk@E;t6>)TkWsf4_1e;lxFe4;k-~48tEl6L8^9RU({%$K^MzaH zs;1;uWk>qmpDEs7jM>mo7z=DjYZyl!C`iN*l}1=6v(S$`w&BpK|a_hz$VY}=!z5V{oRgQ7S zRhX(vngXNn?3uss12Aj7ec>DBm)lGTz}R2E;ETlrRNHe@r>pu;FDUJ`_s{o|-f>q` zaJyaAx4ocL%&0vJa^6PEsE4ux`}6{DZ+SG`QfrL7lvrE^1(ZVR+bgSATiQDUMUY>u z?)AGg``?7ArI&Uc-yB05-41J=4Vd!CEmtC^+zw!B*hvVCwiA|O&OO=a(^B$fnKy}Y zln%;L^U4AFoan*|{JF$jvaLMEj^talBaKVWjI}vid4iIQgIUQTva96<9P@fCH%k453jzM($eUCA@9K zZGD7dSS1$I@>&#$NeFLOtU831Qeh0?CRn@z?y@h)!Az zv>1m}0jbxJ{kw(Dp^WUBytW#ed>`(rBeu`n=DhQFAn_jX@y-S%fD(Q$sD1*Mk9CH}^`#=*+aFH`)`LDdHcG&;*(M%M&OJ3a8F&Gk zFD^8rMqcQIRjR{kID!Aw_-`Xv3%{D#eW=JwG>4dCp<#Y-!1)fC(+*p>G;zn&JZ=K@ zpE!Vdy#LDc&H7-vnjfN~8--0cTt6~ATWx3MO1KXtsz@a7ecnedZWaPT<$6w;%QK+bI*@-u*ObQaXW{V83NClWuY%vYVc#0LijnMUqD z-_Vu0;F)+j>bJXj%@}J|O@8OY!5^pdH}(BIk1p$pXW@Xp z{e-&6YGiaI%BA+h;)AkXZ9?L0<=D)rgT!TLU0)~S?M5B#_UjRCOTTiD%DxhAD!=)( zv6n8m8!lMf%z%Z=M!7XU<}h00FeGM=fJ3egQq8CSmAyJ&w1B`;-iU|DVp?QQe#<&~ z!&nVp!3ry%J07n+>ZpC@Q#4lT;J2_3k1W=3-v(Da{Y--I{dHBNwun4B{h^xUT2cpX!7!HG(}2D`ph*x1p70+=j(T=r)g+kaohy!jEnEczWAWp)eLK7$LYD>9#HbUQjf6(3XHf*^py|B(Pqa{R-%~BIoA9A~ zVVwtZt!#wUxKCweU0PFbTd2K`v@7gOxhI`TQXiVw9_tnwL-UnQfj^v*S+_k4y{$h8 zFtgsb{^WytYH)MKFVXN(xbk$hxUX6mt!=0aQ@yGinaGZUfpWO1pfLFX#mI9Ov!j@% zD9akV_3PKjPZ3KBN@UOS+r?)+(tOh2zQpTK^Cwv-PQzkgXEh!7W&@K#Pp)u!SI>^6 zNPFRvxQWUj*Ge^6uj8{=+>Vh_#fA$}!P!@eMGI02H4?@2zl9?fhq{<01B>2{d;ahK+te_*k2CZVuTz5xrOQO zhn{({GPO1w7I$Y(-Z{qlR?U|COVRFyiLejOl=?pQH;4G#bwy%6=2Sc95~aPb=qPV| z*Ixc^X=lpeOc|rkh?+z*y*GeoYz%v?hrv!bIZc~OUWPGMtL|4GKrtM%T`nyW@4}`` zKYYrLyk+vfHy8BnJo!7ul%N4{w#h=jD=o4GaO2JWNpXQPWtR`BVg7IRKfs$eJEC3^ zpZdb&@e4o>2IyhL&tT6X*+0-ykTlKhWCC};^t=UsJ@KofQ~bFxBpOMFb&wkpK{8{uKZF10Vio<`;IqcRzw_1|xD3#26X*aNPDCPkkX- z6wmz|r;>fQRpm164^&b5z^u;uU^&X5`uWzR9vefaP&E=UA8jg6T%}gt=AGbvxSRl%JAD74W5kc`5>}sd0l+og`}=$9YQ~|pxh{ZF_}1$V6qPN8VL@px zDqppkovq#w75Ct3ByA!omfopIG|zJ4xNB));jy7Fyb+5<^$-=%NDI$4NBqm}IZ4Hr z5$(m?lV6+9lHTHn{Ym*`0H=||=T?>cMec=Xr2Q1zk7gJ-JD0W7=O*6_b=8qJ|sFw^`N8d0n3pKB|R3@wb3_w5V zMIG9lDhL2i26`!gq6#vqKN8G`vPiYI*6i&G1)&)67-g~3^V8bbkulZySY=oH zu#Dst6h0?{cn>A$g>=A~9!#AeSz>?Z#gUcAkr~hL_mR*YeAa;R$n_B9~&S@DuO2r$_-X+;}Hf#TV+ipCS>T+Y~xNahD zM5<{`Q2$#ui-; ze264*p&4S0Zd`t1Bx99&{oWKUmB6-2uUPYY&jDV&WYbV^wDnPc>T2Fw)(a!&=bb_y z(0#R}euZax%;LUnWpsXhiLdN%DOKZIph3XR(dEh^l-DTB;ZO1-?N zqpy00!*lg2zUpPj?Xh;@Hj+wm<%+MhYnF5ryrNT!B={{m9*qk$V+q7skc5dZHW1Y{ zm!K)7=`0pY)}E*EEI+y!D+fNwug+tKSHC+_ch4j`fNPSbwfTDH^M=L;FO^8)VW5m% z_MlQC#!8p+EJ1>y8vdi&X{AnpF~u2Va|pFc&*n3Uq3V}ECWfkF0m$p*g z;)aDUbDuj;rM_GvF2NT-?(1{NxS?-jNA6@Fh7X)7(Z1q6{jhGj-;s4oOC%`7*u@Bb zF6dSU#6B>xv&q&7im|dmuR1UpkyU}Xizd~)(E2)B3K4cH5Ms>Z&0pNse0@W@3l6-( zn2K-?8Z9D!FSgZEm{1Ct=gwiA#deiIl40Q+l%w&ZA{U9IL!7D_+$&N`aLYa0`f~st z`Ag?ny4QKzuJY&J(hCY0B5_wV^xacK7H;zyoGCym&rkI|Z|DoN z7D_SBfX2?|8mE*!#e7Y8P^3#Q)^BsEfIldvaC`TRTf>3pU(EqZMz99uPr;?sj#L0o z)>O<cYw3vAp;aHSX#>IM@dU5)T0gYF zY0^~M&KXvK>||Ws&tpO|aj(?n`4rqR1w_S#k4%^&_h^ z*@j2;$Z5E=$0*xTS*S7Fv&+Q5RE>As7A_TmF-`8pqgK?T8g?E4%1E$25p#KR2GDH`U>4hMtO2^iyiR9Zx5QYtC!J&~?(+eYFm#75!IRRg27 z%sENzt~Q6;gxM(dfCz*t)?_@dzp5(ZoQ*tr>Ae_t_!lQ{_FT>kh~2ujNiuhj;rES0 zT5c#&AL}5KX^>ki@{;ruDD`yeX0b@_hZG$8Lh;H%%$Mv51nhc0|NOu}R4Un~A~#H* zA8|fzRmM8sem%~ITJ&1?@D=UQA^n+HE&Pz|ik-}9y`PEam0LlF2NbV+E5^+rSOq%7 zX|k2B6b{EU`&zvAzVk*Vw;6(F(oW6Ry(hqh&hdzeKzvpSz%tG6Ba6dgwfBxFR>D}X zeatjW{;2Aa5zPz&57WLzbkwERxHXj;c)+lc%{(;w{QJ?R_U~$UG!vJ8ufXkioU(SK zL%eQn3c2HL1(TaP1U4%@05b}icXXev6Q$O|+V~ooGuCr_&ewgZ-2kg;)9*!pr_$2K zX+oqb6uSSa)>vmaTuVEv+WlRiTYuz@6u&o{yq@qvcL8d}#bElk%=l`j@75`kmsbVg z-~t23hC*z1FYuDE=Z%@8H`}EyVEox0eSuM*-?B3+{}^8|3nQ1n@!J$~GTj&W?UhUI zSjG=v5d^017Kr;DhXd2ziL z=TDz$>rNzeiqiAsox|kpGAjM3(;yvC_rBWIQh2R|DYc@45+R$$}9pQv-qOkn^x~18#3-)zNUp3yYW2qcp|uB{uH@_(A?0NV@DBW`|B${uhe?FXm|vfl{-4VI_R~4WXi~1R{gywUMq^(GJ*zw;Zr4c8fk|BNDx?F z8S=NU^)IcR+^$>m{W5Ort?BYTo^1sQ?9Ge#v)gF!HuB=%Sfnbj%J=x;i=_3yqv{&F z{XBBCMO&{F6=wFx-1n6uzSpIc6w&jyI+!id)&3;CujmT`_Gr3@m0ee+rdPSIKT;viUNR&5AANw!%EJs+iBt zIvSf2CTS0r4jfYBLGl~@L)f5SG>r~~8m=e!uy&ux^}Qoe&)H9pOWd0bb=@s6l~B?U zgJYa|wwJ;^Dg5(IZTt3WC^xD08&dFcpei~&CE>D^vi5@!S}z`pQFi^>3IlT(xq z5H{F&?hs@%e4@|07b(CPa{--ufu#0NWi3)b*$;G|Xa2#%?Kl~s@>hx32MRr#9ixz6 z_sa~eAGem2HK|dBv*-D`eN6pZIo6j_(Ns4}`rBTV*MBaS@5mA8m>CFki&Qc1ycMM# zM%2FEc#chZvgc;J>%3By7UW6nG9(`0jmz^Em_KrVoL#z>X)~!J0=RLVkUC@1(xyt; zaZgsdP+tps(fW~!pr45-?~5kh(vEeQ$yztd`tzlYVc*5zu4aVa-0!AhOkMlR`cYGS zM`3mi7!tdpATC}PE=WetE3SP>9s~O3I;CY=5;GRwQ?rSo`hKUW?u>R!`V3(b?Z-^Z zyWQz+;)@|A59E~dNh58^zRt%!fgRJyrS06-JML>YLrLV3>qnsF&E_Z1Bsfi%q^xC*T>9;;aqzl8C_Htjb$sa;wbVxbG0 z{(zjJ)O1{S&c1R0eOHlRcq?p5+}`VWSJdUBDJDe48DAw4Cg85%)blIJUm+2BUTl!n znpinnElXO?c|1IS-*oe1xT&WD;m5H^wc* zhK3=QB>Tdp`K)Ik6$6hreY0rBC$K!d`KQz%p8d?uRR|D|*mf~8ts)w-K{ z6c(h3wl8h-sY&qPAUdhafIvLC`#*`e)TslqX>+YdV|A&0sZH&ANBw$+aoalQ$ct!^ z?2eu_OAIy56hA9>DoONK&Wu|;jGTqi(wlsDCpM2Ae6Gtxs+-zu^Hz?K=<)--ZyCRQ zd1NbqW3K<(cJ(P^07naCB3DzWPU}#5H^{Zfp57A8k<`?pwV%^EY7|a$WxDM9EO4#A z-%Du77tjFIG(84r%C9< zy?d|nZ-Up^=jft2s=O0>KlQIB-<)W88bV6Ujbe%FW@6d>OI)`bUw`N8oesDJ8+vNF zZuh?azMVm~J>>1Ytum6@_7=dXft7z|tob#Yy7uvqY~d;n@}?QChUi{Iu{*jD>ocrw zfmNLM6{|r=EhxJ<*-JLvaf(1*J&gSrq+>YRlQWO$wmC#yV&pklzTr|}%l9@XT(x{I zdmnF$EF04S+wkzMYG(Cd;kmk{Yhkq#)s`j@4Gym@SZ+S!+&%)aL@FThUBpyCr1MS} zySyJmJ@>c^5t%MP7($<*(01k~ARWzS@m_caq9sv|Nz(Ft%hq^z!aMo7R=np7t<}Ig z(H+M6T5>(V0f<_-H4xk(Sl1V*zBgSE4dnqOO|SWuzU7pngI-zF7*}$G^@ZPi_nt?o z@?!TbF3zn(eL~d)rdXlk1bPo!(A>rZ*bB~=V%fVW+nFtmfhZGx3S^UPZH)Cd2PH!K z87_d2O?QC{)&$4fj*-1)>Y7)V$1AQw((`XaYf11zoSTsgOPO44DGlbYJb&kI9gVrwat!fcSvBgl8%)m(JMcm-Lfd+n+1>zdm2t9=!}Y?4+zlDPTi^Utt`mf)FC z%toOGK=-2-5P1dYNLOFO0-F+voBlapM+c z{id!_F^GS?#O9Pf2kl-}JN#|=RxVYk&4(_R%2KXJi%)8p%UW?-hl8U+g54;lIj)+| za@$H6$RTc{roW&<;csclTvw)5g?6F#N4ZHaZNf8LFAM!S(Z8_L9-1pTx?Nq^S|8%g zzkYE(Ke@OpioDh!Z9>Ryl8m;oIW(|F`aoLtnp}z2Kw>73-J=o&<*(ThgnVDK=bT;$ z@n#DxMw_5yZym*Dx00=Y~TG4%YPLwWdX4;B?t6EC_P`IQJf0yHRQxhNO zXJb2_b4r`zOuun;) z3vD2V6u3qUa-kJwC;|N={e8ej{tAPwR)PXUxAS^FH!6Mx2)7`)0~6gDd8QLMV5Uc< zdpU29X_SA}I8@jOk}%vDx-(*1(EtX}v`avLn!noTG)|QG7yzh-Bn|JrYq=sbHOr#& z)}+aUR=5BtdCH=U^Zr353!F9$K(-HYbf~=4Yg)+3KzJDlRmAL?Tdd(29ZR7<7lWnr zm7(-QPh-!DH@u z^6o+1Z#5#U)VLxME8LPjkibnAyP44$P21tLcq9tBNk8N8y?%crmIpw*FnHDsa3Hi? z)pXw409=HZJpdP>Yh2?`JfKl|r=!;Q&CCzOCiFFR0`*<20FE?i4esd&u;QXKTdqRN znpMz~gju`)3GM0&UjqO7&i)F0jv#N*0DS7|Km+40PnTrA3rPdL0AiNXYrsA&(W0qs zF#AZNcD5vM_YG4=EhS|>u|6u?V3MIHd`E8#Wt8Ci&j6!FeQSsp7Apz;c^qs7+UY*O z!oAY|0%ZO*f=1$nPViY+>)rR808OmTaD0%!QB^rMoCJ*5>+{wYeN>Oks*LEUq!O@^YdR(JOK@SRtFFLP3i_7-m3C0xn z(u4`X5AoBI+Sd7(X~OBW;|c(FNX7ADO6mtDD&Be0fTT+SRcDMyf=huO6LUE5!l7U> z8v__E;vYj{l4`8MuP*9_y!m;nz!Hbauh&2g4cQ#{&dB_-OFG|xsG(34SyHXZ8~&%# z#;`Y}f(HnFWRKn+4`g~kwOtE<1T`h*cs%d%RJpD+5S;)54wynazZ*B80iJo@XASho z=MpF{cMik4kxGq$)U%|L`H=&fvfvVcurr424~@q=weTE94(RhwJlaU`6s*EgF_l#< zZnsWP5cm-r=!H=kz=~)*;VB9r4B>V-Ed${N0`zb=->h4Y)uet!Hx3&hQ_UNdBY5ui z0!MoerjKald^E44ipM(@fN}ss<|Pw&G?Y+^G7*_Wh29X}0V_0aI3_z88+ihzjO{1k zw=_%;Oq9Gb|>fBz~tvj6xFYsOiV#D%GT@VpX+Q5(2&pp zEp&4(#TI{jm&Q2ilTlyFT@I;t1`-P2v8tDR_zDo0y()nBtV5Bm3csaxA-f57quc&t zBl5yMNXgh04(jB>BrualaAf>H;&C(++4+%6#7hJ09hrhf$_ndZr=3+FF$!zibR6Xucg{)`bfD+uC{q$m)y9X2f&kSm!QG%}FLJb65sn5tkI;4>6Xb^&oYv_gyq&5Clo zi{$;QwGmjYI|H=bfYjz(;tv)XPc4U~=P7IjM(;cZzf^`pkVQm@lUpeD zM&VDGh$qx)OmceN-n|nDxwOi~Ew@Y)yM0&|JdgVuM&S+1(DTFC7GI{!S75}OLk1vu zPbn;VWb{N4=t0*V|AH$x-kN`14CL#3FOVtk;4+$sx>8^&aZy5k11jBbEUl(+Tij39 zm9&{mmM_aUkG3pkzr5WT`m`|NI|}QZE-bRZAwvR!W#|pw1I>RKfAL+a7-;cx9l(fr z9pO+7(24+pKy3%w?I^rB)%eWJLShJdR~)kME?Nc=I(BoL7pbd<8=7)d2#TSxXiQ5x z2!UzY_%kpUOjmz-a;JBtdNmiSmqGp^cer-@XmV(S?6Oy09(>P?4?SXe288ug9drI{ z3=c?o5McuG(*)-(kyfcQsH|Y(~SG;~!5$^8zIg+SGg=X6x1Ik77Qe#eh zzxsz-Qett*aR3Y)1`takvQT@8$_=+s0s@881J#G!g%H!W=%1}u*#QZc0oEZ-FnFx0pwW$H@*D1rKW zBEY2ktmt}_b(+p}=+j>>T{l=G02LF~#mHrAFPFK3(#HH;H5FqP{lI|R?86EWVv7PO@ZOqX_1h_QFf5?*}QaKdG{AG*)o*--M6<2v&Vqs7Ou^^xyg5n7?}fYZLnU zt8ws z3=}m`GkQ}AOn|&Y9vxsZx4XL25-_n?zoH?9OHM1Od&UT}1!)DW`$9Svh4An?eaV31 z2vOJn^`DO8eymRZA9Fu>4)~Qsgm&QPSnFqDP9;FzEeIfZ0Xq^JB&gGs9*7nHtIJ~* zK*wOokB8;;zw`B}X^=cb?AArzXM!d+<2e=*s9F^vOdU>>*Xyl5%^v8-Z|PlIrv1gHZRh8N2=&s$D|t zJroYLsIeTn8-kMizz$0tfN*@$4mez?J%T5X^g(QmHGp1+Egt4AV2mX+=AXNgf~=v* zD6+@o({cP&P7-i!c*w32YtpzBu!RAeraS(|UHNb|uvN1NteP%TC}TKGnHYI4nBi&| zfr?K)kPL5YQ`n0mAD|r$QO|q-vr4j?2>#nd6;fkV$avX)pbv9NN6xu;R@osrY8jVA zt9)_2qanf~b>_Wn1h1Ldyo6}w6LmiHZq^pk6cG}ai`-DJJEN1ka&AqKqwM%|=UdVN z+o`S~R*1eHx4@VRWW9)c+>@D(0_AdJI6Uw|T?XbusR~If#juLP>0l=j*XO`0Go=wF z(sE&{nF zxBL)!MFj#4pn`*5a#LZ`8qs=WwfNwVpQw*k6EWrHIUHwuvHxe4szqrT_7W=wFYX*6J!b_QQE&sABkn{LY7J8GUN{WB|U-RUV$5<}^O1HTAOoP262Ilo<*$(=JOvKPjbFYGpk1)F2iep_{qqBX5i#vSbpY4<>yQ&(3 zMEfHY5rT^AvNv=$p^Bjbw&4kAh=c?hyH3jlKWeN5o1Gn2`L_}cCZMb9s@es0?r5)F z8oOuM3~xSWGgtfoust{5TL=PU;I=(C@p=`Yz*)v}H%b{D#q(Jl_!xU9WI1NSASX5A z_F|byajH*cQkF2KL0ANd-DitDY`U;F@R+xaFs(8zX%V}!Cf=uo4;jqj(Bcct(BPwU zQ0r?@{(MSFEZVQ&T?TF=GO!uy3%dZ>A!}pu6XVD&M27lRfCyYQ3diagT>j1C5U=)| zs)L%NGP~z*0sN#F3HOyT%oSn!027diJ1GJwExw8+Fb|fek)85R2J$r86Pa#6QtDfy zuNs#!*u*nBc(--7r`jO9qZ@_daN{)5&5xnc;&1nvEtbc`EWbg8*{+{!w;Wl=r|pL# zwo8Pc!V+v+I!|e=oVyVpqYA>AVgOBN7y?Z z1={W}$y9I-0pj#_D6dSV_;J78mH|hFB_%-rX;9(YbE0Y=Uy_QeofPk6;mgrqL)0?k zFYXp-O@92^2hf17e}V0M13hNd`JPqs)iiR<#du31$CWt*k2VlnUA8whe5S4QY}XV* zTLu8S^07j!(AR9+e%+>GMrg3(5x2KS#!Z7fNe~RV%%`vnw_}zDOhz(?ZvQrlyirJc zd1@OT@ICQeB1jaez{%e4CCnrF>Qo=u!9UhM;3oowT z&dGj`0vA`)M=ZZrd^3E{7nl>wIWQ@wF+hkW4ux`G8IEil;d#8CW zDoR`{U{SMvoE%iQW8l{T@i`IGKu7SRc6KpCjCi%lnq=0dzpc`MTQT99Z$Tf%LueEj z6GkF^(`9Jl0N@yjbX-aey1Eg_+MWs}Z2Sg8K!&%!2QpVUR(^Lk%mSOrCi9(hiAS^m z#1}|$!!ViQ7xvLNr;wfyBWD;2q^32~%MR}j8Bv5uWpvMo0J1Hx0f%up+)jsaiTM-W zII&LGb6*l=raaxHNE9?1FreS@QA;)ku0OeL!707A*TKzd!{{_YRTe=u1+L*FS`AKQ z_PN*H{HVcX4%LN0hUe=5WEi(m%h!S77u{xZOR@TeJ|UlfPJQlzq%K=r4NK#H+h9je z`bsK$fXbbi>PoKGi&RH}B_!9)kU~~;uY;_ui@}T?#hC&m3zcrPEAH~pn#48}6U>{2 z@Ld>FN#rZ@OH2aHCjNX}NX$XJPSi(GvP4=Mf04P6h$QzXow3%30o?Qsa6u(;g1|TF z-E~ijf7LunPrYR{nNSP>2)S|VkSrzt*&G(O^-`<` zc9Wc`Jb>`L>MPyZ_75~R{L-*UYcDA}M>Re0JY5(OmuoNNCES zTgYTPqw+lOchwI7%X^mx*oNOvduU#ld`tDG+6>Db0B)%~o%4r3sZE`e!r}ZyWtb1EJP@b70i(k3p^MsH4@F*mEprthtla?(3E#i* zD#`;kC7eigwXC`AHj|`yiUce_CE;kA;d{@K7mUFG4ShJQNhH3rLuuouWq^H099ZgQ zL6#_^$lJRJk+{D)6yAZ-c1)KkWnF*!uBy&RB?hpFg&Up6!AWFky4>LM8cKv`mHI|P zPBukO_S$urr0XwDB0D^f?Y47xWew!=ig%)PlMqq`R<WK z-TfBWUbI(gQN%Nq_RJEvbix6+#%p>?HLg;HaxpNQ;(D_RNMbh#hbq zD$m|}$RjhEWo0jtKxMuOgZL^bg)+=lM;zW3HC1^);51-2B$iQ39oT@wZAfh??7*QJ z(>q+F(h7MCAkLZ5`?RC5^5&Dq^Jl}$Xps^OKn;Rl+BH{lXzv@acp(s@MZxB(A1ojswo`pwfX=XouFM!cQ?s?If^hHV^VzR6%j+) z({|gjV^YU>#h8Sf1$FT{Q~(#9`%bmpN7r~dDvGX##K-OX2! z^g1%)KYMcKumV(vy282@mc0==#Xzw}3F^f>@~+|Z;b4`xL3-NKBBEZEoX?g?w@5*t z1|+xrXVaO8IvQ5v4cpW6BlVU$8r>UAryt-~>ydnt3Av3Dl3k?WUELMmbjKc=X%SN~ z%Psj*iSsmlj%*oG8QuXWNb7C2c@F%_oG9@jFa2nby>UZCR%AzGJzmHZA?R7fB%%ar zo<^cS?LJQkmdYRbX~8DcV~6Z1H0KZ~__&(`~Q$GDL_aQ~CCX;FtEIzxbya1(7=n+IJcTSKe1%ogBE^|~$BU?N7iT9QMgVc#A^7TV8*VW%XrA}Xbj$f@*m@%Igdb@JM?a&Q(o+U8A`qb; zZe!`!i#3~j>jw0iFP_+!!l#}+SJuB1wrLh8fq7;YtSIv|EH^U zu$k(UZ2RYuO9hq=A<7;eQ)m9Y;t$Bv>ZMtD2=ONO+?vrWek?i52HL%FwM5vhtxJRa z<*dcw=h|z53nW+~a-&$tRKNpvnInl-EcyV{fifE2`+DT{u zl^63;$&S^ye_%_kr+!D6ccYe|*bLd$f})f$e6#vAXG4smPi*XR_<@u3YmBxyhCbM` z=~l-Ujn+U~{qS`-G^CT)RCpFWjDy}XOe$^imnd(l^imHx`gs8>RjRh+wZL?~$>2+u z_bl&NbADtBB_8pb^5wllMW!9as+976PgX&b67QD(*{3JNB7yT2T^aor&o{d=beI>KA^Ye4bt5Z@NrOpwy);s+)Mt!lSK@2c8~v zkR)d8H;Mh6l2FUd9~P36U{V%B%TSiHOu*?7u!I^uA=UjGWdXrqSosZ!8EwyaW?({| zyZhL1CZds<QOz$I%Go@E%x8}IiioOx%gyb41k(P${;z0hCSSMn+>zV@=Uh785c zNJd*b0xei(P6@>|v9GP^DCey@0`Pcus8BJ`v(2Fg&n7*6Fg}t&>}gq{lU3hDHYL`B z-g5W~t!r)rx5E?hIi|wUiIeHt>1^PqGLxZ#Q-R6o)%6#VKi;i3T6}}3Jm)hjV8PwpEx1c?mq2iLcVD=>gy6y59RdUo?ixJ!9rDYTbM`(j_aU<;(a z3_Vn)Xn_y(-Zp(BVL#O3VRgAl20y0w{jZTs5AT_J0@4>UgbR&_S zht=+Am%}$3$nl86RQ$yKk>YqzKOsn?rc+d)cxJ7quqh#nHMm*T-`_}|44d%exdc>e zpV*l)XO+3g)3GU@5aZBzbALaQvbPvbK1i>%q3TXsdxJTaR=v-S?P9ChdJ|yMaua~{ zDHMqXM=V;Yb+3w9usrLMxDHz^J}6LlcGrFn*$6&gTK}!RabDJsQ)VjMAez}s2J*0A zY5m5yh>Ha0bs=GR4K%9_9`pgB4Ur(FdxxHOs0x&pI>VkeQ;ut(TcT7HDrkqpPLDTT z!_b|&_brrlZupsU%(O&K?VpIvRM4iaIqGx2xmY7kIALWEteoMUH2bOx-Y9uW>uY=R z-6DbBw5&ap^qTw=z36M@DdngB5S}DI>;P~G&riEI@Wwu#xlY}-DIH%98oJB(+eBMT z<7blN4uS~aMbt>9kg#AUD4-FGsysQ48)fwV zHKVL6@brZQUa5sI%jSTiScM90vP6+sFT3A;bmxHUOxWXhMbByQJ3KWP`aL<^>w*bh zmCv`-Mh>fc9UMkQU4I;9MCr=~hI7Bvf`<@t9$Jc=-uZ@_yUQ*lCtxv-%S_S6U^2S* zJL=1~xtgS>p3;%8#8zKp*Y!xK?n7d>%vie5*w1`iy;_;)&+5-meo7x`gFk06)NVs@ zU7F)7{`@(68fuoW0j?jZHx#!0H{O$_&IdYgo2QyKrl$t9>mJcBq?tQsd|I@Q9U;7l}Q;hTTkX-mx(J#h$^x}bS)=krjTNR-fTc>%yGoBa*JTh^iW~} zR##Yf3Iwh?t<=YHj{nl2-7K1iCb*nVR_<;7j_qGk^oQzRu^1DvZ?5W_kZ@J%&%l7q z{_Dh@<=Y5F-sN=S$IUbuAIJL*<4^;E?@TEZSi25FQl<n5z&Xh09fl4(7e1ze71~Tz zC$g`Y!Cgf7hGsrLGs@nKDhV$bPcUyXFgz0BB$i^r&h^SnF7WrGrRGbfc|Em0c2Mpv zv#$1~CTh@b&A83yY@(p3pSedFm*v6(r#jRjS}u3?#!Pw&d0+9O33-adsG}Cy zf_cWS2N!81w(L2I8mXfcplbD^P=W|@Fu8jqCuy8u}OJPZyXCzA&Q`pot3I5hS z%W~N0+P;nAs_zkg*7WS!yJo~Oth4QpU=)3oj;5Evrm>3>XNO`(%}<}6|K{HBkkD`1 za-mDEgFc(P*`J^8-xFL~e6D3YQiHt-ZdPQ3lLB^ zqH4Z%>i?YwxwU9L8Iddc&A3JH+vm^1qTcC;1d*1omI_;OrB~m-4@O#wq3sQ@iB3d) zsWOMiK~L#`42#Wu5bnz$9mVfM>rd+7*c^}+EZ{f%3F#8iFSf70Mk#|p8Up}Y#IXQt zs8p;66?};i$qgHUYxH_`wf2^Rg!$K9>y1Iw+aBy0>P^SYq>yEcBVoEjJgzJ>XK=@g z)3jb8GrbK7yNjUBlVMB4^KWa!C&Plpxg5EdUv66;JwA!Fr5O@>HUy5O|dD5y$WQ=l-CT|TaR7u>eoaocm?Q77-rzXK zEp{XFD+YN8WO037_Y%oeyLY(!2tazGWyp?Jmk)m~qvabkQ2P!(+B8rR{-7~HGl)aV zzfwbo9uZvC^a%_}PUyU*;7s$Ti3*V~(Bb8s{fVrwfaCC>#d`r4pjSEzsD5C~nP3NOrlALMv9<|2nM+tB4@{0-e(UZZD{c3Wn|X5tkF?yw z+DmeqX4e^5Esef8%Qm*C^)Ne}i2O5D{-6fCC3)fo>{0nek+9LdoD3#iM;EB$zKorx ziFSTX9AVa#-#(NsiNn<1?8z&5w9r2m=$Vfa)g}^xhsst8b;wK=X5_UUmT6fBx;TLcm zRZ)55rjEBusPHBQvpaP=CImWdcw|fe4ED+&NgF*uvLi60Op{YGfhIx??jbvZy8R$aHp0+MhZyf4CHuEgUh}EQn3FKSeg)51yZDQRfpSz;Np4?@| zlE=@#-*>nW0s!nyaO{V?OZhmU-7Xzlmh3Pq^9BpcW7x3-4P1>?LPSqy7-@MYp7l$J zJFkw{6_Fbu_%L3@ncp5TAHG1~b8gTN^DN%rpkNA8J#oPJZLKV#r|Cfz6&=)OIzt`B z@cc^iF|f$u3`wcvB9ukNVf3NDI zpn*A)^|cjguE>xuue8WuWCccPJj=1lHM&~AK+Q9=+A!ifxsa8FWa`%XmBlelT3%~q z`P0(|F65q2tBH#;ZyRFT96S?i^a0IdNtdkD2BH} zg`(bA!GrKR0SYEq?_z}-`U73RW#ab}&4`BF*;z#bkykvJ06fg)yL4pIcT~M`;LC-n zidEB%Qm0E(2)WqzKTKx*Qc=kwati=nvYV+!Y|v!oW2G??`G66`RfNLpmK^Pox#*k_ zsG66As=~8ezRvv-oqS2&VeBl!TnF$fFTA_~1WIc1YXsz?e9*IHa8(ME7cvAXt8SIMnc|%8xn#V@aypbXM{W81sGz z{fkeD&U?tx?h{k({X+;UJ)7=>_dc%EHM%e3Y<<$oXI{MqM{8|YG&bP zWA5%UDD-<}5CI}^v$%VSZNIM%9%j{0zQ|5+U`*4$dnhfhE7vI^4ZVCaV6&_Y9R?Vw zh2-jV0(DqDn-3u*Jm?)cv#i!;229epp9Fi8CmI;I1TMs|-FgKa#%k{Iaqp$}%r*03 z9>$TD8eEPKzF(Fm5>in)C6M`q^z)FnJtC4!iF?0BLY1SB95!OVgR%fpBC}SP+!=wh z78Fbr1MO`_X&mOHth1KEUDgTpcfQGQ3n9zd=3`pd z__!YL_8R5p%2`ACO&_Tz>smuo=?~pu5fS+(!`3*;u<{d_zAcyT;Zspw@ipgAef1`D z>2~CY`Vdt~c`LK^GP%5bB9%a>m0qR1{!2$LHLW!R?kr*KleJ-Wg**<< zI7pZrQItVwOy0Gi)vd<1(~yQB{^s_88U4o>Hbf$)N#kOV?V;GR(z3?AWn$@62?%O* zHaj!r=?+=`LKxe_1Cwd>!Kn`GjH^$FkVj1_3OOIvSr}#ciFiU>KRqXP;hXHp@e_sj z%+BPQhMiI>vtd^FrLTYH{z}%JTIWD7iWJqfrr1}uA^a0lkRD-%DwkvYyUF|yw$x*f zD`aX+s&y&tJGE(}3`FK?Ic&w{t4|F_CNpy+%QvRW#VNH}OPEgF%QjVs>1<}Lw4v5@ z?@r!Sk<;c4D!p&M)tARIYkgB`$DV=@=Ob|CkO$i1g9iI=W*)&B;?0TF#M#@}Yt z%~3%zg!xv7`zjruBj0XE7D94~q$k;u!nd{Mn8hGbuG8N%KhFWBMQ9H!AF-ZPBX0z|%4S8A?RT@Yp;b<6 z7<-}Tu|NS<5JywFvq{M$AxSq%NE3xWXV}2?$D@UocIHq}(NrEO66qm$UsM}J#A%lE zBEWc3;uCC(lE_mvqMTeZRHxh(lQzPV9uNxQ75_&vXXpS zn*4iHZDfs$ZEu{TJB`cj%JYPDww;d;wfvSqd7C5;Dd}Bt@5`+OraX}m2LJxU+hIbz zVxgGOl6vZaArAbJI+mDy(C5iU^o_oes0cd~0`s}UVJ1`h2W6%)(OdC`pju9gO0n?$pqxd#=MPtQRryi&7a|R|j=(Xno(WBYh3I{q^~%fbnzGyKsm;T+c2{)1Dg6X6E;P=Zk)Ha- za`LT(WFMt0-u3h}x_0PZtt=%vo600Bv+}d(m6qO<=ek=wvba4d%PQf6SKAy2oNl%0 z0BzaGhbhB%(;h_tPd2i21PNryS)X;1U$=G@d{bnoK&Zq(nSKN{V&LXk#{=^H3j5dI zOB<8SS7;1W&b(SpGR?P!=Q=)5-i(xU6V==bY(z%xPBNe$F79J4xDrv&iOl{)a79q6 zik`7QZheD^!{BA#Q>FVZK<_Ot#c}InkXn+LbI@H4cHog$;Uhop z|Eu|>>!8_sWU!r!ez#MJ%T!*DW9r^Q(W+rVtz({B&U|C}RT0oy+bt4UqSrBZvvRdDdk%#C+U-Wt(if)0(EhHN^}eEo*NfeQ&`#Tg4#z$1IIQvvM4- zuXVU?MPQ%&ukiNZ@N3CR7ZG#4f^c`4<8R`}-*{_oA!@ph0ls$jNzYq%0lVyx+>f3D zpDw+ea`p(bN_>S4cqhfNxF(w)_;R=u=4>iq^B)ihaXo`#xH0*mc$le`)8JRDo zW@P;Rc%7o}d$+abb9eMIPhewgxnjZpetYmcW6X~CoNdwWytW-*a-Ky>8YsUKD<(o` zK&!ZmMg#JEPz2h}3--*dz$(IC{4Hloj^gj%(yZXFbt1{7$`Zdn&zW66xJ5Dcd`lZb z%HEnCFv9l2vYEpqas>0CFQ3m(-(l+^;W!EU7vyYxSs#OX+NxM&2`SJV%1}f?_AU|;h2bJIG<>aIUO@}q) z=8%2I-C89ROd-7<5%y|HN`%-bAgPVE_;<@b6HN^{NR0)d!$_5ZRm!%H#Ou5#+r53b zpz~^|0epYRaJ;xT^r}L9j;Z#+t~{q5@g9d;$#2c$@eL}54tbmeCx}fmuPT!iSw2)m z*Mh9tIDZbsuk3Mt{$Py18N2BW(>X`01 zaiqVGMq@4h)k;^A{iXoNKw3#>9LnP5*o_Io0Dc}*_ie~l$=M2{oA*5rmLT4=kC0=6 zka9S50$mc^kAi&IfGXdu7l+R`Z(oczd}S{c5)*_pM`x`SI}(IyxgM6)o*Q4*FHU!fbO(KFn^^?GmlKA`{VveYV!x(++0lJvUymcXKE{_+vF;ygWBTpICUY~l^z)X} zd|!@?+@sc9U&^)RbvZ#vtIMN~)!<~UOIyX{YqMsKO!T$ZoMy_kyG`clr)|eFae>iK z+qD9~gqL+Jm}2sxMe#6tU+cXB)Uc>bE<(?Z$Zfz8JMTo`RLoP4USv*l{lQ9CqTWmqB& zkXC9+3Y^gO@rn$NkqVr!fs?O)dZ={!aU~9DI+&z2&V!X& zA{e8l)w~SBl@26PE!uqgBfTrQcTBDvQV5+E}Ikg4=4Gv5ha1M5{S z6`)p}8ml$n^+Xh)MSG=H1Ze#L+Vez)O~f34_Np*a2R{3><1(cd<5Ik`FEiRP#J(kf z5@6y3nAShy+QTRSOl|;E_bXF2!1TK?vMGR4+~A)l{|jwAQujVMGSK;qWoy6;WRyVjwzde`u7k7(fF|IG#1faS0I-;DXcXkdU= zxNX>AH03=_8C|xY-y$!uJ4j>LoMzSSD>82hlbLivPsu(YW#FF?*YPNhY`T)gjrY*g z--gAtH?;yFQvt50r88`f%mR=V0|YGWx_wDy0IITbxbbQCkuSO-jQT0A&>cEHk_P+} zPjhFt_AP)E`~OXi6n_(?TLVfM(R!-p9}|n`K7eO|33#{@*9DMZ`Je4S#sliY{<}qWIfqW zYykkl-+*5Rzxt&Y;FlZ!a^^pPU-rDZt^hS`>pxEZH(DL|oMqqYd(Z1V3NIhes;lRV zYyFq=qlX%Whe>|MpwpV2r>UpV3+EpLqb)A?h)=5WTc*D*4(Z-i^`9Niy$z`POx(Ur z>*qvM)A!@^*k;k@FGfuLfggDkh@qWaU0h^fALWf-=r=}}odUI;J@Y$RZGbdv{%?jx zLLh%g6mt67c~#LR7^%HgnL)G)KAlMdUc^C$XP`UHJkkWdX6T{dLGd?Qwq(zT$!A1U+MQ?7<~n7E7-TBqC-VHln2GdwAuyb$ z`jqDB3G4$c_?z|v>W)0aFt>7vAE&fSgd6H`IJ;ct5n_2CD93 zi49A&Tu7!|vVOZ@-EgiAX`c)hyAMXCjf`_2&!U4bREEsfg@zL_ZC-gOy)moXW$1pv!rUNEl$IA) z=Oy>vD3snP;JXKD53@|h155*$eBGxnxIJA-js<0O`TkoNZ5S>UZ7FXd8wQl7>#SsB0okn#xCH&>+pG z4xMVDUt#{1AX|Bzhg7-84u0Z*T!uu6GMT)Yf7eq1YbyJ1L4N8P}p_kwL zMa1q{MD3fqs0Vj4kcS&Aw)YmS7T%g7=lNK)p1v$TwDID>_1fLBe9pWS(&_jyfUbq# zWL4PGAcsHSaNwhJb}3I_Zq%9PpjX#)ou*Yx`;IKi!I0g6J%mlXbd|c~7Xp}9_Xj;3 z9Hlsss*`W`U{zxk3s!r#0=373jtaS|Q;m8p$u_=`0}PAiFAblU+!H+5ZAP=^~sAN zkx!<9C*$T(Lj2L@uM6$qWnTl7@e9-dRok=LEl*b@IiA5*hgbw(GX)5HV{Yx{^-~j5 z7c$yxy@L=CSG&-hN*5fzEo&tM*@L827w@ll{@f_6#u(O#FI+QHFm`PnN+xG);V2rO zlMAQ4Yn~EDq8(_N&>~$LLh9we%g}R%V9?t2mzitAKidwT;5@YxVR~M}aAUxKh%s{Z zf;pQKQhxJbJQoxG&BBxSi|g6hN$SD?qo%3B$A?Ct@^T?6Is$(kD#-1R;hrD}+aB)ccy>tj=QK1dcsliW?b;**GMf42m zW6AFVBvyD941$4o%Ws<8`@r3aps3`IOw=w`YQ9G6S#v^uJ)y=a-W(67)!_bIftqtUHvppyOC{#^o)pW2znL`ecO>H?HKr z9ZTa;;7~{;46zjREC2SRit*1u2w%*0umJ(UfWhDE?xwP$&9=nY;x(~MA zX`s@^_0&TrNCgeb*Z-1)68IgW87jbqK<{|d4CnQ8eMC7*C2WY z8>=X!<8G!y-zb$`Q9A-VtWs*-G(!Xv$C?4H9AIQX?;EBDNqC0U1{2q?%gI-L&j+C` za=PxmHEQ6D>8^JNr!`57D?CZNw#$$D`Lhc&YnndUb%+5A0y1H|mY;u{;xCAvR%p@^ zI&&3^^}FsS5@V*yFQ%kl`jW5D5y)%~ni98?z>=tRK%eaR=2j)T%D!yK9^eWJyFf_neLQ z`b!6ANjt%p#Ww{<-8%tWE@$-BuqWc06sroRgM?G6fSRhru7Z%-{z&o8{wN4A!4m)}a!yfxMS5Ie#F ziR|Qt^al40zJ}{OM%Mk}{A<*x8_+Nd9}#u`$DsP4$o#CtC)UCDn)5sM*P_Ax}__lI2}>qMn` zLz|hA$E}DcBZ`;9CRi_^CrTXGCMZQoEk{S9*R@8cDZ_qZ490OMXebp*Knb-ad21Q-u_ex{*vvUQ5Hjjodr05mZHHl~zv_WObmIy_ujWR z5IX#ZRETMI$mUdy=`pX~xcVJq zt$z|RS{yPd)6%Xr&-QU0U=oC&(cTu*Ng3yu4K<0NJXxgnY`lGmqFr?EOX7s=Lm@b} z4^9n-9cgt#hKpGm8SWo%sgTAt&p&M9Qfc9lu#_P#;zuC2se4e*6*O#s3IXtv%rp`! zkBLN|`N_;e=1*)ZN1X(XAysQQs6bFNN+!=t`b`>}tXQKcP8oJ7aYPDSOHUJbN>z(F zDnWoALKD5PgT8lNr29g*W`2~jL!kLWr@wpjo`>Y);@f%XqIOHvW>Ab1l?Ie%4g^&` z!n%~;#}dD$YDRK7N?Q&)Gi2Goa#BcKtMw6R%afKJkAA}zRhEAG(gf-+@s%cug&0y_ zNc<|kxb%5QVq`!|L@yL2P)ig_7vnMO4imG!wo(^Kk@=Iqy?HD|Zv|MxREM$1ifQo+ z!T#!TQ%aI3+IVP_{+p$YCS=j@b14$XD#NojJ(|N#3lwcSfwXC~%Rz5%7+mq7ZWx5T zRt+;xm?0-sSnw_9zd>)-*dkZJD57x*P@&KeCzR_zrntkPedZg$=WK%S`w;gFtzzM7 zv47?sEEFkdh*1AWr2*O~jfOZ&uGpVk<))8E6|oIbidmGPMggH74_zj=KWq^rEG=Fr z;!A`wpSJ>}6321(N3t7ge;hI+fPz^Y#0>bEEgvN7Yp;2?32%d~3(>ppr^CFZb3GVP zlZA+4cC;$HKPbkEx=UDV)wUy{q4p~8#=Mhbm(W16Hx0J`4h{1DXt2%|_6Za}UrRfi z#O_P2;&&TgktdDc#qMo;o+?lzdju}n#63`kH7)mZQp|x(=m@5aaf>PfC7j6sy)peH zNeB$M48Dm3n6bV8nK+XUtDhQGU_#W|OZc5nSf} zS3l)kKY{?^X?%YP!IlCe5@&n$avkLvmKwa!&Ki^(WKyTcuvrgx26o1HJxiPy0fE@a zFfU}T+X3#lf7f=EhQ1S0e|~$sHrIWfSBrm_e8#IdY;Sva!IE?_6b7G|CB}U&OktWo zdaFf|@|iesG$kdijYc{&aK8IGx3*))Pjz?I;ZGBsiu(>d9A#2{(dJ)KxynH!I}dD0 z-xPtgoH63xV3YN<#nU37!(qI0;SI;Yt$`-lR6Q`p z`s2437jpC9Mw2D}cq(;}+o)5pQ^vDT9crxmk(fW~BQb*V-67I}C`fqtn;O_?l_4^{ zKdinO7alXZM7Q00lB>=LFRDznTl>%ih_P?-)xpt@3q#w(0A;hXAiOg>i=D?JYn1 zvF<#-6qU6tt!n@m&r_a1YnlNHiC>$m5~QVQE(rDpZ5iv_P6@J*v*&Horxc|nHKAG~ z(I;=O?^=5FYp%c57^;#PFFPUvRE1Wssr?I0V%|8HZtoyUotI+IM!L6xHGm|hhfQWS zN^2$o8rH%tg5v%P%C}w^1+Z2I8kF7DqEhLrQh|K@38PZ4hW;?dqPAm*n(m)7U_)E2 zEc0)g*(0N&3sel@hh^P~{F_GYp=$5;JL}}a-t>v*^M^kzXrC0e%|sj}9e|h#oT3eN z@I)wT?EBlsgD(B_7`tdT5@G9ViBXOeIZFS8mvfixLr-(0mza3VZBsi8{4MNhkC)!k zm;8Lb&hW2(O^FFJf$bd_`#5uX$`mR>OLK?^hNhdxNMS(qf~{zIDXiFm*bW&NR&YQJ z8`)sX`EJ~>skeAu_(^+_S*a31{X<-K6PWwm6&0g@A)%!tnqPx)!#+T;&EE&aJ|iij zdNVwZi)w|$_<7-XLYo+Yoy%_w3Pvot&%!)u47eL6EnXQ4#eWEbpc8&9!r264=;s;$ ztMhoeP{L+%-?1=nKNk1Lq{;$S_Au6Pd{;GhKI(EQ;l?!r@6CT|(Ml33FD~SM{2C|1 zJsm>~VKXQ&Tn|(qmNmvaX{29i((;Kud=+-&D+?|d|3RHLSA;2F%%3cu9Lbh&kW_?e z>15p?O^l!AU0nh1;F;oo9aE@)0G;;zXKiYe3QMp)8(?gfY&;=Cp_Ozk;$%2bG@|R} zR2!F=APQPF)c(~0d}tcX2FFKfMNIwAYLPmP!DZW|W#N@oElKuAOv2Ax?(BNi($_9r z)Oi0SZFYR<_*E1yn@D+^hVUCqia=gahq}bVc)d-~r4GVjMqIL8g{CA z6~Ya2^USl7uIAZbbb}|Rm2~s&iOEVhFp`un{yREa*j;b4;Pb|qHzqj|fcAfs%>M<1 zc-h6jAnaby@3qCeH~ewfFUr{;5UZ4i_96aX=<+{lm<1~5+|e_ zQ%?pjv|OXWLhsUN^65BLu6V3mw%A0n-)fdnf#Cc|{1vr7ab3eF986bfz(Q_dG-WAO$R$Aq-^U^Tvk$Z^#3vpY4LY%i ztAAiRR{xz@`tMLE0fztIjcP37ywd7{yQ!i`F#UvC31v%u^^bvGEH+<+K6Q*!sys%2 z_=f`|QriexKpYWu?-RhYeEwRr23z=wGCv0~GjQAX6KsN=jmaJdP5f%S{BV6UBydaj z>SM3Qr$fEAf&Y0&3zp4z8yE2!J6dYPSSM6kDCx#;oE0Lt@5yHdFXEP^i$?{eC}(y^ zGi6h3FNd#SA*DfQg*Z3e9*42-HA4{vsQj-y>Hp6-6mnZhtk^^?q#>sH>j&NmmNjG) zGyenu?cHr5_ZO`85C85*A`rmLX*j&aiPW{_3DiqUH3aN~SvRPk9i60$t;bKowopX+-Wy%lYr+7 zT?h};1bZX=hv6OOfNRSa50yhJqb2XcKal-L_TgA#rGIr<6SWU2^#vyE7BOizZI*vk zTd)2@HSi0l?!OEpN8`)i03f$V;sorb)|WD%g1_a>Xav01GPce=jSzwSJ-9-7Aq9x5 z-}ga8ydP$E7u)({4oo5{q={h+E#DwM04oXcF3^w`ye`tk<8|);NDBXUyW;4v`z6e&!m9rJWfl6zQ6B3JC*}^ zTsd_=P4>?MzyCG^{LO$ueB6>i=pQNxss8uSS19f)moB!D9JZPwWNjxZ8~^bkEk@;+ zADa*h{R?r1?5H?XTC%M(3-&vI?Pf57-MrQ)^nf;QERzG3C_eWha`5&(_f5Rk$=Hl7 zKmA&X_}t+rqrP-_d!qe$O5OgCqkmL{wKt}5BX4Zdb_is5l8U2Jq}`MS9~zzQyi2X0 zL5o09NON~Pn9Rti;(oF>m?`UWe_m6QT@Whk!X;tb49n`igT`M%Rffm)%0pC|nY^-^ z>u@I^vjc4R>~L4hn-pg>`D{a^^PVQ-x!r5cU;5^3XLM9m@6whsG3(LulMix!|MrwH zcvLffc!!g>lEqyK43$;yaua*Be{Z*_ZhAUjFL?T6v$m0@UG*lR=uiCe-vTzLNw=d- zcE?nUN7;=?7nNb}CU6~CKp1WA-#K2t$fqOQYsMmN;af~Pm=4rUtMsUR;P`be=-i_F z?qb!~52w9GG`98bvM$BPd#;Swqx0eT{d~7`?!`?h4Er_Lh~Q9y7DIq_vh9Z~tDOzu z<-iYUjVZrH;)rCUANue#LkX;7_$(wFpBR2mq%2A9S*`ssCrX1IiBK@0P&C z{yOLVXO{%ZpZrEPxIzvf8%Xg6K-3dGkk1%N$^tdZYh;w0M7V$}V8@wfnA?^JbrTMr z^d4gCh0|Ao=d z_bjA7bNxWHYP@aWcI1$XlTfg?K;8B;8F{oqRH0a>kfe@Q7oVQBRI+faRKzwTcX?D) z;?1Zv2_+*dG0@l8vDLL9;k0WvvJ#yjQM)o6!n|Y2;Vi4=YKNwL)#gcSupvbQd)X zO<{k2OTh1do8jp0(WXqJ->x3RakyZC`YZ9c(!}MAq|Q^t?3RHg@S2I5_0X_EuKM@f zM>8aDy1L-ZwlP0K{y_m2&vJ`~$H4lrY#TKAMqQ z0?V)|TC|qQwwEjgMI_X9QZ{C7&I!O30&dGRbZ(CrZxfE$TU|vnVAdVAZiXMVzH0~^ z%NCMsklAil}PSPxx5UlktNE64z66+oV+r~c0OLO zn(?P+O2uRw|W3#*&$kW$rM*yx#IRtV9g$;DrCMK?0AusTSYNyvXP69Z@| z)50bFE>JI6KJ$aXfc3~U?m$1;SXX(5E3V-Uwzo}Tfn?WWdNV^>Vmg1L@NV%@b^AOK z+#SpHqxSkv;;gIl~k#-uF0V9nUU*WbU>*8FQN09_)!!h6cq8RSBN0 zI*&ohme9fv?(B-4JsS$9OqFd7oa+i1YfC~N-M@d83zp;ZpU`OAG|Ad#UyhrM1cQh3 zu`n=EV3&){be2ulN^z^`_sSUKH{M>;h*2HJBMy8o>uj{gr6PQ;qXrfU+xn@k70O7~ zl*y6*^cuGzt)03R@J4vpbOrK$KvRDMZkMcayWP@8?4iA4zV-fm>N&R})xpB)K;`H9 zSf-SR`RHwEYJ;)6gQdcl$h>U)xj}N;dI)A~Nrx>#e0e1$Q5+6qk3QTnv+pL8z%Uo$ z;5LF$^68BZU!x8mHtw;GDHAK!d<5csS??%%4HR~jHjN%Owg`?`Fr!XXE8NMb%6(&1 z@94bm(_qyo=I}|QiZ`kI%j}ElMU2kRPiOBBJR-l@7M*gdY<~Q*(h{4IrZ#-ZoM^oW z_3oFH8H@yEP=Tete@`msSAl@ZMq6@}vxpYPQRcJRBn8n38Y%74MIG77r8eGp0l87c zd&hi&IXb3lic4)pZR3^$UH*Gt;EU%k8ctzXX?eZ$oZ7|G)8{8O_knM&E!y)%O{S2E z6hR0)k6(kec{n!a_Z2r>#Y2bk>3RCv9%Z7J-Mu}D?@~2x@}QGTv5`$Gjkr{q5O>w% z`E6|sardA1&IIZGJ>50VP81aCeIE8ch;=@_jM}?5FAFerdaH?Xcm?mBu)Dn4)>3lpmdnf&Tb=xzP^UQ!?nYxx4JqIF3^X*rM7(^$h^v4u~MJc?OfGE+9 z%S1p`H^{yFIZ?v^HHaSp1CwbH84W#crht(7I8sBI?ni_Yc7%)w`~&^*%3EiAXF#bl zw7Elq$^AwR@<6x-vclO5i>n(-1Ihxmz*RX0l^;?*z>X!Tx=l<7ZtgWFh z^~73F2hGsi`wBxrJeg2lW@^7JDOnl=VjWfDyIfE{Ej4jV0)%{HF1KB)E0iW?^crE$ z5jI-89wb!7-0W_nv7C+4`WLxv07vM0Z*7-G0VjX|S z%+OoJAjGbl7@$1(IL=nTZ!_L5`xf$Kf#!40Ym4%rw7!4)CUW%$Tw=Vuhhi7PZ8DX? zy4FIEkB|fV@xup=ULUM5es4&xX<_H+!5{)hDd3)ewY%$ZNQqd$o3%P3le8IRv_a{I zDJ>82{;-jDb-K}W=aSx=F>=@TK${-SX+klZ?^lWg3GA|90E?JLO*|qC0el?_?PtE9 zf0FD5I%3#662Exv27VF9z2T}%g7$(gPbNwM%UEyY8hOJ9b!HmBrI%J|*~YpYBIsBkryz8uB`AsQt-O|p!a7;LHS3q99;t0U7xz3q`RahM`g*SCpa1=K2xlVxetCfi^FQp~N$lgy{0H zU;hg$jpe9^{D2q+DGf>4=^6&{!7p}Skz44zAdU(T3+++>O8j13XsidhVpSMj2$Go+ zk+=l#6XXwm8W`g)vR^wjd`~gQFPq0_xI;-{yEXqR+4!=;T8e1~e ziYsfQgcst#^v$(F2<($LNRX&*A&Yas6zUIJLwwE*2i2etcM+INK_G^S$3w_NI?i%! zaY{zBvPqtgplA>vawQJoYr>$x7`>11SA)QE#6n9fdnHqa{4=fu1L3IiA^jv({q-do z%Jdzg;YP7UH_qCB!+@-a7$*2a;fG0NK<7`z3Oi>)0PTRLE`;2Jh^JY>N}Od^=7;&^ z33!r%ix_4D4**`^=g(4MR!YpBxrBxG5RMqetpssaCCn?ZFZ2NgdFk9ggBu%o3<`pM ztbs6JYH5&W)5iIidYG$5F*1F=y^SPbzkGOR11D#AeP;9~q0c3F23>H@FootyFqw9v zO%T(u{WOFEWDeLm(FzT5LIh!hJUc8om^Ug_J;GYG(hS-Ye_s)zOxcl&ZQM@a8Yu`t$X5alM*?FW z37w#fXJHSbBeus%fqt_U*%Ob%Tp5D!f+B99EabtKB7`gp;@U9tML?cF2U=m^BF@D( zhO*p<^s(R(!`!p|PrT^u{PES@Rk{>~#sWY?!?F;-v#6Ee?ONxi*Ejw+hj;cI9J(G~ zGvqN3_qsVR_xj%BFyu_Hg0Zd(NPwWLg&cGCDrj;LnJ&4t6PDd&kd4F-btS3TugQbl zj}_*`)%}@p;qsZHZbxn>hq0|}EPe*0MQlqD$Kfn(;6&mg^c|k~>`+a}nht3ltDUT| z7Mlsp*(RpRsL)T70C7ENZ=Z&^vV^4?x^&}!a!`cyh7$|zNFOrOEi5;gLd5GJ8?Hdl6>Cxy0+y4oHbfw}b(j=`kYM`k zNc;$a1*F~%2&2sSaM&46Ne)bisekP6{2BmPQ z*v}sqQv3?1Ye+T9F7DsEL6GOdQMjUF{iS}If0z|#Z9zk(cu-$m|D0)xpHdEzhsNtb)`+2Z(IyS3 zlm5+Lw9z}zVL7~+5dM+Q81R?MT?nykDne{6(D#?(F!u$n;}6@{82g>Za=`aD5b>mn z*^%IkEgxZygrYyGyydO}!Nzcxg%2?LrCU7imWGEwcg2eA4*g+h0vWLv`8DCIfIe~~ zSEw<=(8BbklKDM;$$`EPwOaL;LxbtV%JuuRUjoc4Qn`O2l#hn!=9OP?LGOZN=#nN* zHCD5eSJmk9}St9=z-Pm(qs?KwRA zne!lR%nh}>_lVE*7!nVH)zjfLAlAoYT5qE`?sAC)Aro#Z*_Fx%Bkg`CQ8dH*{|I~Q zfU26VZ5$4bl!Sn!0@AH?90BQ+7LX2=4(T{bcZZZ9-6bjAAt521A}Eb?{^oG&{rEob z@B6-g#J$hnGi%RW>sr^EJu{ml@&KDep;g=fqmvwO$RYvSJkR1d`Z5c7x$6l^hYJJj zvBsLkkZE|@3jwreNvNSbuOR1bSj2(6s0UJAZ`v;kZ95o5k-y?84lq!cOmdb<8fB^> z?5Ue|Y1g(=`Xis^T0r}bG5IoPkt2ULs>90ZZX84bwihzDXmefu3GaN)=1Pb~RN-oG z>HDG9<+H6bqg9ldL%(l@)jOjn2dBTNypM;xb*~njZQADS_nSq%VB{X_2TVxMQPh5U z#bFBzvfEeaJV%+s%Y2S0oZQDe*wKzw`E$vbS&E&aKZK1;V2qjFoZEF-g4~fKmy)Nt zuBE@Lg3P_$e>%aFCyJDQ+2AeXVOe=`-X}{I!7d)lyhdYl0@p1 z@hL9Z44K;$2@c8+ynN|8NBiB@EVmQs*bnX6<;^8h!kt%T>_-8EomcD2@jVo!F@oQM zFAeoKaXmvksi+1D0wN^JMK?n(Gi=ItGT-tamPIif1$dT=9imKLs>(Hicm4 zjI~QeggUQk*^jz``QL!~sU9lp>&c;a9-z!})&Gyy>8M$NsW!v}rOOG%wptR|gq+PZOW3E*LFz zqN@Hhq*2*aIydtEsk7N1RKzZ}TAbww@1fsCBoNef`M>}B%)fp1--R+ZtOrqR-hWNbZul8=<3c1wz%ism@e8r%XxEsYFwPW6SB~a`V ze0kn9+3d`AM8#$uG~4ZDzf)&)-Nb&h^A~`;7l_Y~|LTF}osdiSzbyucY5dFL9bobN zUp;gT+Gyv$y5M&i`ikG~lEHl)wA1feiGN%CZ>_)G>VH8fqSDBlLK--*vHqs00P%Tc zh|YcICdF+U%}r6zh97`MD{r;o4|_xfEE)k8jkKoRxMYN9`SrTaX2Ya`tgt0*@MU+? zr1#$*4KML8OkJ0=A5nn@;g33elJ_YNP!MqI-(~a%=^BeG*TbRqr`A6`_ovoBL?CzS z#30=OpemB629ROzD8hX7HKSd1a|mE`TX$0w4x*urZ-aG4-t)xg4xov<;S3ClzPSPz zyl$X)W3a3K<`5iHzuQU_^hn)bJ+KB`==d)e|HOW@20AdM=j^`PysRuQ{F#3j!5{U? z68(Ri`9Bq!u)m=k`5Kh!!I2Lfb9Mb!(WJg$NbaOJAQ!jVBjALO$Qw^^%q2jK!?p*FS?tXFAq1AZdMEHoNmEHbfHgZ)Lrwu+DrF3 zKBB6}zhZd2vi7sDv^(r%!w!o@)RD*E+n3n;g_|W(_mrLnrTm@)=l-vO9?`YX3vQBA z^N6Sg%ZoYbUK%4~8n!dCK>rg^XbtmO4UgHh^->u`1Zhuwk@B^u*lqJ-{6Zp}|;4|VmT zUX}(jV}9gR4wOV3!QWI7dx%AtsdCoEmp$gc1ZGzR5OFL9-&dT&bGaMxHoUwjFonNs z+%M`U$$<%hU1-~_>!Wf6t_-o96Y;U4FE0ZP=pKn&jvF1`T9yn9dy5*PP+Nu^{m5k2 zx%7KVueIWDEe#a z4#VxijxoZ`C#&JspKHf-jgoAyn8QVGpF#qj++F#eSwfF;2P3Pct>u2q4;k^*N$s4I ztiA+0r!13O7__+2&}%~G;bw@s4-M&CyF0qJ_tX(`5%P)F=|4hb^6^R1J}lfNB6-;D zi^~BY*s5)nX(Qy7g!M4x62~w_x3_S0pC{_$dfeKtP87K~T7B3|`*pPcu)iz+SBU<+ znCYqM&npRMdKrhY>Q54Ucg$L`xwUl==)Ds;^hDl3P5db`Qp-s-g(DJgLtj%Pep0bD z)Eyb%Q~m~C_G8BiH>Q3jF`r_2wm)z1M)cl*Tccl!q6$Xgm-IRH{OiZsF4uwBY$)W6 zavZ~-RwTC>d^Rbts%FX7JJs!bN@*i0F^FtwQ{_N|&@gmN)*!N;qjao(>@k7XC z0!a!C+O^0QhQiWs>X4J!t&}U&Z-mN3#K=ait$er03Faalpn?(HCCUy9>cjB7f(O5) zrNPC2mMzVGH3pk*NvsmV3%hRIQOkHj2I3@|9TeQl`gNyt^>g&CZ!!Z7oegz~WZY2n#3dZ8Yb6EVv2X&fbkk#>$Gg50@ zLr6xPQ;i7&4|5O{8z{0Dd}iPCW7|H~6xv?q)ZDBJq2CT>xQikohfW>hFDx451-`VnI!yE&=gp7v+OY0}xff(gS__6VxF5VJp18!~VGH^r zR2ZXEZzPXDNYT%;D&2WM9Zu#dZhrC0$M#ORE%e(0I24Rp;mqas}75uA({JMS4mTBJz zC$?ps!mgnNIroU^&a zJlLp0*s0Y~SfwlSA=Pq!Xo7MC=je!DF2QR zbu@&D&w&}~VZV@GxkAUvm^BnH2lZhO)iAQ;X<%2Ggq^!{>j^q2XRBsTsMZ%N2O21f0CL5(LF$9NxpeLDlrOA`bALOB zv!)?m_ta!XuCB;O>75HqxuH_Xq4g z9xe&U(G=PXNry>2;DG`L@L`GT90T*9v~9jvJS4zR3=06qeG5-(oRVy(GE3q z&#(zs1pp0(^w@!+li%>pE$3S&>7G;gPLleX_X+t2yx`IYoQk`QYIj{=jngopM3{Qk z)w|Mq6zsT<4|LN%J`e&?DU@r|Cg85xv7Jp!e#7W|PFekwZ`;xO9SCu8qxKvY;ml6| zToTWgx2hpMxh8?WJezm6;bV)jL2s++wYQ~9+D4DE;J{8Jl#$jF6w;i3}eQnqvM{B>cQ&A+Ywua?}LyczNodV@Arn< z_}N)L9BTc>0K4LNzP64w!EcR%_bVkvPc&x->*>Q7+b0#i zkW32_1_=ON%OL>WiJCywBA%soFpjSX={*I^9Gkaz9K{Kst0xMF?xinD#}=IBfYpf8X(XdQZ5nkil?FLZmt4LKua{B;4GnsvMZHCJE#L(QG|VpjOl&L#wyfS#48F4o|!#gKw*g-AdECRsL;S`;>%v^4~q6Vf2#i2Jq}qMD>|61b>VKmBQ* z1GyYiqeijB$a>=y19fbCWJ zzQu%WyqTdGsDTg8#W9F~v}wK+i()V~YJW>j7x_sHLB%Sxl7m2BC~&FlN3ks}FRc9u zO;-|~?GhBRyfEKq zhk7jt@mO1LsQ2F8Y@1M3St}B2+DH#AM5GcREZ7%Px|ZJ?lk;9C8aqxO03j{5?!$hY zTN#hZM8M!0X8Mfvv9pN*kwUG@hoYXB1S)UGA9w)jB{E%Hct?}BvywwK>YS?}YUp_* zp*fN9s5rH_#pbaHap-(DiCK7-&RMEeUC}yU&;Bwt+NfHizh6DNmee0_P>w+z9LCD< zu>FpZCrg-CS^4T({QUkeK)%)8fPAfRr}x_hmIy6M6vuH_3dR|FM^%3{|=B1c!s8Sv4a)`1s6StIF8i!pCeBGX4{!C+)L`J&w7}Rg@hi`h_L(?VI`FGF2mPi z(>JwoYKvtbTWig}Utk!9piPj}!r<>OUFnRJ@6Fg;E7BTiee{PUK)GmzYlbKtd4k`FASNQ1Q za)_4d4m4af<$|fOSApR>N%!*xe#zYD?vtFTMMKDu7(`qYnc3fb+4*ItiNMRTm1^P) z9-KewH4fG+<#c>F`HI)m`RB)gLyBt8Zxr}TATQh`f%JrfwMI4>Jg>bnsvDc$z7*t{ zQLsvVw`KV8vkOZ_8FHZlM8>Mkw}{8EjsING;n=L^GHtuMS^wH3YX5S1{)z0*>Plrk zjOllXcc2hH!x?!*6+=iMVyvB0k^nmSUO)~q-a??UuF3&6jQr>4U@boILd>E@gIZXf z!N8!n9MXFitEed2M*6*X9uWy;!9qBZ^kX#$qov$GFBrl*-Df*n^7EMjkg0v92vS%O zl^161q4wiZXWw^-cgA^f=}AK6Lo2KKm$c~aTW}Bm#M5<+h3+HYV-Lj-CBRTX962Ph zQ0?H-LFjIAwLe67~fvh9Nziu35* zqBTr`l1RE-3v$_)4{f%`w3WPGt5W$W3&DdH*^rpKVn!I@1sUrv76BYjNh9Ej@T^1$ z@_f)e{U+gOuiYJlxn<04Ho)|W!HlPiH4clV+HEnM9MNN0LqB6hil<-nWHMCBx={Qk zu2Ur6E-$|wptFc<+hxiK1|QVFt+im0Xkp|#WKBOtNFNCkA(&TzyfutFuHY;iwCjI4 z;GkH&pV%a5wHmZRmflfoUBq<=Cam^y(nersY137$)gjM!-rg`dV95T-MZzY8fpKGGiZq+syzH>oS{15?16pk^e_>4TMssc z!M#P@&B(T-WNbIg8Ls0xz$pM~=96496&pdPCIHO1)K1u6C4frAgH^&7m@>`m3@jmvXs`bSPOoDm(?t^NaDmb->+mv3Km_=Xh=MI30P9dD#RRG4Sqwt6?LL3sz0zIA!y zBCP;H(PbR!I?HN;a#&QLepvp^g?CQkls14YQvZ| za-aul{AhRI93}cG5gu+@G&I-`f4#;S=t2(a7Ew`JsoXf9a*O>4_^zBJ?WM?!FR7*}yI(Lv^3D zT{qRrOQx)o`>?C1;BSRKle*^bdmju7Gqm*FE*KAeOm5#!=g@#}l!6gQ{+4;G-qXUp z9nM>&9gGFO=T=n9e4U*MrWhVRQE;)g@tU$C0AaaFuZyTvczMR>4b&?o7HZFgLCYQw ztw2Qr`*|M`w@5jX4b#+Puiu_SJqXOH{r7MIRpR~{-}5+e2SRA*N@!)&a;cLo#6puV zRIu0E%U`Xtrhey-aR2O(cj4upI`x#^jJv_$pVK-SO*tuT(V$wX&5%ti9Rl*4cx zQmIh+nSk%JM;ZSFeG$TPyL;9hjTexUmQnL5wI}MlLY7*HcHII<3gj@scjV>k->A*g z-p(x2Mv_AjP6PE&C-@)eGd%)0!A%0tro!BC7ZaK?zK*5t?IatgJi!fdpN{H_?#g0W!^qBd%w$oXwr@M(s<4MW9m=Ayy}&s zd#XCQTjGWvx2P=Gbl!~T_~h{ziroq0Sh{L`Xw=qql)>NVxqf*@uMl$_;-37V$}`Zf zO=j4GLg^vccP;5Df?WXeN>PB{xgsHlt#4!}`YdWkRE@k{%hwB(NZjohDCT>7>GJ0g zFvsU$Vc5;gOZVgbPGXEKWd@iRv&Q>{U?SY*Q?8;T?CQ>mIoH-5V}sfX?3fd@nN*5@e`rdO&_m&~}Hui0dVD40#A+@Ga_RU=Wae&s7rPgWs^PJ3sBP%@yWBax>)edua;;|H!Cd?)r~ZCHa*U zfdZRha#F30rmnvfp) zJdWXDEf#6t(C)c@?b|e?l<&>0ah3nc!VrTeCj;9Lu@FB=>2uvJ?;~mN_Y$ux+wh{};`~~(4EJLtQS;lOtcsHB-GTd$!$s~N_1+MZW zXCg5Q?dYvINqrWoa^ei1hR%lm7E*Uvu~+1lz7vy&bdg2(+OH-q9y?JvBP7)eZXsY6 z3R<48>zJ(*$#UgcBVvURUMfq6A^As6Kc9V^Pf>H7I3{3qjUmT+g(tzQ#uxpLi#kko z(&n0$3=AIZ3~@Ieufbs)4~H>J)p3A&+tkn*`pJhdtfCD=L+aB)$g)lzBrqe??ukK! zg~NmCCpauFUz>>P(jfjjB$LFduvkiDj{5n-z-leZ6TJH{uV0!5F5zD1WE=)Sy6zCS z&^Mj8O=X{;+PBv-@fCQIYDv0@Kjlx?R?2NhdS=`onH~P^zK|8E8_=+<;!&T}CaKC^ z-S=@8@=A<*AuNPXvLf*e3}4;5lasxfhjvQQdJt;kfKL5guPbS5?-2$f`G`Lx@JVFb zy+~sT!FQa440L3ex&fVP)9eSgxl*!=uBYA!FOZWgED}S#Sf3+|d>|YYRsJRMP!#DE z+b`nBajM?7h0x%q_|k*yJ{R^y2$D6QjW5h}ANhN~Cj!%Qen>(tO}$XgWQw89)=ZHp zvIuJyA{0oaJxOFM_tftP;)==KqrPsF+(Q%k1(O#`MD*_Dwdbxo#*6)mQ4uix z1NSKr z+sOFCcu|gO6IhX~Z@O63h=Znh#-!qd8Kk#b->Mt`t@_g*UX`Pb2zvc|1tanZr7G{^ z_S%7Xrkp$CEkC2~9iQK)!fGrbbvxvg5S)C21)~hicu*`ATrIw@{Lr#5xm9yvaXyn; zhB}BxrezbBC2{X#ah69mp*1&#y+nk#Lb%T)lGNTit4Ttux1}%1W)E8ozwJRRC)Etz zmLikw*9kv|VJG8T);@oGIhs4+j>_jqXvWwEHD-|4T)o3S>#wlNqsu-Qnq~dMfV|1; zQn>qoN1dA721wR3H(-Q#|`)vrH)eastvATTeOQ?$}}jawI9lfLh@O0=#wH^4ux{`~Z; zV!+ugdFQ+MP!?|s*RRj?_GhBYn<0wUj@Abay)~6~QZrk-2|wKhJ=9_SOCcvU6itHY z)&b3gT{BCC%UU>87Y80E+mlrkS5GNy0!o=o_&sj3SGPN(g+20cSqP{&<@hPn@2$h- z?@O2UyMjMgpjz5&c-F51xV|Bib#Mhhl zvRS{&p-SL4rQPi*OKxpVt9NEJUsN%9pSbYZAbl5Yt|OLvH;+r%qI7S9XCa8IsP_Zy zui&f&83ALO7lR)tf7#C-c698jR;LW6(dOi_=Duak^<{m9xD?2yx-DZQFljG4S%I@~ zual9OELYqh3EwXJ=4ef3bVtX6`I`t z@p1M1*Jhlj^h{9Jz==@e-j7EsX5YfUd`qfa+|ai64tutHXwdpK4~2aTGCfEe_f;SF zfk)W8-7oVgTf?gSmxqy~`i=aaf{plx%I4-&U-Xb)PG>|`s^}jJCT1kGqu2! zPiKhqX(|)5<+{)u4Qan6{(L2t_l9u)ZYuavz?CT}Tf36WFxN#f8f&|=+pyh9LUt{d z=+cw^-J0iBSPMU%bT5h1xxkw&m1P5WL@{opoUXkwrDa8dVlP}iKu2`@xG=YWG1Y$-cmDREYGa`3?zzkQ z^X>(3IH0;Q(Z6^+qTH-Yi@JlpnyxRV#)k zj(3huciW?e{hPymc|6Q%g>bHy&!VwKH=poaII&bM2^ufioe&q^BlGHu!HF}3yTwdj znMd?4?W^`-*fVnzT3K)kA!i5NECTq_;` zM+%`I@rPOcpI^XjwnkH`Hx>S2?=bD3zMnPI8eLvNx5h?Gf0&Mqt{0T0e`~*-(*5i>a za+XfO{ZKt?9m|kzVinZf;3Ch-9hR<`6#k$wMIuwu|y?o)6e)qfOOOkbZ`N4qn z$Yq(*N)M8l<)*LNBE7PE_LakCF?mmq8WqXBo(3l*`|(`((r>w^f)WVXd2d;rU?@!= z3s{{@F|D52TNHe)Yf;ju@?Q_0sGzPo&Kd}g_ahPrJPi#U3!dP*XrwpQsCpUY#Gl9B zjyq$oUCAfW32+b(aA0~$`>OxP%av&}g79FTi;t{UCo(#Unu1?>E^O7RmP$WlVvCXz z(F^zw1nsrbc4w2?4uNZk zXwfgw_-R#oYwYQ?LcN1`o6pS3KR;NNnQ`(WyP;`zHiR40=z;pXh4TTnAwKX&`S$_} z#z+4#hu-SzX+OQTQs%{{W~(=E+qt|?Ir>^(CpCLSuOfJlt;*vCnR=03iM%t3hg%rL zT0Q`tr2pn|YrvlvUsfyEP_MKfp`jgI%>c;#2VB>D0xyehj?ziL^k2|iDsG?dsy-F^ zG^i~SDrS}i@}hUMrt9jp^d>EzR?nh7`Q4uy#5WKNs{xnA&vfaW z(i+Nb=;{dz%$dbhtXA)twMWc=IConEKdc7D%i>cUa86xHae%VBHg~dKH``6o(u}xN z!om3B)A*7;OS08TK30v@rzyZH;a(R<@PIbWGjDk^g;jITDa#4yo#L(y05m=8wN-D= z!+A?sgjW&pHi;F`SjSoNEAX~l>w~k46*xt8oF(8tS@9B=GN ztFrnOr&N*lP+4($f%kD+#xD(#(CD%)_(@v%v^V@CuE4%_nVer50XxzIL4z|8QOEhd z02Li;L7UZ>BG!PB+d2fPynuD%e+D+O>y{j=_FwS)Loom$YguCg*H3dPGL23-jQSz7 zlNBD(A6F0DM&fyrZwSTeMZ40py<4M&9GMp%)Aa6Fl@b5+(BRSWFi=Vv{nVcm1XhGX za6ZOS0WdnuvQlFK?wrpSt1o|>Cpnh22HXa3){Br=?SBzW4d5BzajZ{tJ<})Pz5hCO z!Jn!o8~gg_-kbk@omKwPs?nTHrHpCqmOwMMsC7Rm&R_#izU*NT; zA7u5<X+C@Bnr?6H9`bMw!W#Ex2lh{^pNuYMPPH<#3|-@qKJb@To**vN1dy$@AvoEJWSMpCbp6pxv~d9~hHf z2PZG4xs*dOnHz-!FJ(gpsWGdr+2)OJyO_r*hiqF#K{?&MN<4jOmsz&RvRPjFwq9A; zQx3^CFS=}xXgb6CGY-p2nU1kb*RvBfj-3a4q`{^~3E(;zrTW>f@}&T)I>+}no>gv&XlY;OHA?_!y2YN=^it9fb4k$k1q*A9&<5KPCUJ#t*=1gVdzX7 zb&TuN-(009SH9G3r5&)d+u!l555)28Sh$EP$&OXRuYPE#FO1V+76YW;M?%1#@lGeZ zo3BMK)cj{kvL|;}NJ{{}6A`>(E~*jj}hxfhexXmuD0<2 z>Kiu|`R)bU!c9ILYCGim<#JKxxQJf(ffV(B(i+ON{q?k8SryEVjntZOiBrK>O-ED7 zT*XH3LwJ0w5UE_Px*bw9{JIY!6d5_Cs4bmXYJFHem4)0mrwBOCKPE{d9tb^r$Ek?g z`MWxByfsjP5LTA0p28d8Eb371u9V;@4 zbl2EhQV9i%eu;OsR|H_HaEYnw9To&h~$Qy7c0aS^e> z{4C$;3dQU9{cq{BHLKXt!vT_}?3**k4O6f8fAj$FVNGy+mbp9~zuF$iddt?hA5JB| z#%u0c@fhj=YObzYqPODLj{_RewEwMsJiI^7fMPW4kKXPsloPulVy_oB;q}_6*rI)C zYJZF~pv)Xc>MMDbO)#eFDhUSLCvh%6ZN%3cQ?W6S; zy2Oy(OWDdh47Fd0GWX)4j(v=B=jNB_Dk7ov->NTFMWS$eZ}(Mv9`{pBRyeuc=HE=L zmvgBafLkaB^n$3^kvz zwebdkteepZae>Guo&jyN#+L%z8!wJyO995V^c0@le`MG%;2~w{`B`S~ zF!Jtmg8Wv0Z>NA5Y|(q2G4YR2IUaPvZ;p=4q8@2W&OP!IDF(>}PG5n4%F>KfS zN!X&6{dB36|1(|->4ygYk9eKNE(=H&o*_7atbqQ*vXFi(K*graUnjsVaA5>53FLzy zFX(mu(rMIx!@eNU1NJRvw1($+yDy=q954H~rfuO$ZRVB3$J3i!uz|<&A1E!qNr5A- zy$}(eG}M%$!YQC?l(kf(9ndtX_4!L6*sbNruEx#z$h?@^t@N?Wmb$rhK}t|W`yBj# zhgaR3N1+46R-YnSFvS<%nHJpc-Z zN#38_a#FEHmt@XCGU8P&U3YW1DnozkS*z(4M9Af-+v5#a*B6g~qWiEQ_|#2!;|Dqt zY_$l!yTJ8PgnmEKe4su{UE=;sF4_J^M&1PGoLODjcl(|i>E0J}YgEl-RM%E=gXbn_ z(}-v7a^Q=<6bhH^KG0W>M`78RE+0G}i-uY)N}GrB_*6q=n+q=5eOuhba4d=ui=4Cg z=kc_9VHr&u2FycqCHG4|g*aFr=A}5~cDqXnV>+R0mkW?EjI~zaQ@#%ktuT5YIZgq) zr@fkKmM>CW@pQafQKM=^_&#(0wd1C%I7OQVrgSW?56>7HsWzgy%XWMVy^xtSHN|SF z?wX_(3HHH4d4;S)EVSYSWH3t|#Y1vO`W8FpiA1qI^d;Kdui6Ttqnk-+Wfek1mhHiA zOq2d`hT9|>mRAP_GDuo9{D^+}-`)(w?-lFKg)ZQPE_j3<7iluD5F(IFK2StlBb(hz z*|SQtv`&**V!AkPVrPYYH38HN7u0e$tvrm@s zn)-04g#w&dV6;*gv}AGy%HiY@U|DfgER7!#O2<02&!)XDlBAIND@e`S0NPNJXPU#t z7QZDEqg4F|go^xF@?JH}o{`6S!NO9AoJG>qenc2pxI}Gzbx4+P6J`+8(9m4Y$bG(Q zNQd_!4y)m#cQB%%Z@8q$kTdmUl*$_HhOd8HE3mH-tSN94&igr@%jETwdKqkRsDRS( zg1R?KQ`3(RdH98~vy#iJm8D6~yB=G)hPFD|e6*K|IUOn(dA6-Bdzk!Jv&vH?12Q^Y zUlT~5&-&w+-^C!d4n@`A;~3*#O7iNoonF=Zwe@o!CxC5dxVT>sHQu(bV9Gb5Gv&oC zTXdGCF+aE6ME~4z!Dum3EkbVNHiLXp{zMKEushW9cX`#`x{~dCQ>zp>dh;1N3A{y8 z8Uc|+g>PYE6fDe3h;2PA%&3^!cKo-a)!ANdH+&MWVYAWFm_;ylBEd`czzkFnLCI3k z!Ve@>N6?Od1XesP;TCCwV&dXUZxCVzvf83}&}pF9wv_}UpRH*v_r)mwdWoE6FNIaV zW=A*rZ>{7S{!(~+O9XVu1^z^M-TW&_Y&*8=`#5v;pX|DJvg{BgmI-Y$bK3s>;j;dd zVV^50m|(BFgzxI7=>k5z+8v~5I($X)*#u^ww+7qt=vF%pWl4;4U=L_;)5 zAb9kC)PYNHerb4>qTb21J*_<_+MZSwgF0EZH%dO+hn&Ks(BJcEv|31IotalU3kLNN=u{riRM5vUtO95gxrQXrmP-PQNnOz|0a(>&H|w<)dr z&$-vy5~lj_(YplJghs1Ll#&}an*0i<1S#J&8rPWZ5Q5KHsnS?fzLBjJuky4fdz0jb zUX-R2ft6t5H}QZr0PNZ%5iZTg>!xrZF=t%y=RO;W2r&vVjUpUWOsBFUUo>=@$|4d5 z6S@M-(jt2mhq?|$jnsFIx3%Lqczww-ESM1``pBSUOid&CKBZn?%gLeaW-qDdRB8u( z#p9q%WF%VJeie@)d#1LC8*#1K?(Jzj|1I%_Ni>Jsim`$%} z;+wImq`l~=llv@-ygs`0yX5?9+8aAe1T0H}uLv5dtrSC~Ll51%#VegRzix&pKTo15 z<|^Zo+LQhkch7cEnS#kr5vAjq;>il0qO_KlG&RaAU;qjP8*9)mOFu9HDqnxGsJMaPh2cbqAY|{0A1bAF%oLekN9n!8mxXm7SrN) z_K~l276La}f1dY6!dn3pA_FMo#P2)98}jo4Vd&8R)4UgQl!KSR} zd4b|!g3P}JvH}Xx-V}YE?)J!is;})HSomt&ih$?h&~6(UHi#X!)t6Fb>fuRhc~Frd zQS<;3OZEXqgoEXz=#rz)nfluGD|1K*+m7S#&; zAfx;4&l+hM{b3*Z@5HYA$^~N;In!!9$w^wL?ICbd>izfb+aMwVko;FfiesSvRMGe3 zGu`&K$#{N0SybF3wB5s38Ucv((!BW6Yo4-;Gy-D**WqFjn9_hj-ijX4!47cpktL7q zLu)I=ATL^zVB_Q_Qt(NpO<&|3zWI#d{6iqAfVT1hZINrBdJw;ZnK215B`cT~WFGC_ zP{r?u!swDIfCP3t@)=h{5Hc4UUA?(YKY>^NRN87A6%LcYU$SBQ&^qLK8L(jq${Qyz z`%?u>f4~U!$GMooMafE+A8lDwre`rdX)Ta>4Q4BTyDM=^c_>NZR?LW~52ZNS^ z*+A`gw27wiCqj|XCxX7_S3wdG2Af3wF?y4Kpf;LjS_@%H?P>U9YALh~rf?j5X*PNo zAq64FW+9=*AO-b*F5gDzsfFO%^&z$iQ0}N9{X-<*5FmkyUL^(c3C;qJuDRBF&o$x> z3d-`O!!ZNxzm2Qk!$EYM<^zR<>&=^fT=?qM#>Ngp%r`uj4;c_f8X0O*IY?{FP*~j7 zKuVRFM*BmQ5L93&Zga+Nu^D`M!?i&-Ejc6M7mXA?+ zD}sCl7c)>GF1H#ODF<3wrfJ?L7F6*ph4=u3AV(GWI?&YcsT{LTZ8lQ8Ypek<52W-H z@Ga7!080rBv@vk;B+axrm3w5>h@Jz@^1bP%^st@+U;YvVZJ<^lfj4T!V#A3%AMDKv z)t~k`@nF$4Z)07?moDNeixvlBWuG4-YZViv!yFBR7LlWj$Gx|hkNV@=ZC733kT!rz zighaC2ziOjwCKy8Sdl-_RYm$UWlz5H__f8+eElPLg3$I0BD^5gmY{hHVE~YS%=P*_ z&#@Yno>?+*TX~g8fJqKw^LWv>^o^`{=y#&oCl6pt!-%cVAV`8mOdN^D^wSb!F1rN| zSsAj_=!>)c+<&#!9eZCS^dUnEIqF^&M3r!Sl31E<8nABw?JCJ@gkT&<4_o&mgANDa z=f4{m+Z_i)m)i<-K9)>$Qe1Hmdq=ziqoF7$CNsXY;SY-sp&z(5&4?#u329_3d|8)*sVMI(|s z`l3lwe~yB6F*R*7`D{8s)`{$M=Ig1>f}L&jZ`Uw)_=U!XaPThmE86^v^mtFfzcD5#)@Z-&kW`FvEbhI&y^XsEwkgu;y{(?@lgET;La%8rp)N!H1mOSX z5BOw9Bw(v42j6~vVfxCCi(c!nxjA8u=R?#KAts$U_&6;B@W@og`A!ybN;8gcc$F3e zVtcS<6Z8ShAlw11$C6cRA}Av4md)G9TN*~tIt2R?ABj|ZSXngvEHo1n`9K4MmKYD} z_{%}h^cfx#-#r;Oy@(4Bzq({|m-S53ck=RMbjvrsPxJf;`zvQdh zizt|ucOJEwDU8#AMLGAHRN{bP?4C*IPlNZ$X#KJ-K3rUV!7womU3FiRxR7_}dZ@U^ zY)EdjK|Ota1wI14#e|UzHV7Na84LGdlgTkqH@9f0Tm0=3hj#sCm6p_pr3lQ052Y}& z#GW9IXw!jxgkiD$D7!&W9OuQDsKIx;4;|!tAD>l*k{x zFS8#!nGmw>a-Q1_Xds)fd|n)?Dr9Dm%!lIf#a(SJ)O@-$Lj3x2=|^+TOzG{uF#d}@ z7clu3>@f7{jmmRKZ!wtZ%g^MEMUJTgR!JE>xep^OqU8{fV)>3@D``%I`y5x`g(A|u z&*|>2IZNlD7}Cp{!Bg59&}hjc=ckmR?xdlU!eYh@Yyz%x zlNHgA?s}3}(+dmD#Js~^C|l!Lkqx&nMl?~C;eV4)82RC?GLaM-ibO&TG#Ce;#fb=xyC3Ctqu8fv$mlQ*G?bb8b}l(}(F&T?gZRfdp6ZZZL}a|w3tjc3*E=g^ z5#N{QrP`G!OpI664OKJ9fe7y@EEFS$tXJ9POf|3^Q8g&d7vCTIhncP?D6S_nkfY0K z@q|uiwRFTTx&&T)K5^ro+%z=~atXs?&^Ke2qbAu%`Blt&%9jpTz{_98H&byx&)4Zx z9+N)r%`m=AhEY>gA6A8$<5L68DRItX7;L$#%E(bR@lxr{EPU_Mhf<1sapWXFgX-5z zDxF0hy0883xTP#936ERBg~5?<6}+&S3d9*rMMV)Tw(<{f`jw?Ti1iRo9eGhYm^2|j z!rPo42n0$Y+OX3iplWFJIw9Kz&)c=3;G<+oAz)|WG59E9)ssg~KRy;JF?rYcD`QH~ z`PGqRTkvNpUQEv6`~1~k{qi4xb`CZF_tys`$4E0BjEnL*hJ$eZy9V^{_8~5+wEJ6NTZ+jyVpywMbz~mj9PNkLi}>nY;VD`;XK?v=AW&v+Hf+F#TXrK z#IJ|N(I61NL+fHVf`7y>ZKPw7j|#uN5ZItiN;aHCgAmVC9bsy<7B$pG5vX#ica07; zW;T=HyS{W%RplUs&LVI9okD+jiyYk#rzjMxb0)tyz%aj!1zK4UfeVmJioH)+c&^1p z+}|KE+xcxs5!0%=oodwoFG3pMHCmc3MIzM)AV2kr1nCj-8|uFljpfn*Ry2D;K+!l9 z7EYZ5`JE0Pv~(mcMeyo5J-x0@X+-s%G?;VIPl|gFd3;vGe_=(dJWDpmyoP^p1%EJR znx6ir*MsE7A@R!NDUhX1&=kHkr5kI7X5hrf?IIYO#K$2=7fGlu#lcf%a2o$iVM9k6ZMsUEkXq)3ZCh>Ed?1HhED(uY6hpMUn5pMl3yZ z52#_SzK-4clz3pAC9M#o&HEs222J%({l|~$Binz=U63>XUj|p`e^(E0gS9dMh+Z{F zPtH@2KQrD4-Xn694lvv!0ltk8fcaa}tYu7{Z{FbIoh$_QkEA(Z=H&WoRHg46S?%te}+?9CE3YjJ6_W2)^gs}T53^V z^KCdMx<07^QzSPQu12DT0pEV^5XtLkAg_Ub=vK%K76-yODU4`_Fd`7oFi_+die8{Nz zT<5m-oSti-kc98cNz=Pr{Nn^ur~RR!Cu+PTO z^=0n)flq2A2xTW4RrwvHYs+Zb4iE)tp{vv=4aC2Oe1sZjMLoxcMZ~du<EX-)Xl6KR?&{IiXbaJS%g zd0z_g#jxujaU@jUa|{9aTg{+cusvZ?#fYOxKi^E|+kXIQtD|dWQN}93j2;!#d^&8) z#LGk!>3L%=7Sr_I(Hzg*cc1?O@OET|<;7Z|xtO&#fAzL$e}ZLxB=rxo)WB@b3$s(aT9>4x? zL;XVx#FT*Ly7FEuksf1)0Lyw60+odlyYb(v_=gyXBFqF0KjktsI%x@XZRxkt^%w;v zapV8D9)bvV4}6D1d}<%YkmtBq2n*+ggkG)kWq%wnpCn*DI>3Al5PSX?Fk)jG(A<7( zq&H`!Cw+ju`EO(XF^O4Iy+vau{X{5%Zh@YLe%|IE|0`u#1qUitD_V)WI6!TgNSt*FT|!U1=tCq0mmlD)@{~+2VvMi18=efE1WqE?A7(&c{=W%uNFeA3?eKB-ZtHXQ0b#uA3t1=^( ze%sh8qa*M5!P$+2=ON(NRacZV|NXhi&0gV)qa%K=pSyXx&L{KtrTojhH>Wqr`#7?t zMuqeb*N2BXhez$KcT3hKt+#u-14ogpouv;y6WIAdyj;pyeJ86F^oO9P`-?Fg>t)ZT z+mnZ-psT2~`;$iNUoI7Ft*KdNH@2!ZQ@c7_$t^BtC+o>NQR@$z**)|+9r_BnddQ#C z2CaYHc4gD^EqQxfJ(NZf{o1!C_G-92qfdXhYOMV=Q!@3-PxWL~=P1vNH&B*H!?TX^ zF#V@e3i%V$gq3R>w~{ZvwBLJRjVe)mLD`mZ!wbcUBBJ+l;eBTnK9;%o#<)5s#cOt_ z*MZ2urF<+#ZB9Fk-)hp_nmW01p47M!JY-avJ>hz**gQ5iH=B*&y_i2kCr0s5Co*g` zm%L;omUkwR?v$NfSqTBxPYm@LR>$t6pxE&5i9g)f6n791?&b;G}s1LQtQ&F5yGk7IJdK7aqvGmDa0@5m5(pt zMR%Z(*otuOAv$0PgR=NfdT8z1vA2C8f+>1^VIhl?nZ?wwS&P!x@E5LFfk=g4phT3p zJ89xH{;{vY!EMjmY6k{0#D`?|m9%QfUJXnvKU;Newtk9M391#8tSR)wf1cnrWvx+4 zzPjLb8}9%;{V=wEzrVzz=;!n0`XYTK`VA?AMI0?D!-ES5jbji#ySdt!;Q*Uc&%xmm zDR+UCqI2T6CcPnutz8fe6yv+o+E@UU-VXfMRZ0yz<>xvur5~c>NHX&))E|wT68$-Vza{QsWCZ2Xc~Ws=(qAKaG9~u3EN&eUX*> zyT^o$NP7-}D4ZMrMQIAHwrb1yW%-=6l@s#iYKJsahEqJ~4)6F*aODP*{I@W*$VcAH-j_l)2UiI%AhF3VY&%GDXDR^!!=IF03 zvU`HOn@hGZGJlqA*l%k%Et*`dyMP9?p#)GJ+19P}PvO2&na@4(0*!y-iK%aVv!Iy= zTQKo3m|37p_+AWi_`FZGHAVECGt4eO9d zV)gs&P*o)L-=S514)~GBe3)Me`0zFHgSpyS-ts6`E%lBU^*yVMeT&=G&PkzjDcpoj z_LNO|=`WA@A*dTK=$i*ZTkqvW)FDd#rRHYO%Z(`ZT8386yA^56;jrDV%2N8}mV1}0 z-HF#kR?AB$im|*}?#;JQrJEQPK~VDP!=*B{+Lk40dOAA13##r1*1MmRSIaBRjujSL>FrLjF-1CsF0IIs>59Zx2%E; zmsb2{kT2hHTUZ1q7SQ<@fgE`|*;b2|qt7@y(YA(=>-&o+8na7IapFeOnf>b}lrQ%k z!l^G{X=+>^0y7P7z?$wPgmTW(FWtlz{XO2S@SV`yHP_k6{YplB`_#$|Mu6BZ%N|WR z98jaW1yF;1+Z+p|z8Tv-@&3h@T-Y@Ri z?!9B-^(ZT^DILU+)g15l2KQat2Qm=b&_%$T7HOwSv#rd+(??8sRN zBi7edin#U<)i*1NTE4XZHe7qa43mMihz%X>Qaxbwqmc^fx@EKtQ z->&@US~~Fi&$Y$_k|ODfRD>ZD(CgDEhy=o1tc3MTEB>8fig44F$RA9Dx;^*GWY@)3 zJ9;&Kuq$Rx&l?H3Fk_j8aM#rMfxyqtjcT>d*HMCd_^dzO;ny`e;#u#WcDfU=`5zuZ z4ee|>%{cJ7Ob?1X$a$X+WN1JE zqN#^H=d4r2D_JfJWWH_i!A+ix|y{uHQkH zz76r+lb~`>6LyYXE*RNorR#N-O6-p)y`Kps@LrPq&Me+Kh=Ejg|2#jhJ&mK zJnLSK=DlExIxM`zO?Bx%H5%onN|hzHAn^ROO%n*|iUc`6#D?!)M7ns~1dfIT)b08e zXJ0+I-SSL3Yl!8==i~y@Wki1J?W48eKPTb#EOT`b8kd4l#T5RZ; zK}OpG21kNWA3!O!jU%16d3Dg$-fku4(&cIP#Md=DO~|~L2fZ2}4&Twq0H1nJe$7VT zhlp`oIwoQ`5dblld>oVtcWJL#u|C!Tie!`dOgcm1tHx`u0G z>>>Mv4)BvmJl^L&ulM)bEe4gQaBEVZo)3&D>Vul=?%b@kO@Hl_yKg%35`QfoIqd`w zUgzyg62MO^8}FUN#ZC+a6l#3VE~duMe9H7WwFq*hHJwu>D0;6u2G6eBTiHA=DV>|$hoM{IBO=f1 zp@%V?Umng^rBTk_uICSjM4Gx4b+@||b)V87&JRb3mp$&VydD-7oNM`kf-uVY>gd7s zYWJ#D$1b)1?&h>{0VhExz5o9FVQBeCyXm^;;9$g>Pe#km`|4!Ld#SltMo0Kp_u9g* zi`Dhz;!;hvZ%a?ZjEa-3YBfP>RU28u^sV)Gze+l)FU{YUepou}ZjqVZ<1Z;8ZT3sY zz8cn3z56+_T*`NM`rxH-TYI!J6%~Z|c_j#OrDH?I`=aM4>8Eqh!ul?|Y%6y}#)-4H zyPY6PWWtD5OXGdVx%9G!C)4%$D*aV0|GnL>vt(!D{m80s^UcM9dhBl&mdvyJEwjzK z*c!}cHjA`|-3W)1_Z>O$6Dr@^I@t~9rU?wC$JuJ&)Go1DZLc}KUDS?Ts1;l6NRKOb z$7z(+tF;4v7X!!1!{K1*4A;G%91|gA4{NZOcYZr*Ph7iIjEZP+Mi-1(BQt(*V>fJ6 z1ow=h$e?Zf^t8RHLCOvU*3lf`EL^@%oK9?oHQKaQ#)mED88s`+sGY`Kd4i~t{X->z zUc2dIz`F6Wm-FpK<^4o*-pnn=%>ciaj`wXoK^krd zL*u!eHidOXl({uX(b^uQ=uW6^T_wi5t7ENrGm~~+TKo3i{4Dn=@#QiJ$_%I}546>k zce%xT!M4p?O>w_(De-Im^TP$(H*ZUAxz&yb4H(KlL}zJk$!A150+#5NCpq4g(!0wp zkPvs(tQIB$F+mIno*(M|sR#2Te+=&gXw zv*RO1sN?frY@4ll4n2yv3&1?u)y#PyCQ~ zef7)N=G2?7$=&

    7?4_3{zK=mzNdxjzF$U*;6*K6ba9iCmnNLJz1YX)4Z~$zbei7 zS>5y-Q|99BzBe=K9|;PtaDLU@_M}tjEb@0H_{ACubMrPvt1cVkpxgT_3T355YXysT zh~AUdMh}MmFlXy!vUBIq7B_m)gV+aNH6f|zC7>71*0Tq|U?JQ@hGUp#72%rnAvm$S zGd4>G+ua-@V%6xe3CEeHXDVBzVZl5D>FJxXr_K z0myW|J9m20O`XN~1tps7P<i(m3 z-ZJUB+${5LjA=;3hwVzLW2suDMY@bEa+h~$ZVAxfBw~Uec z-ZNQOl|&q@u50T-U^;1ZJ-4B%nlfRhF4S-1gQCl3WDvd~l?Cf*hY!MPhQzZwAtwX!d)$Y2nZCEL%9 zV~05w-E*VBB70wzk+tnPAqwm;L1_`8jIFnE3UZk83Znw%Sbj;N{sKdq((TuWc0+MrRrOHAm(CjL|9(8ZELfd=Jcu^Nl6^KA!G_fUQV%{$?(b$`cnM zuIr0WJk>Xk7iaADk}1xfUSK}yI*x74q+gMS(L$8*&gmFz$A-f#h=qd3eRrAIiNvF_ z?C*<=HZITKiwav)J-c@EpB^G+shxV91u6=Hd!dN1mKa3Ec#^O6LkcZF z6Bmhy$MH?DaT;GoXLb#mtjpMK*7@;D47DK7*$&nlqU!ZT?#s#J6?q8I3jsKj9|1H7 zkPiW(Qn)WM4T{?Q#0p_f2FaAax=hA9AI>Uv_-%&*z?ldD9D4i)LIA)X0tospmgfbE zjlExqwU8e{qU6WM<749KWaH;dffGwR??`z-1w=D2j%Wb-Di?CkuQQjm?GJS8Ln z+hD9LD@Y8!;(BgHs;LV2I$SJX9*O9o7hOhc-(b}|z^2k(C%ChMJRz^hMr5`kd08KO zfBe;3uqy9s;RR;Jpr`F!)0ah#LN_=&OG|Vy6~rcV&kPVJA|mhI%Hv@^8TX~(x&*{> z!E46SavfL2Li6=<0t${K!if1Kp^++el z11x$v;>-6MdYqYLOt}1UuX|fx0BuNA<8x?|gi$ z)L^$!-!YrEGx4U@m*Vp@+xxU?tx5jRE~ggjjT5t`9|s3?9KOdLW9(BS6tZq8BYMTC z(B6f%n?-zH*#d+ME-vX|b{p8CXSrue5k17mR&G<-f}`;-{mg#;$|ec!En1+aY^^aBQb>rn59+PTatXi6;;%5 za7CYXw+nj*>-w$XQ>R^n@|TY?MsMa)yoP1D!}gS{sD%wtP;Q2pwo8^r61D0T`-#=u7aOTZ3LrJQE{+r zCY%@rU2n8ebt^Pc4f2LWU>7&ErR35!02&1xn7KYjc_>Je%f^fXz~G?O<_jC`XW_SU349Q2KWsf%`tf?IXXUU7#Ff0bQ0I6V)+PbRhlVr zrD;BFP^NYlMZz(fyzfZtE@%q)2d(2x=&C3;W{GnTZ_z_}g}|SYf^tFb-^qL`02c|x zRgFxGy35KM%oMANf#rXJD?f~Y6cGSxDnEBuV!O5At-N9pa9Rqr|3$<)z^73FZU(1m z)vgt_h_P0TOuurcw>F+B=FmBkHwITub%6$YfvLlt?nc}>L?3F;eG2-a9rY%xnm*Z2Y8y<~Me7_ia^U-Tm>vz1~4rvvw?^mWEWsVHa3x7tc;nF@r!)Lr=kx-e_BO zCOUZkzWvDg54Q4qUr)Q;ZZ5Y`eE0)n!gqsYQ8J+c>aZK6MA)BdvIE? zgha&+ra#8c$Hb1_$eeN}npz!tv#fsWX;IL(iV3(g3@ukGBf6;=M*EoegcwwLHs!QR z{#6lH^u5aJd^yoGGHvk<&AL@siy0(V)bGs`Z$Uy4hH1OKmWB12wFO&3lInX(=&c1Z zhQS(CJF3js3zan80`76w)0r$G_O#}&Eh-zb)Qhy6jE}dx4<-u@0mE&Q=>un z<~su#17FC^XlR5$>TMa`PxQs^MX*BHsKaiZTu+@o*Ag^2MVr;h&sy0yo0rXr$`nRq zS=1NBR1G;9gdGSUz+TlpQ#V%uDa*xH6=xvXO2XQ2gm(?c^i+ggQVqlejlbr!QzO$$ zp2C_lm=KG_P9F3_X49g#qF0PBYm*{5z07*|(u>lXIcw^rJW^4o9$4_NIXvn7e19NoEe{ABA2VeCPcW^F{}TFkdQ;nG@OzTMxjtqB(< zeF+U3jV{~pk{j+kA|z=+sx<}Vw*z6mfi4@WO>4>coUaDu54fI_!M#SB>SZ($K@mq~ zsiv`eQf;>JvWm0^HH5&A;on2WJHW(5Z$ft&>E^hw+`L#_^rr7qNpw%Ryy@!tNb1 zUG5!pAwL7P7}xNf;=V3FV^fy}(>m8W%JXq%%x8J3Gztf-_;2P{>GGJiZ&MYE*XoOE zl1hAd|JDA0WuD3AnLQL0Xeiji$N+2@O>S0|3D(>yNcnMH3Y+6%`KE&9b2-zy`1~>7 z3TC|?yM}y&{m#(hY*>w+33JsfA;gcIRJ1Rnf{*JZsK*a_!-G>T#1@NFMK#uZxXqGt z*VF1XzR1>oyxGu_$`R;G(qK`P%mug_QfB*<4`Ngq zWx!vYsGZL3?qzC}qdG%vKju}5F;0brVqlcNmU&hFF=h7cffU%r*f$(wEj5I&nYmo@ zNJ?1ai4zRVx^Olog>G~DYwRxgSJUAb5eg|LI~-%ZYcQyQ7}2*fEDk9q2DZ?_tdAp) zS8#ACI=5S#&dW z?}>DWXk$}+iK;!=$XhsmEBHYy^%c{I?*41$s1A02p{`69t0IoLVit$UAreCFo+Q}z zu9zfTdhAKAj%{&TLVf@d>IM*I0C~3~Ud$S3RRBBjw85myfiaSltiWT)VYQ$_M6u8p zfmU5{n{|+E>h(73)@fg0QP_xiiiDDhFpbzZ*mXMi^uj9ArAR67qRm=)8uPr-cx1;* zSV8>0xrBO;3asR{O8Pu>ta;RdF%!W>SP~7n3Ph#^*;-vU9Df@5Y}ibK2<&jtPEi6h zd@fS9rr=;8m@^cF{q5E)DWihnjIsz5(aPmRgY6G6=PM#=45+Po;;p*kX99sZ#)rhQ zNmERcOWW@h31siyI8*vGZHb?UEuZL4!p?dvWYq^otjD6z=`Ciu6|yqB30RE1#<8Q8 zX^qw}d|;JxHh=Z=)f`6R0EO6CGzf!4QYlzeHBthxI~&cTWIWV?8zFm4>5~~tJGOj? zXs@&g*+#rD*@kksXm2rkM09efXfG{*7r%^%)*#0_$g1p0b*ZV#HV)$kS)lzJm&{T8 zv|?C3z>%AUW+w^!QHuh6|HVxkA^KCP>w8uw8sCBKjVePd$}%dRc+lGCb>J(+uw$`d z3#!OK5(2f^8`{1d?85Vmp6pOsxf0w$f?NmUbR7h8B4bNix$4YhEsC2G2_K7q9Wh@G z4~gJ{p)9Mqt%H5kGO?UXT)07TPNIhwh!EZ*+ak4B@5CZ>#05fp+rt{&1ZBKAmDPU_i1h(BRg!EOjO31Fbm9mkI^?nN$nkL zN1kA*rnGxiZM0#cmzyOlEgBi3j>#FV&TLo3AS<8shO9@JHk3fT7@+w2EnhAhFBkX? z2jp5b*2UZ@nV=;c7Q3t;2taiOe)O^$7 zkp#uR&z0z94IUg}LaFpNa*Bx;%fNvHuH4P5a3l-5bGRuta@f#Km(_Wsfxpln+UafM z%Wla~jvzQy-22Tc<0)8-$GJfNw+vo}so$L6@Dn4n9-+fV= z;#@<_yN9Z_$3=L7RvvAU&f!voOUOApLZJD$Mn$+N)@(Ak+j>7lv#DMZ@6#1cIgfy< z&!y2$F<9d=bwUV+`=ThtSmSgRLi6w{;xT|hO^W|?hE9Hud1oF_6;>4O)Q+W)1DHvj zI>*zaW_-Yy+S8zB9MPCsuEuO*r`qUG6XHp?;yDT8#NzYzIRpiB(MWCcnsG5B>Smi7 zUtqD>GfBngCvy_?>BZ+Uz$K+v0J4z(F$N;@KQsQV)niQE3@GuN6#8)m|B(np$p4W4 zzqG37B<^^Ec91KBtb>J7R$Wm%YV*uCM!81NygI-!>e!rBBleU{Dp#t^Tz1ca+ZL58 z@nTF)(rr#RU~t#f9#KIYsn}ghoVM4p1aZCfHylvyZxwEpZ+bncn~z(t9u0K`tnXIY zZPk-n9Eg*uLycWB0BXNgj)vHHsg|izMI7!LVHZ`6gwUTl2V%#URm$Q)y$HLnG)Y9v zhJf;^I2r|TlVF`tC}27LQFRhRQtBK(n*huLR_ zZNqx5Uxx=$tSb|aU{i8?mf#x7!|IB`E8<0$Zq;_KxS_9zLaAoIHr=~i>6VYfYH2=u zG|r1gEi;dI?XE1%Ica~6T;(!Ca++ThPGJ~BZWb;?DV7Plz~@GMcwSzGoJZO#n!+bp z^Kme$%wlLt#RMg8N+lnc^`(zG6CQH)+8(uBVNr|FQ2vxkMto5Vo>^BJ;v|(Vj+tn2 z3v{)?#grU%pmv6GNb>;-1_v&u(;5;VmY`Tzm^F|#VfNPeFe^({u9$~T-~!Z@qf$I#pjgC!`GU2rHLD1c*o z_42Wr;q&8~-g3pH@Ws1hqz2`V_=9o?k~28JG=&Vm6QDhNvpEYh$ptbvoXO7pl6vRW zOlQ}sonYIloii9aof9@@+VxX`?7>$?QglAHe%^&fC66~woyBcB^Yb$Dw8-JJeSc>^ zy}9r5GW#eb`M9{7Koi$&h%(PHNX}She;o8$n{%Hu&yjo8REtJqCi#nujnI&Zb!_T1 z>*RM(j)QL{GX=+c>)7-aFewEG_bR*$jmA`RmCS|UkhnE^+6v2L5-7*RH$uztt zSDq-jTigOKpd7wm`65@Ink^z`mIXl{L&4l_A|Eq35RvDHjO)aC`DAh~skn6#ABHPN zeDSXIC;=4+hBdnhKA#+9@W=K^ySY)DAYtQ%UFJ;ox5iv1DCE{z%!G0SP477;psFL; zKe)Igoaah-xH(h#UglDHda=(V$<=&k{~&Qx=$jM+QtAUq8|AY$7bv*tOv#Bj-#zjj z{gh6JZ+`FE^CIb8U-Awie@49P=hH}Hxk8r-6HtMa>jb5D-l-DIuC#tPcbxvvr~d>8 zC9u>Gc3d+N;7V>C`u$k`!DyH96!=>o8b2|TQ(heWi zzP<%9Fu?J4;$m}Ev*&yST{hK=(9`jTd$;)PD4qfLvry8}GSr}z@AZQg{J_-_>gKKU z``CAivddNLj_B&x_N$}GdkNf^xz@OI7x=greyi+L^v-wE%S>ha>rL(pev;+HauG=5HK(1Yp z$$(7$UhdM* zE7l7?kg<<@d0uNZ5T>BR(E66oWc5b0D-$ldXv)YFB+w!Rk7A2h71ivmh#uI5uI*UL z3lP*&+L1MUfMc&oP{izU34_jR7Ky>XD(0%g%}B}Oz);1E#-IsZ1nW+<4Pk6zc3rn; zeHzr0-`GMeM6qIfn@2fJg$=ub6GqBDq3UR3FT*Kfdw6}4*Q(?BbMyXQ&-K@_{rh3g zS=|=SyXZky_v8!J=SA!~i-C#hXlyuTd|HcsV)nfZJ#Kjwl0~2odd<|z&C4zI-e=$h zcl!*2_-hm#39bWOzf`B6By*;4dECmGA4`7GBI>T`*Akki?Xmp|OQiN2fUaYOW3(x9 zEkWh*EHCU0HP@!;jbw#`0wAkXegJBRK<$yNK{?(4)DD4~AW#zk2}7V*2vh@sY5-`% z3xGaCpfU(l20;E0hzSCHhd|#WS&0)^N3?o*03;{Wd|1Y~uFkc{^$>k~H%#k7N%QRE zi9?aAA^F&MjxdSO`LBZJ-&aBIDEWhwmC=hsEAv@GPVG(p4EX;9_gChZZQT*5tG%zH z8qOMjt)BAEyTRT47TiZ{jk0Zx!k@mEnl?aWVMFKslY}!tOFfQ(9xS1@?AhRLdzB!| z5SC;k_9K;E&AtF>P4WG+8zrgO+fn0z`8rb`^UXfGPs`XGF*@iQ-=hs-7af52vr|SA zU@}DFVd~8c)S%dlaWF8qFUX-xV#x(dsMM5yNFQ~R6YA0RY_0L=k}<{qGl2WZS7G`}D;-T;juK%))N7zzMyi=%M7 zto5?ejNI^DoaL%7H{9q}PQovL;-xYBp9Yjq9KMFAmBqJuG(=QX)&E-^E9edo$$o%j z&iZowjc%F0CI4waBy)>efph*y{=d_)f_~mpIUyGO4F_wZ8`KxUOU8aVKIjX3ywE=U zcjNiZNcqI z`408MUtM!eL1PiORD{bR?L#1r2zrk2z=H&I+VgMbV#$*wUy?Ke5}3 zC1uZ>$FyS6lf&%!3=AoS4EYWW!F&eGpR0?!)p%VLb|=3T&b%e=m)9Y&ATr+yGSQD@ zciAe04$Du7dO=)6XXlRlP^s}I^}UcBxTCbBP+YxxfAcQr*#Zqh^Pme)nIRFuAeK9e z%7abG*L5{R0hM+%EkS2@o)l0w9H;ql?+t#C+_TCy zLLz1FeSa#`qVJl!FgyeoO1u8ldQI((LFbTJq>lvk<;H^vuHm z_E5}f@%(7*t)u&5{2(`F0^cit%Cg|Q`=9N2`=qrALj$Ho)J57vtZs=Xr+~f(n|C-v zvyXQC7OoZaDfeZ4Gml#{PlcOnUglfT#N3z7+C*y2O%tP-*;0z(X}K@elAuAHr9VjV zJhSuOipJ-DV(+6a3l?3b%X#axI9A)}D7H+;c@y7isr*7Rsq@~+NpYp&D`DW*u#a+a zhGaJJ9aIq!9TMnXi;fJ#q%Y-y-$j`Sj%eMl(Re}yaO?!fpHNY(D23ZR#ay!?Q*ZLa zbMY-%vm$7xwC~0>UmtadsM0dP4|;jSgFWn`IIGVPNvaDkqToeyk@c22ts-5?ARC_S z`@%p|{`WFPXqv3LJrQLiix0EpP}pNTXKU2V?Ns3CCt)V%i9MHG*-`a^(4OE(zTs!@ zzm=m}Yl@6B0d88i07eMWhheW}qNG`*1K~HSgB7`bntB*ABE137OudaZXPmc86u^B(L!^xpivVdJa4R|R#>{3O?Tn;OL4g5f( zr0*i|0zKXQ5}<<2w+Z!nAMFmjtb|^Dg!Zvvf6=Usz5!}%dTm4Q&gdghk{qN%4{w*g zSpVAQGX!WEdeNp$YQPWY-uFso09*u?#Ecgx-+W)sQJ_~KG>L$2`VsN7of>pCP9M4t zWjXo#`d2;;VK5;Ak+UCjG(!cJL==Qy30vSQ&k#rq|~6vv;}z z2LS~iqScx;h|NJD1WKerl9#tss)6jj=!JqlLa(!XL5ffaf%DJ9$)5%2^0YyHO!m#> zhRzNZBzdWJSsW$MCilu`tSciroPoJJzHr|qhQB|>wwu88VX~-6E9ZVcf^Ey?EYE}n zTb|y%mTyhOihhCnt4qMtz*=gB-|t=Ilu)W8YjnUjl}QnfU*~jt<2ZeZsi?mGPMOs2 zO@Hz*4WSxGGfhieZ`t$8ywCiu%+A*+xq4_3e8$*OG$}k(pf(notg#^OuZKJO2fA6S z#oRaj&J1k`htTM?NJx6`2VAmC^&kA3#5$BdPGYg>&*(? zD_9^nJMgcIs!i&82|X_(JY2{jDHKsl8dnv3yXhPMBl){#aZf|Rp7_UV;hWs=a#!tI z0wT2NR4|9FpR2-gg1CT8Z~O%87Y~u_;k4;)pK$7%EtVMqRaBdKOoVr|u`>_wyO9v{ z61v!12^Y0H*Nl?W;w(EMjkKY))Xd`gGg;@$zC?Gb#fT^3&>uF2xj8Fk0vgdi2{Fv; z;xFVyvcYZD)7!ZrF{BSsE4M1L8K;r8x*0d%CRo3 zM=R^V4n53ROomegpUYcMldj4(N^B*Gd4S03ONMjlP~kxZUyVapZ}L-&4!o;>J&CqQ z$7MHm9Hlus(RErtI;rKbk+n)(Z&E~tBYhHsnBTb5E7HMlH>N5^m$XKPBj-F86Bg*b zkyRe4$VIqmg!n5GGL19IxtecCL0Vd-(*3iKNU-49{x%u%_GfS7o20bIMz*Iy=FS!CJ#dc zia~7ZJuq}1Lo6+GQ}ftZTcL`LKXI$~I2kCTJg?C0>zZsENoXR&G1fxPcL)lOlx9j%p@-_G^x| zLml_?U|ZdxdKTxU@Su6qpnGm zLO|;={>11|tmr@5Rzl3(k+o6|#6d2K&+{QS5CfhYk;X%lUKy2_XenfubqSKgI}Be; zjj}gdM+lO8@;(D&DUrjNsKn+uEG5iI51&6Y=H~i`Ra6AI=cG}d0NC%C*-nC)f!dk! zg9Njwm)LNaL%-OHia?0-F9)bEDRKDQ*w>eqIriW1{Fdm zmicTT4K{S#ixsn-0|67vfxxQTEuTRdksT<+G5*PycR1tO0MPNxc2e$n&r3OR(XYf} zC#2qAIM>5D$2)D$S4d;yZN>2In?lxi9z9KmroC}lQ7mx+u|=aWoJvep_{_lO-Xz4) z0vL&0Kd)nJCH(`n=@X97ed1gadNV>!bDJd%RDqobM9xIh4&L36?WT*-lYi;yt3~?g z=f7KuK|g?<2R-xm&J*%OqDj(V6sQ{BK+{1y?HmH}?Oda9AjH$a1@J8x;DC4Y=W-f(42{E+`nY#}1qOmyi;F{CQ>d9{W zW#zvblv44-=O;{&PEAD5v}(c2kz{G=-iP)$nm_0nBVTrDE)0QDQ9gl&C0^F z1?2I>kOORTpkUoo1d-!`4vF>rOw>q5$XUW4xhC=eDL3qMATAVnO}icm93&V$V}9As zRhxLxAc?CHw}z!mA9f{-QbKBqTbeL1RiG!oW9ajS$?^D%+*%d}xmlZT83T&?BrRAF zV^i8)wzJH}O|6OIqpJVhL|=M1FWO_Gx|0TW^=1911*F1Q&pd`;2XIov9ff>~bCK=L z2oX(h1}1W3%R=T0XODm|7=hZ60&>Y3Gd>D=i9Ni70l5G*&ZY1%sq=Xodd3pdwL9tY z!m*BgSe*`?2|S<_y`2X1`=WTtzZJV~d?dCNeS_ z>Uo6=VDw8;Z1#mO5J9j}Sm2PaTri|8`@^^_=R9nl+k>aIV!!LsIUssa92XPoxL<4! zwqrR30iJ%bgv(+4P+Z0hgXvqRe}n=ha?SB!mJk|2u(RAb=u?*F3o0-QRKTlg7^k4) z-|1BSy`YTS!3OR9KwWmkUkxB}ts#l)4kYfS+NJf#|Bs1#i26Sh_uaoIF8A-mMFA4m z`FG-S|4v-OKZ$!7{g}8*B#(*vcS{k@_~I$OomhG^x4%kkw)OQwU5nN@>0tt*db>tJ zPuOC7nabXYguLVrJCsIp80w4WR+LG5`;uf^&TC~o!spR2wzEUkDN==HD1b?yTa-S9 z5^+8Mpb7_=6kI*y^C^qxkgDh@VA8#3(>{Nhl+{~a6^`q#y)dbjvgj!xfyfWvn8{&E zEdF@6u`g({dd`_tM4eW&U!|E|*6QTO`)r?=jg(u2`>Y-VIaU1xox559Ier)g^IM4( zrGEh|L((mW{jBaWlu4|8Tw``IoN;g$B`_y zW`DM0;5?iJc4MfpT9!aa#gd2J)V^nUGCte(0Z6+GyLw%A;Ms&GIwTjxt7U^WA~O@% zIVJUV$+hvAfz!~GKH_n&N$CFTG%RN^QpFTa*EJn1<52tZcMECIRuCwxieO1tnjFP+ z+yp6MrcA^6I6P@haUY+&fX6UM>!Yoh&H$dL%`+uPwf5ITnXv#CNehv3i5S4y*QpkMn?*=?t$sO7b@p~*cLO>UNk!Q2EDlmQoC;L~;(P$KmR3c$F( zYO~sq(NRL1p*J1b|MC<{-M2PWvnrJYTrpa6uyTcg|+WsP?B|2Oo|46QzFF04j7{t71ND0 z7Y0h_VzkEtY}{O!Bwt(qyp5?e$FONk#Y?gtk~?LC)DX|~;CZfT+#>_$nImXtUo~6S zqp%fHifbllmW-|e56G1>>{TwCSUYv_YmWGbwqvdALeSZw!wTMv$V+Bm(C;dl1*SD1bgdWdDzk zem4LgIpszr*gEv=>pkBZcvxa|!x@O~mKXTgBcI<^VeFGtrGp&65D5+Yc+&lSkg;Uw zMll0P7zr(6N&r+cjL$G*(YAWz|C53Qy#FalIou#A=p0Q<4?OBBe|y~f1NQ!ULb7u- zQ^j;s%LtJoyz}Ve?u~*JD#ROb)F*;okVm9TL9dSXT|=PNK{}Ww^I$-*0px79tWESX zBnNGQ3#2|3^kTTG34t6?RTMKiSbyd0Ia_Y6>E&gLS^B1p#oe0 z4};hsMHmx}>jJG}i!_Y#nkDdf7dl!7-l%|_%F+(M^RB-ZD3^SD5Nbl49@2Uvxqmqr zr>E5CwWmFQ1+NsteY7#i1=KB&M_ zkNRdk|wISGL?SpYmyMz zN>q3qvEJVlN`96>behKYE!c!0QKj5K`y0tw87f*B*%?**U?GbE5x{6`pxqVg8W%X& zAtX!_IasL5R5~8*su~>J72}E}x%B~$=>;NMImd72cUyWSq}XCxA7~O)OnZ4~gge)I zAyTN26N3VRRW%dgc4L2Q*LrH|@|SjmUt9+^bY7pC$!<*n^9p-;6hm`tGh7MrM8)3m zZtz-4pp60iMtx1{fA}He%>VEwk)N6U<_8v0X`o&FXAx$=B3S<{Vkp+N`tL=kF_ljL zSp=)(*3|Ds)G@snCuhDj9~HOvesz6T(JFD8u<`JQ@+=;{QhbXwZO|N8ynKvnF5uKU zrc%8=p8TMuJn6TjN%Pryy-lKjv}2`7oH6;MT_E`x-XHB|$_%u#|7eF7KWP59i92Fl zBmQUykuvs2J7mc%*8iP$Y=Cy}bH%r+0quZQefV2D!@sq|6Wgi=G%|bCXxxPc>CZjj zn|Sgw^}n^NFwidiqn$dS-QTtwh;=RgTRT9?)F16=CAX^ociIj2^3)|;LRBFRiZGR) z8EE&!x++#vUB6`cCXUw==SnEKCCIyxJ^F<-3kWlyQ|`qzB?}}PGiS>Ei6-CiAwaA< zovkO{Ec4D+9}-)8jx+@`rs6h`*b;A!B){N7hK~edi=bepJV!f^q^%qhz!zNcllv^M zIUoU?12DU#2Ez0J0bDZa_oYwq2^F+J(yyn`pYon4!F*!)rPA^w|0{xkpXk?L)H+X; zcwjR~pS-?qeNj?Fhanw zpO|=L{u2|=;s^2nL@Y##-|v_x8T51L8+`bGXnV`xIF@BwSQc1pv1BnbGgwR(Gcz;G zVwT0s%#y{-%*@Qp%#7b?pS{n!=R|yeUcCEbqO)@4RAsGN(=pTClZC-Fy^1UH-g;UQ z^Ge+01vA{bmqi}ql1q9c40xZSK?b?dG+X&7rYZy6G5&?Ad`M7#vf&YKA3$_1HnB7}x zz`fx1Xnp~mFlB{Uw@JBY9q(QYtxOOG8H746 zlk%!smL1o3GC^2mg$lTo5_f8uCIuM4upu#vq+j1o0$6|Pu^a)g-f8$Z>jPo`X5GUf_>=X!|72bLAJ$Xx zOq!Z4Jah+v9iU}F?q-R76G}$r(E_Ms8URw3)+G3U2+#eIg`slT9mYT{RuB40!P&`H zO4EXC^`pMI_kh3+7D9+&mY9=k#*p?uw4VN-T3`Avt$)J*llA`{_`g{{SPGak{OGZq z0I;5<@i*&%j{nX2!+)~w_7CfSYaIapPp$ti!T+uG!==h*EYC*lPpzAr{H^s;ng7!I zw|}(mdW^_7GPk!0Er4369)wX?K!Z@Lq4^2>e0~)ESK;U5X|k^g9Cn7V&~wy+ASfA# zm`aH|a1Dg&n%h0bZD0Ke(2o&uWRI&8S#=7L%TRCUh;sn?odW1r?*Hf)>%Zjv3I7jy z|6jtB^q;4%pZ~d}cDMg5>3^^;F)QuFvjsAX>8$+iRVD|2>p_;Kn>d0Sn2iNQQ zWiC*AeWQmlbA4@Dx{e2Z&TmS{XKID@!CGsz&E>_seP}>?y~7JobFQ{4TRFo;cUMK| zu&BSn$fzPqq%T17Xu1GJ*2e@>R(NXNCd>|Fo}S!9h1J58nJP%nVlnR9`p@=`c39;P z)1TjUTb8ZCq3Bu=Btp?|=@|-$Y{QzelhnJ(B9~wd)kg8+quKvN=#NtONq~_U)Ng~6bP}I9p}iRQ zKoWQedkSXE=+qUJ&R5m&QF3BzeH_)%`;{JGfKLO=&Wwgh#KKRi==l3>gl`0g6Cwvk z7V#umLo`QGrT(Hky{?}#p19pl@VcOvyeGLF+F?!E)v3Jr-H2}%2oJI`iina*(K%GZ zmL11bo!Gdm>E177BNV|jB_g`0cQ-^%?(X7^k<+CyCN&|*##4<6yAhGrkPosMiijRc z(ZJLxy)mm-SJYeFVzpv9QxtM}LQQ82hF=?ZcHBrexrYJH849^Vp*PMUY}^gR^IU}z zBDFGtJ~gIt;-;M)^==OZ^LW8>#XPOh{i@Hwpc_wewMvLx%Si6j;#NHUExdnlw3~N9 z33$w!*9lh40RsE$h@5`8hc-%!n;^C+#k!UemtHsK?x^?v9m3fLNHW42Fu97kzXGU_ z5AMweK|b?Tu`P^rElW78OPMvFdQJa(GXEz|^!)KL!3OtEje5$Qxo9EBh3fCT|DDs1 zCO&xV4cLUPUGC#LwVVYrxr)DLhYgtBe>}u$H+<8)~?@==tFzsx6==!YOlD=?dbe z+?~{+w~-0!jn$3MqCX$|?_)LE=eji=R__CSaOdC!`y$q_E%nY2ooz{+@HRkBu()&Q z-V>bo2oxfV8V#HD=Y6{ooSOJfA~i#1^ynte4|;auY2{HrOqLAv&<4h=0PUSrK$~jG z08Ni!atjcD&!U<=%;hvnTyi?uj}P+aOiRK_ZwAE<4x?tsLKfhm{`4pUJkqE&L#Jmr z-_Q#U0sRQ1lt;P6B}p35`q>Wh!nL5qu8jZkU#;n}U~q%<7Wf*n(3Z5So=>@pgK8AJ zQ~-LVf<0ICE20-tqkbK-g{h~*- z_YE|j^|#xZTxmerA}6nhqrO0|B}9XC7L>#xoahblh>J#YI`BXKugT~8wfOedmVru1J9L=WHLV4&I7oL|m& z)*>G+xLdt$Pa`)xUIu0wlBeMwy*iw4`wCtnFV;WqrgpsE&U&Psyx#X_K0F`pKbFU? zKe7ND%$~f>(nM~>4k+2vhP;r{ETp2AE@z+NKOqDZc!B9YMB-d%M+QKEu0b9iu^M;X z1QwsSzxR0U&8OM*KA9g~urn>%Yy9xyrcF5TI5M8q01q=Y=%9DgojW`015_Ez#AjUH zmh^Wq*}msg-0dzJ>;q8*{U$|2$uLHUQ%F!IAAQeSK6r(z{raf;y!Y^sDMgHnG?+`< zv6M;&-K00H&(rqu%Nm$Tqf^?;_2D4Wn)@A!=i}_GWrf`PeP`{h#cSQ;`RyW6%7X&1 zsbx8V;dViv;qw_J;lpf-5ooNoYmJvJ_Q$+U4bR*DkyF8z*4z06+AeRtYaz}_dzGLi z3Ep7E zi8}iRQVX_cRtq*K3piTjfw_30S@NaV{-Gv|%%=`*C-rAa=C*Y$`83uEKFT4d`?5%?&)D?dnX;4H7CW;@cY!P3bf~!?CrbWdJ9k@Z?yBm$|Z$T z1G_M}K84z3-9R?N*i^cW!1mnpo+1kzg>tMR(b+ z7A>&=+9N3gmQ+i(jOAcu@AL@)l&I@UeB$JOr7Oz542L`T2Fd78Xz=jcd(Vr@4tTD_}hGfQmTf8n>OOJgQmjajCA57Il>OjEAc9p+ud z@Lk36m)DcU-O61%i|hT>o%!l6?IsWmTFzPT_J7LenIpCo;EucFz2I2YccI~&Z|ord znK85Zp`&C4TE_BSgM+p47aW#`PWZ`pjR0JhgOh#d?wqc-A6U7+j$yx*Ob&dtZa^N3 zoC)TjOD~*o;-F`2cfP-S<)2b_fAmy-xY4-19XeTq3zQzuScp*5%5+pelwYyNOtigT z**`_E$iSbUtEnN)K5ys2{Pu&G3K)t_Co*}soo%c#U!*!1d22=qf7ziIUbTQjq2O8f zWY+I^meV4AStfm1@z?+^$LsxW>}+7gSo+=h=J4uhqhc$0N#1f3T|OD*IV~V8@^Jwy z=jq{O9ItzahsEdd;In1!Joco3>3lr(C-_mdhk@Nt^<9x)Uj)2 z%wd5&J?59R?Ub?Sx0az@O_lUC(y5Z*!?~7)GJId~>I~eeFuU;N+h+^;!ZrHG$L=*w z$4&Y~WANMC6WWbErBv)NKusEj-nVOWBS??ez8xMVuUpJy$^e-RT)L@P#mD2w4TmcZ zKlOP}6=C_0b8Em}s*;WzbLf(a%xLqv{rsogerpAlZtRX5@8{NTHwSv}ZXF!&CS?wz zkA&q8y_XYh3j;D0kwaR_-R<;VN*8C(IrmlOChy_;;A!s7N!?bvi#xkJbI+~wdRsnd zXwdqtWoZq^RjCyVKff7N9O*%+j?`3ac`d+sV+ZNa^dUP^4`jqFfUr60aR1Ph*vh!z z#Sv)T5o;|e*n1|ZeRl9E(WciTre`UMR*lurDv!_(1f1wb<;5QDUAbRXd6MR`*DGS% zDv*0{#X{>_fbM_M`+MZmx3)l(#cFLbn8GNN=W_v7)SY;4_Gvz#I4e6H&@c@gPTRv^ zJ9z@F@{XR@|7bYmw-2?Nu@9wTY5*Y6e`;Yy_@g2VIyfHPJYGAoa>Xa;<1T5(GHu`8 z9AxMHnVb{nH^m+kNZOpeueAK99pWVxIJRED*a$2&t5K@+0QM|WS01t`D{gu?F+QV+ zt1B^>f2aO>2O`1LLJ`+nVt~k_O$%peV;@A^UoyLfru-uSo7d=DDyEzVUe&dMxX0 zY3D2wkT2(8-+?mm>`MJ@r9$B~Y%z^+JC%B<=?u98%KUX)A`}!Cs0DYTeW54M8O5$?E zqc9gvAV+2+P3k{`*2-0+W_z-8&n5vPat7kz1S?V& zubwpK8SAceo%el&h_1)p`|7Zop4T!*V%1hOf3@8;_aiDeA7uPC(`stqQg9Z?1Xz=w zmVPL}ifI8@={^9f_|vLO09f6h)<`VCTK=@YgaEATzpT$*pjrXG;7Wi+&?+>m?kt@4 zA?S!4Is-0yS~7x0t5t_}`5zTDWx{5 zOX^U6r%lCcH)+i#ISfXFmcSKE-8|v(^kTG8+hp~tk{-xVK?FCLC}I8BIi~3L&Fts( zHN?Si@T^k}RNK1DXLOET`Ej#Od~H5=FF3GKyo4oqiGE;cm3f7@U4DG*{$|~_Hto`| zcMq?-WaIOQPK#cRpxZR*da?=dB>&|>0C-#`U3vBs;Nh%~GZ5e|j^5o7TH0wOFuq=W zmxbLvz>;kW8VE9j=Q#)(7UC3E`2EQKQ-NliJ_n9Fx7BqbzXMp+(oJ26OqS;|fu#3o*ari=94Ug~Pe4Q&UNchuadY=7OeH zeCF~t;YzRh7asI89!g**RGaItIz$^~ZSC8{L`^69HxJvUeYSJz&AV~k`m@P;>esb; zN0GVtJe>up<3_g2&l z^`QmcShwG0InSv=jxDMAQ51mtPiTKH;g#>xb=J23I~Is1_|Ywk^F*8HoF$ z15>9VJr&+tid4tQ68lwr^utnAB0{^~|8D=X`Zc>hcU*xC%iQ zgEN`rZD*?k#pi4>9!68_T7QjM4783=i9v_lr@ZAjEXy%XjZ#7q;n>FQ$G0^UpGatS zh}L=j@jXz;4{W1ON?=@88F;oPpBzvNI#??Y$jC4}i94T2Omc{{RS{X>uiEPu>am{I z^V7<|;{yViXD0i8TNL75(!=6ks+pRkJv1qUasgIPG9Uj>2A5UdY-Kd?a{OOQ3ZR}6 zTQZhULocu`cPLglIuyQ&PZrc*mW5Td}o<;CwKNIizPOMX zOe;4img}S_$(+lP-lBBz4P;fx!G7jJnx=w1asmYpmoR}(i`F3h9*CB9!Qt?4x#-T1 zpN++e{@_vl`D0=*&~OOdrOaW7v@m03L|5_7A_~s_72px|{4o|@JhHZ@$i?qfu{2Vz zU9mS0R~@GBeMX~I64o6+C3q*>Kj$Iv(P z%FXsVFQ>JO_v3A5i66f3n3^#kGh&la-|`$&A9RCGFry`&%5Un46(&Z0RO z>I%skSZzLrwL_z}Sc%mC?bTooj9V`TNUB5-@^P{TJQ1*%WF+ux^iQjuk@&M=8PI&W9S?Md(I z#)vxU*y?wOi^Wh&9P9~BHl^|Uz8bAsC+&zQrHjQs`vQzGGFhc{P;Kg#>7{R+uh17b z<=lx^!J|Wh+`bnCrD7GBHNstv!t`>5Dfdc7C=;*FATc_gaMAa061WGq%r7zzaIS=o z6d9km5|iuV5VZGdnls2~-zVNFdLa*%Y;NyJBp%R>B1tli>lO06YAU_C@X{3H;>KsH z-W?n*<~(1KFCECg?LB7{dG=#$9LRgLf*ImmR0{QjYF^*fs!KPX8)_A`<@E_{m}sZV{U6yE-& zz)wkz&wPgTx8hw6@x53i7xy zM&Xe#=^A42vpm&d5HUtN*n$ttO9?-eyyIr9lm4ne^6o6ucteZpWq;2pa(+ksCuDcT z3Wlf3@5XR=(}zWlH&6xoVB2>TyZ<@|y}Dy>`&5 z{0e;#ypeej@tQBVw8nAkWkW*>NR?lTESgIYRJ1UZk=Wdev5HTz(xy=d45^vV)PFap z$jXW>GGV*crF7~ps(fHSAESJpFT|99E3bItZcI_$(;gL^96zWwYIBHwCQ~tLnGMmr z^abf^YrkOuA}BVBM~n-!8wgcKnNRKKgLlu^4@2zJG?ZN1G+KQ3I9KROB>}acSG+;q zJPSsV_Sm%!L=&6^Dwg(0lX^uVv@SSy&k+ic>3Pe#`@Aa{fy?mJ*@*yYKLzNT4&1b0Ksg+*cO#&UzHL1W-=PPz_ zWLioZ1e;=WQCIfQLvQxF2Jme63|nHieO0L9p3YZOj|A4n+?u)RV!kDtv=EO3o~pkk zgSY(0Aigb>Q&7=YZT(oSBU7Tw7E--)>%5O(Zp?VsP*)>Vlh`{9zpI-+1te^X>}I~# z<}PTi%%}d6g_J{_7lFF`YOG=EfH{Sj@)OdMr1`s1EAyn@)bGsT?wVkSeRy6JXuM?w z^NDD0S_C1LU!IOy`VpW^!JXN0UGs7!ym3KjVuI#l&)z2K?9*yB+<0on5w-KBa6yRn zM8r9D{Zp5zW3k<~?&*i&3ROdM==y!G=GPq|AcilvjT;nX^s0HRao;!`QSy>VrUhK> z4BtzAXUl#4A|X^t5|yU;*Y5VpU(RqN14T}PvQv+rT^nA`E^>JD;%8-6>ZS8}O7O}E z19j|L`g3pz%5nqeVYaJkf3VEWsnLjY6DGS#rdFvtjF&mFNv}vGfyh zV=8MC19hjTt^#ZKtJIlV!htN&+3DlJC(I^~n@>u2lTw!ThBVC~GNcE*|Y*BXIdVM8xzz#*vyk)(q2`g5lezu~?O zO%xpFMq{k`#W4L>%l+n(C4(Qx+&w>=Oc_%50{0QHK`?x|VEsL#f;Sbyc>Ewv&IIDt z+vp(o`Wv9;Z{|4?f~>UEYHgT*QhsqtC`GE_aS_DG=dU8*k&AbY_N1k-mg4gmtUxpw z55V203nyh<&*#gl`|JDr%lF2axrIa?gLZ!PpIlRLf83r<_ghwYB(!hG7Dz*-ao_E{ zUS%tVreH>X)caJQ9ecgkrxYEpk`}XSMGLYDneY^JrL%e*?YFgSU^L%ZOE_T#Uk?9hQ zadOG6)>6f=F8ZR%q~s!u#H@sAQF5kz0rgoaNx3>NFc8IT!Xa`7}$~XZ&Kp)%gz?_yZE6hsR7Nw7rZ5^(Sg!RcK-@oXn zxOSs>6VquhQv%6uroPS9VI?)J%APG_mjr(KZhVD9H@?jZtpVaI0*1IcvfgE2sO5m6)GD%lPw9$ znogfAf21HraN)eaE^0LqNnXb<2UsmAVx^QX!Gba2BB?jSEz-IWJy>(Ee_S0jSf4Yx z3LCR%zr$STTemnW4J#>E&Vna`4xcz2D+37Y8%g zkp56G#2Aij7kWvgY5P%ZyFIT)*FF*k9LnqH3Z6 zopj>5i|K?0yno)EQW=l_kgV7{DqD_u&)I&{1KC)gp7cNz3zjheB`tq&qrnM5IAw9~XOJB?1?6O$Pp(J0RN=V)gO zxIkU40QxX_XenmFxerM(V(QQ-w`fGVPgF`3cWCy zC%sHHyOQnLAT+30NJa|YVM$y1n9fEpEUi6B#=`C^XfqeDF4I{r1(7KmEA#kZd=!1t zc4Sj38s89xphxik7?`rh6;sg!iZJ`{MXA*^V(~koCJ2yEiqM0TM&zAIoLrM|sr-y_ z4sakMHwG9M`OFB%jHQH4j5E#dNwj58%#lR166Wtt1BhDrE-uKbYDg|rJsdIP45fre zrn;Ix09VPPV~wkahXxs4_VNUzrP$eBNJ`SeIAfKiILP+nVq=Z3heZ#P)9e_o!_-H+ zf^V*24AT?I$xd1&_*4%Jp@unV=Lbqh0++iuXw?QvpElCbt^r__vSFiz)ZhpODdFy3 zd@K$a``)f!YpERrzm^vJQB9@yW%3&`FX*QwwhCjUE?Zq!aR!Ru$ZuefxvH z=OpHj7Q)c`ruicY1V-9O0>Ic32sQhx>O>Rnrt0qh+PLC$!(MN&k9we3Pj!x}B*1X4 zx|Ab9aiSVSMTv04EYbLGXD(IRX(REmubW_o6csi3y|Qxf*56+!AD4=X!2^g|3#B2x zip-Q!Uv^rlKPRs&Ovp%`Izs^c{Y^l_O_WC2U>ERpi_m|%AD#V@xahg2SQ6b>F_mGw zm?d72Ar8Ybtxp2om|ni0U>~awzM&qh=W_#x04bsFwcbGaXvJd%BAgDY?`q{f_6MZg zLjH!qk%U`na$V%Q!El3{Y7FL~krtb3a`?=aqW<%>#PJb`3C`+27Gjq8opYHNstf(U zv5py~EIRQa3j6$~%n0$#;xAh;K;xyJ-9_UCy;%hw{F8hNT*#dK3LMmz1ZXNI0j#~m zRp3I0L~F|#z)lx{3lAIz#(yk_*`hvBKeS$v3@>Y6cGieGE}`gJ=GJrkMJ{ zTc0pfB1-^ySN|OH^rPpS?=Osr-r2*bdDLIsuB2gHr2)GZYf^19sUs({0RmK(;R?C>nnRoa(Lgg?snahprrjSfVv7?yo$v7Nf3IFAsN^Rxh%wDaCde ze+%!4b42(Ezdgm_;?{I)>nUh(ykb9}=`XKVH~MKJ`tVRJrSY^9DsyK|iwKmC+Ii-W ztb1s+0Zu*&4jB~!eobY?;?ZC@uzgHU^NQCs*H1aPf83|hg5Oz-iO5-mFau9&zNVel zE(#%|&9oJf%p>B>HZ@G$)_}>@pr3z{;3(lSC8zPo=SxW+wW!f&9^r`~=$*tPVl#II zr9^0p!DXnj&};F-P^8Xa+UlpfZZ&joRcSk(-qI^yD|E~qA%>sUj25!)zRe`(eKT;V zT^89D2)^M}^MJJwyIkC(PgINwXs%P0C*?4N?L98y_*=iKEiT=D!*qJ^{ni_ue z2XKN(>2Ih@@J3QF3SFgS60f{LqN7S9zFAO4lDgaA{1SRI1yoSQI*1{j?|2c(A!g?q zmj+2mf^Z60k}RdpG?TLSK2N^|Ly7i*e}xhmmA#_MULxqB(tF{VBiK>pG2pJnLH4A) z_6v>^z2MEcgVWK%4LF?pFXqvF3uc;Rr6;%_lY-Pi3G)PeDPQ0dNo}Uya6}7 z9*Ka=_@Y8r9)Hy5^kDed_2t20q4)sPGhzVU3zI~Vpa4yV+fE{fIbB_T@0ozC9`BVUYw7}a$MjOYPvt0WJG3q@cQoFOJ9#=L0UHjV{4ECl0|&1%n~ z!sz&8tmJ|2yqo~QSfXrty2Ue{d3r&g19OT4Kqk5(f72Tuct)2b0?IjZoo@=(DR2f! z0@bWH{rT?9o>{Il?s&Zt_eSfB3Y|m|45PRZ^sztDulw_{?DLdB#=sdy>6;p2SXof$ zit6}o_^=qs1%~7!Ad`P)fQhk`Y9vL@<}JBLnM8wrUm_5tyAspnLA)}3=l$Bhwvpbh z@l9AT?+LIN{Il0xry2X+XF=bYyx_sb=O#RB6v@8s5lKC?>!Xq&{N9K2OQLvbp-2+c zN#=pqL%i9p?k(~aE$trzBovHpq`IxpMPxL618zI|YZ8A~tJV5V;*&%tKI7A5F@6CSt7+jCFmv{YVQ3Dhq_}$ePpC`f6C(c;Yahm07dncvc3vg~ zQ|Z$zWCGw{SXw};Yy-)T^veN`vq2Yvz74?n6WSV#%@zl%s3-mg9I~<3R(_$*u1ol7 zYdD(2fz2xlDA$d8@p?&T+*Sznd$zy<9HI{7UN?BGgpDrIZNp`V?#uScJNS*KF2-Qd z!sjE7fNfEK0o~1dcP@lbY6VhgF;aY+a2~91rY?6zDt4CFy28NOjn=*r*0^F(xJr<< zb!HIu>{D0mvn`yhV|K2(X7et9+XkW?qEC_{&eqOor;8on1V1EDVt>GQV26{;ksKub zGDWhR>urK`t;$;q`9z1e?B{MLH%N4KNVJ?+*oO!wog*2dM)m$kWXv`WiyG?9^8vU= zmU+Rbc~1vQ&EwbV(tP>69jMSQk;iq-`TM3UheO#aeMBxt-T1n;=%(xy(EOo1?U-L* zpH91{++<&}Dq13ewSqa`V0Y{eVKLy?4-F*{#ZkBIZf=zB^?G#C zfa}>*p~noxHAsDt+$YG z1>51M1-2@1lmZxgOwxnop1`h}SDVkhoNP;dfB~h;_RlJ+y7tSzVdK<@6oWdYpWdbk zP4B8i=Y;5U-jAxQ2Z6*F@|CRp z%3k-!1qT(7T|MUZPQ&*s=ij=zKWN)&f$WslcDQ&~A^Aa&E9z)14q(f`c*mubTERoU zggjJ)QFEr<&xd5f()1VtMR9o>Ccx;)Lw@l_@qx!91&-$G1kn-3uT6*31N1DV;|Iha zo-F&c&4>oAPU)w&XU}gUKL4ljdh{~5Ug@!S_`py4r&g?1l&p)+L}u9 zUhptDwau0fU6yGmt9dxJm657$alBvA;l4`cbw04~usS{zWhPRNFF~-fi;;78ok_`F z%SUEwgJr@B+ca3mMTO2`PsG6t%j6nwgT6i?ncS?Q-vE~UeH%yiWX9wmR_c%HJbY7W z35!!NZ3UF(FUZ$JiNo9Ym_H-j4hpjiqNJ7D6_vi&m}Z<<#&(l_!Rn@E!u6+}cTc|b zPr2A0Lp2|zDTie;ml)Qc3Fb`C_4bQVHjKG1r5LM^Mj*7Lm*%fk18Hfwp+deZoDD74ZDx`KBTePZB8Xe^JT4<5G z9#Bx-TVR3&Or6x7zlKzZiCiOgNGo7lA1Hy3rizWApdBA2P5I zYSP$Gk!B1DD z0>^w^kL54)uVw}!cfbf+zM+G}FDwgC)H^kztfGZNnJs^E9I6pS`opP$Wz z{|0<>Cq$5?s(mRtE|F#75nXw6&51A>cQ6Yh(`LH986)j`hZnx76h-7)E$c5ff(i@y zLz{^x-V7BsP7E7GWUCuLy(|^U2K&wcQ;3K_R0op3*OnJ^O$byG7v_)SG?YJWSk{rm zzL>LQNJ0HLv_GxHtSG7mm(?GoZdw?8^pfG6~Ho5N`sAUQOngrIb%@|eq% zag;yK_r0{?o6RRgz6?e<(Ky9_zNq9Hmeu-c?j9G)N|xt`z$Q?mw$o7xpAt|CZ!xPz zQ`L<4(T)TSMHy9>u)>nF)><_n7+D~?XDrXA`p1ojg3^wdo5s?hX~fPIK1WVwQ8}wh ziDUk1+Dr-i3dU-X`s;z9OP(kdGGo0u7d|Z{G}}ay)^QSAx>qjt(*6fPKc{I>VSU|+ zORj$7mRwL3RSsg#{GrvGhV?kv_Ue(o<`(H8G(x^VEIhQ7|2&9MfEH}C)87GbA;s19 zkq3wFik%(5Kj$g^Oi3>i@TAenTN6PhrI~6SY(a5Poqn0|&5Q(ndo&BETfuRPi3qqY ztc(L9g4<8!au;-&@M(~8Qbd-v2ZG!CmQ|*G^kw-%r*-NSJBM1^ zXt)eR9f$TE!qd7zdwsXk|Jqk2SiAEqk=5T_czt9nvqPh;#*-V~`B$iZ$+v50g=?Pl z&NOyZ2#tN)qMI&=(KWVl@{o1cDc~ANaIr_`|!B zp^C!mt+npAl)JEG!oIrDt?~7lD`Nb0h~>A+ay=}I5Kl4)WN}I*#;{sn>doa6mF4LOiC(d3 zf)VN%79}`6fv;u5$%Dao2QRGx+1q5C9tM70c{zi5(Jo078l z5W7S($Bj@1e3jCY(HOdyp{ZD8&zSQkqw)9Ybj$@_(`724w9jPCnNFyRpfMf=11@0= zMl1YYaqE2Id8UqiILQ|GDQAJX&%oE1mUZ3Icg7XQYOCMI> z5HxVG+&aNqh-d&kc%{s=>hC6UEZzi|o+2o+lr1%6_rArF(M?C&F=KIH5tz0REH#qy zCj(KS;Akfj8slI9fZYgm4XQVmE#7IwUwe z7A>h%gB8F72@8OSv*F|%6)o8|GPs{EF1E>>Sk{^Z6@^+-T3uM6E}^&TYOI1(v^3VR z`_1^?z0E$+s7z)KRi%Tdz12R^N*YzR(WN44Rc1PQC=2EqSd=kJR2bh)`{po=8-%7q zn1APZ!|ZrJj@T&j(71o;D-Td%BHJ}=rsG}zbwc1HUJ?MB|0j;HCnZNoi@wbE8p;%S zAi&P0ueN^(x|BEK+un6TejRASuFzGsh8BI*UL>3;Owx{t7WmJ&q^U_Ma^r@yr2RW< z-r#Y6vr1=iH4!0L)X($}HPTvni^N<)OXqepXf_xY!oCnS&r>DZvVw;gHKINx9qNt3 z_T@w~`V-7hQ)3V+#UzO93r#1Wh9gjlju2N7m;%6PB@3n_Y57)`$@fL*lK@Omwl~uLA$4Dz9*uoZo6BnFsUs;zn~`K zbC-&jN${^Y&ZFQ~6kYZ3@6Yd0N>I9;o(mLSV5d;4bpUv znmQg)B_oZ{bJ}h4|IX7)0)?4d43MJGD?KzN$4o)=x$H}O%SR}EV zik4k_I~2-k75%p&)Xz;l|4;{X%w9hxe8H+52`tciZk@6u($HPX$|y^*E(&Nqokah_ z>43^4kT*#%jv$(>r#NCMwB{OqE0}LHiZELCEE3A$al|6yStzaOw<(V(V|8(zh+p}9 z!#XM8{akjftND>L7nDqr#xWof3Ke|jB}w_wq)1h^@I|5!sG1S{Tv>-3*;>* zM-_(GunOZ;@spi6a+O858CXGa*!{VYzs$eJO}n~6b#9WFM*E6GbzhV7 z0M4TJd+jTfGceSbd>qnhgZn;3SbG6{^3BFN7PLRLdU85>VDe-GWqPLK_WYk`J7bEN zdy5!*!_ut9)NrfBz(lf#IgPx0@EK~^r7x@e)xa#LFBrtx_nkAStaQ+OB8n%(*TekD z3Uv_=VlI6OU|3>RcwJq^fV1ahabbgPB}GC#Q!T@i>^CJ6(~Y*LiH;7J5B#JIqLZ7w zGn&DzgxWmxhNbk{?l!Nxi;wYVwjH!t`m}uTuarXE$YFqo0fe}NPtM;HJG=@-9vcPz z#E`KK#y>$WVkI3w1YGTny{Z}F^izU&ofudng6bx3bihSWdy{n~3O*eHNwCg-k7 zUplgQ%t~~IPEj|ijMMmL1^9zu0c}}DqsP;m(-ri&mDLrhl9h6k`|5N74w=?BHK z9r0?Aw9I0ohlf{YyK2q${oTVxpY&j3Q=hs@ljh#FEeOk#19fUx1KH+&{ZK`=q^ITx z$qqGC<6Py?W1V1(`m2RLtknyml_(8v1IVspVc(fdH}%loj-%gdL|r4ccq4HW)~Z&I z%5}36Rd7S4UCZ#^&R4ym6SXR$^&Ici9|^4iRl5#lDu~vyonzSI|BfWvGzuj~c;N}q zW#hZa@pKeu_MXE#x|Rth3X}hxCyq&6Eu+RkO&RT%O%d&fP8KcLIgfW_Qz(dP7UXD1 z95W{~f7Q_p8SwfA|C+o1^kOMf+`1JMew?!Cl5hPoBy0Pts2H&xgj}ijld{>>TX~el zuQCZ-Pv?g%z!lr4K)8Fl{*hSu$Ezi{iw*aY7LjpR#E373>hgsJU}ct$vF^_fA(T~Y z`}vdm-z^Kl;(u&)xP@D*lN2{!xfG#haC^N!k9^#5yk?S0w?CGDoSnZcNy{5vP9#JJ z+}`bmE_HY{yku0++oevdm|5N<&@f*v`Uq>~_le)IwKn0d9#6ZanM=G0Gl_{x=I05^ z#Z=OV#S;N#$I!%G4N>$B5bi%Su>L%FHe>Xti)pWhPn_w0M)ZdA$PUNNZJ#YjBl9?8 z^f)8-2yO?xH^|F>tO9ufk8QuU^2~0Z9Y7)Tz-I8kCh`cj0y7!m%{mm-Ho2kn#P$cD zxclSz8a=&0KeHe^op`9^?Wnb1?!!CP{Af6tNZPjUl-$lCs-45*i>)cDO?P>;oyezs zJRCf&oOx7|cuTyDHk}CHf&9Gvm!EX2{5eE%g+{@$UeB_0YIoW~Gf!${rZZ|uCN68# zGaWc&*&mU~Q8^_05AeXd7(H?w9E!|rx;(Eep{8#?jyQd-f}}*E;+MLjq4iFcdwX6{ zq&7QG!~2KR34c-^H-})L2`yEE&KhNUU`{t;VW6FCXa%eROpGBexYcoxh67Zy3BsBK zf~r#4sAxXE?VN}M=~?LjXHp$>pyz|_A4@Mi-F_=tID(-Cw=#X{5V`U1nS z2LTD}W0nq?)O$51o#9d$y#xYG_%Rl3v=4x6dHj^Aw*HU@>#$D3foAElR*Ciwg_tZ+ zt0Hz;_8Gk>4x@hrG)mrDoGZci9-;f_ZNbuYNrc@$J|A^(zU-WAtnt7)x_m#id)@kQ zHmjOAA)At_5D2<=4gYvN;uca*;;Z1XGv}-5h+{|Bn6FCRfUk{W3%b61)}Y(bOp*== zku28f#*pohPwxYSpn`P%XN)>Gm2 z0l)op)$n4@Dcz9(dgrGhVeu@Qw#G@Redr&!Bm1a_N9OLsdOSc5lrgFsWdMZOe(0|i zH;5kSa+NYRuqrX|6lH-z&MF7g#>tECIWXFqGD_xmFC@%&Y*<+X#Dc;OweN61F;KVm zb}lz7jTQ)YvzIe;P&g$oD+F6cQyB-lwhvkgG^AMGYBQm$i>TjFoVw)Jv zSf?3?5}&XX+DOz)mK@c!o=N;w)E}0wS7p0!9v%zbO-v0g#th3hOyNw%V9|kepm!CN z@ z{L!+e(QNlfMFnfQ=}fE2o`(!&3<-| zd#e3qVdxG12z_1Q7#xuc0U49&ZL$?enxTkJwUU^21@@u^35U-v#Rl5sGQ)vAv<8>5 zcEvROy&!=?H4&4b&`+WRfpc1&fdDx^F5(DP#0Tac+!pUoU38DY!>w^LzULZ@kQIlU37b#1zNrlk~_PY-VjvbL?Nd&gT zEc4~#Q{re+eg-~!u^MnkogUw8FHZq-kf#7MlJ75K`QnBExkTu1e}g!YqaKPdObL@FDD^V4HHV5wP7KbEU;B1VO>_VjvS~F<$Dd2}%;VeQ=agr+9Xg z*H0pueK=>TN?a_&_ro*RHPBgXKzBOOEe95^N<~BY<9SFp{t}F0mWRZV6c$?*H(bRI zdpLnz(0_A_C5n|U#zTisreP{oNeVnIkJG*pT7=R7}MeiEzf?Eu)01kTy_d;9$`UAcGA$QT=%m z16_o$S#iU^Ef9d05Q+Tw@k=;5&;gD}cu@(7#hPZHMT#q*Vc;t+SU9}}Tv>JAegOQS zYrcN}O)TiYhC~CggfLO2*Cs-`@;M7pXtn5iC6QBJax=>^x9k%{Ex5-Vg*r z3wSc0ic$a?3Op^?l=-9MhUnF>H(01n9hlTTY}N(SFUftBU~SLMZ#?`hY)@Xd)aqzq ziL@rt4A}}I;&5O4Kz++~Y8pS~m_ZID*#0~-L876EPz2EF*g+z!X#v1vM#J*z5J~=^ z1qR?iZKt4sdKpOpSKfg7m|#JndMF?S?1cu3EPPIVWLV)W6bh2raLC{fa7q@CeM%Lk zwm35SPT_zO)T*e#BQPpc!C#5N!ZR_zQSR^{Notyf!@lVhe@M=5OVM?wYQvS3^~yeiLFqZ4j5bbv}Hz#Vi@c(%SV_=E43ssr*c)&UaMv zxFx+X^xT$q2Ir*OR^DE_E^}O#mS4}AXPO%s!Lqf8)e9xMwTSr(CC&OPSXzce6po!l zcRxSPQ|NlySavq=dfLEsHaFcY=Xrlm836VGROk4#dfoE0WMrab!MVvD<@8x02GuR}rC9uq+PqO7~_g!_Z*CC%9}l+cpI@d3n8tKsmai2m^l6YE9Nlvd7su zMSxD{3lkxNA~_Zf?ZXi_!Db_X78gJY`sLq)@uy^iNkV6PUJ#+*!qWPuIg3QHLnRxu zu^Mg*9Rv!O2P+P_Uk#B7iNx!lt+)Uj`B28HG%_NrNCN1C^ga@CC!8Wnf1@Z!w9>JZuj){u_B58s!|2ZHOdUpP%CJQWf++kAyORFS7HK~3+(F;0%%;)pLLs2 zeIW83772N$BiH!*MT$YAcnbt*DlLDwK{JdPoe41577jpjRY#mJ(R$|y^Y0-sFd-S{!3|G9Xwf$WV&Df_W2@+f4p;U0?=3<7it z76=`2d6?Qb`n$si8xU~bJHntlelzBvp%NWrydlr9ATF$~|2zNV981$UYp-aV$*)Xk1Qzds#W9+j>*9FcH^2qxceD1bCpxmdEt@hff;E zW3r?LuHc;Yx;pbjPr?6)OaiP@Cr^T8(x_MyYD5BMt)vBkQqy7fo&&p_5F%H|bdJrY zy+$bb&=BY}VIrY}hVk8PP zaM0$X1gMuY07OBDM}r7}HgI+UKu^u-T}0I^S^_p^2LjOu8sbPFI;a4}zit2kstl{< zV{HipP6aQ53g^$d5U8JZ2l$4I#kfJN6)|H~pk7lAz(~*(Cay69hS4ZYn5-y8Y=Ctg z5e8{+GcS0e9c&k&$b(oT2N_?5U(K9=R9G7^QexX+k%B@f0RdBm7oo6%SY-woQ$WFb z46HcYv-VI35F*MT;U5_=bJEA)L471cn=BTBya>}^K>;6dk%FTAd-5otNT!5hTHtWD zqoHBHb3z->vM)H9y~ht1U?>rgaKSo`4~cO!UsN$&oqPvXeRsoYDYsvj-_35WzHUxd z*K_+W?dAt6L2B;@?5o7y1POO9{oh#f0%0A%7o|6M;4HOVUk|spM_&?R=MpjB`_0ub zp_j*fV!;m9Kek|^ZlmC*?c8q0$dStqv-=TI3hN99z%lKfuWy?E4Ayj_~PxgvogJB1R^Tw{Y?W5{p^Q)Pu^zYs$9&EBUQl*}$iyR{#B5KgqY9VrL|4 zg*=q0e^bEb%W73ifKwuwr{v5j|4>Djzjyf;?EW&E z)%4i)8m3zh>b@L!M8jk}{9uYy*fmQ3k1J|nI4MvffSyp}9tMx{B7iZX!qN!IKNtGfJnPrvR81J71|c>+?v?m{@E zWA;YY-t;=h?$Xi|eW0w2iqcI z!7KX7hXYx)#xmxlyqHHi0|SDFe zns#PRYt%os5%PE<&xI=F5S{M^wsB10O~kUrdvnJ0L#{&oIcgxod=0378Pi{>-7y49 zG4gVwwO~wBPOsVc5QCno$F#lxibSI^9vU-JqBvW5YFD#aU=Z{O|KsF8- z5a6)>=29R!jPU`BAV6S`gAWx!Z1$EYMBX6oP!1B5%@~W8CzC!!JZM1fc zejOLoDY=a_t=Jh>GC)(B$Hu;gJl8eYr8LQ{=JI;8x>^j9(FX6mr!-A#h7#7>V5e#8 z_;TDZXI86aBl8N2$q1@12$?NX;=pD_jAUZQQ9KF*->a1W)Z;!1KbWY?5=p!7Um^!` zrA7%Bj=)pk3P?VcS*2F=dP+(zqZW^#8w890OnKEvci>%HyRDeR_nMTPN-gd|i_?#4 z3k5G+rpHSU^`E9=7pfYRIT-2%I9L8V-;_nf$3_OqYA-7ulhh-q#gl2$N8o}zQQfh6 z1tw4>fV7?~t&$O-tq>dO`rnY%Vg6%OdHBxgS)FV?6*B3)@_`8~XnLsoj7D}Q7A&G$ z7H-RZ^T{tN`&S*Xhf1x(Hi{NW#f=ikfTFV53l!Oi2|17A1GXfq)lt5pQN zqt#>X);&zKEq72zS(=A(J;G?K(iQx!ZjFeObSgc=Y*%Y<|D$G|@UV_dr_zk01wtFT zid_xAlSk?iQ&NBHY5#XE4z418<%5D#r8I`$*{!oy{rp;j+(I7zeZQ(RdQ$qhQIu9@##iCu_omI%T%t0fZ?P5+UlQ+rfS~p-siLpgOm7 zw(Da2X*ME8i#o8Pns6f!e?R!Rw94sW!BmGl#U}cB;~DB3u<3^n+fR>|({Y!AlI&j8 z5H6gn4L1a?Nu!3v$%{{Ou}#;i-!TG}c!!>%%PDyZ1yhz>lR@P==!)@6EID5(h52e8 zKUmh$MC+(Ucw}(`Jr?be=qgafO56-iYeTQ+5>YFGs zj5#hXmF)cv77#q0zozSP*`q)85PutNo&TE%`yzUo9eb_;yH1Eu@!)n{QONhsxrEyz zq8^7)Vsb!fZ}B0n``P}@?qKzBJnvU-YfBybVl(7Z>KOm(SiLw~oL1Z~FBq(-e6@EB zNWcb!$ZZt`T_ffLt%ZdY9J1+pKX4ze!3Bq!xbD^Q{l}x})>pplNF4;qTVI-8iy(^H zESgwZ-qr(OJ+rpuAB@uu>8A_yGcRqwoSVwUincjc7u&R_6Y#hZ2w9DzoR5iLb*JH~ zvnUDc6M_zS5~VPPB&nS>Q=I$P;7iEoL1fv5VG~C<)W~C5_iY>sUHVWd3#I|$Iy>j1 z5nDf!5@G&(=@#Lv8?bvrSJ%Pxfnixisc0LX;Q~U7H~ZU9l~TT#t+a#Z$J3KA?z2+y z^Id|s!@v=8C;JNhOM_i_s;>#p|CXkGMU+r~qawnk1ad{=KrWIMqUvFcK_0Ybei=){ zbrTB~t6R6|gZ&Mek9zUJvBXO(_<%^cbJR(KJ@`_13n3tOSS6fGOzqi46s>oeOhT(n zvK<_8RsdA2Bi1g;!2&)`5&=6h>lzo%vPwP<6N!iuxCcQVzoHV(L;M#@TZm2DIMN}U z4=zF@kSbfOC_Kb0I3TU8ZcvI$0W9E8ylC`{ZR-eZJ&Uw&I5>PrIHD=VTmUHgkyAu# zn+#RC+hUnk40z~euxJrc2d2L_ofovLS01N`(fp9XY(2aU@9Zq)tdmz)}{#ydo<94)*|hH8yA0PBog z;fVrojn<3ZO%WWUgF%Li%_fP~Gsp=nRIC(yX|)?*8{UdIws`XKRnc4 zB0;E|!!Jb!7HWFyx9I4sF;mI0Z%ZkCfvr14L zka`&J$+|WKM?h?sl=IDFXE_4TB^2yVVRyEY@(trS+^&WXTpG!%)khdtucelwtGZO-Zm$MM-5v z7s2C2>vjo}aqmULMe7n3cA-cIj9@cR(0a`D(Rxai(Rx!*FbXIxzN^DT7%q^h4aG3m zOGB=>^}r^oS+7Hp%iV48lOgmMF*a)kpbpQmf)DVb^=K^N1n2@e7DWU&-H4@^?dTZ| z8W?Iwjv}FD_FEv}*oqMRXYiG{cMt7lhpr;^$d$qF%+427;yxNjnhLsIRoIA)AULQ^ z6V4FB()bFndnI|Y#h(BMdvP+Yl>u18D2hOXA4ZUlBJe#aLKyy6G~a)s&GX>|h6B## z2in3nSYPQL;dozG$W}&)JZWlFYmGkGndmxtLinD25da-0T8|qFPWTHGmdyntWQ7ct zCkFx~YY$4IuJ!NU_c*}wBJZy-sf3p#E72#0LwTaWU%{gG?V>n_>9K!< zV1?Cp%5TM$6U6$L7lI8fmBN!28SbPa5J}4_Xn|iK5Yc)jh(n~P0y~04`{Be|^3aSN zg)uzKc*ePtq#-kNq{WipFGx_Wh0;aO$Boc>pu_~lO=}x}6m*f=qE8w-7ULp=dxqwf zS)l+Q`41*&^uZYi-hU}j9iH0^DXBEr)yz-=sG}Lio z(y}r>tZ^(ze0AyfCWe5CRX*tXGtiKnI|OK$hYy$0Vnw?lV5lx%!R(vxd@;IJkf4?t;iT;VK?fd1&*^Q>Usf`0x5-L&W8;F|Xb)FM~`j;rl{DPhst*6FB z3bK7L52Y6`D6lRe_EJLdN(okQ&;+s#M%(fGS53gXmjAUHF#vfnP?%hcQM~nmAOPC& zbh2n&fB9&-;t`Hf8_W?AMxf#}MxgvO3P|hxp>AWK&ciCg&d}h+$`|J8mz3c`d~n^E zAbig)8!51rt^AmEPhKo2z+bwEw8|&})>ktifJD(8C@0SnkZ?w&31W3mE;s?kSRpuw zi@iRmq{K2rF{)^X(?pea22egE27~18 zd#`tBL`BPqL3NAK~8+@zr1JxhTS42hUapz zBx*@$@`vpntPhI_JdQci)RmYj)H`Vrjf4~QU*Rx>ftxrUYltI_RaXr6v?(_2{Jhx* zg_$O`IB7Lklf!(S^c)E|+5xHH%jaBvt=`76nL%cz7?G||M#0CvhG`|qtM~`7;?RCrb}z5<{ZHh4EmA$ z_$=RgjD!Y7eyGB%S9J!W20M5iK@S(@-soTtJnTCiSf+FmECHSb_49&sS>lLR?@_DA z0Y0aNGX+YhB0t7--V>OrJ$M}Hw8ZIS-_&$`DCRWYlhO*66;Rm8f#>x%x zDOw*MYO&H6oa|}l`M(^#{`+Xz)7>dz)F-VpC{>|7T; z5Byv7f`LKmo=~fM)`HSO!WRRPp5=|9dz9ncKhna z?DsbJ5|!HhLFsa=8S1KQ6S^^-#OyhxN+&YTo^J7}xFfFiu?SIDp^vbVuy#eYHbp_~mhj zTd2e9uFuav{bifk@9Cyb&)P8O?95HEE6o>2L;0@#>~US07Mflldl%LV&_URv8y zcmJaw3bl9p%Po)D&LgSOuWmiT=chDw+ni+%W5aIr5MH2Pon<1sETTbCdQ zM%2s+s8v_dMshY5?LxNZ)INQily6%7f9se^$vpH$$DmftcF2-*k)`koH0j2S)KoZ7JSRhiqv}W7z^yM8?6HaEwh>1PohkWTVYD@5LX&qHh>{7NI3l9zwnRC|eCvYuO8hG0?c2C}~jN)jy$7Xl6%Bl;FHLUE>H47PhZuO$GIZNv>=i3H z0^NhOV!X2d<>}{5Nt_9aZsO4C75b;Ay2^$o4|&}<-i2+~*3i|eIpw;&L1au+>(YDY zVt%NyfHT)^hncDeuFQtLuVND=+{b@yCNpYTAJ|$J(mFcRI|p|t|4mKnpujhFY~ZEO z87OllEL>(B+Ih)1^L3gR7}zm|hiCQhn)i+w!Pe;-+;Mec_lg;jtrnG+HWyDv!vK6+o_B*UrjUxi$Uf|GTtH-*ye}h+$27L2GBT z{}4da>wiPk@LtTqoMf|UnP^;1p^x$%Z&}acR7@Ulbik*Jk{{U7RP1tZYF%m{T$RQ2 zj%i=13@H84PJzF5>L8nvF_eEJ;oPvB{nxA$bJg0NnNO!K>_>Yi-PY$1%zAF!t2~_j zJF=b5F(a!It)c&cZUI0WtF^Di*tT@wuhzH~uAH1~%d>hcsUZNcF$X!R{%7V`#wlK6 zOG`nf@#Qz`@R66jDtD~HWtZsXOIzoE3>MgPj4!{xU>IMP&_ypS#Hkaw-M!xclgNB& zz;*2`8L2$J)kID!!@1&lGA!(Hvz!pHm7=dXg;HB-(R`B;6zV=M(oj9LjlXqqZ>AHc z&fRY^H{MJ%WD`E*kZJRAa0w;OflJ3D=~%|$M#`|ET5<$$OZod=3Na-)Eti_djCFMv z>4&Tsbsj|ZEe`xj2fT`5OD>IF4z=_d>#7OMGSSi{t>PJ_Vg;wGrirW6g!!w~7MY#h zZtoWj##@z+=bU@0O>)qidu|u_Im+GR5yUF}5&X;L9db9Y&AjpcoU{GFp!=x%tp<0+ zVEp^r&E=g|)#Q*2%aq{@dqMj+8shqM8mbMqEQdF&g~WB8cEM(!2z$X$z~9AqyA;9) z9cny5WIpm`8&`?GJ8p9^#Y{@Pt}G7nW$P4+d&8;dzrH$RrSgSRw**~ZTjPjxwe{gv zdJf<_P2G=n$S=+zkFIqJqH~NoQG36ZELhqukF|)DmB(so%0}WFA(nc4zd&!iI3LN; zX};h*n);#H;8TB&sNnqk>-^RJ^Y7K6hmZa%gm?V31w_Mc^v9WFy8j5FVK$o9!bbn zAg!fN1rC_qM*1M--HH?yt%x7@O{fFVoq-Wg{Q3E#trxrs(5>IjfExfHriP#^4fOJaP+AB}g9 zgne0W5JN8)KU+S!_HZ|Agc7&lkCLB|?=B~J0UFLDj+8#=OI&iS;v?-uaA+q|oz~N= za=kz_ZQ?GyOE~^IUzl7={$O#4kFZl-ji%p`KwYyrbOL=T<)sL9Is8$#)p8|tN3}^* z?kyRTCVKzRF7pj^AAl%VeA)=4wS0P1aE$0;b6E)*wrNyzRZW*gj$r z#CwG9yWjo0>6lU*hHU2@>9K4xuOD8tB7cM3<#9Q{y^_`AoKZuLw1yyLYXPmfd61M{ z-$o?2*jyXBkY3~6wECCKu}H3eXLHDA!trwd$}uHTD3_aWER_*)G8<@a6vf6_+_5?p=gChReM}Ci_Q~ zQ<~9@uV5_-ybn(80@{hst*d{hG8(x`up*x18PPP8+{A2&eE)nm%BATOv{|mZ6XRuj z{$V*fM*c!>dOlvQQ%Zo?UWm}X(rmOZ2{z=>_2A?WenKej*)oL~IZMimF1~-h&1KF@ zSrL1_|4;wJ`0J(C^!iU|zH57OrMm+;WxYUX@XnB%7Es{&{@G)o_7h~nP8i9J+fY>i z^cr`}wJD3cBKS9a5amY3|DDaXRBJI!3xH~8GOXAVm}1=s-=4KseChQ3kYfHgl>18V zZB{cS)0M>1&FGMOMBM#wk-FLa^3eBWxdHa_+J`LkcE2~W(CzoS!~N#|wAa1X`EW-q z)ctTivvSv$^nAZpn%j$faj0nbcCw0m_R9bKWbpQU`?}y}_jY^Rx8m2~@izG;urS6! z#hOgZq53s^b12V-ruXdidWf7EqPiBiHTz-6vgYnY=%vZ)@%q3P+ZKjIYCyh$Kj+RV zDS>Nh8{ap{g7~>Hlj-*>MpA0NN6{adq&wfy(tr!ScCAD zx5N(#SuuCHa?u6t<#TK&e>YDzP1;SL(#shhjE$8@{SoDIb?z<8!D`TqO&O($)2sEt zX-0qp?<&OZ49rxi-D+6_c5-whGTMnE*O)?^OTF=ZRK;Mhs*q8T9oVEvGR8)H?X z$m^)#4~lH$rewrz^@OAAHSLs*xWVfeXCG6k6&0Pz6U$2HJ262Zlo<4k^Ld_WrmE)= z4_r6jT-vdjd*3)`>|)0+7E@+Qv0|$`?5o6t;*lFCfsT*e?)Hz8k*~@{e&;jDClk#t z-#Jy+xX0JfI~&GZ#9FZn?-*4}6uuw}$t2O^K2YB|ScS}u(pSk`3pK@^l@|~BUdhIN zY`R{wb3LdpO(A+3Xa0CQJ8javR6LaP+4GpY{d%z_Nx7v!c_H76YvxAcFokXJHX!-| z{Ws_^NJFIKtrD)Bot?^B_b`{Sm+ z$2w8ut6_)kK4?)TISRzSNi+-Tn_;yL3ToOO%huY`oOid5PG@EZDmQZn0f&`gMf_c8 zS$vA?;Y(#IXO8i&zX@W)oWICp!m58oBTO4GO|@Y?s===iu6jI{hq~<& zbsztjBF4KMg~_~5k+XA6%eAEHf#I`L@%hWo?4ks=i-b79gqJ6uufH#`T>9jh^#$o% z3wqu2POl36>6^^`S#X6-5Sv775lY;V-B`LT?bVv?+~7&N8p=f)qeZ)1Ds?(DE*UvF%^3j{zr+!j1;XGKi9Uh!2R4JyC9(^xnjE{0veE_R2?ypm7Kl!4-jzSsR#@5CO zXx%Q`)9vO8+K*?qhc&M^@SFZJdEH%0-EEE@D*{i0`#^_g`T&KQOyd_hpqAR%M5>&! zzN}O}@jPqlx`0;QusH-%@K!!{%;W_UoJuA3?_NSt@YyrHSKjifBz>;?^Mmlf)_&Wy zNVY2dy<{Z!-jxn(`M92wshsWxVYeLq^l`m3-l11;AdK?0hdC`rcDuWzFS1=Oh)|Gv zQ?je`IZvBYg_2^%CTWr3_NrAWpzqc^0McO7BZ|6IqOJeY^4;ALIg{tx05!p*dudp% zI>yt(#<|kDyjb~7Nw!c?I5qNDrXJqSY>o%>S^7GI9v$1*)9yPzHQuRYV)ZWVwxly6 zAYLS`#=X4rIM1l_WUc9MF3pi_Q<&7%NA`99{U_wsQCA*v_k6&ae2=`Am8vr{<}?6b zbH!mdUB^O*Hp3rlUIXtdBtJ=8spQsa|3cSRof+uj$H~D=$=LGOKd@WPpf0*-N2+Kkat<(IxwjTltir2 zq24wteWx#Y;QJoq$#Eh8no+l1b7o-VZaeZ#WD`uO*D`9C7yMbu8jW5-1>eV6NgN@t z_p6cp6}x2H+s-yIx2W|>`~$Rzzl{TDbDdsSsn<<0$4?(NMIX09uMtDd6%D$(_>)ll zZfgBH-cmIu4Z7SP=B}i{)}L!@yB-&)%`lT{znRf#{d&}edj4f61$9zz#i}YY<|fo! z6ezCFr3q$`ZX*RXc16UF32QFcTm=3FDooM@YRrlBo$%>>l(};aco%;gDW-E7(_bQ4 zz28iVgmQEiA&D^fDm|CmwZK4P|J8W-lv=~Ia$$GGK3BCmNnE2h3$|Wg4^R7=z#%lG zyD{_-C(LvFUL6%MEvl1{#87+{u!;}2aV1Q{Yu`CFdkP(bcX`v&;e#7kR+M|da01SE z?n5y^hXs8mv{6beJB6IGf>hYQ!7+1uY4YYzhN=MD$-5EH? zFSC~9z=$hL9*!|UfqC}#*68rz>x@?6&8E+;%2zncDF+{F$B>N`0L*d5wo*|22eCzg zL-uXt<^C4>)R+RHdpBi(-QtY2lho?Gt&B^+H%B5E%MG*9B{2n3iWZr2Yu*jhYs^ol z)um)N?SHP&iAb9V)?@$U+(NC+b|a3?9g^_*BC$glg1C|jIDT-Ru(p5K#H9m(9A6T$ z0G;CW@CU)^A*WRuthaKnxzK+%@g6`LcEMc)QY!hFK^OCPT>?rV^wmb?XPZwt#yRYA z>V;*M*~39rS-`!R2D!JO`6oJ(3{7dMxO@-J3PVCz2~2@NDV7Su&SdtA4U>~yZ#AwX z8J90t^)hplwxX%TMS#lpGy|?`!i`0R(1M>O9}69|OAix%nT*VhAqS3goY#ZTnwNsM zhUKS!n(#O!s`+|DMMWQZ1yWpG37ulDHNIp#<&WZIRXfR1A&m&K16hw<)V zGi8C2s)T4~_IT>&z{Fj1?EUwVMsZbnejFOV$?5+R$6@AiioRLQ!+!isejR5P^Ski> z`=x2j@7$kwMnBHA)IiZ2Q;R<>VFEYpH|xtlv*Nwf#w~@QEpaequ(-{SVA5cD41Z?% z`(O7P%n?%ElgUM6J(LgQCVk!C_Ew(sl+3PnqONP#PXdu1G=QgYUJu1~Ggn9LY7VsZ z3BBBmUF4Sb32nZySJqp)4qfT1k&CzLsqA6T-O!w+yb%z6yY!V#HxSc&a{Z%;EPYW| zG;AIeur;OVus*2m!}@%7Ev%MVJjq3uTP=1oa6V~^opS*hA1CZ`IVW|K?|m~6^)jby z&|yKGbh%TR@cnP4m(cEv;m|6CgLNv_p9+Q_(~Vq1EcxU4yuokyTq|JLP`Fosu`$U_T~r2pTbf5N@W}9=KLlw z63+59#;DEqFvie!B`D-KUABo&DqX)$r5-lTxGCnbkM zS-E0++@2a;9S_7$o?M-GhZS^40XGOzs6}0B_PvSrABN5S?0YyT!(F9KRHhs~5qw3L z8uAn5+ckK-JqpuaA$zT4Q{nanPSvs^8L-puyx)F#!?C@7@=HfK^Lw~Mz7u)@HZ=)# z_+Tq|Kv?VDa7YWaR|aMjk#_NGRc~0IaM-Lz8T>|A`Z}Q^XOJxSCQgji*XMYDomY}8 z6{awh)wp0{jx72P0T*+UE7kudxtFZeN5`o>lqnkUJ!ajkbJ~Wca>&DRpQ%v zX{}ieaW^6_wa0|iWqaM66xOdV+L78=cx~dJ2qS;s-aTO8EkH@A#MuTYmuln2cKW|* z%qqHr@C}a+_uKHKAE&A%kbGY_^rYsHfAdIl)Y8X`skVTZ&x*rhN|*g4nVTHKGp4su zQME3Pu&U7g5i>xip{X~z`pvPlK$qG#hdA8WoBC9YwF_ReNQ)X;kXg$T(?NidH%E(zQ8*7`AS)pr>CL4yNs6T&yvq%#lgVU zg2BVw?DI^w(T#9q*h^R9|F9VP3ih);`llf37dr@I6d zITTJPlL*d1w@Xa4&G3{?Ku#cvq_u`3ceL^-exP3tNfxe85tD;xO&O`DT~p@?7P zuCF3s7rAvDEeot;f7!=SVJNdTgZYRyfPb(Q+L8wbIF7-ydHEBm%Ohq@&dH|(^Qnfp z91`C98}eIgQ_PQY{1&cT6y<;CA3KqYOT@eHPEoJD&Qf01_)WW86zo0n%>J%^-}EZA zzSn?9nK1i{YJ5~-F~!`adK*O#6%IfCJ4|J!z}&m^7*`V>#YESAG0}^BcPybg;qp`V z;$u=0GvQzY+q!O*B(Fd!*6Tv<^qY^=XezrEx#>mt=WLF1(Ef-y0kh<^MC?{Xqs$DS zAcK9|^HqEjGt8{S=+;A{PS*U`Lt{dryTHlDKF)V9SOsM1D@ReAB3dG)%A|berh`u^ zomr~*<&i_PbjpP6K^cyi6ba>hwKX7VV3fFn?BB5{Q{IsI zFf|$cttigFaZ%^tmo$vZ8w*r}43#&W8M0JWr>SvJzvhRR^)tU`(>#p%*>#^czmo2^ zTEpdy48^5a~<}*P^zDa$BHn_K(&Mp=kX5zMxUlQjdV1lOt8$l2q0#(Kk zEkg|ue+b8VyyAM1qF|6>7R7zO^buhX+Vr_1%?NnZ#o`L`Uwgaa3@x!rnulTMjyWg0 z*2J0m;`G?4?jgecNl7;aXC_|b3{*57jot9sMtM>yIc}71(J;^)CT?_2%MHzg`g^7no&Chx<`UPRU4P9IC@`N@bGfi8NCFn<+3bIm7po9M<4-1l#ZLp>PymXH; z233bDMD*w&jZ+O6H88{jqJg~nQ!fd3rMlH{Pv*@HCK4wOdPn8HaLqWa8cUV=ta&rch|m- zb}l4&)zaQ6$Q~;y$X>(SFY~I~JAMu@2}wSOCjZ<-kEq|#3;y;rzP|Q+vcA^gb@{xT z_#*V-lmE(Os6_)Q8)BUe+7Sy0-BFkkcGkSnpP~YnpG{#71dX6NB#5kW9t1kG>b@W5 z0#G7q85u+6g@jsE8AA?F`#cJiFL~3G0t$rdfgyPwSu(ESqoPMVWqcfQsw2Ki<>rJi znAUp^bW6w;dePfI@kV)&7(&t<=!&lAP%f>x1@K+32Kb@{7AlOsU@ssPh9CE`SZl8F zMe81xg*sHGoirYWMd*W8I`L zl9K*Yu4wk3a+Jk@a?<7hl#`JGl>4UgPr0NdKsm}{Kso93IMw_jT|_Xmrz6VaqjjOu zxAw8>F6|~Y_UVcWRo=*ro-N?s7e(I4RAmn6`m$+6@;S9pWyrqS7&1)aQRQE%oox0! zl3m)2f20!8m&zniW5hWl%h1PZRF=qM3qCv0N}}p1b4F^mSL~+njQ;(tFSGn34_6}g zLxu9;Fqs7Q9E~X3Ld;e(ziE+b%vO`WX~%3dSxtRopIZ7?hmc~z!A!V8qr<-vpY<)n z%8j|Uwq*F_2(J=TG)9ezl9OH2_*}`WE0g-9#^_F1T#h9^s~v=*E~vE`^;6Dy;&?Ts zF_*E4B+V6AVK_vp6^1jFLzfRfw;$7%NO6kZVT$ zQ_Zu{3WHU~Qo>py+w|6&(La2#JqIK4#LN$B|f(*&%j0B@>?FvH;m{ih~~ zJi}5#Q1^=}Kgb)R%n|gArL+qwvzpr4TzO7%A8MCJBcd?k?DB@*hKKKNQsD*pIu*Nu z8aI@YH{3}@@IYMy@3h2XN6>5WNuk7x4_KZFEK+i~mTwV8JAr17-##nxrexn5RW(KW+T?!OGz8vLM; zk{4o}IR?*w-_NFZUY~R)q3+a5P#jKKHa`S(8aLHs$O?^NJ^Yia|HY~j{`-VB>KM8) zE;EmIU~*l;u458fidQ`I&1^p+n#5>8(wz&N^s9O#Sq8~)EOr*h07)gB z5T`|fE}Q=OR8^wvrD8C~6y(U4j*TL1%JkX1i0>orJ(3LyTqE2wkrrfy^1vMN!f|9t zUyh7niy0_t`6&xvF(`=2A{sCe$G~9tC^!A@KWCtE=N?H_LDq`Q@!}}#DM-Y;i@?N^ zMbPxP8B|8zn}ELkbk(%qgd$)$yy?C<3u6L&H<&CO`n~=OQ>j`Dbjw7Y&rm+R83Nh zZlbHK-pKm+_xC@DNH!6eNxud1agvzsEea+Zmdx1SW{ptg)lQ2)C}bG7meQ_O{(ct* z=!9kkT)HT=Z4|-5gX^^J7p5bva~_4b5L?7z%UJ3|r&_>wNfXM`8!p`*!D49t^WEK& z`z6j7IC1gqCewj?6)_93chG zMDjbCUF?miJ(L-A>&}EeGT$19xo4n=$D3pA=JO)F+27G`XL4GQt;@ahzX$x(&m~iE zup-flz%(^`Z}!@HN0wp1&*N%)J+FD_+iAxMVCu{lcpElMS~S(b*hok#p~$?8{>}Qi zI@hd4$9zJ{spIDi$7e=wj?YR9BvHB4=M(=-uPB#|S2;eXD(19W2^hq)>D>gB8cL#x zJcLOWRthlM)mR^YF_qKvo2vC*fmHV01NLc0+)z|YN3GazFs5{w2PHCU1{O{2BTDLI z$|apTaqunMuuT538PpeUpvI#FJOo6dv%-DN2N6A=d3)L|xDr5}D~w(AQq}FOf3f?E z7l?TbcJl~KAi<*Qfpnmv@s4irI420iqXbKTw;dc?G2`rfo-_fpB8N{{&%5W;C3J$Q z;G4Rb_TJ_HMyZfQS8Ljaq8_JHw|SjkB+D=y`Nuakt8J-D7+w6I`&EiuYLz`3C4g#R zsS(-en_&_0p595RG<@s-2EofF>FXE#^=<%{$FKyFc$_a`{-$b?1}?JkATZG^TS_Nj z5B0&UZKE(kU&|`GafzQk>VF4@__~_-G9Jg2=X=0lEM)%U=v)MprHn28nh7BJ#nj)^ zhgL(RZOQoz>qb%y>R*~+7G=r{jU)Zzx}<(7e`l+_PWms_=pTSsD}%rMSnY|cTTq@U z?^nN&Pe=0}kZMp5mS~EVR2dni>XaEvswI%}bJk|WFEj-a6(s9@74J@{0DvdDgP9c^ zc!B-1Qbozh%P!aj^sfZ7kYKWIFje&#Ai~jP-nF8_NPZ9ZTcv5BbU7f4?*b7YSSa$( zqLM+E*nQYjV!HunB9~(uX!6;18hH_;5aX5cB=FpOsj7^&;P zi{g&$hnVVqrfo(k;raMQVALK<==*C-i$FSy_f-04LgFjF{K67ILHT<4>G6_Ws*7*K zVl#K&hTkLVKr$E_xEa0C!%YCJ!%PaGISO^BlA?;d+%_FJc^_i*f2 z(PVIB6=xf8nk(A5;hFiE84YSX*@|prsYEow6;hsfmfluyH4NaF>mH zf@=uw7teR3izU7xMi)P^I8?gf+OE5kc+ul9ZN?BMY_J6f9!Z}Sq9c}L-# zg!y(D9SQsn$5GkkD}1m``c-`ofU_3@12!80ZOz=3?<2~be%%)^bV(pSRSk1_7Wioj zmM0}(Zk3K>Hz*+=P0^C~YV_oxu3YR^Pe|ic@-_Z0NdbK~JIg zgQr)!cA!gf|7E9Q&U!VP_qdD}`>AXogI-H=qG4`Zdcu5G$5gza%!bf>;>5_3DEJ7@ zjd~)}$_-}Zz(N!JB{8w?==0{Q)W{AF2b-?x0 z^}WkhpSE+iN-y{6Niv&T$B%8^k^AlefMzMy%gsz7i`Ujk&mYsnK*dS$%sb$Uqfym- zXCS5HFYjquahFpU=~RrJazxNLLo+s_bHVhMXp2|#pJG%SwWQZx%rRpQHk}ZE08lR#(Zo}RW(F-r->G+rV~F;hB%O|p{XXY z@kO=_R>>a79f-iI;#%6O(I*f$OxR`Zs^BBF2EbKG4Uh+COey-je5rc!nTnbe2eM0a z{?MQ-mlU75Dy78R(%+SEYCw{HVX<=Q}OFP#0S+grswhbs2Wc0Qv$C!LY^0rwJWGZI1vk304KMF!QBIVip66=$X> zU{{}3XCV(Z)ZQYX2?KtXie>`OlZ`{y{b^YZGl4b9P`DglA0U2yw`FixQR7o>o`ur8 zeeh?ns^;E$yG(BYRAq!qBUB~$;i;i$4NiB4ML>$zphB3}dqIlTW??16viHMoHq$(* z!En1lbw>tDFE;hT3;6QeJNC5xq70lKk6PFRUIFM0yLSL@zf7xkkV`RJL$zwwrMyF8 z)gA2vNiKmzrHIE9TSr9AuCEtek89-5<3$ZJlbS7JgoWh~X@=AJl*jAul?quP+IoiP z#ak4&>qzXM{+=f1-4!KDnR8Hb>K2$=@XORo6oiN4WL ztP-6lb`ey{qWJo+Rp~*4zu5OG!g-nFv8)jH>T`-<@*z^yeO0+k=+T^FjZ25#ZZl z*(!vU9%Di!vv+O4!C9*k-yzf`$k99O#h?qeI$qaESUpORE~}si?jvcC*Ql4@P`I8((iCLe(gjdtX}m;90*5@JRh4^0|#|N-z#%vEW@!gz)SJPT-#C zPQ#w6%Cwy-)ptLuxQ}IQQjYcUf!Ch$!Y)<%&^Ypg@C|mHkT)ws(ikxp9rKV4+j?CyUX95kFJ`LusKmLj>|Hk|jqgC!+^?W>ecMw73v4QGw|gLoFG8Efk&pCOrY7AqKBfRBvv!VDaja|bI?W5dIldiU!J!ZZPKXw!de`oM|&`Mnz{HD z%+CJaYk*XUktJ+Q#?$}FM@wbuQwrDv(o{so=~rMOG(H?ARbj0z zZ44YCPBIo80k^EN1fR-CSDT6=E%|B1r}f%|DA2&&IUI%ZT@Xg;<2QKqXJcqOe-_9T zA>65D|LiBK88hu$i zslzkc)o?dv091OenJwaJhzDsQu?C;B;6ec*A(KXMam8uD8 z>7@l}=`~PpfpQO&N1!|d<&`CADI`#!hP2bYf{shjK818pzGpMKg^077K&cK@t|k&Q zemHu-UE|ohF?DWloJ!@Dy9Q;u*qNZ}=vQFX_ zVhClK4#@m2tUWBK=xZL86dt`^V$qkraa)&jV${ULf_HhpRknpGWPb>6`P-!JgThPg zCHY5KUCskHN8$$}yvxi^`ulPOfgO(SdmBGH{dqISg+@!siiPer58T^MJiz)J6+MemKvE{oR>swhPS$opMk#EW zLwdQ4YHq2FrtGV@2QM-a<;h&);jw!1EOBs{EWfmusvO;-&Ea~US#eU)=xkBqB_WkS zITiM?I(^yQkN!PoG0PQ{jFUS#Ia}{%w%w*Z=Kk$ei!Hp`SvldNO`>RfLm0M)z%hEH zG_8aY`hdr{ddyNkM%9PpT@@mr@KMiIjJ1WkH zLzmnwrYwrq*`m8soR!JiY3y?ndT&@>bzeNHu8xkJajEe4Nx!w1qQ)x58XW~iU1}c( zM~JN~Evk|(Fbeo~g*2}f+3B4Xvg6R@jvoh?vEO+eLW9}fe7}RQC7$%JFv$6AlTm)< z6Rh37Cp2HRGu|+^BPu9bad|;v_vYDBcXyebgp3L4oTR+^b?}wEpT9R*VjTJc`d!>y z8kVMREXblFdRe)dBdV3km#ETalrC+wMd#!rp1MItg%Xbyo!nP3n&bJ8p7K9N9CW?` z8*}Z_uRObDSLqgepMKWC8enGK93VM-YKnX?aA*gX_`>G+a(Ofjuoa!+y-u8CiDv(BT>q_>GgL)%((w(PH4a>w2BCE! zH?F-X=E*dYSRNP^TYghmukV{NdZx5dcjYGm7T1|?I`3dU=T#Q?twG=a7Jgejf8X+G zOsgw0ilY2Wpy3**EI3C<8+t1k zDfGj%<9+vSIb3uH&$8tCbBLHZf{(GW&H@smj^^0~(Z`TL3j-?}bDjP*_nU1t^16z) zcpCGAn|n1l-{;w{{Liz~&whs>?>4V$OY)POt3Z;21E{WLKf~_H(;X-w@F1LDqdFR?@2B!_GsAmJ)Zdq=gRJZrw#*rWVJEPWx1b3h%s<%2m?TeK ztn}kGhfH=h{laSqnQUC?@pks!(Jy55fpz%deA4s*L&(2RD5&YzS2w+u@Z62s$vj4% zsx=&@O|5VBPI|Y;c1XD*dbj#*j11WbZz;=7aR1exztsM|6 zX8&qO+9|Mpg$Yt%gbA{v)$NZr7>{p|)AZe@!5uk2+=C2C=^!pQEla;Pi2^q~C{PM3 z*SBbY>{UTS3V~k3KhkMIQawJ#u7R_&yQ@D^Dfd)=vg*ZD@1@GMn$g4UJ%yc|*Ot7V zE_?9`Cvwnw5B%k2Y$a>-YcIbx?!lZ_cxRJUIo+>HPB!fi5a2O{vZXk@XN8wZ&L&;d zNPo=`grc8~-rZ3=cgIEFLUq|&8aj_EN=Jp?v_? zhi#zbFltM-s|8I+k&nZG-;csS(oCX0UcOLyx)4(_+91`(NSN*zf_@?*cM&j%VPDjr z!c>QniC+vpcE>d#%jpSYU&!5lHS_x})&B@sHOBk{nBB=6^)a+Zy1~cSetwe!zS$ks z)%9?`*}hkuia`@@BI9$`$IyO7R$7k7SZ5b{1JCeg&(HgH(N76Y(NELHc%oxU?xG~| z!I=co4`zTl4bg$Q>uka4MiY}H7l1)yF9zN1JU-?K{GtFDs&&Vh_W;aQ^Vw#M!BatvH{0gSeyFI z==dHg=igx50w2jr7guQa_L?7|)>aT64+kE}{Wuv&a`j{1Jk6sWckK@EI8m8YzM=5+ zU&GXaWG?mmxYS!0Su(??7h%Ea_^YO3^Yrpr(^RJt^}OcN`-%0samdI0@~Sa5uiCX@ zaUl{gPC69CH*ftwD57_yU0bOkKk4`+H8sbpd2EY4Q*LrCUx)PO=?hwPmtP(GyFLJ@ zE{Y)#ZC1(6f}#ADN7^mHg45~+=O^isL~2Ts0iImkLdj(0acRE+i8X2(qQEoT$DgNZ zCP$v$?K2`>TrD53xxb|t?(S=O&8@bl=M3IgU$UWffy;L{`Y&zM1u~AA2&0nL#x4WT z7UbOyDy>3G0xY)$hTjjoz7-xf-!swapVR_cbye(GbpUL+`8zWm#wAbf`;MIDd3gpo zcg{g48>{AH8(V(guGwze(ZuEh)r6e<>@Qy2~E(|aaMT2a82;TbQMmma|ogI z9kxt>S4db}=eG6$ajA~|myZ|}xYWlM!BKuQa05o{rhRP@xwsVl8idd zmtw7cq8?SSEwH8Jh%+;t~*mq&@@9kEG%fW zCFz2Nr4Z?>21aV&=zG`e*szcb0gIUw&z6urdOFkjUT?CoIlL)Zo;1v=lsim1oeriK z05d5zl627I@4b7NIUJ4xj6Ca1H$jp{Kxneb?p%^HtDZ>XltH1 z*8r_@o}e%bYAl#K)yP3Y0LZ#^SuHIuK$y{m50_&bUWV?RcbffA$1#Ds>WlM zq8qS?{sy;8og4%G3)!z)iQbQG=}z;JQTwd<3wGD{lQYHfX^&X;_-k(n?Q=N4xyN=O z+dTu9kT|qQkf#Sv7k=vGb{lZ*dg^|*+imk`_BD$kPG}d}kVx%Dvfbqa{F8%vZeXsn zK=5)MbB{kIAGN)k=ml?Gu}&uLeV7ciIOAD$T3uZl6gdL!L80-|N|v7VESTZFd7lww z)S_{5s`?)Ln2aj$cxXnth8s?vOGHm%968tykX_ltjKC#C9^<;HxQ&cMrRD~ z!RdY!J0nY{vQLK`KT`}XtmyLgZoaU1Pi6N=bUC~_Dm_*C9`a6_uH+EBGENgm=l6Aq zo2jfevzm(lDr)+Bmg7Kcd|`XpuJ?H4@YihGF~alW591El4F>A&MPDG86QM;t=jK&5 z;N`Yk!Gn>^6f?X>bb^|Mr?W)DzXGr4?}*zw&MDT)%5U|Zc!avdvdfs^DI@p5&UR@D zHly5H{LQHxR{JUXG7pSf?YzxyJ6cO@vbSFux8e;Ygmnh;Z#AIP5@bfXXMM20mGYol zChS7mG+RLXB?G0Au@Ja|v*{j+>lZAhUJnA`sneRradDY5r__dk#6p9s!Rkj_JxK_m zE(^laYD~&VI4vixZUA_eX}q=YIlMomVS^2|Jm)OM$YGS`JCLT$9-ldE@CL!BN=A}j z7`L>~9%f{mLz@j>!w&9(w11B9-m+`xDt~D(g2qPa&7r6Kly$3E&6^BQ08ONid~NS!knn!x5Vx~esDq~ zBmprYC&^Ba#B10ni~qx?8H?8c!YBM@AWXRVqYup&J!(PdRu-V7Y>Bb1(VhKh+1e6o z`5A*|f{aVj(|>pdk>#_ej*qQEB`DrX1C+)sF*_Yo@&@lDL`Q`1)L*PjC99_~E zUr(Eu>M`=(E_wy^_NBt3Vy@VJ`!?95Xm1^|IHehm@&k)A8pYvh(RhVB{Zg5l3%ZE~ zC@E%Qv@5)|WHli`sU#$jU7C+T31o~$33S+5R2~6_cl!>DFD|6DuR{%nf_#TPy6T@J zs*8ya`(US1vli!LQ2OnUfO^V*)sz2IUrmw+4$&Px68K9C)0R9_X)5yLtKP(?9@lD^ek<<;n2@MO#-SD&7TSVH7>U-QPvmPOIO_5Xb|PoO zHZ#FE2o9oW6?Ttkgj^hJA#4Q)I9C-k>rNYsy2I=i9^=*n={1FTTehLw7W%`91IFUX ztrRv!9GO3Rv{mOkk}sDJjppNNd-YByaLwfELMZb$uh_2V+t~-ir}@nED-W;Os<0R# z%?f^HvsP)|dEseA;%puHerTZaADldAl5Z&e-IV*Zo;qPQQu@M2$OySLGF_16RBVlb zy9E@jSe%_`dWooRT&bw;mX8fIR{GSs4Q39tK0|hrypM1{tubJ~O_S?a5;0D_6D<9G zOKu3`Ye>8#@@?U+Z{mtrIm0z~A>!sJkd6m4#;f$T`*bfa=1QwdbGk!HI>AywJi*d@ zOiRCgdEd$qPRPno)B6TSOFgRjCQ%cdsx~tyt<;dH6tmv4yhUI%CP7OgLtP~SLF7wx z==0zJWBLyn+ zG1mV?+P`G|jkL8zQKW z^xp9T0rQ%83gR&0{n{^O;9*9e#W)lh7eumWxS#OA)RF;HOGwfB1jg6wRn9Al=C#Da z>!rcKJL(M{a3qXA(thn1ro4IV9X54EyciAY6rGGAOCa+ez$UcZMIR*yWsu(R{2UNTc!B^%>@&-3bkNB znCsiW34-?wg1?e|`cHnCk3jqGlpXOWJplT4PaaVYbndxU-E^PjA71dv6jz>Ll*#~U z4$8yWsz8rN5Nig=a^0AGm}?_v`RPECdqupIvHvG`mG_1D9e*qgvHeeq0(jO|h=Ve* zRQf-W8(@);8~FN6am(|p(c!qDQvbw5LR{#;!5Rp_!D5Z|J%-pq~8i1IBr;`=y?;D*Odbby8beN8@qVA%6u|*6! z+z+zngu%%rgp!foz`m)$s>WV;w04^?j99&q-aacff`ggL5vS!s)E;-8?tCm5 zH5>l;+j}Y~dBMOY9*OV7+(5OMoJ|X3F$k03^@k0t$W|#CQAoE^iyOpE)xKo%QDtI= zY!~2&{mFI?cBO(s=>1*@@a*l-$4cL0O>JJ$7|Q$6prj7)1Lw+H z8R7@yOaQuha|fB(WO8Q$O2ig$Ohp&c0t%2iv!cFe`l8?*3n{#ASb}r#A0=M;)wf>s zei;I6=i@*RRY`+wby}UNV*1;&#%;Wu@k;3JVGreeF7S52@^OJoS=M6sFC0b57Qq=W z+p3gk^A`k*upH`r)6o^04U$v=k*blv&OO=b=t61QOcN|x31BN>acL;Tgc*@!EMpJL zVR8YU)c<7sLnas$I01U`r4m$KwgPOd*u)TZP?W>r`61m?0~o#VGLad!wdSnqt%2!kQc@eCoasgmbbr<9NIZCgZN>|WvCgY#WS7NzP0rXo-9VVtR6+wZt32raz zk6-47R_5lOlJS_LEU5w5HJUgdT_^+Y;r`!o0W$Lcl{($WxW?zZMB#|!HO4o-@?cUX zwr*A#C`_!t2USwuF-l0KVnP+}!Xy`2ZH`S-Pq9S9){#Gfl_*$D=?ey?hmU&1D}GI! z9Xa#O6HDRQ0v>F7nqNAr>kyd&J1Vb@4H4{ZvlFg*qFxxp**Ld+3Aa`${-9&v7Wexw z+`+Pd}nl60r+a36?U!b@-%- zLIKBVlFogT2@SX{Ay1?C)$bR#O@T}hi9pP-IJwW_Jc`h6!WwKf>a)b$0r2AE#0VbC z5L;M*`!f2xEX0K{GvPYM`ruq($vb1w zv?G#Iak3rYflmU``Sj{6a?mo#yh*?UZWzzPG>R?k54A&^Q1{)dXW;10lLfSm0ls%_ z50~r1zYul~Zca1TZklcscFhLf)$TxnHb$>y=_aMNV9yfK2TBTHOG}+fOY_xdXEiar zrsB{C$_lI{8S^RPh&YSLIC11&`!IiDKeH)M(N=0VTqtr+s>-lo2h-09et=-VI5+1M z_sQ?c%(#9+tX3btfVAAbCnq5v2>i7^mz712K9!JXN`revm5fDSo0Hz`ttA%EwT^4A zzt>@D`To>Fn!pj6tLnm#oxS;n>*mMO6({>i;j!*1C%dz^V-%bE(b@Vhg{H<%-Jlzg zy*^#Gmg${CJ5@(Br$_b3z{%Ju*Lvdm3Vyqm#g?1pdxDKZx`oyOc&88+r9p$xQ2e?#i$}HbRxtqYCysZxUwcMjvn3T2gM=sR&g=Yw zM_@K^=5+ zXumo(=CDN#_Ev&Dom*%;vnp?U`Cs>5*EL3>ZAElQ{33T154?H~JnDE;pg`@!y)t03NvcKVlwr zOnXLXd>M3?S*YCq%KEt%Z4SNXRvk-e1)c7ku!(GPSvz(ao=z6Y>BD(;e1A#4hi1@J z{9Y-nr)uG*NK7f&CHz~mC?zo`p{%KRE558%lxF)-JC0yO0kNUY8Rb<4Dvs?Xd8!*# z`uD{H!oh~0l>jpOa_zajcEW?kTyuF*iGg$eY6|>U9Sz^=5&2QQ(0D$Lxp$zkhIUT) zyekcfom;2(O&txIsEi&d^;)Qc(YwtBJw{ zqS#@O`>h28_DbxQ2iN_Os#lSO+e)2H8%KM zSNJ#*6GwR&JI+iMN4b_hCriRB$%!d>1yU0&oJ!Y~v0HJ}24WU(AuzoVwP-}x7rRuS zp|H8IO2Ww|Z29xaXtQN+c(B_gcA_fZzCx3oivDtblf}ci!4eC3K9TQL-W;lQ{a>p2 z!#UAks%1(eW;1~byD4L&9N(;)y-_Ct!OMJ4^47WC=Yswoyn3_KY8liI@63NDd z@^(Yj?&0Ys9*?K(*9O{IhPBO352by<)BO$l>!91|>gYYik9TVc}Bpg<*-OquZjw5PTv1gmQ`yZr;lg$|*hF8h}&d%Bgsy zo8u2ZPetVSYQBF0p7S)(%LcjJ&~_81t%N#(a}x>zBRV~hGzv=rCOk}`*fn$HTwaC+ z`#>0h1zWT2GPq`5LL?Z$gw$HJlqcCfeV~SmVS-OP>WAkB-`-PA=1q|6x|NZWBzvM5 z$6+yRh1W%CBBhyKBOzNF@;EF4J_>TJ329z|;nG4h3YZ-wBEk?U0oZT6_01-FFol)4 za-cL*2o;*EQYPAI0y{R5nkNKi4?V%*uu$%b}(Gs*rD^c$lnAz=^tl1oi#*8QQPTfDUfI0 z5VE0B84nT}Yxi5`n>(Zpg@_xU5hWl+2p5g;_t1$FqePHRy1khYhX{6^C1iVtRI?*x z3hi%3YK}+7!ZC&31UW>O`7v}r8=Ov?y;rNwr24^_p9jk97XOZo zMV92Pkpx3X?oPZaTKp#)%ao%JLF9$-;%=mn-=ar^i{zFs7SkofUJ5sXfe02^RA63w zipYqVCQO=z*&7rSGcDBVJ|_HL8zQ)*diHdVBTR^xE9vfKjQGh&;cg>Xa)T&gb3xBX zHFb85U{`1So>ouP3_U_!ab?_ZlX&pw7s#f+F8H0sl4EwML*~*rroFxZ*l8{mn>za&VI1Pzw!KKcp!lJ;~ zzsiE^Z87HEFpdoMu@!piA0(C+B#ysG7Yv^id9SBycGe7dA;{)2Ov+vzMO3ryH5_j# zMtQf*LKKu!w5Zn3k?2ku6V<#JN?OCaNr$bursCSAHh1kt|g;-NJ_PZoS~Co;af+|clQ znDL(p59Zx2`CY@Sy5pxD0{-Bb#=E)YXXm;|a`XAml>Q8xOL)!bRdR5@z5{$%A}Tog zET8oFaE_{i%c>=XtEe7!e=umxP-@iRq5NUM$wt?WYR!nGI8!B&_=~F0qqexsUG5v@ zR>3azLP~*e>?*GfjdaCrmURRTV0uAqp3_4U2m)Ycc?fjAHDXDdv(}lQ#xj(hYzyrnJvd@G_DmXs7l-i zI3JezAnAu(@5?BWCyZ8$a>NMvV~A(5!lgtoTjp)e)BVL6b(;@^R-MhUh&AfP0{w{#8Pv+ zWip+2Ndoxy*XfNK%cZGQEH|^ZhVNG2fTXqbNH4BVGS!kIBtn7%dhn_#=+vCGN@s-Gs<5P0pf@_=gSy@Fd-{<4DG zg6UhaqtC}+WbAP>XS-0z$*(c#x>lv}!|C}K@}U!-|3B5|cC-oQ6Hk&q1NVH*@p!4P z?=D1iwJ|xokez~OxkQSk2Ojm!&z+~ag@Ed%zBw4E3KUDH9%t=MR*YR)Y3fI@@EJJA z)H`dVzfrX+*d}8U*|-c8*xbL%5^h9F@Z4{5W|0yT!5GN(}4jA*>biCT{@19)^6Y?d^?z-*+njBC zCeJNKkYhXjhuQ8w&~^WYeo`>v7HfRx`xVetx9cD(JKvA^sEiN#LPb}X-6dmq%A)NL z*8i!h!**%RPtq#SektrPINJ!%KFc{cF;$4E8L7#9Xxyy!)hB9Yq8;oo=63J7^U?l2 zU(|?c#L`5CDs%eyY?DyN_-s|^4%f`vfyTCpmhp6Ny46fsfltcIijF_aKTuo=^Jgk8 zPxP!F{1CjE$U4Z+&hWg1rsYD9qPkW7HpDH@`5fx4A!}(i^y7fBv_>b0EMrJR>~08QhT&=|6ZAvhTin(`pPe#SQsW(EYmgsezcxhp z`eG_6&DqQ+4BuEm9BcvYTrY`9gWUIrWLB($DwpkU;m>JJy~>waZrqVMbEsm|&dVk= zUW)9<5|cKe`TIKK4H2vwl|_Kzk(K*8CoEXL#n~)r>{tTjM4<(H4ZSLt6>hkZIWw`6 z({R0bCfb!y!E5tQ+Hl|&socW2e%@Etmp(+_e;zm#3@yFdnuRdryPE!H8>Rxsee&V( zRXLF)(f5YlQm8CTT-SgZKKY2WGs49&C08nww~W6z>+mGBSU*n=*ytEQ$MCIxTUm{Whc$8 z##2r-pgv&}#-8H5{6l`H-EH}U)0dBid@(J!YM#YJ*DrmnDbATD@;fP(i~o}yn0_^$ zl0Omvyt}gY3xT9&;Ek_}J2tn4lhcEn>VlKGQhD+t)ABJg{pbtH|;xL)^evXv#H&E z5=zl(B3SyLdj3C}#Si>D6?GE$Lp|r2|L+uzj;VKUEA6B>yp8MQz8bd;Wqa}Su0#_B||GQX-)C7pwZaM<@_fn z6gVKBlfO64Sh6suI(&4ISj&vh9k3FtzQ9?b=(XXm#GKySH#5PX?2vjC{{2B@!F1Q{ z-E%JtTDf}>&ILi6X;f?%K~3)u)>YJSBY{%_bE)t*d52>#*>#73OztW!gI#p3UJF&P zM>9zTMc6316Ummae(b?JL(kfxx{UBcPEl|}X>MVV4%EfI`t33}20taZKHZ!_0D(gC zi#rrlfRm@TixEJ4hFhZ>_lh>v75cUW&Qdbq`Yf<>d==jM_SH95_26exQ44Q2gYJ>& zfY3>FbyFRw0DoQsOK58nrM;E0n1E}v(sCM|{bWz*JgHfjiUA7YXbU2U=L4$@j6C1R z3`h2NkobbH#=|1@gV6Kq1a#<)EhL3|t@3DVD=4~!4CvV*8{!tu9d;#!AK>gYH2bG$ zFW`ma77?`_qyh*>c_ANXKC@8>Kit0EuzU~A;S(@}OP68YB!EZrR}MFAZ8SwUGt95| z5hhgedhov%AjZ~Se=Ns+Fhz`880O}O&B#(4_DL8+Mr+)QHei()w38+z3JM;hmF^5M zmki?-3V0zN(F4Txkuv(umQBepWwJ$VHJA45?rB!(xUBQjj~YlxkTm~e_Ys2{+E%po_b5H+ybE2IKKQFTYw3>n*vBFp!!+`Q!;wVmq(WNoWiV zl;e8K2}UkhaTApu%kk;p?nG5ag2=A2ax;I_X(A9y}Q*}Qo zS@z@AM>c_{BLJ>p>MHD%#V+IV`gya+GPDM)MQ><0#Z+Y%kcV|DElXqQI)uycYzt+X zm2E?pOTkFVnPgvu%kb%5D4@`D2xXl-b(EbaZ5?3vFpTVYyn3I8SY>%pauqHZ32S*u zi`6Sol{5LqjD_+=u!MVb=y|D^7(itIL--h|`WI4yJT7zuLYP^ZF7y(z*p_B;&{&!Y zfEW=`e8Jv^EH;&XfgFR#)6NqgL^O@oci7hAN_6m^u%&R^>=m})WdTmny}R$dy1#VX z;MZ?EMS?4@YEKW%(pY~bj~m5l-J|WfqtIg?|1MukEZd1QSB<42{*p9efsU0`JMJ!BCM^*V*JO^^(tPZCSU(jB=2Gw8V zs&Eh7PLHEan7UlN?cd+!D@uo~5yPc@r2rIp=qfTZn4`WdarGuH+Q$ zQ{u#i6Z<2dv81#*FcCDwZ-&MeDGt;I_2Xu9ctU#!uHb(NnyAXtTfg?vhnLs)s1|iG zkP3apE0BQ@Iv)z+Iy%d zXVGPIEG8mJaBG-K@dQDsbOkRW=^gD`R1TBqZD zzO%gMcT_!;wj^B9f=~j-{rHPk3l~>%fH&JVw5Ub>= z!oDEIJr&vdj2YcDT(sc+)Y^C$>%FlkT1jcy5epcgTH*SB5p#FP8Q5pX^xAhlL})o+ zP1$#j7F@`0TY)vZ{E8rO$?H0!1IWE6&ui1$F0AI*|C!T`>uVy4R%|bsRkgnEg{@ZP zDuDy(pKW;TaYEMt+UnCe&DuJUfehS|bPEd|ftGnl!izt;|a%>F(+_#yik@?6rZe%!^Ek$G<r?sxZh<+ z92d_e^_7eM&72wcMr)ZB_e%P52{%FtIJLitaJaHXmZB-bOMN{d%XNo_LO_P4uhEwo zl#I*18EAqAUXhnAla(zm728S)WVa~;uO3ufmsd{!U9Ooo_#jFZ{q!EW)VE3o5ha4M z4M5;+Y_UXO0ya$5|4x8|4UnF!5L<37<%&wXT?0QTMRbk6 znx(}lD>-(mDXJnccj}#Dd^64+D*trLC=#R&+l5~GuFPp;cF6TDr@mDNUB4~kO^kZQ zpxP*<+W1ap?py=mk9L1r8Zp0d%9bh0mRE{xmDZ55ZUC#iDK)&4)KM&ATN@}|41U1| zWZWx_rTk|gU{xy@P<6`i->@dA z6UD0&;Y({AD!dEP#ozt-F{5po>pc0uYy!x7qh5DcoW!m6$;GILW9kY%ikMTY@JhsKE@d|>KdI%?$R zswh;MUMxVB2BE$!!zaF)yC_~aP69zCf`VQ=@&Dg~{cW)YOa&Z+hCwYS&1cd}oZz}) zOyEtll1%DXqA9}ay$qZlsVN{Q40M?}yhKnvtg5SoybWMblR~M5mIf$MDWrHujl1AG zI3_~{G!d_!%^44(v{8G`Md1ydB-^3B9>S~z?+Nz@S2W2l69U{xjWypYF zf5R-uPS~ruh87);QEG5L>;2OcI)g~$ujOi)1Y)PuumU2&6-{>CKT18Dtc=%=RO8#F z!Ao3PNrNVU8D^oX>Yxo zwBZN5Vn{3R0DP}cAA?*Ck1phxf?NQrY2WJ#<3B^R#7TB2EOjo&rwh#9>$*fgV`2bH z@4wm?R1d4b$0QJfoccpS(6ZtwQ*#lGJNZe* zXkEWRfEyM`C^3tRA!z~mzPyu0G#WV$J zVWOKCEh>iPAEj@Qx6@Pb?PH)9j}Z_N+W&68R(lB$*G3#9f{TwP5(e#=)HR@xzn+Is zxxRo-#cv-24ke3_P<4$cIs`Lmc+(L!>dJPV){$!rucXY1GLQcWW1s_xZr<}%l)3?VS!;uw#_$fh+~MkIk9vOtIKBArRFLqwUm6ax^dZkcSCUnj7PWyNQ=)w;e<8qyc48<3T?*2!sP z{^OcaEi;7{*}u86>R8oP(I6=Su10zeI}t?RrcT9tJ^7Nn=_xg|+{)-K0Y$Z>K~?gq zb4GW|%n~mv^94)>6iV+7rvmx!CXig1A+>=O{kIorrrFX1vjKp!y<8KXRjn+NKvnam zCT6K1`ooW%{DJ>Y{o>B?EwEiH`@^H}3=3Ot!h`)~`)q1{fjE3BMy3ms7rP2i_|R%{ zI}07GAPhO#bZ-|XBHHXP7hLD|9V?@({rM8QGSXnqpIi^EFPq;21aE-getGufYWqS6 zvi65d=rT020~oA;wzswaH@zeJXUlcy;hTlB?CFinvHT|(W~A!d&z@vF8%8DXCH?K{ zLa*Pn&wt%?+_hNr@z2i0d#W79T{ikPu^!pgxoZo^vH)c10kZh?0a=EOqkyawK$bip z>-4hX_UNc=-l$qpGOWBV__`%2rG@FjR-<$B$CWXh|B2tCZ+_|V(J#gJwJ!B5w)P+m zRpxr;g_Ig8su|D9vdnLGGIL{{OPbqP>fG52nagn{v6silmSBK3&$+Gp27=36CjLa`to`8+o@R? zgt?a~8QLA%U1u+0SNr-_I-2^NIZmT-IT&{Mx;wdEtgO4o5&Jj$xt!m6JpJ09uI1|) z?^pT^^K**%ON8XQzoLY4ab zK*GfAr{I6kdDi9Q^Y}PDT))S+_o!0+?E1WE>bO@txZdXP=j!^r!n9aD={Vqezus}+ ztS#=?v*!9azUzPS^_Ed}^<29!Rw!27-HW@sySo*K;_gt~-QC^Y9ZGR8P~6?!*=N)H zxu5sEXN>RrvG%?)b7jt%tg*6^tVm~PyPLh^)BVKy5&Kk*7c(ANWBVt7iOE43IzU;}9c|ii+OSGav2nHj*=5w2<%yyazH%4siu$LlyPLL? zbDHQoLoXM{f;JacV!Lx#hqHS~i2X*@qCBDf#@l9h=bCU^@2MCI;r+mq+QZf7=i}|Y zzun4Ptd6&#D(T;AAusgnHdY&}I`UB)8#eQ2-cOHTt-RZ0JJ>PUYv^ih`>WGl`T=+I zdok(<>OE~ffY)n>xAWucyS<6Mkcbod?od3rWgXWE?YH~O+Ua6IMe#&_NxNFh&f(xo z#Y72CPtRe)euteX+3WkuMf0L+d^8Ui7Z06hJOSrMmX+9w=`fzp)8?hs+wReb`W$dK z0Ff`Pa}-mHdRh2cJUpCy>2Td1PVM69nLK>%d~b~}V=*tc@;OyKIypS=_m4+6txr+1 z;>(3;%tZrM3kYMo{&b=#ty#|H+N&F*x;GOyX~mWTz34tq?mj&}gkJ=F>}ofbz&7RF z`!4XjIG#iUeDD*V=*$_&2c87VwcjC(X0xUPH@F||ED|6AJmfdJv-&c@4P7cNcE5IY z=2*>pgV&=*yxD1dQtXf9GzTtpLHb85vEOf6$6X}@?6D>fl-I7!FjF!_M_jjlu{@Ss ztmQPjmd+48@^IbY8R2EEL=!dhd42sN8o=nW@6vZ|z_GGkCl#`JgE`?U z6To=P9gJVl?Z~%<$@^pTedB^T#zuH1MR;aWXl6;cE-cWC1rAC$htEKM&nM zjTge^Q+--x^q|3a4rJ3khVgR+%;uFg8>o$v-p8Pg9smi#^Sl>-7rlxvXGrP|wyEt< z=G)%EV9B74!1y5E0361WeBxUPji%fqw>ep`s%dEtHiy*oJOK|sLi;Teuh;NbUfx{- z4(_{H;OE3)emk3TLS4x<)VYD%$gScZ_~?QJRIEJ$VF|fRc%KAv2&XB~rYWoi(AvtN z?jCvuGk0X|K8?q$=Y%`2424FnL`V`VFZn&)i2PdfOA#So4Se8aI=3j*=(Q?PR%Hx9 z$mZkCFgHwu^0}Yd*`a`O@-{zQg^z}IX&s6adB1@Rw?iQhpA^SMB@N2+SyM%T7Tj|P z;g<)rJ6=c{Q&Fq|c;f8Md=rLM%zM|f{kgSzimUCb4gl{?Sos3wR?gj8pu8q%Q_lU!GjB4Gn072wdVZ|Z zG7zl1_T@!R&iOwSyzHa%Ly?q>13r1jHbN8AHg4V#MaR{w?Cnc9S9Xe4{2a8?i$in4 zknnvDs2BJ6u|Vi42cy#SLl$;}0C$`I?g*5u0Z8U7pjl-+bjNe@D~I!q*|NzzQ>H&# zYBvw}7lT}0JaazD4NnYvLnAmRf+wNaADKtfMVfy0-&MonOPfu)NPx z7#^p@32C4CkAv{tFE{dZ`Wdg>B_3PhBH}3nLIa*nPdWUyVnHl z`v;o6A(kHh+>n9%p>)>~0J-f7<&DvF0ViwM(81Z)SAoT^H;%atR%7z&MQIcY+ZkWy zL9~-YN_UP%Vz1LLEe2!%beJ$mKt|W9^Nh1UT6B%jAf}`zc(J~YEFALC65H#_rAW8Y z+4*>}22TCjlR8!M*mdrMw!Vu4GT^!H5{QRjZy>H?wL24V1wh~8uaFO0Eg4o7{PWrU ziQ%nBJ}}dTsUil7`_h8so^8_~1*muR6>sPTy5MIraw%N`_pf zw>@&eaPLE^aZr8Vj~G!5-j*0!5E;ojJ$=uAzd>m5+WWkKtld$e=-(M8tw0@Sk28X* z5scXbg_LE`wH`vp_n_^L(!l8P*)wn_iZJqC;0BgJ;^e)I@4O>#3&M0(S1L%w0i6x( z=54gAJZCpI_j5V<3g6@%9clA+ViaMiY>xR4yV}zy^?Bdu%TeT85!r7)&ckEo(9*IW zSCM*z#W{+;aOD#(^1J>NKlic7`td8?mfC(U3Dwxm6yB90SN|EIZ*-{@ ziP!mOZE>sox2cM;jEEif1OD#zONw=vJ{xDwPu|E`{r22!FLx2*pp&K`XmxCq{(DV#B0 z8rmSIvpUj2s7tDBNOHL;SU}a}pw`3$T&qpMDl<)=cEG!R9%k!Qnk+MlICO0)=3=++ zYx6Ru?oT|7;rdifp59;XND+3_M<%5Ts4o!`0NOM1qIrK(lZZ_!DrL0lczJjnFjhJM z<=+OlPw8LYo~xE9wN3Jv8XBVL-;0&wwiWN@E63*!m7brxj$V%E7$(MKteuXoDEyv8 z67YFlbNG0l9K79L4`-gQfGsQvl1)-``9YyLuj+O^-Od->7#94KyZ3|G-q^&^lN=V( zqViV?c*(Lf*7_rHdGonW?|Zyh-`&KEy z&kRJR^_S@lV8i^3%|XXj>Xv+n#htu)+-W*v^0s(nFUjqepIQ#G62e1mdN#ymG3;`_a%w1TDQ9qVE;-h+A$fCZ z1TDH@MA08ZvSto_t<8#Vcb7SH)Ab8YW}m_DvT|>5!Vc$VOUMZzW67y+n$16Nr|QY} zeUfPvL86uBjO%rt9@K`<`l*-qT(}ypX!9Mo_pbU^c&<(5l^Sdj<~BruU)8(itXK-T zg%wL7V+7KCuHG$_wQaJyI00l%H?B7Pq!$=tshM9nf9+%-I1;%>)YgiCTUHmUv-ch_b(gdfry%?sg&=XndtecvnmAaQ(JiXDv+>lO6$ zP@Us*_W+=r#52FSvT9D_32Upgx)re!L3s9u=iG#4+tsK46ARv@FZ|^iDCh+WHtB$Z z4*iB%xKCz9n6OagMtG&sMO=yd5^`a~2zz=^bS+8-PZ+bKRj63=)J#(Lse&j$f+!FV z_`*?fuM**$LX4tcO+VKk&ycJ1fs;p8!H@cCt6}2cBmy^mV`^so3T{eiU}d2IIgZr- zXEqluwIHA_FAlr*fmx~H4!wJGZL)dui0mN@-O4=)dmEv6T=OVIRkORZjST~RS% zG%YKRy;WIQnT7T`^=2wYGfb|#4nzcwx#+;GoYstjx%#R!HMT{J#t!S)=`G>A^^3Of+UG)6Fie_w2FzO8j)v*UaNdgzAmT zhllgN9v{FO!cIr)>c&_JpckD5cnphoj)M%V8KWa@5Qgnc=a#CDtXrAE=qCKP=1usT zqI~y(i1PGHrKiDvBp60OPM0!}6Xw^K(Pk_&&@un{lkr0W!7nr8KV@V2g^>6iEB?Si zSEiRGgdJgp^jZv5v;gk|8|nM*$#WkiQ*kpeWOp&fl&VrirRIDHiKXya0u$(D6wc)%^DC$ciCkQ)Z}DzWR~C2WRP~ zUm*wMRetoQe}s=;>1X!vh=MsW{e{RqRvXLG)bTym6H)zCqGLC&CK~Gi>l!grbe^U+ z+*6LqFNG>_$p=xszia;$D2dLJO3k3u2o~)g}x*6!6F7SEt)mn*WA<}5f zc+?q-j1I;lQEEwv74lGF#c6#A3V?zbDY3-uuh>;80r^hwq9c`dNTP!>jx1|^DnnGd z5{is-xUwN??aBGZ#Ul(Jph`?ie^9GSzG_nvE4Jq6TNQC6o32mG;G}B?EHBb6DV)?M z&^3ik@e7SKFcVw2?!Qj~=S(nayQ*Vd9c;|3$-O)Y4;SiPj{bxQ%rToi>7F*u_M>=V zQj8@AojHiRO{Ztk6sD5o!-==-LyLtN^*%w@cwb>!kj(M8=kg}>!auc4heTuM97~{y z05tc5HRE8{7Babb?7HcEaHA3oTEAwjXU{WMuXLa@Z6ay!I?-I~tt7|Vmlm;-ij-8>kj z(AldnL|%1B$QC*KZB_S|_i#&ts46Y=w(0P&N&%ROc7(AnsGUQB(`uVPXi> zgwjkYJu&7SRT?N^?(tT}fy*ymMP%jomn3=L4H$Ms+S*1qSj)_11{N;HjZ)X!8@BZh z$&33Apiwz?Pj_>*WaI}Uj$xlrIa69_ zs|p|c$&mcfHTE@!+)>%vD4-1%#NZj$Wa4GUEsoOcG!gT-`L|B2=t&#?_})ns@@0_{hV|LbqgETxVDw zW<;%mp)w$^{!_>%B`S2J%8HcVIuW{q$0hB_dqMvP#kLx7cSfVuO31m#6K_mM19P&( z4l6^mVIAlrx)*4K#H0JSBwf%+pF8iNE_H-;c_giIH)hRo2XrX12=_^1H(I8V)eeCP zQb}VcgS!V%GR30_L{H2wf+JJUS0r6yKK)J=ICm9|)!AA`HST;_-sg3KOFGulD=CqdJ_UjTbA_ z(bwN7mC=9KxsS4Kec`bJgdJujU5N3Bq zKtrCE?H^=ZFKHf1oxDY5r@a<&Ls$!4jRs3nE1^pw7oOyq$feZxmFH?)U;B@D+4A$^ zBBk}4=T>6#dyu7+Hh`Qti`M+}ZwE|nIca|!(~l_<#?8?~x~Wxf?8_y(GSv#~7k)>? zeBF}|44^-|sdJQ=p5)eqQ}1}0LF>F@YG4t%9qB()c$b{}j6P?l<^AanF=x5=u6>qU zyGR0(q8hwip*(lQbluc-pZ82pQ{F;E{aH6KU?ciVxsVpEq*3fAnpyrIFqq5+!Od5@EEsW6}%T<+<}eHQXlziCDo)}!8JbM_UA{ccB-*{swo<2a&@EHBe( zpe=HkXigkuT~VR}=II~7Kk6#UKi=0lqXydbiMf}P??+#MYsEF^s(o+#)I^t0TRQ)l zgEKmt_zv$aR4bVBZX6Q$bNKNC5t4p%pJ!xo!u+h5+ME7@dzz2-B$F4OHX4rYU_!lL zyTb?8@qG~2Y86T!qbgN6^5yXa$jC*^MH)tGBX8+2Krsg`jQsp|F|=^*qGRZ=k7XIH z(_s{6R>@hv*BiW}W=ppT6j=!tS0kHXEmn6LE-}Su^RjEMzA0cSE;s9|BxSO$#P3!v zC6k&>G?Q{#Hj|BLHqc5=hSOSqmiu9cP9RW>Ob-(de0!3_9e*L@(UiuucyO#0mfaQX zV~&LudYCP}@uJzoUzxGX0-g%QA)FXJ%2ZBn3oNQmU{PH!SV@m)H_(#(6`*O))^a5N zQI9M%-7<`uRiLW0*-~`RuBX43nCNeq{+*4i-6ASKM>TZ&Th(qciq#et$Jbb=PbHJH za&pJoVKI|V-q%RjeG%4=oE#(U;fII$Ru|7*=#lJpSI(xEn><`b`h%N)lG{beAM|yU zj!M>xD^&Wcb@^-B&J=luxvTm07pA#>Poe3>&LI=IO>dM%)gQ2#>)AB!_fEDK>kltJ zYk;k#xue8k47iS_j#VH&{`5s&IcH!mE;@dhmjr(Jjcr-TLg1`E4)Ql7j=8{D6%aII z13@Ven0|l)AV9}vD5Z_eF5*Aq zzySs}1W73?#9CH-fwS>y1G#hNCrNZpMe3uW$>cuwDz4~D#rx{#^xMb#yOhjc^8V0u zdQD$iI41=ki$PPpc1*lws2E4~+TSuip(w4JcWIe0M&!};mr!WJ-{9H&6b>;jK7q*w z2B6E=n$b$Ng!pFWkV{e_G`IY)PH2%%A^w<8$Y!>tD!Y?^o_;rvn!XFO#`jUs43Lh2&CMjull@WKN^VeO#L$WfUgC`Uj!_wm!U*!z|WYz^{)_Uk?uS zMIY_1Z}d0RZ%LTcsYsEL;7*p{ty20sW>zy}l#+fLduGb*>*oTZe5-XDCS&riL- zr+&8;dGTX-Ry7w--)oh@UT3MDn$<6sD)fGnUO~In!pONhvMTW&ZfJXorWPZ$Rf6lt ztqDs@J%x;iyAN<9@#(B?dZ4)c^4<;@xQ89V>a2?54{CV}xyAkFW$?iFBrsHubj34a zo#;}V<<{dIayaRBiWpSFV`$3_ZzxXOA70;dty+4$K1E5h?Omg*vC4N#N7Em5m|EK` z?CM19eLX|tU+FH@+X zWZf@Kaj!{H)&+j=NYCAl_Vixck}LBlnj`KhMt{g`RwYI76&L*k1MfF`e%8{&G@|1nGGQe~BDw#GE8?)HwaGeKzITf`vy3O(IYPDCPlQ%u+jW_$UmYCE3G-)} zv>OeEp`@Q!z~)W0M9_}>Lc=Ryv(YHNkR`DJh|47?L{xOTE-N{*zLqs=VkwF$5$2am z`iGUXjC?J#TH=aaI<|?E=!KMSZdbE!ZYS3^u{0M7;CHIlFl@v%`rU^~OUvj`wT;{!dmRm)(2Wlj3fjt8oH70bCRoqAl z#FENJvy&Z<)zKqKzRC`qQ!!UdQ9zQ7^kVS>)}c&VXHfu}EikZ;b!dgvRpn_XcR}ks z)e`R5X0Vp<`RVg-He?>OQ3Lt;uK~^~#YnZpkiDbRfNI&_Z`Fc=7b*K-eGB(LzaM+b zLv*a8TzPgU0;q`XdtOe^TwK9kd?edBbj~-Q=Y4?Fn>d8ob!i24X+X1`YkBcu;4$VO zG%lMKWD9G4!y9VqaR<~?dqL`1eoDAa;$qLaV{I5Ud^rv`xNK5;m(VNAK&tOH-4|`H zvBBYZ)LH=}_b#FnnR-rdqxC(jShO4Y2~!Wn;X zL3@MJv{o~*5?6;bG_i6FmSUH0f&jrGqbtq3d$~-1HluHR$nqI|x zKoT#IwD&>c2a-xofTXJrk^qo|l?Wuoe~<)$Br+8sN%%w4K9IzS3M7dDNt!@XXAm~t zXjuO6K{^xFi_AztVZ+Ybyn?(w_NMDg)`%HLWzW^q=>ESM#dg%ALF%(@_MjquQ z5yepmTCN;fRe~zoJGAh)Xl<|#f=bP6kVUGL zvd~*>qY&j{tcffPD2-HsGKN7w_ieSa%i*pbV7USCeJ2Jk2>m0!akz3U z@4@TXis(uUSB6S>?sKX0sC!nliRSbNr)dooaOZQ3uQ_1@ANF29~@LE>^@BB+@U zf&1i9#?9*)k1{QUd>ZCg)zH}!+EBC9h_f<*9-Gu4K(E~@fr;pegY(wyDX9FZ=1oR5 zq=uDzCTw~ZcNAv6$aJAqtb0<~;q8^c!nB3PB2VDujGB3+I=Qw10wAPxm|mbq-Ab89 zBWW!+Rz4k)XmcG$N-?q`1LgVijh+t_D&taG^wGq5LL-n6zfn{;bZWSdymQ%CPi+tq z(~G{qUK=I#Qp2-LBMc~J0g96Z>qtTi3y6Lmoam!;#}3d}ICp*)9Oohpd}TDMh1PQc z8Y|bvlx@l)bfXz$?$by4(e=5fk`5OZuBqxn1l|f1wE;!dI6zU?hiIT4C~Ep(eTXJL zL^nl1ksjs`%{4+ghTkp$n{}vDk^ZuQ6@;5D+m=Lz;m1D^dD6Gdm1@H-1MwcM-z2%o zBA25-WPM7t&@G=51{hRMkF!4nNXkIcBQB7{$OR--f5<$P|A@F%etB(vcgsQ+J8S>q z#`1(#S{rof_MwcCn+(Q&t9j8H5c?4{_y`^~tJ?rdKZ0=|!K>y)8$jSe&r&$*Y+fXOcdq_dForwi`Cn?5 zGr2NRN5vvFjoXm0{O*RJ$hQUb=jQg7 zPln*w!$XjGqCYgLt@?ZH+;gHQ?k|!NtyhM{EMCNodVjgN*+<}7Aaz$GcUKEOu0kSU zbyq7sh8{5jR!p}}sJBjV0;hPNbKvwgzIus7jRTrBAA_YHgCVzYq_%LdgJKY+o;KKE zH!6{V2j5*zNNr`X!Vu&o-}IOST}1dq{HQg*IzH=&sL0s3@AkZX?6@mP7#cbWp@@mj zvSi2Lx0Z5Yzu1ph!`%k#01nM9 zSM0a(w>iM+)zytS#Z>mi>orv8^WsxPL|0Zow(G@Uh2DZKyVlk_a0EUAgFVG|<238X z&Fctzg7u?yk}=02#?`FNGwPSdv-4T+UkL~lG3FRQmNq(kyd9mN_Dd!nAD_-DA_zA0 z0E>HL09oA^{>9A|y$vg`j)Qjx`lHj*HiUOLBOyU-p;AT!ccJ@W( zu@0o}hrOMG=@*+Yhj9 z=<(nCEg>O6Y;Ssl*7<^)bji!FGqf!EGt+?DmxVjxqn}#=CGu0ICj8fU_RqUd&lPh8 z2_Y0i;&b0U{!R(-+?B}lsM*-iJEvH|Hhg^J>B^Cid==l|xnRAdh>_2hpKj=RU5$ua z`^hR_-h!ESc*!pv^Wg3UNG3<_(Tu6t9rj+VvKkh~cYkCV9_oR*ritNsVHxg`{x)?p zd?O$5+5vYeitlb85|`q>yH_H3{&N@}@}4TD^BG7QyLFBg=L06}8S0%(*>h0sX3j61 z8k>Gc8JXTN3Vm?LT23)JJQE}T<>nsCF8t2Bf6hnG`yx-u>w|!|a8P6h2rE3em>qLJ zTJj-2dh#SZ7;<*jaCO=I25yzpd0o)XQ+greJsEQ$&(i6Gz?!V5v9{%``a|K-#O^9= zMy>Sc3hH3Cz!zr5JO*i!emWwue9Ukxd$o@yUVN12OcOZHU-Dk zlNhZZ`4r)uFaWI;D$M}Q8YQA9e0)6om8bTe*V02i(dSP*>Zr&f528pnE_5ZzyLDgX zhWFY_#oxcKPvt%A(Sme6_+)<37TCQe@1+&Le@HlY8+wtD{G-ir@yIPp(Q6kmngw<{ zCA9<^+@XGsN%$4-JZaLk)8$dyfnw8+9Rb=C$8(;a+7b%WX0}V&_q|M=yVnkIo(1OA z@+jxKwXn_&`I#bna4pAem39inu5~^wuq&$T_&hipWI%V?6=s@W%?6k@wp-a3dLwJ# zrX}>^@t5|%1twvt`b$R-)~0P*j_<{0t?UBPj_$213`5-R4*dP!|F^Y-U2iu~2bd=$ zitPP&iZ{oDc8Zajj+AebE^A%8LTz`7$|nela|vkgYucL>Codf>o$~1IuC`ejG>#YR zRP3Dy@(n;@7{0QXTb|qu04P){{91ilg8~F+ZXxb&uu?cv81qZFU5x^9tTSZ$|=n239MaQV;!@tu6+$w35!!z6NEMu(`GY=tpVQHGOSt#skCPZ1Kizq`F8g~Vb6E>^IR-Z8 zu3rdhD_qRx(w07`O{4#&&IZzgq#A>M=VBnlfBOMlyyfcgqeQ3Bb08;sfIEU9$<|6jvN2~UILGv?Y9b$+awa=kh-p4!HDk8!#fHwY2v0g*QzsJ(ljf5`co) z++?dy3z3CLcee0d-gI`CxDdCDV<4%J8ptk_p~~@}mm%S;O6t$~QyG~!*KohR$h?o8H?Q=Fl93eW1i652QC0#BDW@fEne2^oR8+Ge z1@l%V_veh4mQ9c3j^5~mNmUeGQZQSn(vHjl17rUL=tdfVfrJG?EK3eU^7yJPtxC&7 z5$pJpd6qq=@8B|Al31Lhhf|~DV<%JS`LS|}V!@-NM1490sM{^gX3M#Y%#nMMGHj)s zb7XPR3Ew7IG{;pInYQh72MSY|B9mnBn05V)8L%w!K9P5Y8_*aYryd=X1dJ%a7|QfS z;7;O2!(u#4+}AA`R<^cbf00>V3wGHbWx^V1r3sV3tUyXHR64g$FCyIzBjvg+H6}(5 z_Ro_H9f_SRbRjKJ36t1GCbOv)14}5v8z~h7#=_)Dmcs@*WMfK9ZyH8c4T#H2+Flbj z0yiSgWFwmLnI+$S5?c=B*^|hKHN!JUx&BFFEtKt9C_6kC(+KSj8PAp{CxkBZ6a99{ zVOhi;^~o)7Ds7JZ`il5L`aF4+`iU?(#^4DtIY!?CBmt#G&>~OkJ7v(kltwkd_T7`y zuDvs}!7v7^q7iFk{V>z;p#2>aFiiV5+|Q&RS&;xO-2F8SyTTxGFX@XBb^=QHi-U@$ zGj8jAgC(ou5trfU>2>39A_NTY3VFc+~`KKTRf6h*I~y#IsVv0dpg+g2iT3FsG( z(GGx8i11M~bV;TlyxlL?j6#lgJPHR~DR)^8f`ZZ0@ij0@FO-SEogSJUkv84?7>&TJ zQ?tlUzv}0UXm*?1Vu48BZw|rKinV4OJB_^O$HfYf@M}yedzDIPQY`{5`#X5Sz7}57 zouCoUI|gCYt|tXwXy_zRQtOH1V70^~EkaN+il8MmlZH)r3uu~z!(rzF3#(+Dw*-=l zT_o)7(_<6o!&bKq5-FIKBuqIZ14H_8$e|Y|1_vdHna2)$<0TEDinB;dgV@aCzXvDE zAaj$RkqVx%*^!5tN{o=!3D3$Q|4xSeUyxoT_ypPlq5DoM5@?^08^N^A*GnsmGKc$u-^KC%fZ z$UHl4O3pmh+WHBc;V8~IH;>W0E3ybfk&RNR>~A2(upRjO6N-?VRD*%gaMc!~in-YE z_*bfOH?cp8lx7guN>INNr^6|-kzII3Dg8Q^0~je9x5Ews@nRh0N^6WpIP=itcqmTo z5*>xS;iV^`xiZh@BRoAHx;FqP?+7bC^5;H%Hb!Uo7~LIo%$;} zA`u;MNT8Oc*b-OhNfSE+%)UW=%Y`_gb5M^!Rwf@x{F59~tZWr_f-2`R>f6qAeiA7d z8twG?T!z^;h8hALE=R|yw3kVytT#SD4ZB23w=8QF!|ZvI=cYPeC>1Lpw)I!4krV`c z`tg8{_QfLIrylEJtysSCG*@=CejS4N&3hxo z)UaGZW$#o=>EM17(_du5`$1C03<-7l%sKU?u5j}uDyf#R6aA}JaE|+fa>Wb*P*4&w zW!!mr=D&plKcVd}--{U7umyA8_?H3gfk(nPt|h`T^RlC$0~2Ow1+^_6t zjb-h10sbSn?A=Vc%>(MbhGpyQo=(6WE*2eENYPe#&FFC3EOxcA<^romiXy_Kf3ad~ zC>bPH_49Ff=8Z$gV7?pKNkw%ZSVSZSudC#asvdb*~?ZG*n&9meFZ4I?t0S^G+ML4j+pI!v11+q{&wv5m;20xn;42cd9o%#->Rxt&OuM zU#K^3JccFkC~gv?)_it?U*J<|99~kwu6lkB%BGE)IZpT_v!M?v+&jQgQJ~s|Sjn)L zMh_vqK=1ARCbW;zL|Tm?X5M&Qf#I;uBu>p+@mv2%sO-w)PpNMLrwnGSeKo!3FxC|A zf$`FudJ69y2g+q62eYRH!NIdbSSIy^yf*3L)g=cJbu!2Hh6L5y5B?}qyxg6mz)4F-lM_XE${`bNi(_xTNg z*~S0eJ>>auJzI<0k`9A?y{^UT_4(fuwF#5i^ zNqbs7Y`sUc;H-S~H+MR?HrJJ~_tn&q*!F-c6YgYFvLSxO( zuZE;wZ{ypWkVD*@eGBHZXui+&dDaisHkU5w-HE}wxt1D=p6!cqIrxE2eu?IWdO2Rt z*G+<<1Z_F7${gSfyglO4{zL8t?U#xtt7NOgy)`aRuT+n_(-wAmrJR0twU{sHZ(fS7 zhZ%1pcn|s2dh`IZHQ9Hoo@MbbRYN`(+(FWh_d69g7(JCfUN0Lbt_Sps7jzpk<~!Kk zUUP5!D=7hSX^w9z8F$rLBkxahw$YL}ROA&C0Qiw5UoV+#k%WgASNbViy54EY?#6qS zMf!bMv25$rWtmEV20@k=cbluz)7JI#wl@o`%tk8SO3oQVMZ3`kzL*iVY|DF1(GuH= zDe2Dvm}%NytDX3I-!6LW=d*cQUksiO`(p*d(qlefcMGq(whX`6-$fB{dQ*22#6Ez} zy&Uueyj+z(6pUgO5EM|0yyTv4cfs1p*5oi2neVBE7PI_#ypP9s(fF z)t0mcE|tj>23%Xn62$#UftPmt2#Fx@>Z2XmjUxnJo5{x460yL`u7k-vn19P*}L0LlDq%YkDDo+`su^T`0T^SC$*X(*Id#QaAJ}i7hzDo zQe?W5D>?pXZ?FE&rJ{9l#?@$yU0t&N#f)5 z&QnrnhI_`cJt|(SDpZB~a0K87G+v|EhC8ek}xQ989nV-)ylia8; zsjg+gcGVqbx!`3NO=`lhtm}d!4;^odf%zx z(Xt{v;;WysXU5Wqi^g14X~S=?#uxc5HST)`57(6p`b%*X1c(B{4N?m@uCbCc;vlNv zA(&}FBl9Ccv~WJ}Yk|4#)%}I&Kv-4)geTDL;6#2o$`~1(Xwk+&Du zzW0!jy1JOjU!$3Vlb!Px=Y!zEBMTBimvJyvob&iK@_;tJESm=fDcl;@pSSo2DxUQgsIby1E~bNx%~v zNOmfIUTv9xRMoYEUukmm5bz{5>5S7N~>aU(bPQ zP4Ipx{+h0*qxox+LkcUm%TG`XBRSh(>7#s1ts8(Lmwzq9E(GC$W-ggPOfL%%R>5+E zaWss5&TY!pr-ZmvKCZ2$$xxS_(=Vg8Vm<}W(O+n-tz!9HjiOI?jif^%U!;_oo8b5O_KU1`rmsqJBq88)v(&wUt;$X*V>HpwQ!y@-?uJN z37GGoMj>(3+EFP=pqoNkMIjFfy^L~ceD@FUtm0D8@vsxI6z4o+4z`Fxe zb{P6~vo#r*m^TO}pIvu$6YFmWbAFHT{8d>)T?ndtQP>HdwSJ<)=n=1qm`Gk$)1L zP^yU5T+j|svPyyhD+=C^Jg&dcf#kV)oaY30NqbnEx?VMqV#8YlVsE*nmAz^`I*<+?tbjG zhR#)8V`a+tM5uCHvx~T8-WSnzII5iK&?W0&8Uh^iI&4TMwA!e%;9Z;0CQV*n$7u23 zKn9m#cFx!@1Fu}Pkv6@tAYCIwQQNrH-B+v_QbM3^UC!?(Sl^p*CMxUQe#WD~F-HzL zC8$0`YiRZ}D0hr#sS?ydfDXue@3tTYNruP}lTb>3F`6YZ z1ey`i=SFtl+EMo}g*PV_9K%nfHf`bbEo`y1RD7~v;%$i#%QzAm`M%_S13FS*XLqEt z?v^Dun;-`yyOYFNAR6s{=P-xRIV4WNn;TnOC>m{Cs|!5k<=3O1EF@y`BcY&vx|CpU z7pMrmywxHF3GE`K|N5!C^cI8?a5n1dnx{X9 zJEL+B{jVe6sa_!d@%u#ZXAHWO;Qu5KG1g0U_^Io-$Rz#~0qcKay6d_B>tfC6m;ce^ zDdD0W-FkA7zW=|B>n&MxA`5&J*MCu=#uACI{eg$$;~VSZQ`Ox0cp|lLRpvMJ3Jxa^ zJH`O08tyZfr7RQXk_a>$t~FZS-p!elZynz980V(nFvgf~m+|oMJI)(cLM)CQ>yX9y zLL#cns?U217Q0-^rk%V{$82_;Th$c~8nw$g$4uogq-kK9Y@Y4Xrhgw9a*D>e{9^*w z=GdvuDPQ8m6oEZEgR6=%!CvcnChHy^Dx-} z`1%NL5BG%QXg9%}UiQr^+x~%Job8!SO;BK)fs;8C|mG$|?{;gGbx^Ez;7Y;QxASQMC0RZ+U|sudI~ASE{+P*(czyZkCk( ztFvainK~Np<8B;Qw4Qkwg?!|5mWuB@sla{$N~6*Hd3zH-zfj26NGlxJSou7AjK^kj zm1XZ0Xmau`R_&Y?EHWPz*u+3ARON;%B1xxB4@UF2)>ot_^N+EfVgVb7vh%+um*!Coz=n%TnRQd z=YBZ1A<`fQKL3q|C4>z5e``g`g)>}%#S=O=S64fhP8Tl)-XD|l%G4_w4k?rDvbN?k za)O&0v43lgMkjfr@`kJ*P7i2JGPYw4D^_NN;LyNoPy+TTdbf9hEW@z-8{tRwk}3bM z`WY3+oO7t=ljOAtZrTId`Gys8L`=nh>bZy~vGla|pKHR)ERx~#opNACRAj)K3R%{f zAjQ9#&;GdBJpKEqr^vr@HE$pHAC5ns-+y`5YMHffXHbaq0M^D=>dSBNSAt(dKvAC& zChp#)m5E93{Y+ekh&J(A2y1sGRzK<5;tM}^hJgB#2{VA;ei8!tZUdq}f&d3n1B(bI z;0O*P?V=CjChCg_l8yrs?m%Mir3`tgl;OnV^5+bN#WA&r3VA4HzwoTK%g>k9*$^z% zMG5S?Eunr{Xlm}o!U;w5x9=W9qrDx{d?6qMUqyWPJs{L5cBvSg1hxF~fVY#zzqt#f z#Lj>oXv<$i#0qJXln%&(gs6)=)TI?z`U=)7Gz~(Sj06hu#h3_$g&m~USR`@mhvdGR zBSYw`j!VRDGA#C0)hjn7rZ^>dBRfOwPtj;lxvxr)-);5vM__+4@Cz9EO>MG;Uum!~ zNLa2!gGPV)hlncnAH2w1inwYiwWY90;#L=a3jdiV42khk*5JXH`4Bv(ugX=cIN)&x zoEwrOvj`5@gzQDe;`}e3#fr_--on%23z!Nih9;WF=Kjoq`4h1g6g*JH>XdNvJECwe zBpf1D(+n-`T*XSTt$V!qYo#9^I4;~@9~H{rhd_q>|F+!=i@0QJc(XMh;m+fuf{nsh z>3NN+Jb_h8!a;$G>?tY|ZuQ|~X_9qn0I~l)rxm$>q5-=~E`nDugUUzyxg^ws$6NYu zQ@SX${nNL`8?04UTUuqcSGz!S@5KlI2(<8 zjRgO8RQ?Cw!OfPjmga$vH70gQh#?SWsk?&M;SPfUt6BlBvU8A4!dOrNOI}|mu{BT? zRcs3~NZ$nukSpn~*S4lKbFMoCLGgPJh@*Vd5RjodVD)i=RA`xQkE!WWj|cV*r3~q3 z&E+!DKTkKlpgMw@2KFVA!<6?t_~CIM%n2A4NaMm^81Y{S2{L)e1oFKKa#OcHfP8M< z0l9CaJy^51!<6E`Hk|JCP0B{UR!eR`zj_916$($<@l{334LF$ksL16XTVF3 z{lI-06aTtjj?V$YQ84y5TdD}SAel2JAk$j#gzMG_2}h$!2&=Re_cVXnR5C-O7c*g9 zVEKLSAN%;Dmw-bS@434Z0VR?5u0213ec)?Os2;a*)^F{GR?v9*@f@C2*K5X0Kd+@9 zsYVVtq%}di1yT@4jnyI*jaBmYknr4n*9ngLs%vWAN5Zr8FYYPm(u7ShAdyPZWIml) z?+gO+v&K3PlJU=IwOZkD6x+vV9mGAy(CG%m66qR#ugt8Me{hP%M7x4xR_4F7H#n!T zcvjf#uhN1w@X(_3o|@NOI<5bjGpri;KdgNPP#jD5FAl+zU_k;QxQ5^k!5x;x-QC?C zk|jWbySuwPED7$e!6mr++mPJv-uqR(f4zF|Rc$TZ=luHD=bS#>Gt)cFq@vr)-QKgM z;z$u*IOZNzTl_F%svza>iZW;?q2KMA&I)uIek^FWyQ5QPUf%|+Y=?H8?u8yUm(`yt z4cRL$-|k)%UkzDj?WQ*AyF@2l8yt2?fcDG?bVS~^^HRp{n{&YwGBb6}o_xYvFZO7Q z9$Bo4g^lIbaI;zLVVIwG#i~a7nMu{1QIta0kZI?O65F?UazJj6YgE&|h%-vR><_(| zvgZkQlG@yxT@zB#2dh*E>1|U~dU;wok6v3DFfO%vyS#j1GcLagV^v+z^+UJU4g_2J z-HEUq8(U045|i*jGCsgM%th#mWG&yGnSG7a3|*qaTSY?mT?|?ID{P61b`^=0rB9J? zY+0n#l0N=q(uD~G6iOtY^Rny(KsB`pFo;1fAW9f&xY^!9S z!6Yg8VSz=T8Md}F=V2Mn7L%S_Oi-b7)IJ#yl3RIOrHvTtj)#1^!e*}fmIhfyYZ=h~ zu8``fECVU4iHblIC@7fjUdO`7GSMKa>EF8Oj%Sxb^&(H3XYMj+7X{+oaPigU zL5}ia`R~q@JgtY(A|TE5@-433D|+SNS+mYiaCRReN^-2!PuaCA0|^uH4^-rt4`K-N zS@Y3f;eN3dXL0f*_3Hpewi^S<64m*>w$F-6K6*jr2al59O36Fyj~Ur(!b<)S z3`uZiI7-5k>rBy3ntjnEkZ^r$EFa#wa)zV+sDZXBt!S4|+qC9upz#e_W7PKJGW|OG z;WP=YXB`Q#K^=lw6&j^?i@Y>+SAHhMY$_I3FDYn~SJ?2D!Xg<3TN=n5;QXgJ2+@B- zmIE=_#Nq`@hHs=G!?I;|zMHTs-Us59KgSNv01c0nE9?CVLNLBHBR<4eDo#|^1#LDM zL`#vbH{O|p);;^i7Y}#~=hnX(G=Ahp#S_OyuoMo;C|yN|m1U+uo%x6?q}4-&kZk;$ zv^AXZXx|#}*{y0}WcnDsbw?)XS3I}(u3(mLayy^G?BwZZBz+oi9*62K2+* z<$V1+fx6{KkK^TkhdD7k4LuXiZ!lkALdmPOI*q_lqtPn1W}^w)oY2;Ck3*N123=W= zUxdlP?eicD!$~Td6R5Mp@p)o_rs3qOA`A9Atw3WMTs5T&EOO3q5z}0I1ucG)gglx` zLOE=oq?b*rc^u?;wj71;AW4VnwC{*#`~6RO15#EkdXft*c2gQa66typ5?rU3X`d&b z(x|vGL94oY?B?n<8rt_rB`s=9JV|mSOHugF!VWB?Wb>!2Kq>-k0lJ+i2w&1*Hjie& zC`>yb!h8#S${ht2$G^+dkn&#qANl$93r46M5=f86DoS_l1A(yNRz#2y1!qw2t-XGY zgX0;#_=#=-GH^PRCaNm=5Hs;nWlXLFS*hX_%20;WfY93_y{L=?sz~L;zg%k}OZZ_F z@RU9yD3S1~6ghZFcReq2c;2ixw= ziuUSZlGdB$=kJ?&-k$Ct})|`H*jnP1b z;mw}ICDW=Q)l=#+(_n@X9&0gatPE0MBegCA;4^W^AlG@%o+6hS`;RgXIXWDU{vSDc z{{R>lfLTZKtxy1*F-0E!pNNc-dp|R3oOh`6H_s~Vwiybe*2Po8f+TxTZnO>c3SAat zhEY-Vxy)|8$lO~<%7j~LOMSftLRET=t5w=fBnuK5E~xJk1!9?>|0vb+RigsW&|Mv! z6?e*8V1z)A%RhxCKPIHh*De1k`6n8?^xE}GewPakS}T)>;+2=fB85u02oVXVxzJ}o zAV@hj7HwF3aP^fO#9Sh&{&15{=p+TZ{FrZJl^Y4R?ZzyG;1}^yp@P)B%3;|d4-NhY zl`9PjOy*$XKuHeojO5-o&keHR zn6s;8U)-3Wa{XCe(ZrYpD-VW8G}X?$xqEM>Syp=dfytv zEQ`cMhdNF-$afi_5V|a&5i<6XuGBC065D&xA2o^f(6oiVr@}qza6`kOKO*SI2wMgx z@NGFCo`tsWScz_qeb}&7xLD_TZ6CsLlZ=2bCkSakL&;w5vDWU)IB2y}=Q?!ptl(m< z*k}+PZSgFtwZ4bmuwc)AZ7;LZ^IE~Z|iSf z;^(b{0D?`?b*z?t&0YJP0?-14K0lGlO*T@KF}$4Ds=;2yx>+Uw=5P zop%)O!7mGi54ga8-FR?m9nUhFauTE476Ge#$u;L7Hici;Q1i|dM6L|485dW=vx(F7 z-N1FGak#0&FHbsbc-4Jo&?&$j|Fsq2))e^5r`tx|Lj-YxG!b&9E4p)6cAoMcWmh_N z$?G2#-Cqm2dBeikv>i|kRPT&pFMks%cmE-*@MEp-F_GXUEE|_^;0+6B^LE(Ni$9>N zTC9xeUr^_0pmTC%XFJNyvWwS1b~z39s3@J;F*B?_PSil{QqEsmGolS?5V5t#dtGyI zVr{q*RNlF9QngJQ7w|$Pr1-W8#qOm~KSplyZCXTH4*i9Ch9LUrM`e3Oc5hM^{G)8@~XpM;Av~%)c{Yp#)K;S5-XS6?FwHg2eM%Vq6Z%n2+Q8`E)@yfB$ zL@NfS-mTb<=(Q_j;T0lI@4s6KO5;ME0U>0mMoQro4P<@J!Rr?-$nY^v$?^?Qhn#SX z%3~F=3I3p5fR$1Sbtm=r4KpQ~V7-IVNh$qZKEH+1N%&nptMO=8 z42{-bqM<&^`BenLuw`b9s2o5~YyLX03ud_2&xgaHoBgT?>E+MIdce7aww6lhZ>Q~V zzFUc(f*8qym)O_BP3;HMyA?m7oAodPJbVTh41kT*1L?z52Bv!e$n%u5oom zZa+uVhPB{03-UDXJqv_o%la`AccPY*~*!9MWO^pAOw$PZ3@*0QkVxgQJFp0A3s`6zG8bC;wPtJW-&xaIxVmg<&CkIvPkE z4f!OHV-1oJd>tjYSqXr*TgOYw^V^}Ni|QlDx{DT+;XHrZsn{+`hPBMYsXkqb=}N>a z0hgvj*LVlHA$SmcoODX8qjg15%CHoKQlUpnz#qtfyVmODR)LcyH`timMDgLL{k2z> zM%-eKz?Ejh!p4FKf_+>lz;v&&DZVVIzoCC)g8|oQc*=;KE^wN}SZheDh{C0T%KpLa z4vHvq+jK}^WgPM#!qYt5Ek++&PVD=S`?z|iKaT@^2>X+7AD47AmnRkpd?*~>8Iao_ zpI;9`e!yf$yxFqr1rH8CJQD?aQ5p3xvGhL2Y4*C@o1Aw6t6KXgaEp%$V0E}W-kaaA zPBN0`vH57XdHIY_h69*=b1F}6Z7xLGmJBa{Wcd_F$hK}|+K_dE2jg&U7)pn9Vy@)I z-hz$yqT0MpZTBA%FIgX~c9qT|28Y>GT%R7X*t=7;h4Gr0*VVn+>8+$XJ>~_g*?WL7Ty-vw3K@2!Ib5lldll1!dP%*8` z7fwjsfFT*$eP6LpR$JbwOn66vwvQNVL(J!GDkdg*VDh2gX&Gi$o_79GntC%3B!Vr>;lO4W$;*3SWMZUb)a=_k-oOO-=y74vUJCwG%BH;M~Y z!q5kZMC$No$()yb!3I*SnM;V|)`zH?HMNkUd?% zk%xp*U~Q{-C*v&ZOZ-gr?;z!x7@Uq%cpUHE(z+4MNd+P*%baKVv_nTy&LzRY`3QRg zdbBbET6!Tmm3ura!si-@$QouayTZ_SktNU5-xS_+$l&7RxiL>;AM*C_#;@7F1 z=*z_f(`IP#()1%s2s&2n7~I6OS6Ad3(*i=-Dvs&pF%;>ta2<@ZiAeaQC0VdRB`nZR zFv#A$Q;H*)J7f?OL||_gHZWV&RPHU& zQDcy&^-S{|XUr1Ax-_w?INt#YHA6B|#`N-J*w7s&zi+n7bJ`LGJ4=S7^vrM!C}AhT zzJ>R7&gN9sls3)lc0@J^=$*t$g3Y=a?hO1RyU`EmRr)2{{adyRkxjmY$maf*&Hcjt zlJ)&9>-&X!0g*NSCF|fhr7@^ccx6HwV}}Fmd8K2N{6oD5CaiRV$CjO-Q`B*y)j#c+ z_UaXbCCwq^s{c@nlYcGllv%S3xCFVtUuv53?kU?hNq7&GEB+hILz7Z}B&A56jrno*sC#0r_7Tr0M07y3q4=xun&rdlv=Zt`qBcC1Z7h z@odcH{%w-Wp-oSb!6qxhs@^VdSAH^aH5*dR{!dju?6m+keoGgO#*;Z9R(B{R(=&7w z%O`WGrnaGh8Kc~E<=9UVQO!b>4-!56i|c=E{ERARlsifEl71`aqij}FIOJyD-)?Bl zs{vE+1aH&>kmpT<5@X(Sg)Qm%m5>C<%m{j@XI-*|9cT=Z1=}b`;ql_kjA0rlnqqZI ztHa5QsGq6o(hBIkED^8+K&pWMR4YU=(vicK4E#!;!1%nrG%W5B@U<{lY@^6n z7H3d}Bij%%Wce9kTKQtgcG-ul%fGn($Hs8cW7{n@jSFXC8jq3s(v0c1 z{}t^hNHy~B>hIt~|2z1I{|-L!zk~1fAFltYF-3Z`DDhKv#F27^9dO}aRFekhhlvUf zgg#FZb%8vcaw@%(O+5o0u242y;Lli?REws~vNYOoM&Y%p$>>Gg_lwUN{I}N z`fsyM{vBSv|H`me{~nCt|MKcT+`os8`F9L{x$)a1MiEE+MJJY@W+JEx1Y!R1xR4|| zSP1kg5e%}b{xH}F@ha`FoZ5o;G5-%gnA%tZ6DaV5-bLX+1Ha-pG)MlPyccj(m(Oqt z;%vz?erM4vu>kfg|2NuaPjg}WGn5jY=%(vm+R(31g{q$ijwOFjWDxFKXkZ0i!dgt= z@1$cCg~``vdjD5*D(%m3@?d?#aFrLov#=TOSvm;(ov@7T*HS@|KA~@Dt?~IP_B2td z4$qDV%|Ye4(1d6f$)-}AHPh-s;B3)v-*!uxOAbEt3!%|iW?`EvyX{PA4avlJK-Pp{ zA}7JJW}MoXI2%r8lq+M_s&<$%ifpFe%K#^dFU_VeytWM0?-}$oq3Djx|1zHpVm^u5 z%H(hJ9c6uKaL7=a6Mx5M6z~-5L_)m(^1p44#V8K(Ua+#g=qY&5sA@>m zW^l+_H=40V>BTkwHH|dBaIov?Sjg)Ul*m`v3+IjDy6R{@t!WHqVXf7F&m&cRKYBF1 z+)tu!2C^Y3mkoaLSIWtPpbEu7~n-tT3~>(gKP`a7qj{yndB^#8SZ-28|8 z_YxTQJDp_&sQ;Lx4ccaD+%ugS2jOM1;lndAib687;o0p!R<+h{7>y@CN=?IBEC1n# z-hcUl{BJ+7|LsTFf6a}cfBQlEUw%N+{vV6r&40Lm`=Rm=Kb}nbKk=jU$&V4=)<)L` znZQA@-uC6Y1&p&npCZ^>7N?1X)QA0~5Q5v9+g8+lO+qM@vxu+`$oV*~3VYjrN;03v z!^-h!K%SV#WtmMW!}49V%i&-5ym{E6kW;W{5-D22DM}N?6+AjhA0)DT12!Rq>F+j3 zFXkE8?`+4L?}#y>Y3AO`eOo7V*qI4&JbR4YT#r}f<9HyR{K@l%6gVJ@IaL(87n;P# zxTL9>(x#rqVKK*Y5y_7jsT|}29mxi{$cfY_#vr~o@Nzt%$HQodxYmA^7dt{*a~-rB zvwC;(&x;!Gj9RGezZ*GiGnUz;YTxy@)c0&C)jdxC z2-$a$(0*rcVNNr^tH?abY090#!@=c#Q|H6y^w`lvarSOAe#>4AholYdxuRViv3}hM z&Zp1FiR|h29_UQiE@f|=QT9W==cl{D($sZeD%!E*Kp>kTzadS}AQ0SN5XV0dCkUht z6#}UZ{tdZ>gFt*poKZ?7jewB)?|)jQIu34;(u zVC%MsV>g*i;Aq|-G-N7KpKb?RDje+O`3j*SJ@$p2;2MJFC(OO852*HntmLcyJ!thW zsHcu|@Yem^CA;Av?aB3v*Fu@T!(+#MM8RiYkz7~(7H9AU#hm6jE{k=03{qt{<98BV zpb{yA>4GoqS3@G5NI{1DB4N#udT14zK25zTb95?vVZ)Ib$tjZyvehxVFGjpn!7a6T z2U+eENTa@=_uoi{>Xp$4-{z-&da^GY?_y5BK4LfFcaNa|YbAu1;feNpdPR)o3{ICf zO|adwmsnlU#jc1CAa>`FDqU<=-!)nkdG%F9?@tjat3Xo)SmVO%c8oh1Vs3E3a3;b4 zP{NJ)YJ=Aqq?jUt7GDs#%6Am%@e?bLEfoKqB6*J2O5DW^wX6{it8T6&@@+fg&kDul zMmqC$>6qwqKb}192&3eUw8EH4J!xox?k~gs0{_1%rEa7ZpJ*F&VcAOEHYNRC z@v)-MIO`*IhuR`ZoDsDUeWAbJ@0s}^sBf*(qKt4gfFK$WNv=OG^v85a8s!Rm4q~rb zgx4qz(JR1mP<<%;OAz(jxbA7qH?5nh+@GE-|No{0$I*7;TS0@Nouv#@Q9=VPzCPDE z4t#^DgF@1Z<9QsT>DwI_7QMhv(jG{ADWde56gsO2^kcma(EqIFi@WV zk6pg>STL4;=YNcboB8mj9Y^*5k8(gsffoB$pUCf2f*Wo~or@UIg*O|@#p`1eP}tTc zULci|Q+Z2?9BZu3#k|F0^?geR$;7)@&}vYt?9GY1P@PDm@ z&?c_dtt&AqK*n2X(>&Z26B)FOTx_CpcW(Jp){7G~pmQi2DX^yLX!Jt@b$<;nXOg12A z^#YgeJi{sO)u)^BeML*XOY5y5SFWpoW6*&xpemuHb6n)^4_{P?hr*`YBiRS(YU(AO zYAje4;-Rwow2FUYs&7Xl9YO$Fe!F(saI5WM?MkWC07*n$xB{2_3J5EMcP$X-4XXis(6T+cCd2D}#vL=1~@ zojzP(7AQ+gwU+4QRzdd`@cDwoZTK?;?xvQDqE!@wY!PqEAke39tRX5wY>R#CVW+W6 zCr*K7VY*SCLyEs3N2el>Wko%tG7cH7&JO_^_WtL!d5UyH$P3jzL;}-}ttw)uN(W-D zkM^pPNCc)IwyPP))+M!8NYpKmpfkK)@=?0HAy(Okc^t79`i{7tbi@fpxvuxey$Vq# z{J>|r960<*`}?=a=63$-ZOjwFTMEyF7o*e`aOy5d*Xh5SdqJ9CLz<8MYOZl~o`5_` zOADv&^;=yR3V&Unq}tA(FOcmy()uiI{=2{Df*0KiF^~lCi=I(&*~7>ykFK)>9NKwmS!8(;LS#6?o z(r|EL8b|fbK{}P}>20Fw(%rDX>O7G8WimuB^;G9rL~Ap&VfG2Dj{S&;U$B3_$wYbix1Ij_DP-N7aT!c8W01NIiVS_#niR*yCw& z+E1?Ob||mwl;9wQI9_4ZU!Mq%HH3~d9yUj&#nC=}Os@#qHq9E8UAh_^W|&g=;Suv0 zIky0Wyj&qN(X)GZb}!R5EqLCJjAdnwp;VY7%1|1eNEkr#;XC{w71*vphp z5vU0{6Hv)4fdHx@fWkijdouVB)m=i?b_jx@rnl=@Z- z5AE{=fCPKsOEhdZknwJd7lxSssqXo=n(n{Ut^ZW3QkLpM`l(NMf@Sb||J=S}nBw4X z@?G3py464AbA2^tj?KELKNBn;-uXRamtm`Qoi;or_n3#nKRAE)sH#xJK2GB4N(}yL z9|F&^u%{VsHJkG6n76u{yYP=zRo~`ZP^!AOPzbU)`DPGAez8ehEeyG{5&+rl94m&X5kE*( zKQDUwmm1+swXjCj-|ANowc6im3Q|bxx?gG(Ky%Ty`0PArd^IDf4mU{$AB~@VN5G^nB7K zti^Ac<|3`|wkxlot>f<3TU8gTE1Z3(5lJ|>VLy-Zvr(wnENf{l-qpI;-~7A|_$G#t z`g(t{t+k=i^K^WvMQr)Cx6_R!-T|0CdRCJGu~z+I%#tY0>MGij!2?!<-oUIjb$H50 zk}a;xZ~yUd|E_gBFazptsm*_!(rde2dAgB3wAxAWDBansf7VobvDotYcfEx;}26Orb6SU&Z-*e${ZJ__S_~1g{qok%W#R>v-L_ub#5Xf`pFcs zY*GrETP2G;Kl|DT3rZ(;&lOk~PKU`GQ*~?4S1avhq4umue0|W_$?@g^<&N}@-RinZ zanMn;zGjRMe)4)BL(@V`<~869G9ta-_J@~g7-vvtC2${oA7vKT zJJG$HP0Gm?XY`)6f~!EHJVWs%bAq$l#ohB6$9YrpTJ+RG-MOGB+I%*Pf&+~= z(o70poExDLXIyTNX%ViqVujdCiX`Mc2gMqjFg z!+$-1!%Pu?0Q?|;+jUh;YDuWkSpb@U9l39EaSzs-6fMk0*)Vg=W_;*%Lsp7m8=s@X zp*4VurbQ#Hn;$ICoa2HaQBMwZgR-|dTlHOiFV|-&YWot5NlQ~=`zR;8xYTuZk;2&# z&^pE5JBG>LnkisaMoF*Cl%B0U{C{GKJW*UV4$W%tGy5PI!*hjCt%4+=>Oaw3Hw62C z?uPlukUdFq^#$Qe6gJBHpU5PQS4sgZYq$ct*eHd%#E8T#U*OiLUmoz%JV2}-&ExI+XA&2WHnc8|w+xw4TL|4=M++EHv!-Q~H?;(u4qJ`s zd7gE78IQuju*+{<0`$TQC(WF=-lrHb?nG>=y#?v$?9fyU32`kCojO;Rm|4o`g!E-YoP=W^p@ zr+1bXX74%XvP~^KgtAZ?vlTkmCRcBR=XxFHmtG&C$aG8n7=8@rJO~=Umv775-1%(7Gg?>P{^nkB@q`R+NF>+oNmzGxALIc|P2*H7iW zjSreA$sH;2B6c+-?k!n3^Vsw)e2)-L7?^yg5Z%idhjjzl$htckK=JCe<|@=0vg3yBkXOyS1ZcdK##rs&}N`HNJ5rvrF#n*3}p1P3iqC z8jNO2N(MQ%7~>y_8#=={+d8lD+S4F*cQy)u^T)(UlD-Rc9=>ip)O2>zZ8lNpU%2!< zH7#pCbPZ5k-D}`!6l_Ud|Dw2R_*j~5!QV!gR33T1_3T3jWt{WwM5f>cZuQB}e9Z#) z5HEM(xhs50q7_F$BjO7?_3Ac)LQhr%&iA5Eop) z@JUfizi`=``pf{~UKg(9XKPV30h6v^IS5Zx3ALC4K^YfT0~6NbqaQuUk6sJ!Vv+M; zoqDZm!3ECaxa;;@?^=}#M){*UA3DevJ2N)Ik5o4(e`75TN%f8S^$g{c>fqCp%I&u* z1xZ!@c2i1^9HEIhkjRG@*%yd|QNZvTpuv;f>iWHN^-UO92nQGAnZbOUS#_t+5wiwa z`8sfAg^IiGZ>Cn8i^t!4 zK38@dLbc$8yoPOxleu`~BnZB;a?h1P*~o8^#itl_N3VKr15j}@5B8DDsQCh1E!J8c z8*bUF*0e)jns7-e-okduIF^%Bs+EGyyZ0R{pqRUMQBeGUsuPQazH*E*5LHGIsqM?i ztmQ&&OF|`i#t)_#=2md8C45jpByf5o_(s>0Gr-zT;&{@k`uUGfNVMh2BDJg57$eSs z2D(l3HY8sDl*2YZsx#LpO(_%GG7{FSBu^8V2_e%F;{_UYgxL?*_q4hc_;z$-Qh!Wiqb>Bl3`dcrw$rgyg@M!3lMW1r!Sf0@x3S$H{$#t!Yh zzy$pu7A^CFX%u%vNuf~OWBpjD{{1RF-;Y#RWYM7aswO=Ix-c;6z8atF+Ig#AeRBBe zO z7v$4^4TOm_;0&UweKBvB+%3Dx;Y6+=GCBs2Q~?}S6F93qLzg-%KMRjV&um#dO`BzA zUbb(TK5SV&)I-_4bGb<7G}&e6HW}h)EmJvMgP&}BEIE8Uy5+yAQ)X;A9#`IPy}aRX z9o;RMe!MjVr^2zg_a@p;^ z$%e(Hu#kX%^1z6vb-F-j@$8ySuG*({P*JXJjEy^7m~uO2S!nvAQ1*>}vHjv!b+I+W z8xjoeOBMbr!#!uYTJPPRyzGV(F$~iP;OI_T>`;K2ppJD)>v4C{Fwf+{tV-&wGV_+= zB)Z;kYvhZ}If+NBWeWJdA)(2^^{B0f^+{CM`o;ul#qir?9dVgAQfc;{tuhW<K`!fTpRL`7q6#5jMqSiQxN{B7f%uYGB!;p$v=%9=SZ(fHGo zx5V(gd9bJ~I_29lfnY4tpPpHbg2>G`19{1hN{jEMn#Uf%Q~TO(jV0^H>8IS2lkM{@ zBR5HMQdfLiF5@`l1cz_cx|)Ztc|~8tpWIFkj{D4;lqt5}CiB1hDyG5Z6F)iQu%G5x zdM?Gtd;j6KI#r#kq`{}pUzuBOJ%veSQv#5C|8lr(>>y|>&9m_*kJ6&_bG5AIWw*x0 zWY`l(9x|JCc|GLV0N!Xf47*JM$@bTW6F}MDPt_`P#<8#8zLdbeUX%Vh?I`lvUOV4g z;M>W9(H3~uc$gQwSQK;$aEfv+tMRB9l$uSVPiC8xNwt`UgyPLtMw4~L66|YA(7>FN zRGt;Z4fw(;&3m&K_aVNr+Hvj`Ue8yRO1-yMXl1pzC#(+IG9k&uUU?hlo1QO)mA`wW z@XKgK(pj1Nd!*PXvCAk*`sEH;OopY}j6Y~F^2c2)+ay&Dk2CU4&RkdRA}}`J^f3>! zI6ou<7+X}GA2J(X<;>N2Z5x+RrhOi zH*I5kI=8!_GAU`w>>o~!H;39r?;f@dBJ#@EUA%jMT2MAY4mha{)w?B32d z-$~wX=}3~dMoLO1&1dbWNpKG}0eZRGV6Np5`2u^&Id?OUc|r{V`5sM9>kT^nCauL7=h`gLBM<8x`b(|^~t z+~qj$I~Q(IJ_lxIzfhu4ApR8DrwDM9q>;luiN;Ec?9=Rf&1y3itsH$71t7mGh5zaYsj*M4%pdT=b6!q z<(v!w+*}Lp^xbp|JoAC6nlvu7G3;@DLQ)(2Qu`Y_Y-X-1NzoHo3s`EI5<=hQUIMS^ zzP?o^rC)m+&K}d}>f@$XaMyCe7`qkM=Us4TUEo;*d<~>2qlsyYq&Yg=V2mNA<HePAl8~nPDZLzR;FE+_NHxQ{Y}5|9i^A?6xw4HZ&lTnkN1)ZP~!oo zuq321!9kPymV2Mn3(_H#50J)3RgyBM8sDc4^6INRV5$PmW2v%+Tg*DE!eJ_f(mC>D z=J5!>K;>%&pOQOg>isO*eBL{SDaq34zDF(VNVI4lC2Ji%#lC@9l$&PqeA&fPS*=1_ z0mWx(8}FHwC||sHh)o|*^M7yhtj{5$&oiPgnVjmk=!8W+fgt~n4kDEkifPb`y^c%t zTq@lF@teJ7DaL;&Bqt^)yi!c!F%5ReH}h=uWV14uJ-SOdnE_lEIDQsS`r*&Pg$VEzWV8oLv#-kWX{cjH-~(6_70wW!yLk02Gydu1=j2$aR0rT{}-t zI6>Kn-2q&iY~;vXtxs6eBC%SjW?12S$AGqG#SzjY24qYxtY|$Kqp5wFiUZ_|3 zRCY4u+()4k4<^qSd_QYD(+Uum+A2#|zurIbnq~3M0xo?~>SErY&aqE&BzjER>7y>m zZtFGQ_>pEK^LRQbK1nonmLGzza*S70BE zcSeC8u~T!3;Y?l?>jmPh?t2Celn1YC*8;+l~9K~PZvQ%fwmu7TuE*$RD5wa^3Iz0RejW>S(2iw?a`mJSl^ImjY*G4TOuF2lZbWl16(%U|7JJfzS{x3EC zj=3qav>C(ZIrYOdB4IJ+!|*Xms(C*$Hw$WvqHg7r=F+gnxjAKuT)vwK1>xmphZ;6H z%FamfznG7^j2Tl>Z6uU40a4F-9;sgEsTp0o-SZZz(snS)Y|HO4BmWl7|oA5H(EEmC%ZF?VrOY$sw}IpHC#cXNN-!I$Y#ISM{J_)!Z>C3*c^jLg zm~FkNOA^h4#HW@EFeB>w_2by>i|dP^A;0F3?gV8FLzTW$#%ADKJ%>$v{Mud;?^CP^w;rY)%tV;s``XJv5& zhVb#Z({eVFBg!Gs_DV{h=Vl`r8&d>3oK)}=y zh0!fmS7pO7|G3fQ1YnNrA#;IhJllSUtj1dYwAE~`Z|N;;9>4bRm?z}yCC9$yB%(j9 zKRd_x(R^wywLdo}Lz(v0r-qQeKzQ;IykQzc#p+lap5&8b=4B#T@OAAqQt85#vyjP3 zH83tWoSpW>#*>>?MUhfnWCsv?F$HL(k!o6_*5a4?b~yMtG54@{><4})f#HrWVGe=u zj^SDl-Qve9>DeziM1A9NV`0?8WPRhp(S@z5k~!HMQ{g~hj1)%fF*FzJaL^d8R0NRM zdze_6SEcOh8W9VYZPRl_012Uh8K9k5?AMow8GxkWT_`r5CYXsSAC|KO@-vQETuz8sMV9p7+l+0!ip%3L)srdv1 z0p(IDk_ieo`uAdz5z_(DrX_F4>+2cTP?=kLjZZee;44XnIX+}Muv71a^v}3G|2|%* zRVYktBie*|aX@WM?4*0~Fw;~ema1H~{j;kD!DE>`wtI%w6wen?K!HP-~5;j{V4w-hegoyp$|D84sqXc(ve< zHbB3mKwzCV*&q^yX8nVDbJMy6t!{W{A(Gm7R++iZ(7>v`W~`xMe4vs@*IJ>Z@4`)J zT*P>G$h1bjsM4z^KZqHD-DQZdG{`Kv6{U`mz=+$z_SEHlouQ6Zy%)HA-mS`VFF~Wa znr*>*aGMZUx`}?2>l^TZ(0h3B)fP?USil%dd$3r+_z2XVXml?0l$N9$zIw`z-LCXZ z?91=VyG@Wc^%y$m#_(WuC%I-nYnE|2EQ-#X#Q2<8uRj|u`RM^u9{=s&H_3VKUlDq= z1P(fl$4k|WF^=~1ULCweJE^{D?P%?C3b^NB@M`v#A||Z&*!h|_*@h>Rk=4Pc{vEAf zLo+G(oIE9Ixp!sLYCLggbI#VITfQZv;O6xvj%6WQ-7-r(8f$$JpIqM(UTQSKgcBgu zO1f`JRk2U4v~&eaMP(*5I1j%F#ZCP_PjAHz;ntO)D#H1lhtKxn>|42_$M=>j;Nmvb zJ({iRo~f;0LpIq)5N1`_!Kc>qGYV~hkJIO}_A^h(!{oammhp?*1h0B2109q#61gHD z=FJfJTsy9kegb(+TiC|DQZ&=pQQx~6%QIqoW*Lf#=-`5Agqkn@Xdqx;@)&NBs-$iE zm(@c3V64l; zg8q3r^0{7s`h-%S{0^BxY4~EQGQ1OJqI!=h)(!iYrX1hO$yXY=YHKDNybIIYx2>Oe?frEXbnT%kpmW2Tiu$8y`> z%p`U;dNP;zx#})M+^o2PO`#E4idS+xf(h5`hAB2VgXJC%g;74yxoMT5d49ut;5O`s z(~`~E(&tLYmyu4fN4e2kggHsi)0m^JWsNvK?BJ-)wF&QdJkt@b?2GmxJ8q6xKOk*Z zrzb~t9=BznXC3LVWH0f4I6dkqUvp>0Ixxw;N(C7a-IKMq4f6<*+V)UmS2RfKl#w0S zY6&09J+ibUjn!b;&0s!vViU$X^vvG5cN*1CdeeER_mOI;P@-l=1NXTG+H*lUA>rd; zFz`&wiD^Q6Tz+k^k!oRA96VSS`f&#H2R)l`w>f#*rz=;h*kBwJYAvbf(}Rtw`X8wt z$djk~I|`geRzJ_0sw6s9#7l;zC8kKcy~EK2;L;BfkanKu;@qkVv!tP6m*j_jq%{tm zGrkCIp(9;tCynGXv+KZoPRw#E@`LY#c|MU-LFh|kEzS23TQ731HXA>37_-gknSA!H z@M{CX?NOsWS8+`}VmRS>KD==Vc&M$p=J|1u$I>bVj?Q%>+ldkaeybK`#fyLCA9^q&=Q!`koafl%6JPw^cI5T7JvasT= zr7sC`Ko}q%c$w}asW^}~$ORZkl7>hKfF583d=UIwRPY_^oJ;X_v1)yq1>Hg)E6$)k z_(e%iCaWgo2DwtEL%eC#>`B#Xm84llGuEk+3lJJJ7K#`?h@9K4_l4yaxs>B)YzLvz z3R6B>oX^=dyjKG3{$ttkZC}Q+RXKvjOhLG6OfE3f@!WwHbbP{Y<~cJ+LKUXbv)@Q7 zsS;O3B@GZ3rOhU0pe3X9A0$h9tRp+K<9*`C@+G z=^0F+3fF2igVTCyirWTi_wnjnj+mrOTyw8tN;Uidu})T;g;o4hMhjNwKVl zWSDjpJix&OAaY`SP!MH3b)%_wJRiClh<-D7d)OGfKwGTp8rp`97)Kq3-z5CYAZ0qj zZW*Srq}Ag&cJS9={R35@ifveH(1DXO-FBDEs77WO=(HN2dk92sW0tk5piIZp3nC}T zXB+ueY7y{_Qq$mJbdHv-Pkw;FWJZfnw+mf+Ehs;13YWl#1d{zd?Dig6} zCUxnQxnP?#QRkL|Kr5mWJp@{^gu5}w!-o>geDkaaG|%XG+q77ctC-i_t;6OR^0NN++_M zmHrZ%GTp21prCK&WixmV^*RPqsyw^~f%x2VW_OmHvSt7}9znAK12O8bAKou>GG-(s z4S+lXW~i4T)G{FWJgTrC52!h#-@+lMOSK7O)h*a$(YgC2hQv8$9<+k9rXIA-l4h1R zTG=dCHg5A&S~5F}(bfq$_q5TiX;&ooP`$k3JBZX?iDPM`spS#rE()6=AGcT~`K66! zAs3I*E?iQo@T7dzhuz98TF1e&BoRSdy!kPcwZ%SJ zbQW&J!r@S&(81HeV&(QDWk&n4K7qf{#L|NH(?G`2mPEs{sl=`hDa(`cU%Xe@EmW@= zW81&9?ME!2$Eemx%3J?+P3i}{W5z~Y{*mV#f;CJi-WmB%6J`J$A!r?RK|zu&N%_aGN$2E3Oqez=?Ejj$P2DCA1#YJ1TQ z&8-NWkT;3q_#&jrV4v@iv@Ms%<>%(|-b~~;RM>y0IO`j$s=Hcl%6USB`&xe=3x%b8 z?TI)H{DMkv^Sf5GkLcDQMP0wN{IC=An1Zg_)!V5x{gZQOeTlV<+2UpX$3=JNyP@u4 zR^^ms&c6aP5}+rP7M$2$B?|GM-(~bity*y6-dqPGE9uw~e#rE+W=M+Jxc60L`lLe5 zMgEQhU3IEKuXproXKV87oOcL0$Kws0zAqK%tGhY%SDa#21L)1Y+vej}FCTBoyou@8 zxvbOX>}B5~AGy^D#}U7g>$_?!7<)N zM&zMXzw?bQ;HP`KYW9}_w!c*$XWE*UJ4!~U}YOH_MAr?&BiI)d8)-us7!7Cobp5+?rXfq0f_0H$N+g+wcyuQVjv68JRw(|B z#VJCQOmeaG>zpT2UJssteDW`L_Hxtl(U>`>!8*&! zEByh@RG0dly6O+iC9e09f*2b;4{dF9>E`jnc7veWlcFYEv1)6dIFYw@rB3!e+iZ@~o8OAR(`;PXJ_&Vu78WdNsjHlmh6iCOz0;@M3JdVx)m4bW z5kXiTp!=e(B9wElp>)q!U9a)0`hRsPk02?E|FIMnlwhg zVMB}6&-eo4K&%I{T{}D4!7;r(q3!0a>b9Gmb#L~APQSnGx@jT{J*m$nWkGzD^(fqS zy1h)!gN~6>CyD%H-MFLv{DagJYG*GrBX|w^?2`$W7|w<*`HwJvy2%;5-!P;xYASKo zXCGugv1J%#ul=+?ODu2g2~VZEi5=a~4Nh9&APYK;ujT9ylF+(#bZyq^sV->)SaJdg zl9s`vU4HPb&@Ij+zXyuHS^q1?{oueuX|o;}9bNlKN=yl~NNzFuO=iL1?L#QHzU7Gg zqZIC)==Y^XbPJ0^RAj-*U9uyF5#M^T}jpZ%B68m?rz^ zp?UNv^N=i)_24(MFlfU}Dzx;8!*NyjdCHDDJrv8lypJ@> z6^tY`o*=%4josIunqHH73FPG{{i%oegrG_AeU16!5AlruN-1>K*G8ttgXMHq((BXx za|u7SeLu%(B(Law7#d$D>zRm7jW6jmw-~thrtsJ`@OAR!*;SdMN$b$KjQEn%prYqD zH;n#chh-|Z6h~zgx}{9UjQx5~#F7uUJ~2dvN4AaZ^@vLH2qidQp$`m0uU8qw0yklm3 z>p0k)PEF+_+uVCvZ@VhAYgkfE8#?K`DjeMMnjX2LPZ%=Vh|^L|q^vDgVzgbQ=iD%r zzblHnFQD$)Sb=^V`HJHy(`>R@f}7bVK?^wU{<^ll#R`LndEUiC^(=4v#ls-1cj3hw z$|5%(7U}ZKIWY#&zotg03fL!eg1@}C8jm;UVkpiz4;-{84;+@S960=wThj?;mnv1*Yv{}~JM?_MbRJc) z+WDP92}&hxj=qg{ld~e5QGE-?q1a71uhAXf(~~KfWIt6nJijL;&P2B^q$L*(D``Ei zquChU&Ne#z4-!wJ@#H;$jhpYPwWHIfqES%Jr1ccnONA#^g;2A*-^}W*)rLI7{*V6L;CtthRlBD3U?BxL~-;On~d^Y z!Fgk^k)tWNk-Q!q_vV3enU^p%zrJfGMh=)7mMOU7C4p6+9m?xsXQ!T^@HoUUwg1+w z!LsKm%M|)kw{C@LlpRe3s+8>#2=5aoYcXTDGXAM=COE#&DHG`WV~|1l>v-7m4M)a5 zmXA$olkaVHVm>%{dBx1-DN>0PZT9C(nXlUH=DD}iZ%HW4xK+F${-O+|)a&rlv1Ieb z>=}A_J4wyt=4?I+q71w_{^$&Kz?+89B|rSqlCaI~maQ_3Q&OY)Z6Le$OUKH1WHRt(~8 z>`5mK>(KX-f1i+5SjzMlZWM_e4P2h|4P;Tet8l&SVG6aPHxqsOJ=WmZ@q4QvMb1qV zVNNV@y=t62@~)Tbq3)fLqA@Hosm&{Hy}A4spHl6PL#;c5InMcaClWXypT16G&ZOx# zc$wEukhFPTeBD>!vPPz{oRB7lwrqut`qAbIPc>Bh zv#e5k2vP!7z1Kc$I=SYmqw|GQ3+IOfwi+3=AbPg0BbP@_g(AJ#F+o)8xaeKfq-DV2$h5k;l!Bew@BZQ=&zZT$`HBoT#}yoHB60pO&dwx5i**kc1xFJv zD#yW_i}>2E-NQY~@kWstMaV;y%~u(da~*A;s?85|mVbuvCB-ZHlb1Crz57;Y_vSpW z@~OKSPYNpxi#%x)`^#dVDHUKYVLNKYQD2 z&HuY~&zU?^QA(OTD^xF-{Pe(-neUgmP$awlg~7J0?zhI`z8#*OsYlT$=NxuNILIdVZ01XCWk2`@=Rm(Q75Xse?g>f> z<((?(cXhbnA~<-eW9I4ex@+i8h}vtGx2^NoK*l#NK{033&2+lfah7PQc#;F}sh|X# zt6{kE55{+R=lipQ)8607+P_crdnU)>?RDDUrre%SA1ikA(5BqWw52}qrusdbXElTC zeDu=DNb9L@GDnrw{H-v5@mB+Hy;5ZNN9s}>uUM@2lN$C9Sg!}9yYJohDyaP^`lUEt zn^)h%7E>7id-ah;WK^X#Uw_l@K>ttQ{>(0gzKy%ARn5^Ep$Nt#hD-hS_+iiGe3I*S zzF^N)JFfA;bt+#q;XmOqBF`HaS+=k;g?RRY+&+8t!tFITnla+)#ljfzu^jO%(#&mU z<4UdYM5l9~v#rs?t-PQ2mN@fMy*pogT6HI=7;$edBuT&i`a_cSTVZ?TcfP09DX8!5 z`9?PKXOu4EX{`f2&p|=K1y$!p(i-~e2BWbH`+}b-{XNgfga^|7O84o&i!f+%O5-l` z@5J=B-^^zmvzC$P1BdTW-y`fs7V<}1vZHD)R=IQe{R}=%gMUo*S6sYc{$xuTAw5c* z4Sjtl;KgD`LX1d`ajWM{Pr`MHvG~_5J^5V+KmRng^i25Fl0BwNFnaTO+4e8p1M)>W z;!7!E1Er}0*ez)YHjzM>XQiut=XVy$~Rxs`H-3Ihh47etT?{?#6pqW9v znnMxaZ$T$LwQkL69^6NmChymeM;n8aZ*=o6#L#c}PtrB($}=S+DQIS!(4{Rt#bgeQ z6t>+IG~1pIK3TtCxTnuVFD2XqjKljZLa+i=`{+_9|3&KqD=8MnhH5A7~v+8Wqu>{ zM9s6L%Sz{{?Y>bdzhr*$o+s)?7h#NV(!~RMOg}jIBFyOSSqJ-0dS9^+5M`>L@cv5m z-*3H#4I~=i6Tx|KFzK(#t7OaF>hJf!()r|4G`P^ol|frWoXO;~_mx&BO*CUOXU?GF z*Y{&IvAs5EGCmg>T-?$?Pn_-b-l!C_M?$BK_jpbl*)4eQjLEk@C$cxo=s#c8*b>;G zWtbM&Ft5Fs;{bI&K$!UoZKt@B3Qf&x4sn5LkwFjbwLS}_b#<1$_sX4jsZpN-8}NZd zO+`5t9mjOwNF1P~vve!=l!Xl9iyOOZA34fgRzu{!TUclPO-DzlwrD)Dg!F#C2en0 zVxruu0Hf_{OKWQvN&47l^kn~2*-z2Je!QeQ?mTBqc5I#Xo}p`J1m}rNDrQ&!rjbhr{q@;)oD7kr`!3jE3BI!=Nx9Y@ncjbCcJisE8?WX4JKUGs{-L)l4~C{C72xxd&eBOVa z70PQ=S_}>JH$_}T%0}#piS510o(jelkkaQ*+%f+*GBQ64pO5M-yg`SRA|0xdx@f|% zcBr&``KqG%RJ!!9CNj>Io|^%7h*R2I#hQ5^vy6(;P-SO-Gn~l_E`)xwFw^+{XMTeczgPQuN)378*Qpq zAnUg zV`%AWib>ec!aygTTJ2=jy?o=i-q*dI?Tdi245%x20?RI7ZzvXLau+1h@iQJgvAY);(8(`P^ciBWOenVR35ZxE|Rl)M_bE&j7XWo#LNY(Wds7nsBK{4QBS|_Xus5B_w4JczvL3 z+H>%_c(9Y%nb0QHg9;3c#jVj$!-)5p5!eSpz`zg~NWmJ-?A5@|XJ98wB5utbPm@t6(rDI} zMk+)Z{6i=q2p0FC9oI9ogj)6UBLt|onza=#!V4yRp?uT=gSz{cLFn_Cf9k zGz0cdvArWb@v|WUYmnyyomHHNOZmMvvtM;1w2cg*0&CN8YtbSwqLm3SC;|pIfkE^u z&0T#s=p$3mN2Q>TQ1kF8SL(P~iI-{FHMkz98PuxE1HzAz7PIiF1^A`6FO=sEg2u@X z7B^dq>-i6;6M=dNsJDSS1gJX^G%F6UIJSCRPthzYQ0GcXo7xq)?*`~SdeA}FK?mu5 zKm}Gj!>v8M3M1YiMPOl+KF~16Ik+DmFt`N_a)H4uG;Zw;35@ub92n4vnO@X+5ZXR} zKpi#4nMTE<6)wKH5YDc+63(CiI6xg>3UC921Cjy7fM&oTUzl3%FK;TXO|80Z40cYZd?k-~^ykhg(YrtOMlhacePv zDS$u&ZY@cw7R%c@v0(_oHf$iU zS2ht?Q$R7`2S9TRflUQ`1;}h8u+ISF0Nx!0HUN-r61!#yJ)YzM6UhtE4xko=5p4if zfOCMJ7>t+;*afJF!-$E1`D&UBW9NDJsOvo3*d1&=!S;bwamWD|{DpBsvo>^l(ibW+ z?F;n)Zdozo3*DXt@;s0ifV>FgB_J;Yc?HN{fxHUjbs%p5c@xN6zEJS3h{SG#ADd4~ zN|b}V2+C1_ct9#35AYID2WSKI*q|q!jbX$_z*Q3%(QJ$+rBS=1oop=wOt7TS zBd*CToH!4^T=#(%1|evQRA6zZ1-PE)qo`Fg4}u1Hx}d^Rq;INiqM5Qd3^x#5?XJ+4 zvyGeC@;q}Ny{mJhJ+xP$M(~1SU+BR&f@T2BX#1DG(9uZ* zO{*6yj=l?mVtYoN*C>h*z2xgcmfWMOfOIk+D52x?W| zoe&^atvU1-ls!TDi7?b}BDRMf)W8I4z=0a{7U4@}zR;8@&~4tZIC*e_TA;oM)Ga{m z0Mzy3riFih$)y^+!S$#tqE^d+Zmv#qlX~-;;_;ad$6)UmYIPrU;6;L7z^#3N|@bzpHTCAc2aMiL<>kU?GfN&-~K zoFa}FbXR>q=3E52s~~e?LFUv4`A!6+Ll%&HlMpo9M4F7#I|@(+9Oy9=EG``+*)PEK z449%plGOocfl~ie3B1TpZ#AQqLAMjL0pFz%Cks=d5`k4l6fK z>9;2X-2T@-V?R9J&9Z$U~mD;H2l~@9QwB2Z< z%#nUtHE!zf3)!3GK1Fc89}s_n>QzKW!~`Ned*tgK<3-y;Ll_<>jmJJ+-`OlvyQC(5 z|2LlFchYLMwC_)7TYXP*U(FNl_ZP_j`4cc?_Xrqj00ZC}fSp9mR05KLc1f6nmtyAN zYl(Ak{-im$OEQpgKu!U2Dv;BFj0a`()iQSHubM~ie4rEEKG47(A1DOO;6{L1pAYn? zPs|i6;ZDHF0ub(m#5a#_^!*WX#g5-2eNM*N5qsh|cp3J=*&DKVI%0C+?WwEuebF;K zqfB-W6}L0V&p`DN3GWGoV1L+ykn8|LGTM0Js;!@;ZT#4EO-h3}_&Y!^8-Q z3Krn_mF>y(o!5MzSJ%Z%X}CQIRQv#uyJ+)!DljCbohCzZ#xypb531#wnyn44sE24- z3{~z&L9_vexfp!C&?ZL?$ ze4r%&Ek|(DQx`(_WI;^ijl(ZTc>d|xQCqn^y+iO{bV6GVRaErq%en4Qhz=D}0d-H@Opb#G8Pbutl5e(!h{QcVNh3rVO+;h6$Sr4*1H)0*`|< znvp>p&2%aGpn*EYvP5c7rfW3oW2n*;#bR*$a+D>Q`Kw+b5U_NDFoIE11EZu4MyC48 zAM-x)VyJUB3i6Vncx>fuhCrm;LsP!wy+4V>uH?`xH&uCY;W6EO=T3n z6{QGeYrlpSUpAxYm;_C-0ZqyagZ*-Ovyow+7g)|UZ`pC`uKM|m%D_OMu40D;8-<^XU(Fhqbs0J{(Z>j`KBP=T0I$s5b> zNOfi&#RNmX1dITFrh@r~(&Pvzz5-^IDWDke1E975y!87x&d&vS<)R;L{UZDT4UDLOE5qKAl-aHMLQjcck(pAjxyuj%Ltc_-L*}nc z!trPve>tE7FbY@${MI7ZH)8@m2}py0(N6C)(Vc^-n`1z1Ig!k3s!sOsGaRLy{Pz&k*%CE8q57>4u& zqypLiUjbAiFr*A%oL?IF1crP z5l%9`2-luighx*W*i?(JntbJ;0RU&PNV!_+ zGhJb72__-n2SC#b1dPivjL)w>4ufyr<0Q#!Q7iC&8n*K)|7bR;r2|!jpNr!(ec8zi_X*6 zZDRY?)x0V@Tj2gsmXe_Z{%Jd>SmAx_OXNZrSFPJ+ropTWX)CwnVUNA74BxF7QIOCc zj*su4{G90Nm9{rOo7P`{YBzoMTSB|{9ny3BJr%tPn_h6c(OyI(TglLOeg@}}^+V;+ zYTU=<_>Yn8uh3>6+y70ZBzVqIP?FO!_F|o8 zqOd*mr`(9MS9%*ACD!y%u)e#cE3Q%vH(b2=WjM=CVZkXjejhvT22ypP!DrK=S;j|0 z;i%b()_GOVgb4NZWRHviZ+hI^U7NAUN-A79_}$EdJbNX2dJ~qC?md2m)jPE%NAcQT z-_S3!!<-;{M_9e>53LU)dfVLglT81kc`lRu=-Z#_k|TQ_Y6XVH@acQgn{NmudiJpt z9$3>b-!uj3EeLA3vz-bTL428=?Y~Eh+VE6<&-A5eQR`MKYsrK}At}4joa!S-KQm5F zAGr2gSEOg(%*4c7_4i&;(kvx`txKwpBHBHO1dcCGv5%&L)I2?vTd018*VdGnjYSES zm-yj}?#_&Vy#v{ElG6SnfSh#kQ|s_sYWj))HCRj7&OAM=7zz-~_K5^DM_l`hOzX?S zuqTd=FAfL6h5kD0dK-U1n=~Gv57~2+z;C^FdXd5)s=cm*wEs4A*oj8HvVN|sIBoT}=|I+Baux9Fb4gYGc2 zx94~TyuMYiHKj1jQOZb%upYIHnTQ=L+Kqb7x=C-sT0;FFgG}?_pH#=!CRo$|Jk28B zjxLSX6}6W%w|)AaZFX4O({;IMsS~rgWUk0i1P!E0VJVTdyQPzU4a}>wr$VxO4>z1F zlP{v|itC8AJ;%!<-@i()%Gq!JVjyTe?{eeZJGr=fYu?pL$AJf@FzxCT`J#7{Ut!)p zw&NSIg3w7@_Dgz_U)3gKc6%{CJ<}(_RXt0Fvq>nlS^U%*^|uLhLmV>V?X|clTC*a5 zw(;{iDebo~{)tVC%-qWEFsKUxSsWDa}!Z@vYGyl zbMI(0ee~1~k{4y(*S)!7qnhB1^i+8Er@SA1m-nCIRzRVh7-2b%UttI67Y8IWCwA0N zwNYQh<0{`&_j~sEn(M5)c%@^Rby6|HaV8_ ztQ>f2s?%%N-mm(omI^nA2XlRTd>p(crEruqe!E|$@uN6F;68_6z|h6U%*6OteY549 z_n&rl&b#P1_YNi3U_8>=*M5^upGb*oryC$A`G0?yH8nwL$(boht)>24J@>tlT|#+k zgo+tOMtt!@M%?(_J~@u@fY2McL4|1VA1b~eT`{U8e3N`dn8m(4|JF&^=I&oliI*Bt zH1=!syAcdJgLV6bX@-)NZhBn=N65Qxt7Rn`D>bDC`A)GHQLahx@sUX%nVt@ApD9}A zuQVUmZLPSe&ATu0C`{*@$6gRPmF1s4-*?)(4IzUZ9? zF|eES!RFgLFTp)dwp8t{!r9J`@IP0*Jts@x{v%n_6AVbME^*+Ng-YPQ#9C1y;N(te ziBx3xF4vu-l&q}mw;>AC+y2ZQ{g<1HXR#`te^AL6T?6$ctN-|?Pr~Ef6t<2Gbz&0Z zcEBQRsRAsdpnAaxtiW5Y-N{D0!sv`>_zv-HMRri(q}WP$S}kuJdh$HwpkMxEP+l^$ z!9RWI7)v35H9h^y@8x`0+C}{wqe@xWq@b|nKQ}=x)my0j(-XpZq){7x(Hrcgnt+ZN z0y9Igb`<>jI%l5eik$+31NLPcDfal{E!NcE2a-_fR5<&#hl_%(g!<(3xC~s|^`BI2 z@YmnPC4SBvU3|#OvG0aD0&h|rU!Nu&3&(ZD9kK>u+8B|P%7-)j3Mx*q6cwN%_$)a= zww_u+VeLq3YI87@gN|sc_q@rOuGO z11arvBc$i_+e)|Y^Qe^1C%?r;BFkhBU-Emcf?UCA8%rUd^uH_lLK}AemIdL~DhA`V_bYsB z$R?^^?Z{m¬9p+G}iCeApyqU0BliJtN58*o(T0z^L?=9E(_rCJ-~-A$$IC?Q{;L zCp$~Y!3Dpxqi*aB%B~CpLT(q3N7iL&5t<)8$;_rwj}aTFW$j`KKcu$sW}EkNjm|4V$^<)=Th6?(JVOqQ^+1` z7N69#GWeUbi0zN_69>8-;$Vkm+INRH$D&zL`Js0_GuJKMVEOOAy>K<)8g&|-5UU78zG*$q zj=5@IsAG?$sSV1ToA2rN`95)ZEcND%c6CAd!#Bl@Y@JRi!UJt{|I^RU^CPU~?i0x7C%E0t@GwBY%rn#C zO;bC!jYqakkQI2fHn`};r_;Fwe|goU+S+lkiiOdvAH)Ab-{_7IL35`jM_B_K2~$fB z*4tdQK?ki(=P%kK9KW)T&49*lqp?1hL2^0y4EqHHtI?Q;ZyJLC1uezVBUGNM+^Q}x znV(m$+b(uZ>1b;IU)y8p)yV{Y+`E(s@)W3KmHb19Ak7$yPyQ$+@M(DPd-0`^Y9_m7 zn`K3*)sNWhX^kZtzLosqnW6?tw@~1}0mqE9S%5EC??HxuO$5RdwKd&T(!H(

    zy_kbP*NRIlY5MsoQ)PC~a@@BX)vko|xV{;AOKYqPsz+s+!Wd)i5pvC z%!Wmj?^8opz}|@(CMN3!K+R@jNZksx5kDk*{_C(COpK=|hf)hZ_-VF*WbI z2humMu++^Iwdd0>-uA<*=A8V_-soDdMHNcM|GV|eW0irR^iBTall}`DHqNoEjr}e+ zq5VG323&0;ai{7eR7}>bO*~oFEyfsmY;z~$m%YUUoYEbbKl@lzyIdx|Zr3sZvHe|g zi}m6B*T2H7RmXp&R9Rk32lpq?(Rc2t#N?x>apvIJ<+c7MhWIYKc&YfGUnOsNgpb3A zMdDE?m348$`~QXQDTbufy?83*K)hkU!m+3xiT``MvNZ0`jpiJ`+q8BGthq*>-LuK8 zPLiH{mgPu2MMPmN8pF>9^Dc z+s9F<;np7s^x{Xf#SLac8j?ceGbv|uZQ#orqVBxAWp{rqxVV8s*cO~pLprp$7IBnh zuIf9P=!9qXoHDt5y`i`GXzi|`$wDmidz#(3{%cNG;^?m*5i`8!?Eg)(I_X!TK5b?t zohhpKvgV$J{^(X?h;+`BEE8% z6}-CEW2@trwG>B-<5zFa6K4fYOr0p>igwllH~RWh&nVjN3Fux*o6dP&dq0KU<6e|l z3oBD!*kgVVej`t4?NrP81a*l9Ep%FAykF%dZqOVb;?tfe@`ElvbWP86u7KG@PpbL8 zNMV)`K@xfdE?NhvE^dH=Jy=t*kz07gG$tT|NbS3>7txFq{Ac}<^jCC-W`5}!hzlOg zRl<&V6|ZWu=Bp+?4VdivHlE;{QK^GmIxU;Y*?gWQKE%^j-W6n92)R}t-Gj(HE0<7o zfb;#c%!(^G=xY@;35tW%qoZAu&k*o7+Qgd=kn3axs(nSn9vgkU|45(=-;>^Fs1G5H zng=2Sq*$K{t=MTz?~86KWc%r_)t7~suIN?udyTf}K4w!{ofBG4z0bETeWVmFP4cTX zoRN(LbEvml{Em_4E*0kbV9?}!>b1j{A*MG$m4S|zH zh;B4#t>~qC$DH;hyXx15>a)a*D|=2{PHgjxMF{4 zs9*dbmH0j;I(*NW1P*&?Ioq-4VXnn z`l$4JTC|fh4*3x|>_SCrl}+rmWavv%ymFpI|83^&dqiu!HTIY66=V~7OtOv|#BL^8 zExfY7xUg7z2(N)5UU1YSaY$c^`!+Kgw6~%!BYDJ-GU!zlwn^3{4^Mk6Ae3zkOWeix z1=7gs?9v96;|*?25+%UiM$EjvQ))1{;zq$OcQ<$I)Duu15ZDrL53#nENX>=RYe|d@ zT0Z8IWiG)RER(sU;c0^!@dgzReaw1mKa#9pZA?;gG#U<-=?J;B3kLS<)}#uibR=f# zOT93FRA<!1-%!O=dNV_Ut^>Dt_rbjXbEA|*NJ>(ybh7P z3Y_9u6FCxbV2QgR5 z3gThq-a&sms-_U%lz%&ew?omez~X*+5~MFeZ;e$fP^+<&R<92UP9;=E(hf@+#>x+h zV_6)e`Rl}RJHMp^jT-rt!j2MMkQ%?&I$X$);~!=F{bN%4+!+c$TLy7> z$Gap}Me+slznNY)#N@BX{KS3DSFgueGbB}Tv?eJ9%c3%kF~+hCj)IapMhYK0P`M+f z$Mu8turh4u?wt^eL;Qo+J9W!K{O5Li}mgP6b)oaNwCD3>8 zmlXGPw!`W$Ee5m0$)l%7XfcYx63`MI8mGq6YoAuLh;KAS*(N_GP>(CYT5;%a?ZEt!i_$J+EFt2P-X$hbpV2HWAy! z-N#SFrp#lB`UhnE*=}x#!>I{Wqk_Pp-pUL8jn~N|Gb+uJ5?LN@9U+NYb%TQ;pA&Fi zjdB9}t*IU&M6n?nyGfUpDC|j=ixJAbiDIw?-&dvAXv{kKLTBTV4P8MY6t-dE7xYqZ$4{n_p>28^xTa~#ZCy$6hH^|E%apX*F zLWy%%*YJW69!>kKINh$Rfyk_n?~OJ-Cy(SQAq$vh-Q3BrOhw?>`r!(yU|v7?D6jv= z5vm+szp60NkMV7idSo_CevhG~X)1mB9Jztz&luMv&!H2-eT#VAXOIakca){jgqa3% zM`%J1k6Gta^>7noyLwBP?Ht-Ds(bfbVOM8EjUzYj#y0~uB|ZMz2|H5q1H6%g6;$ec zkHoY{F;RCLOH+k=h6l|NB-iE*UOoFER@(X~+ob`caV$!bh1GJmJ{K^h+wv6>|MHb0A-z zsSqYwmdc5hbS0K3bFNTud*cU)z_*;@h*ZFez$Eaw(*gq=z+#+1R#l*>PJ&Z4HebeHmU{JImq+Z7`BX^ysYiv#|hD*4-c0r=sbyL%f zYzNudT(Fi`S7rMwZN&O4AyXTjXE{rZNs~V(FG-BW@L=A7NEyCWDPWsPMMnET&dZI;`so&2{M;wedrN{CC~mX3lo)pIMjblLxg3Lh`k1 z(hk9AP}bsleM*r1m-b{+O#7Lc(x$h5}_@EcdkSt!#7nrk3^*aG!6kYBF_+Tt++e4`)?V9lv$0ucgD z6Un7CnCF=&C{#=EwIzmLZtc@#pKDaGI4{WzDTQ1D$TRXDaY;z>gmQY zy%0*2xmKDa2$|9hv8sXpK!@LA1)uxtDuJYx%JzlDBlX5cXWMPZpCf}IxY#qMH3uTi zOA#y0<|`9mg3M7(s0lQ^(Q4$>y>8`B)6RGCO50q5YQjVVX-YofgvSiNILU@1SQ1TRm5qjJ(fy3xxT?j?=0 zxwJ_LmYQN9pwB-r2?!;gF}Y++Vzdn~6L{Sy#-kEuU1xzlb|K7K0;W-)@L4xyc+lsq zRz*zT8Hl?uUXj7D$EuQo!XHPJ)dhv`yY)_oKt;6-vrHh$T4eg;6#NxnU~{w#Gda&; zi3R1#F_*XTnD4yh!!BPtp2+IFOSXH)0CVRcl-sUzL zJ=2Mt-2NTj?cp%U2Tcjng594v);Vca7s_P9+InkU+17%3TtAtUgc8#oT@nQ$JK7SN zL4j)q66q#^Z3Yr|qc!VHqZydm&9i9Ic>2VuAkn&*&v;#X@-U98sE(D2&R({F*u^kB*HJsmd4VD%H=5G>=VvaQAcjLTisUW7kP7=CBVhlC(|t; ze$W>y|03kt;-*DxB$Jw;N5RZBHBhc%>U5J0_-*tJbOM*ow;@m+@2URe``a7ul1Ivq zhjkC`ZiMo?>QN|R)Oq!|vaV5D@oDkkP5#>H@?^P@9AgA~!HWx4ZnL!<5r)*IIuUE( zDED-Ce}0on^It;8F9qMCbqmeQIEx%^ix?Zokwl;}y-CtMtg1Ah)F@lDk){FH;I;6f z3N*&Iv6~l+4c4rWe@|PBj>7VCtd&a0yO3fG_P*rmI^KzPheRNE)$#|c<(drA6#EoK zsnCc%5xo3?CF|^_g?*QV-(Xk_x$bgC0YqPO34a)$gz1u8q0eI4b-$JUy6|s{7XpB$31DRJ5XcDtCaAVWsfAS10gUFCfhi-1= z(nw>bFM_$xzDXsaF+!yYQG33EuPdTBefW_zgrRWQ)t)ehn3 z7nGY*nKW4CJ9TJV{LCf>gfXdTdXXL9$epjSQzmMjL$iahTE|}k*wSL|yZbAp9S)Dk z4)WncPMOcqlb+YpbbznDo5c9Vu=GN=a|Tzz0uX|yV_;G-r&hha0YCR63u?w2v^rxM z!EFMI)Bx1=C2ASMKkzZkHFaGu@sA=gJeOlO1xtUN{LYBk$5KCQsCdh;LXNvZje! zAm4A*SR6j&4SKu56)G4M6NP=I#gDIHPK9kwHOQys3+{&U1vRpbsRFc+g1HPimo!OjV*{4iUuuGv=n#O z;O-LK-Q8V_OMyZu?#12RT>}(%FYZzb6nOi7?Uzk9xpVK#nR6z)bKkjsQw=7ckLm*S zSHO3-<*%bJa_}HuO4BiV1RoKhA*za9V<}5BB_nbSx;9b_hBllfDdMqFdzabV(E_>f zhbV#K%}+A>F0xOdQ37N-;i1t2bh+f^v0$p)VN!^ETnL#&P9o%ky;PP)h)5u#hDaU> zz;%QY4=ImTw#i)#%fEo7c0(vbcO(qeL!>Z$WIs~C`sjR*vLUM;H6?8fZKePEA)fpu zWAZGH`Ubn`SEPhtY9W8bC{fI;%zozgSds4J zL>)9$cl7IK$`6&|?g{4L^wIe5cd+FAvYKq8Qvm%z`9($1kiwBXS`FCl^<&*e!ZQhi zov;DqEjKD(8O>{Va=-DCs5GigjSyPYxGQ${ihzVJ%IIF9pDnurnWI9g)jW}--QOkP z=zc+5<3;{xS>!@cacJXXt}uYgArtsnV% zvPpe!tuly(j!sVDoQTA#h0v0O;InX0y!)D#2cFBmF#Wl!Aj&dGSw>3U5jFOmBg805 zL`ZV}9h+owdWdmU*$v%pK$J}kM|k{)&$3u8H(vqW%5`TNK~Coxn?^V0jFH$t8EuVe z+Ptfv_4t*yJ|PN3b^v(%)BmJqXdm;|f^08Tf;oYnlrUPm^iELBoHlp2*so6Jd!GwA z-ozyH5aS1VKq<%rlQ>M8GB$}sN18G*IW{6uod6k-m#Cf`t+bmF%rQ--=NMf86vH~* z;%Lc*2KkcQ)P*AX(%9sO(fe}smgsd-*=Z>AHcp~H4?>&DM~VxXed?idz|Vir6`~~S zQ4)AkxmHY`b&2nD0|L@Bo%cv;A042pqWemZ^OuVI%tPrHEVvy--7ZGt52Gb2LF5ng zn(w35OVsgY_5)?xL!)DprARSkW`lA^L*+yhBGuUy9Gi{@Uw)rgwtX*3 zfJH}=lx4K!)tj7Uyaahvo*`Me=)80BO4%J8HDQbp6f84=akvwrq(T3mx*& zXJom%G5WtaC>qc^vPQi$*fcSZ>Ebl8R^+>hg3p+8pDLox3UJ_7w2*(UbxR7<(He@I z2g$adMiG+&Ms2ALEz9hSXv8W?psR))U3jo*)8jjm-2^=1w6uODyV=0sN4&AAjT_CE zyYM6rDEfs?9w|G8Ji2qU;f)9QcEyH7{EzevL5cY9l*}9-3zDVS5-S8+Y= zc4yNZgXdNHzG*@}!k{S~l|`!4CLNtc%OOolGgczBk;F(n;pO5`j|9w96=U(}Wb3Yh zLUOuGOGjEUTsApSi$U6VPk#*3`jZ3WYA}+1bec1@Q5Z9?`$RcWAF{XlydJ>6egVb8 zUHbmJh*D4Yf-!}?u6_}xii(c+tos3h_kCzqu;^4Tsg-d82kR&S{yr+ID}vz$wv1`9 z6J%%0-(aiE*)%(6t%b0fu60z#BOIHt*&Hp^I{~!i$m$5`FE$cw6L zC2Q?#d`FVM2h*<-g@&MDKG9r9SMsxe>`(}c&Kq$C*EsAs9WV9XU zohPA9LqvzbXhGgrg}h&UWt;2q8=4vz@DJWInM5a556gBMHm%b#_s_R=Tji>fc+S1R zXXw9$YBoef#wOjr#}POab&5rx_a5wx=mU{(DdUOtvYE96mWGU=RjSk+4IBi7ZKMcW3ag%J;x8!MpAmh1A6@7~7ewtr_LYGwMQH5W|bKd*T& z(fu6^-CWnM*;adR$HVYeyS5Mpzr}8=w^R!8@$`}#D7p7bbsh}W{+je;@G>6Cv z_srgSfET_at+|~NwVkl68hA<-;Y5t?$Z@oN5!KgwX03XmBLCN%hyfjZS1eI`C8{rx zwx495&|*SFK-|Go1?UgQEaAPb#k_6@;hz@I1(YJTUc&bLZ4n}shLF%oGBiXzaUNV^ z)78b&2W_)bvePA9vox}$4Nd3PU?^cc>wW}3D4tW*z#OR{*3uvvslY+vBzXqkOl-y} zYFNACF*w9S^Hv;wgrM~6vT>)4-kWwGvSx$tF*o%pCTEtp@rD{ajUKez7ONP)qiGs9 z%eGLFP>DnJrQcSeC4-+9JYcIy6~Q_ek+;KG(bf>sP-TgBs0}s1spP3Gt_X3fI&cI{ zam+?sfTnn6S4lw3S9v@kT{!yIqj7}H_2s?{t;?aCI1A3viQIQ8&Si;9xaRTc_& zTu}nIUPJyU@Gf9pBY+Ldo9t3P<56*4iOfVd*+4MRT-cy>2!qR=sT%% zp=&yaautGr=R&v&cc|^GOG7e&z$o^!XPc!)Ya!GY*6(xR?)?CAZ1Ln;H#slY+B7Rc z^uf6!x~iM#jZgt`6GEE`aMvB)&pUr>;+N{{TCAogjt!71KSeU@34VhA2R2@}#xL0x zCEE6e#~`ptiioVrM+TA9MW_!U5;sL~3RsGjsx?tKuOpQ1AkQ_M)Q8Yik!jq#Q`BrI zr~Rr1#6n42qhouBl|2#%j(}ZWb$F~BaPm-b?MVk@$ZEJyN)F#@UD$33!>l9jhSO

    cT;c8UwTSHi6R=tW3 zRwUfyDnG$v@SY~r{ka`rXI&P80XWKMLYo10_|F8|9r}GEfO|nI^Kn5!O)%B!SLtL+ zRjOBQT!kia46d}Ff?Q{|#v6R_9Y7eG zc-dKph6u(s$`0g6>Gr7f8EW2A!~5w$GjY$%xa^jfY&Xk|g)4LhbSr!^LtY$^)^Lf9 zjSC{)SLJ^Kp|j6gq9GD3*Q)iAEnYCgM*<#>&QaT~(zmmC;Rr9S-9Z@N==nek9$#H> zZcOAp({PS$>VWjs0b|m(+S{nv2G2Dgd{`b|H(}g0`WCo<+JU&W#R;p~9OsR+oU5Ar#QQuJt0PXUHZ0+J(wd=! zj-0a*nIK2@*;PG|BX_MVfWH?G@GZI>UO4NPg>i&{pI*|p4gltt1fxCRtXu4L)fg89 z19xYwJD>@wJAVs?e#2R}(-72j&egb==zHOYL&I3c%%S(5>CLbLk<<$bYhBeY=+7PX zQ6MaODqoe7@z{7zB9ziMZ?__L`L)m!hhrlw-YZ`fqKOVrWBBrrY7iilpz7eokJ!j- z-j_QM%Xq-}WZma^p_i}Tg00@Ghwr;=T00U}Y{M7G;cfIu7RW7~_EEjhq^9>?Ngyhw z_Z}+GDBjk1e_F!e4Q*B75brzXCP#C^*YM!LwFkh~4sh3uA^?2ttQfr4dNX|CFY(3W z{&!fy13K?%K3=1~XTXz0`Vgfw_QZg0c*~st07vL|Nc4?JtrqTJzduc-|2to7xHv-y-=QaNhkIs%%4M@W7ixG|saBh+qS0X3w+TGJ5fOkoKh!d_1YpfGfksm% zPGu9By-ID5M$yi@HO+;Yei3Yy0d9Cio!k}%@bceE`CyYMw)xT>Ws0h`qHR{d-**tW zIqAXuwwqPkG6m&<-$Dv1r^{hcrHG*Iq^QIAG#(b-vG;jP=Y)#{r4`}ES!7G)uAKNz z>c=OXX~u=^v3%4S&2fZE?2bpXQfc<1P(mfP{nY}HQd0(3mSrBZcj)nALV0!=0m2E^ zdYX;;ar=3i#p;RaT$)2(bx{~EH@QY0;eBycwN_jk!eXwfb51Z<32v4XxvfyaHf+UX zWeAFco<=<4*UD);9DV!MMFVx`XtE_kP3N*WEnLGs_BBln*FIOGng|9|`|jdxxw+;) z5g`?^`P67ydNmoi_N@CEnV@R6T9tt&gp+bsZ7bA;a#clbr^0quY;OEAW}o;t&E)ch zFhwcdk{Dx?hHJT{!TygF4&Hg&ntO%SM_~?9TyPA z^%0x^GkGYyR)hB<(tcLx69m5LB)kiO+qQLDLVZcJ?*#}q#L9zs5D8~(cSA@d>im6_ z%QD}JMjypu+y%fbA~FCZUi+hd)e7Wc@BYwD(0SFiY(@FE5Jd#;KWbM$f<2l*In6>3 zT34|S{33k~i)d)m|0fXvCk-^ss}Kz?iN3Fz3(D{;1j~gx7Vl3Z>AZ&!!1{d^nzu;s zH9AlV+)l@}c+IPb>vGH35NPwcHoVhnvj=Fi-Z56~s@Y~uK&6jAML@Q%W3i$PwAqkR zLg&2|x_SAH)8BYaN$V<~i&Ol6ujY{N8_`^_htI_aD2-Z$ZG6ZyYGswyRa@}A8*b&b zVZ#wGFQgA0Fn#$~?`k;6lN|twspfN2_zwK#PKmy11a3x++Dwfmg}w`tyFlY26AX$f zqiSPFg>6wtm;KrT=ufkw_3}uwgK}*Rnn_&93u>iag>lurZFa~@)xkXoy|$d27Ch6u zQMgSizxi|9_`O{-9e5BxoKlAlgFchR3v~EMJq>S z+yNzu1&!fad08WlAu_{noolbzE%ggVpiW0Q(@v5XrQxxlH~zPOMZH#vAEK7jE?eI6 zULv;~-wdB0wgMmIgCUs@eLW=4(CID5hgUsb$JY!xjeK0b6Kn%!@u2UTxkrTfPf4*) z5 zR@H51a$hE|?(|-&gr?UZnI{O%Rfl6GQ2xJ6kkh$)JVwa#PkC8wqV}q49b+FK2l0i9 zT7fDEUTCer`Pb@F6Q3sz1KNSWgO%Tp9MgUkf`nZv)w@)zMtvLqhvZ1_0X*n}tJWkx zoIMyZXRUBX-x$FMAT)C}LVOtTh^gkgvr4D;?0BzPPT`1SC07&kn ztI>heX|cvl@4YtMq1VT+nM_~ur9(40;=#Vt54RKGkLPMv!3&*6eUS*5Cm@Xyf!q8@UGTsN@i)d% z1G#0}))Wg(*lfd3m2$$Sl^&`ZZ4%iw6)0fStZO+f?LqH3O}v0XI0^^D@@?XpvRt5SQ~BvDLv$QF{szvji3S&?(c}gd!j`K z@C3MQYwOzzz<1mLx{fW%ee;`LybgV6N~7~{;frzE zAZB)$lYSU&dw_sPC!V-QYuj?3>c(jwh&m~A>R*UDDV4WpX#;Zf2aF~mw4IzzGrhSz z4OY`{#?LOeoI3_#u6d_5#7^N$Q~4t7B4MdKi*fcZ{UFu8`$a2_zaNMy$?i3S{!cCo zVZMpN+ytek7{(33?N>0(-ZS#;oa-ljT83N}2({4Vg(kS#jmCv=wAumRq7zEvlHfQV zfPaaVc2ocatlb~hlgPEIoaKukw;|etZbvy5>>r=21uaDrxHBGAgO+!Ql|~m16eoq-F5-(Cc+UO!vmWElv!UxA ziiF(hQ70XA-T;%-RmLTEUTs_$`s6$;Vt@;46=z_OgmPGEsZk9&1kkS3xequE!b6*1 zNO2w$F%U*hMVrS>bRH5h*hA7no7ed23|(EgYELeQwQ2<<4@lp-*^{f&=Da*crPbox z)_W}?PcCRD-a|b3!+-&b1{%-$Eoql6{mf3cLq$yEPB3B@jKhtQ#rq^@YT8!3Vk>82%qyFpVJPar8?B~TW2*dH zXl%BmwpHJ(B?IYYTvl%3j__&bGgbG$y`Fzk9X#C+hv(hb8$C}P-S2ERrli}mIlJP= zYEYuk0|)~s2N_>b?vgOw?(|BNF>mh(P`zI;u#Id((~71|tG}dD7{`7|Et$?Y>}8la zWRu5~p_cE7v%&f)Zq%y~{2TQJ{e%)UcPDxG0~6)0BaNBt;7I<6itONcB8`l!{SNmo z1@r5jh5{~THtGurHF8us){9Uv($iYLaIbcBKk5saRL|?spp~%fzuFg;APcV;;r^dX zK|H}Jrkl{azi({AkKBiXCq|}iy&L2&$Ok{v3ZSibC287IC|%O^sc#^(Zr8|%>Ng_2 z@i9dlnlgk= za`6_s0BdF7i!J!?{%Y`=(;tJ;FqtfH5 z7Z~zfgRdiMSZIrSpKA5#$qE00VfqKLZ6rtcjXk&c+o$*cUZ$;%9b)fO-Q;dL?(S0$ z>+g8Hx9zrE-0sk&o?9S?rGiTTAC80az6JTkza3fwyI)$o1bubuzCnF{L&>^Iwpw|8 z8x%2mCQu4|MKADQv$|pK`ud^!hH*OAXxARzCwNmlDCqeZ_C;{&xp{iu^^O7c#>r@M z=1nZg>A5nq=)t8eYhA;&8#ds})wqYYf#@QOShAjQ#hl4jKWv!_ohupcs zpx#c`^SFT2*ALPYV;;}$5wFO2<6{d&Yh$=axoq`W0R=rGZ$u;GO-naoIjDgnMd@i1R?Q-{arg5`?6i+niwa${Jx4C|PzOu&!>wIqhx0wi?76AC!r2 zyf0;tRwk^siS&hY9?9)piphbArNs-U#vwkz?G-dadsNNvMRab0C4&UO9vQ_OORW`k z!!R;Rvzl0z8oir)LG~w^N2mp^H2H&J{GFwb4%b@bU8+B_j$!@#_uBgLdHnQ;v!pq$ z-Gb?diYCtQntpSpAt&XN!JuBzm;(=;d?EXdL>)tnhGJ~Y8*+Stg@$3Q&l`fwAx{CD z1`P41vkfJS;Fiw${v0R$7d_x&+F8zwB}v|poIl~jamd?&ydeZo)HpO_UEYv%SKe}z zZ3$i)D1v683yl8VLc;G)uKw@DU!)uMXT#oA|Clo#CYQ&4Z%uy~N_|BpME8a5w?bOo z=&${E!&tAFrJs|;0js?ap*^s+ ze1{!?3@>zM1@8<^-M+Em=l^p03TOE02Ag&Q8MP=C`a;(o7NYjE4QBn0s&xexielU$ zOlDO02xEUwbCupq`sMvA+@{D!gxb~IUNuLRchCQjvpiYQjcbI1FLC8zwJ#yGmNqu; z+!!!p8X3QccZb1FNB)K(rsFwROoP|`(93Ov=GZO4@Lu~^p0==|k^YNWH#I2Buo~Ui zyDiy5!Mu#@%UCz{x>(90TE^Q4+{<9sUu{BY%-V_%kxUPrwtpPoh`40ZipRPZE==*}IMaWXW#Mb97@hqQKTh znlA?3fjF)>S2 z=w%ENV}mGW`9Jet zI@K&jy$)QF!7d(iqAdmcZOaqfuW6d~lE&TgmJ^2{j{xLzW z2yWH&5C17-T}eru99b?=_cCx>L#Kr&(yp$g-MaLr(4%dhr1{R@fBE6-hNdZ+8Z0;@ zPlzP~EU=82I^fs_z7^u}9HttC9v$Olk|mewVRq3!K}UQZNdG+Ps4-joeVU_)T1l4m z@4iPh{cZ%YwX-?F9i$ePJZMiT-V}9zaMRP-i>-uUPB0KLC~uLX|8;S9;-6=U;>GyIK)QGp5>S8qoQ^j%fS zo<~j+tig*I;_h=)G}D65(ik!+5%6_`-wN)o>bL}=1Ot`q1Upw^kxV5eRU;t}y?hx)* z8JZh>ImWJ~h2kSD6m3_|0&8pU5dOMxcX0pQJrEO{p24-Dku*vVm|)VkR*#?5cY^U>+L2bOyBE#I*=p zza_P>%fVEcNguUf=Pr_8woT-Ee>?F;vl0A86)>+b;eH@P6k=^nH*WW9m~^ojhYrHt z82zp4Bl&OZ_6+XZZskpk)aI)vdond>r*up+!mm324swUR(263O1D~jK(aM4?MgQhl zU@KyN@2N(6Taw)sfn`V)%?Lk3zR;jWg5AU&c z*-Yh-o`0JS9XrPnw~^%0MD+B_6-)P@!>xaVc_-V%IRsvRYvnbKagH4^pXu2^?%xpW zunHs|e5kcd_m5#}OoOC^4rjzK&OxZ%ECRbZRlVB^yKK4dUt?iwLTLPdmk-j;s42-Z zu<82_KNkyAOs~ATZH~VXgsUdnmOIbnCVGM9^FjBov0`-cpDLV3a}y7t1!}m2{|MSt zqxrN<8ifab|GHESPfW&V_NeNo43f%WY2PS!u7nMBYTKxAE`$wr>mbnp=iJ4Qd_-*u z`P+M;6Rtuh%$u9o1&;sG6Rw})tF;qW11}`^tZow46Cp+?9#G-DtR>!@@uXqW+7lsQ z^k7?2+bJe|b>9($yQpbg$ibNh@<_%s(xy~3{$=OO&f4c9EVGKM+9H`CML7?Rv{yNlMBbzk4U8nC|RK=ITU9a(RMXy%QHc&|tuFj@eom#nbwZ%Gh zMcTOF#VLZ7_gpz^Wjzr=cL}6MEa@_4-_1)3H{$OFp}+ZbWqN zoaQhXe)1bn9Ui))db13;9)91fS<3s<>)TNp(;f<%aatP-K~@veQ>ng&dNtlQ^)(2F z2NUJab>XnmR;uVshfH~r-cnKwe`EcN>>E(4_6=(78(^z;&FUmMI9hHSGcX!bpbEk~ zSN%40vu`S z^y`emIX0_?;m_T`+0f~h`f40&E8L6#z4peh9LXt6_g<6p+Tklq23##68(GjM96GJr z#uCt;Zp>tt1n*P@tYI*nIR3*D~yaI8&$9<-`8s988_h^S^ z5-W=&=G#ifIrUrw|CQ?gMy>Ivg`4qT8dC#$c7L*5j|pqWZ_rbZ_;No~S@2riNa0pD zUXW4AtGVIY1{6n$^Hj`KbS9{s>t*>jb06P3Bs$(+T$r(odzH_$G-*<~A35UswtS>d zB4{76QcUk&7}%W+_*1ICRo>Mtg7G)!e*BU$up(SLH}5XN%GhUMUH)77Rij5G{K6I6 zWrI-N$0J$XoMfl8k`j$l8^Oh#N}1{Mnf%U5iD_OJhx0P_QLRHvzDFdtd9pC^s;=uW zbPv>>dI&z%2~L0CBATFA{XB0N(QgZXLCd1gxuUu1<9K_RPfh5*l5k7)kX|Mxc6{xO1a#tkBb*zXCU57849VQ>9j5ILRWC6SnlDtA8d8ge$krVkVwqUuF7_&RUJ?45U|%IemLB@ z)kB;s!G`d2|NM=?;S8K1%x?>w4E+9uMY#oUb8A&34*xKn4C4ODMO{IyTh8z$je7q9 zPDQjG@5czqjE`cuTHW9OcBTYeZLS3^y~gzfJzQ=DovtnX>9{@aG%E`t@0{sep30=; z5KFtQvt9Vdf4=2ZvkKj(%e+%@Kws18tQ6LKadG1oX%IiUwrx8ws%ZB4M|?4{s8T_< zqZ)u@@Q<7W`D7i_R#*C<$;;Xh$xBTL3N^3_M4Fz^^=?=V9O@zsqp2!MwaOPk5x2G# zWTaYfR6+Wt`q_YX)9I}zaAUI*!<_BX)57l_`mJ9VtKUqxM`45oZO6v0r<2XYS_kPt z4}iD`i<)FE|n{S?EOkk%=Ai6Cr|7 ztn_~2#=*(hS`jILL8X47F(p-!O0GI%F?^=O1LD1*UU)CyH4*p4A*ZGojqL8GDUTY{ z!JH|X_Uy12vpu!InxcGy=NA3J_Hl>tR|;lI%4fT&gXW0ZR*$evV+(6NB#iM1{Z*3w z^~I#r-;JRgOg-B-jT~WmRb0O8-AxfQ4f;Xd_xIm4bt!V+RcZKP&*g8B3D{SyCgEB` zkWgNHXG%AT#1OZ1N(Ax(vM`e8Oc4dt6rXvs>7}nZm*3cKQ-0gYR5|gD3aSYG5cmW# z3FJjtgg6GKsf8~>yOgGWC1PIu<<^oJ z2mH%AP~_B^GILVZ#-WG-As+8hy@`)ihK5UY(m{Q|KUw}%UOguHC-XBcvDU}&9=U(7nMTjAM8dweenG{f z=lB7;@=9*hE)j0YJXvY6*-SG{m=O^cXZ{h@kwVfk_dC;d$Y6-2 zeM+r+bi85>vk)c7^s1BY>_K{_OwAu5tHk=_HI+}3C4jv02rKw|;)^r-!PmQ3ryH@J zY*@iVHOMl}G1fwui`)^9(L7&Roq8$%sqzS`63By}*#l&jsNACVbIPRh`_wX)2eMg; zv!;c?3E?m?8GmZAqsd*&__9xAd*m-t;z#=o->^Dme2Z=g!GTuFEShl6d(hs`1SlSK z)5QIw?=Ea6-9c$e0V?te{K@BGj&vGyqhrLdI_dGL z*Z*>UEX1lDShbcyoMe3RG@7h8@Q5!dwbZ#KIRtCnsF z0|TYWObC%YQ6Eh7KeV5Jv@yqz6KnbELx?- zzkJd_E5q5PYDRGwo9Z%+|A~SHBuuULXtt3xXZ+vPj~GtDSR(f30h$3+!)ta3F%5)c zpq1ME)=-!swo44cPL=U#SaV0)_wsE!OXNVdIIZ}Jb$CpQW-rCs5r5CZKGN;p$7KD- zRFVJ`V=szvTp?curN1=EQHV-+^j6|1`k?^F&_;DbQkQrbdqvw)jzAY{7)b}iQjSV@ zyrfg1B}r+no|Obtz}VU-5L;JIo82hR(0@d6-yy;<0H!3K_%2`3Z=E7}+{NtRfgfqn zp6#AO*nh-yyXLp4t?w0(ya@f-Vpj$A-D4d?ZjEO zSPJ(IGOfUzcaA-1FK5Q7MI>_opY$&5@~576BxXGNrH0nb)o9q$tAtwL85z9Q(W}%vO3bfKj&ULf;L29gJccXIz9J<&z1F_ONLFMW?vc0GK|uUOCTAAf?~u`}Eu+YXp*ql|ehE z6b%`FZvIyj)Q|PNnQeo9m9>z1_;%u97_yF|nPgUKB6FMk43wrq%Nc8S5lylZ;*?UHjxZjFY47;8eoC9T ze|UmEJdXH({djUv=Z`f^4#aCw$$Dr#P$b-V)xqZvqsXP3b(=yA&jIU{N9TpsLcFCf zF#FWXE>JyU^HQDBEnVm7LdyDmb_1S2^%0Y0I>8!{ACcb==2w3ZNZpvLZzJ~jn%CgW z_((k?7_!&@2-VU4hQuf5fmdn;M-irSL$|;XGSpwUAKUH)HxS$9sIbb(FBFaMrgo6`I?&czt+Tlfo;SoIBh1$KT%4u z)}VbP#o8UtD=g40f`>ZfRSdFD92d8pmykWBYyh_r_RMpLxkuhgw!Sh8Px!gd!{o;! zmSU7~W-TJ`Kqh{l>+vexOd%2i((|B;wJV%pxP7;gQnYdD3^s6#GyV~qeY>gssSlHY zf8t^HxRbf)3>6w>92=Xxhw5Yr*HC!I2#v|p9G<%A^7e!?usCHO;UCOGI*|1^EENi| znys7srEhqvx}lSS*C&{NVVZFuU8`HnKVr2Sf^+~{>5RdXn$a4cn)``_AiqMTOWZfv zn@icf%H1I%PrgicmZ+MNBE>3tBAt;UIRhD64)AkYFG`A~U~*dRV~uh5igO zbT#dX`Sigt-7#8IdIl4-jM=<2*iCz@s&~tohFG)A8j30%x9|f4oPbwHP7a*`}@*#bx_Z8{S=%_!iy-hj|8XE+W z0=!~5WwFfsX&0N3+O1F~l46=?AdQIwRD>FVIFBkGBtyIdFjBgc*Xxq_HKn=rqP9sCz*T+MHj#b4igY6606tjAsFOoXsg=Yc_62BnL;@%LjXVh+1ZO>PN6Z|2Bo%TuGGBupF@MmNi z%PJ~e%W#FEkg^ktxf~TQ zz$cCU-cW0za)(#X`#?Y2O^c$FCkozCA73W%MBS3zvnfcc$S(x>Y>*<(7I5xShoNO@z{X#np zCaO3F;W_*4oV+oaVh}J&Cf1NS9X~{^;D{_sLn)_N@>+Rx>bJEojsbu}!)z2WpZXKM z*g2CPu#eFb3v^Uav3++1Pd*J%E#caE5Q0G}<|hsrG~Qj;3iR2aWA$xMk{UWL<9ul|*D=ZVR7aO^EEm~&@|ab$-| zwtpT9*hv{rKYu@!5f1b5n9K=Me|^9(LNR4mq(&$IjkhKlt@WX3Fp2h0lMg3hvpj_N z9J}6!N$9JoK_(C3Igiujbb)p`Bvn-e=B){H(-|U$RpjvcJ|@K zb8cQZqM@H;UolVw6%u}%*-{?NrvKq78#+t+N3S*Jah4Yxfg-ly7#uOkYPd|31%n=E z5~=LPtTlDvSlglogsB|JaWX|@i+SeD%$WgQq}VTKQ5TuM z&OY~>Q0JnFL1J_=hWNv3A%DyH_#-w{Au*hhD`F5=^o5aH%@ls$H`8k@gxD=Rnfz#Dtcu$ybk1?stc_nP0@PsPJ=-MK$pNa9IO;~x8M%Xb zb0dU!b&xmg?8i;F+y?OgH=s7xoTBq(OFI%us@N(4Dth>@86O?=oH@OF&Sw8{s$3z% zb8to;-+~*$?-QBe`@Ps8D;!xXG_zzFKLzPIY&v4Y9~;wGb@W#bK4BpsM^dNd|0hU) zhH7O?0VewVXvhexF6yVKo#I+V4g$VWFS;G=0~GftH>4c_mrs1e1F9xJvUWc0WGSwO z67Y?4XqNJ;{WQxOk$a|;A2GwHIK!q9r!mE+zvIu$Phum+{bS56t(b)Yh+cR;+yQ+u z{?l2Tc-7IV{UZg`uJC|a0qJh$JEM5)?o<&CVxHX+T4u}S=KNIc9|!Dpa`)NM4Xk=B7??(A zw$X%G!tD+Dq5fXU^(gKf5riv3M=ycb9}lTsn8yt`Y7+7JI$$s;oB4Mk2$~#u!75&&#qETbLTJBe^pRN!t6H_KaX4i zGLt#8n1*dK>0UPeVY!5DGO?~<2v+dxPV0OUqu^nZ9*}IezAO&b0rh~+HLobM0tK)5 z+wyo9`-FOwdAfL;Ss|A)H{y}PfL+y8aPfruAvp7xa0C_Ln;W}n^yY4stAg=*>^)su z%@0upDqy~2#{1a4dS$OVnXcVsx`phLO&4Ok`p4nrNWl;Fk5d~w@z8po-4}4P5%IG{ zdzVrF(y)u_A`S0I(W{F>HbzB*TL)V&(kOW_w4pnHrmZ;FDc21u$vq{`$m-09Uyr!T&tMm4ES59D8?} zd_J3QW)MsQSUn4$F(^nocixq6%ATvr?iqiHrnU>FF~8Lj;EHzymh75@pDDh&zaf~T z=(tjE^q|2+iWrC!RA_9$*B{wMIxevvrP3#6&cK9W_B_&VyQR4GkKJn1IY=)DL{IDB zCm9bb8$;rP!uDHE;rKv68a^BK9(Fow{syWG@myjXZ30X&W+5|9-3v_dW;s9|rHIO9 z9q$Rszowc4D9J}yrmtq*3FloCl<{l;3Ts|z%K@34U}Tj0v%SWdKvj%c-dSck@nKPg z&k)MXs6`oByaqrm7YEr?z!z=gRdHtN%3ea^r@PvW7I-!R#BSkdfY=}WrFZ0&FGA$w ziQ;jnzlt*g{y!eMZ|PXFH*{99q#J%@7gPazo;{uOH8RCZi*4{mHjmWl0{o`nlS?e# zA6X#?{~kV>Ii71HL%ggQBo&RFv6(kv%vHv_0)m|1ml4BSqOJTD4ix; zAwy||O*4fI@2{s1b$k~v=^X+@BkK8%m(fn$Pjp`GNahN zsL7@-+!&3$vg8ZRzFdyZN!I2lY z{3q;ID)U?BWx8N8F-R7phthV!kL(g=Wa5N66rIR+>ML!)31l{~(&*+5XjLBIERj}- zExy5B$d9lq3SxURPbldNz4=l(OLAANE2Q|+%&08VIP%E{V0lyPp@rMWKi!N@C{4g_ z#XYeB?zEb}3YRk~-~!x-kt^b)^CMg#8iBG_r&%25Zw^0e@J4^);YOe`tX^3A%4Hw& z9Zr3N58?M-x_la?;0Ws4DyR{ot!GXIRjtvVQQu$|$^q;&X7{if7z(U3pw>3zK?xCE zF{O3&`X;xX=$zr48mna7%9!qQ%S$+r`OV&0|DvxBd44RV^R!((wHJ$^V|2omSeous zwB<+9b*ILddxuXdHRX&VP~U^e8U;e$VBgFN3*xj=@BX-)p4IDM^20Hun6g&vAo`S# zlPg%IpH|){Bc)fFjNd5lxHAAq*@|umpH;$t0J_2lKeBr!&do-^NsR*U)UeoL;WOx4 zIVLXFI|8#}Gs~y6u;$+pZ)s%{0DD_VkzRs^?4HQG>pLAl!>S5YYK!46#x0{y53Jo_ zQOra4TvHmU{-f(X5p@L$F;;C;&c42@YFC>E z-VLu5U+unCZnYvN$;ZGIq{hr|xIxOM#&*>IAO)8tB)kPbpg=UUs<9Luuo!p|p3L0V z+ju#ZCU`3`de6G}nHA+SZ%r6YDXm1zucD75G3m-nlp_y3lNAo1UhXhWfAXG}wP=w= z0!u!td`l&&(pfB_x|4>lTKfoMJTs1+_;~PqF83Ba^*Y7BNov}k(Z6ngz1F3kv*LX@ zB=f`}-qD$tF=Bc6?&gH`qPy0VVr}8XeC-j-)7G37oy%;2f;BHHDa9DSeAB&MTS-5y z_&TBW!N5ZWPs))a0Ui=}zW2H5)U3-7C)Ho0qB2cig*;7WWOkM}e=6C$bMnCS-!q<2 zZSYqDzrJuPk|JKPji)?Hj3gO2JBE^Dn09D8~A0hlT@%@`Bnz{~y`ld_J@EzrF96 zyK7ZK=x?jc9x9i$-m})Auv;)QH-;rPJ*~b)n8e9NRB=0f_1qB>3Z~qqc9c-SMOb^q zQ6pi=O%lkE+Jx-8ifb{In^X3(0>Nx%NK!V{jEE{4Y~|lv;xc##U)}appag5MS^9%v zo)RIE;6A>-)MsxMAyFsu3*mDSBW$8GR5m^oktU5-$)`UuhqW4t`TUm<+jI>{O7QK7 zjDS9H`pQ);Bpw{FO^uwom(5(f1>}i%^Tq6!S~u}f8$}f)Ev0^Za+7BDEk+Ej;#w5n z?LzuLoy;B`s+*c3T){4&!^fqOvG>U(TiUu4nd9eqwtdjUY{jcA22=t-(U9(xgC{q|3vVLn6iK&gPn83@g2s z*2$;Oe;3O7aLs*l$zV|wV&xRvn~aOz=H?-eIdr%S46aXY{tS%X;OkqV8zu@a+ z`KoW;&|N8X<2Pu@3AGn=d&yIjR*@FuSnnX_kA4DjOnOqH=kEmhl8{ZOa?ZOA_{(qveV0TUkRqd4lrN?vfEB zTLP3lSxJhC6yLi03z$7v{Y3v$K27i>ZeSXSdnhK(w{cp+!mL}vey)ty$C8@J&*tNN zgx3{kd1R~SbOxrF{r<8o6{q?to{GvMMT}KXA`ho@DNWh=dc2-kEU&DO_vG#;#OxN_3qN2oKcJ#b^G z`SNT!)2VRti>b52nFB-LZcB;G&76FhrL?u!MqSy+!#|`cJ1t3ChZ)iC zPBw4VW=tziClaEJwGQiaQg*KQ2?rLI9Vf=TuB1B8Tv(b~TTgCes&o$$jbP+BHRF;{ z7#9rWD?d(TLl#oichWz3cXX}?F z2+kujk+?n%dfvkH#|SOxtBRCYWbtXm91u>r0J*mu5U%mBQf@6-{8_baQW$kJ_fd~p zXVy&qSN(jn@v)7?)L|SHJw!#0PQTl?wx2b45t8ovce~#+~*F z+A^o8vYd0@R*huR^ky@uobY$`na8WFwK1KlX-gr`|J<~)HX&JR$6zb1y42GO%0;Fm zs55)>%ioFi1#-Ca44mj2U>m;=@pys%bMBpMrndCdi)h>^33D;!RW+NiGgb2`i0U-r z5iH{lyt{zM`WhuShPpnSs@2WpuPcw4pybkC-WvmFv1~^1?z2CruGd39(uNOmByw1V zoD@9>jN_|-cetpMVE9NnE$c-Bee>()Zq@Pz*7H^H(~cceb;lSUiL8%&X>1+7IC{cH z3_9@NGCOgqKiKb?+S8dW94qhOXvb3(9BXZVF5w8Y8&XpK%r>3acx@cn;B$g&IKSFV z>7+PwZs#LZ4<7gQkUcyOZ^()sK{5HfFw}01Dqmw6wwIP0*Ya9iHPW$}PHwy>i7~KF zW8jb~Iok(^8T`Lh-ZyEI)Ltfj_@&lv%wg}V`TF`#cwkrlDViIqL&BjPkxUr^#_h9e89B z%o9%!8g_ct>pKwNZ)`i0teUO~3l4^7I`5bFFq`aMZ8#s4%pPC1CL!m7b@Ufg*_!Z; z=OZPO8@so=q-CRKC^?M$%({_e$bw{*2PYqLEE}AZ%#=yh>%!HuHd9ZREtlO%5_~3G z+1ul&c%w|kIW;5_8YwsWhy8bUD#y=MB>$;C>*;gj@WH+CJAZR?N&7IIPsu)kl;bpr zhGj}|PLVfzlMp(8Mnf}`MRnd4LSeV$NuR&RR-z)NeNvwt z@7Qrv@p)Ss8iAMOG>lxC`nq|JI?jk-51?vu>C|$lk=WvBj*%pg6=*PA6=CraV3Oq)0(FoZVQLJ@q&_7;7Nz z*QDr4lWVosTDOG#!co=7DK+`EQZ6YFcXRit$XL$#e$1-ZpVTq=oYXk^45T?r-96tR zuTSpM`XYP&{!9$};+fx}^!d&S)#1yBM;m;8`_E52r(a2Yl6Uf1?-KQo&v53xdpsmM zb;v6Jhspm)Rq4Cz)G6z$@3MY}s@_q=O`|OJEvD&{t#ARVet$8lKmO(%KZvcGD#Wij z@6IzGyX+HAWY60wjg(>=K4Z<(XjtnSnUMacpO%R?G?cGgrMh(K(#=bbZzr&=_qzDNfbj1`vW?)&=tEpJTt!Vgt(Ol^(RrNB-jF?Q_VnK#sGMc1 zwKVZw$r8;zIypWeRu@jEoLuMK-60m3j(DEod`ymxZZx0>XX@vh_xw#8dYx|gl;-jw zKM-qE&gW=Ir%jG?14#pDj&Jyg-rub`e?U5Y)TU13nn9@FJ~8zJCxr<9J=}W-w|8!n zo}HVvl_EcBa2bH|_TV0Si)+4)|)Nnq`;=fau;_H8FwlLafbIU5FM_}ht!^VGc z{#~64=j2nqt4Z>*z6UocEs1H$K8(fP!pzBE4={yNv=s_)BVJ2qVSokgGD9aiiADQx;d9CCSd=D*x z-8wD$Mg0yE4x&%{=MLREUpWa#1c)!ji_#|H=m$1bpIgCO+`S@y!TXOsoZ{`SPfpD@ zL%i$SLTGo9ku-u;>#8Q5f5Sv?!B$s{b90!9hjD?=6(;=Qv)YB?vYV`Yi>Iv#wXr)0 zr|mc0>a}gliB@q|e3tt)E`kYf8XH9Rn(T(eWt+JpQ(U6E1n&;@4AiM7urP6Np$0Pb zoY9oKz5|&nREUtb|A^TfgEqesvY+O>cZ%msx?X2f@lWVbRXbHlR_QOHB9nPVe{)m#&Xy~O9#P~F+)LY|cM}{V>=lVCtj*DoSjVB! zi1!03(NT%p7XAM5ZCl;fR0Qd2-5w06q9{M;37?GbpTv$5wQ zu4WxA{ufK0Xkn1J{iIZ-Qd`FBC-T&ySZAuobIW~aLUJX@eEwx&CUJI@bx28#A!YtF zJ3)nzf2AnIh+uv{B5!r2Kjg#7A|CxlGd2=dy=(@Cx2Uk&_DvIV9pXN!QN|v^dnTI(<9>^Y286NSRqxBsewz7W)uCb|3_e}HP zn`h}*m8ZkYuN~~%jy!O3S|Hxm-gI)hw|QIp)X6E3)TFw6aFx(-aDcWaKsyqxnIgo}W*7av}V)e7;Q3`oO;EXFGZY2KIhLiJtNwky^r z3q={fuBdS#id)9)X!=XFthwDc8}flMEK-U{q#(#zC|P&JSMcx@!ES}KE&G8tcf%uZ zkrU))79*}rZC)Ok^Kt8XD!BeBGS11d>NRmRdtmF6br;VG^N~^T&_r=1y2-ZdOWm#} zYd&%w-xq&(DdUaI%)t7`I^@7JeRDKs^OKuuyu7p(*KNJmCESbR34Ex^U-MNiogzI* zHLp#lvQI4mR}RQZRldt5laD9`>9=3;$hIjO8M&tjb>t;8v1yM*m-T0juQA zvhIQ%>P@kl%L+XO9n{-mzE|5u2NyrMc$7;rZnX%i#?)n}HVdkzNHc`)rb;t>*u8?1 za4!z0E!KT>z$>VF3K{>zKT*qKxS}h2czBl8z~sxAXr`4nZx^vk*I_wU>UB3l)bGaj zN2y6FjC$DQODDz@?7d`1&K9`G#Mcoj^XK*#g9C} zGgc0YwI6I5Qn34~q7HMBW*Kb>a}hcUzBE1jb#r6pEcrAnSEdrS8BgjxBMwGzQ;Jgv z*&Vg*@|Nv56UF*{y_qD)bAc(zwisyJlwy6;iybwvKoBK)^TV>2DmpxA$N^zi&vdc&SxKf#PNf?(5a}Yc9;c@86(TyxDqJfr7-f z|Le!JTU~tdo0Tbkn@9Z(r-yZ>epOhjPvJH$&!A!*MkT$gOgv|wh$gd@O)+woaUwZV zj<=k|7Oq&v@q#Rm6LRUyoOneIxkgOZW<2p<#*#O6$Z!$=S*3uL(BH?^;!Y`JXXLtO zdq-GC+(Y;i17Hq}IaJwTeL>C&;~7Do^ma;7#=WF2^1qO2ex%NJuIcH%ucb?@s7jhb zy8(uRYwuVV#rv0Adj+dd`7d#MS*K2$F}Lq8w&nKO0~F&=ORK3%seeHxa zEO9;b%GDMQF%9RE4*{Q+;@wG6`7KUuCc@RFIb^l}1cM2ys&Hg!&SE#CubiZ*A3pc| zd-kv6(bIo8|Kq&~p#N8GvRjo@p3Fw_$s8aY(WB-ICMU}oroLtKbqD@db+5#lcYBiK zZS#z~g)8$@a_UYpJf9an%?M{OHLcz4gD%SE!HLz2-|?3h<6Ff^NR#b!Y`M(~f^}m} zM~osfiyqTE<>N0GUne1L+$y1>{yerLgdQWkM}56Q9}#O+Ex2>gEM+G|Ei`Pe9m}Lw zhwojjBiU)$f(cQ7rg0-7eeA0sgcg>_=P&3(23~t_tfO~x5ov6e7Hd`6SVeZFoBLfI zV?Xrx=!MzZo**V|xWgxr7RB%#z9#q_;ge?_8h$VE+Fy9xbA(vouq|XYrl9C`r=TI| zxd31EJdlL+w^WEQ8X0X1SyIkwdKy=vQ2fnCcHBtXk3b8~O?NUuLC;qh%zE=7IvHZ3e?_8@Dcr@pA4~EX#gN zo?IH9KZe4Jy7Q#f6*J=%1(TDtyN6#|Ng|nZ+w#NA_=uP3WNJR zf5!!G!hQ7-(BW+OZk`-M?|>JRR&NrxWs+fvh+Sg9m@#bFK$e=WV9b(nfD0+?p{tul zfbUHSpxG!qf6qOVxgFC)Fz~Awvojq#NO%wxCXLSqCTA)@guE20G2Eh6n1!44DQZF>j z(*Pl6QYuKgfV#{Bn{)et?~UmonX`)%!31*iuTv-NvCN&wx)L!b%hwj`=~@n#k0cJr z^PBMf%OCv- zjc}Raz@XU=nhSW%>HlaL@t&(Z8?E`8-DMIAJICvSu3Q^`c&PTANh-epXv z+QleGazZRQh_TAqA6q#ap4eV0*7FZSDoJ)g{tHp^$3o1qa@<0!P$b6~jUcS^LUT@i zeF^{hi8-Vb^%xl64Hc9Y?Kt~$8wZn;|3a2`SR6q8`YpWfch}hQ;`-uic%d-?txi8s z*|daCfk*;k(YmXR0euZPaMAAV+_Gs3C{C{4yc4Q^tW5*X zRD<$Dm$_yC3{cKj<+9r_jL9IXgZol8tvJbVH4nHHG&3+w(VJwElkYW0ZM~C`qUyo( zNG#Spt|TRkf5T`P%f!~h|7fVE@XWRkmBMq@9AY~0`OwX9$R8bCZgs^n_SL@qUr6NI z*Qn{gv1UV~r6W#Z(jjMyDD#D3Y0Fp&6g7%{r`6!#<4DEWBd!K25uE)&Ydhb&E`Ai= zg18~i1Y6g)M@>AE)G;ho@UXAXXB;h#Gd^ey>&in3owO-5ocY-ESjL%fog7{c%O zSjGEs5rxBRi7IZ>4;aJ-Ld7xzEaR=nR{qAZo{sOkx2jOid?8D+NvH2O6YM16lGf?g zOPG}^K{zPwwuY5+G(VCY%7%pJof=F-N`C{A8bxtbUgr5V66T^v^99`06;Y{yiSTgotow;8!wx~4hEh29v6A!FsS=ATU+NGY z*B?rncU*sPG7^3J`F=GI-3R-5&)U+oR{U6rSu1O3hu_gnrR~9JA5;*tKQm^H`@Sd>bGQmz`!|0_^xr-0Viw^-)0(VcTHOT5G@^tKQ zw?!`i&C#(f)lp=DqwWzD*YWsyz{KX3wwUmcw7ZPBz^`jx&*ApFYOc`Zx7Seq+uy+5 z+UH{vId_T_FGgC1lbq$m2Gnayn$Vs#=RIGw8c#^~JKfx_!hcwbw+!66O$dR_B@}gc zrZAaI{ekX}W)%ObUaY`t;CwM=yyU{v0>h!!-0lU)g5siT*0G+rqYShc#%uy+MGejz z4@;EZuh&dYgEv%LUZ~aE0EJ0~(Izq0kh+3DP;cWL_^w-c<6#KoL>PSx11Zc7vIoF@ zFKHP1ujU8;`Q>Ld0H=8Yf>#0^NoE_`@HiXZ>86L!3t}T7y}1p)dv5MD0wtrddvL<< zr&g-CoW8W@>!$i^7{e{%)y3FHGbz6kJ(y1^W8K0&`d=RS_u`O^-{F7RnTxD+7_ zWw-H%VT6#wEr+zt$4NoQeEe;WQs(bDdaD}>r!Q--HXbE!nTay~{8jc(s+FdN;5KJQ z+9^oGxF$LM?CMv^`cg`If#ys-y{S>fa=(4Alq-eiLzo_efTQ;N&lI2Q3>K=tf!e#F#JWF#WCU(=96TS8DqeBU!} z$w3j)V5|J*uxqQWnC7T5Z+_YP1qGM)aB_16Zl7C6NUABix#I|m5^+W=7Flh%$_ysU z-zd#nJ6uUG@-d_cyf+@9_k+ZcLiv@Qvg<2*#YE{F7auKnwgtiYmF%|YCM+-LQ!4=w z@^&oZTb;j;>zdPR!gz$(@h9M%D17v0b4k@Rq`09}#?trYr6I-bwi1vzb!nrSw$ip& zev@oyuh{7}4#-d88XAv)`u&P-+C5SRN^ZV2Y11?Naih}8 z<>_>#Ro}n6<4slUW>bp1pLv4i6+yd1;R@#C6d4%~!l|lgbpa|qV@QL8PP}HDDpa*}{nM9iG(4UvM_v*^_Dc91eedNl`%T@{W3U>s? z=TTSm2SzP3M2mP8#SSf3?RmhpN)?#n8X%U{TFk#SM`-xvm_tfxnq%45VcHBseqFv-!4FZd{% zn6poIE*cj~hsv|MYb8>pL#Buxp#`0Nc^4h(96O90%#h&xV$OYX z^$T9Eyzc=f=pQ^ti1CLNeZSW?6L2NXd+?%AHAu*oP&yoDBU|d!8D#s1XsJGDZeo!o zz5hPS*s14@#m>5Zo_(=&NK}52RdIp+ zkQZg>|Mqj(?^)uB`kKCd!e+yG$#O3YMa`fD1T2jnWH`$}rfZ*DqO4hvj+HDjixKSO+cotUt1I*Z5ewo60tVftH!sHDJ1Gr{iLAb(YXahJvw+6;6j-uuo zx9!>9alObm^rZhBPaf5v#^n4UjFyPWMy~6>{CY8wdlwV=x@Y^e%t}-KyOoHFWyN@M zbEn#PY3wKxMJ-rk+w;a!bba)%O?FSa+L8N;|H+&0KFQKu5bwpJJ*k~v?W)AkY0c{8 zNOIYuUBWc%B&-{0IdZ*0biX-ar<0P6z3}N$+>*$lF2b~2yyqFW>pMgZ#`OcD#(3uH zf*QmC%0{(jkxvWklDZP z8gL2rP}%h|6;1pv`_k@9P5!`rPs76BmwuhWAXlX_f8YN#7uIVKhg=N3E}lkx+x2OT zP^)M|q5h^Y>G@v2dOqKWM!8PO6OIOvAH(hS=c7vm-{Yem6Cd0k?g0Y!AjM*1WM`zu zN6>sB-&Aw!w2;QxbEm85_Rc)&eTrYOG%?}ohnTu`jI;-%1&&cNyxc5#oX@%Tw*OIw z@UL74uU%Q4`(k^_Ye&;ecM=_OjM^O)X5rr*ie_B26W)+aCwuGpp{zc0M5OrFTlcw_ z_8pIU7q&|9oF1~6XH6;kahYa$Mx+@2@n$>9_mQlNJk_bMyC|N1LSSx)B7D>BPtlfG zNSZ%LPuf%4M)mQ6ir2<<^E3CS^|=k(KsgEfY{gxb4pfq07c9JNP|@#f zOCmeRJM%2I$D{RxC)sX0Hf1Bps>L!676d)}W$C*Z9%-le1rzDwUkxg3FTh+ow7!(x8!=QvJnH9JHdM z`2kY}w9s*F9Wgq*mT;rUSCnB}N)WZKO#(%6%N8>|d|e!_zyByR2bpEj1bf(X_kHZD z1S;MXo8X|n6XLqFwsMEwBjc>7zb4MI#`hV!N7;DtBF%ybzk_f0_D27~6p?1*@~}lA zvxpxq@r`SHj;YV-)(s{idb|#V9W#ptsRoWSzAsEOoe{1ZmH0&y9p8ml+n?E;7T#6N z@L7tdqlOXf*fZFj3s(B{?l5Y?NO#}|QMjWn;qWN4M5V*1!-7#}r>}6AF=@LP7*0l62>t3?FH~vdNAZ_x%N%^sQ&iM;Z`X4R zXhZkHH@rrp4aO{m2PTKihs`;?wS{C=+Cj2BZGfG+G5{SD_*Qmpz~>ueuCgW68j@ST zCd<`1-SW(^(@*RrV|R1?n|-UBj4J6k0abLdY7D#ncZ~lFf!={eb0rmIrQ@#{_o4b$LWKJf#9>E_)4B zbNH~XyG*6Y5{uGJ5nizq1JLd$1=t+lv>hZci1^HmT}lg~x1$#_+JPHu=E8X~Ve{aj z$^%fPo1*8R#UMa1_S|$mE=9(13vGt~ik);QKQUnYqHQ-;RFP$fby@Eo$>dG>{P4etg(q%^q43^P-36sIMTO|hrFWLE3{ zc>wC<0VdWo7j6RFfqqdiIwwE^-yoC`Vkm?bOdoo)U-6o=25WX20f14?kjxI^Af5&< zTgU^uM>?1^9tTh;aZyv3gQ150C3@?8^DF2LY|8B}$ZA6w+2NpqjtykTC>5@8)&}@_&c4D$B^M7^asqB2qw*p0Vb#Y zQy87SYXeaQ-6oRDDXp;21Pg>7M0K3&m_cds!Gvfb*rgT0EN9w=(x`!9+kfn!(vVam6J`15;fc)G7@^fntq_-gLDcusA_zzs&0kfFvX$0ufN3f^KBVrfjE#jdb zs)P|pRzqAi5Jr!)XH z^|#?}Z87H>XgX7Q4>%*(48yU!3Hjer)p%M5kOBB`7U9+qE|d~NN?aJt@-q`YaiiR@ z(}Rg>8R4Cn&}R4V-459mB$H4keCnAsq|0dElnQNG&a0*ehhxIsy2&% z%4l*hcA9?Y*PDtRYMS>s-63~AYD>%#lI}ilW@jI1$uv zhM;ygMyj<{ga~IVc}~)YQ(u*+51SHJH6MC&>PViZka67X5=*-;l%fB`8ZW{~?!EJhW4-5tQ*~gI@?D3kZ z_k|FVA9_OtuBFkwB58~(Hh!|KUH9SXQmk*c#mr~q2vP&O=x6jKw%LX*!W8>kc&;`O zZz}lwZb6o=5MC0F*-CQ8%ounpBdVgwtRchwCoo*OyB_!@GXRaJEgq@nVV53yx^GrH zU)T@Lj{63$=j;RD1pxDP<5+dfG6X_BS2Hs4>&#FHt{9YfI0_W#;p%3{lt6mWrMsaxbgV~ z%-Tc)h_f@yf|uFXfSH*Dx9;0P+{DwtRDA>mrJ%q-7#(dq05ur;UIx)#(M4||(w>0z z5(IEf9c<~!^J+q~X|3QVLVZz#?fmsDMPSX0f|XW#8_*pHQZq~ zy-AkE$if2)s4TSvplA*Ie|5$S1f}K&ye!WckQ=E&(I%FawCg1`wwQ zK&dIvmkmFFqL4#Wxe!W54ke93W@Jt(+_b$E1m|nzR&~EV7eb?XQ0ivZdZGBmvsde6nT-NiSqTFV}eGSn~$6zC++(?PKN#sGAc!OT2x*8sg~Ui1c#LC6MZJb(_a ziZ-O}c7S~<|M~$lbKq+`VE`!s8x4~IbOs-0HTFhZgPU9~0K~9!iaO8!2UxTXU~qt zHFBW7x-fqDcY~`V>HoPubpB7f7C^yg5V?2@o2%)B&2iCTwt!;B>Skhta8aN^VvRgN zA_Iipo&m;e5SR@-;}@a=^cLYDqZz;pLkW~C!set4055>h@EpN#aW5~XFQm~+ z&L9K+r$`$S+u?`_?1Z0iTh=^zws0d!LBHW8SVggIaA4Tv2%#N;{V%ahQ z@61}x6*S3~hJsql^W|P2YCyJI7^;09OJ7?R^{5DkR8fZzR@GeUuSqzv(NHet&nR4BW9p z(g-PJzu8rlcK_wXsuBG9qzhw{I-H5~<~>>MJDeuxbXFfrbU1HzqlagTY=Oi!dC)BB*|wLqM}HiHjh(%{Orkb^B&>Vop#%o8q4%<6UdD!R#9Jsv~ z1QJCU?$%deQx=(kJ=1#prp9z8-2JBt$A36m(6QU=8lZtqFf%Uw-{5(TE?^(H3-q3^ zbjz&j5-0BPhLi(c zWvku~9rDcw`@7sAB!~ndK@rZ-o?{D`+&Zvn)`3mq3+RTyKnk;l2q(UT$_4-l`6pQj z|Kll-_(nj3*y|(+rQQbZk=sDZWrv`){ssI~%FlfB`2W-^A-+lLBYcQ2!MFDRzLz_m zgPuSKra!3g311`tK|o!t1J3vc389bGtpCrga^qfTLu$*L3Q^`F5{B-KJaTDoVRZWq zA++z*0Caj{7|KZwto(0MpaUr#ps1cvH^%dB=2lhY=h#>R%0*+q?wScwhq;m1C4Rz{%DDw)+#09PZL91uB zf%qzd+afL+27!$jDR7%S45w-w+-xuU_PtE@#KVe^f;}tYZppIqH$Dl#U<5o9Jg|Xu z+5dsYBVQt{7Zrg8sR{}KQ!GvT^&3Y1U+cxE`14%j;)nLsV*0ezwkAfQ7= z8yGhEz?iu(C4tXT^76u<*)9Qo%TDJY)IcW{zUCGQ%C$i4C;%9?KwGVYW-4?+6aQ0R zQPF81wE-UO4d($9q!!fe0MUMcLl?T!z(X0~V|Za=foTOM2;LO)(g1BE1As|_=BOGt zs(PUO7HD|L8ser145Vn_({d{SYU)8tU^U%%PF-3F(f+Q9-bzOOKju|vj6Y^;yAW=2 zE{qp_B6N{}fdzEsP(c|aXcg4fsWU~?p4$E273(Fya4Jqo1JeMA$aTyDqasre*awgf z;M4KSfF6}WkI@)l4u}9Ba1>}yi(F8^0OS${{J)h{Ko3HxgFjD^)^hT%U8v|GZ0>eF z-YcLGKK1|l2@FTT`Ga%K1~*1Lig+=|Ezm1Kljtm9RdfL3rQ!+T%5zL$H{61{bP{*t zC>9nn65nWQKKcFrpStNIy(hIdq%z^$lK>4@x~(C~!|5v59O{8+pJ@l-ovRFCb1hZv zGhU+?KIzJLd~b29zYbJM?>oF+=?~QDI&gbihoC8&!gw|x%#5a1Hvj6i6ubs12?UXV z7b>t;8S6{C`B*F+Qabeq_{j)iyj>#Ri!K+=Ef@5^Z>*m#u*dW`7`W)pQA=j0_m;t~ zLXcS>B|z*Fl|d+<0*rJYe$+mrVN@&-s!jsTQ``@L6RW`HTYs7TVZZ}u2Aa(P81Bd! zgvyxI0Mo(%e+`7FMOw-XIz7HVPbrk7X)(k(E-_r+!9)Xj+C;(RX2ONhd&nQ~#5Xg< zbbczv40xV#ZS&fKSv&`jGmeM?cxcBEw7p{(I#jNQ5Od%X!qZ5Bc0GUvI0|gFEKM6y zC9yQf932x_42Hbcp)s<+C;~HS=q-fT{Rh6$Le&2dj9^!Vv*Uaa2~ByI0dyzu;!jin z%glxGx)Z=KuLkKFh|N(OcA7|JYh^*^n6w>Uq0OQtv1Stsj=(eX!=(KLfci573=!;> zRH>!Bf|~v_{u7%LUi||bhMNR)lcf=k-xOOJhN@*g!OT1Z3e4aPtiL@sOxoaAVrAn~ zAC%{;YeY?` zTEji+QgzB8WexO}R;>X78j}t;`7DecE~agN$>}M7Y2NvmeEYO6fd7Ggr&>|!r~6}@ah1Rk?jCW z;T(m^gUlh=Pl>OfI?~S(>}FBGVTNJSl2!m315ME%B82yx26hg^{|tfOz^btBFafi5 zu@Heea)fXbOh~osZr-vv$p#EMf(+)v!HcmG>>D6*Jduju{N$U;FLN7X z4N<*CB#_{$DS(#Ya)IH}3x~jNhfv7qcyNU|7w*tKq7Yv8Qx61m1M$88fa5bL37}(r z5bSwn!t2!wuwma50enO?A%PtP(0K*ujV*8B@i^H*)Ey3@DBhv(QLQ18Id6souQx@o z(HM~zXiu&vB+!Sv(@-rg|HMl_A}#eXQ)KRaKiR+!o0+fjQj0`Y0|PC6g7IfD6Ev~D z)JY33eC23jEonArz`+~$ne!*4opLmce=B>N(CWRSHz5Yr0>+{@^{v~DL~j~e?^ik8 z`6!p@GJapoE3JB_n}F;Wx0zoR{XN}n0X@RS9ftrM-80x z$eVpj!w4(+HQ_d6R!^$(@*}CjnT?~AS3L*yhHr#{e}8zSdCqIXIqCkMv9;PoC%4Cp zME_FMIKCUm>v(%bO3P7v*xKQ5xUkQ^#w$hW;0|N4q1OR)E$6w$UiXKVQ%TA3;$Bmj zjCw{I#t*`bE;DIjemhr{}%7iEL8kgAV2CzcZeXr=SX+;HTlVe$jxVQT?KT1?}!?U{zA;8tzJ*7vR0_E z{}p7Iy?Xt;${LWTxZyH+DN<_g=YOn7oI}8C71!3cS5yR8@ebf~5@cOVSFh`Jc~{#mKkqa&I$SZ*cQwC4P$;l} zXO*HP8pb6D1{$@J2oCK;<#b@??h3AHJ$@(4Tjx^DEBWi~1H-BvGO+-XN`}9ePA0_E zA}wRCT)plVHz{%xSH7Osas7#pRC9s*_$5@U`}lpRLnk2EN-JyiI(zAFhk!eYc}qs3 zzaIPq>}HvGdu0NGZ?3k#^LeS-e)N}1zF5m`UdfwV&2w2}U@SAK z=D}%8N4}pZame?iFcir_vIbOHy!r|9)D~W>0o4{Sqd}ew#UfX=5V$v`XpfX?5u|PB z5p~*Bd()99)ng8=^ob@U?V<)Jcw+g>mmE@Ji2uNYRb3O2{wjFc=)*4f!9t4mQIDzb zb{iX0ecdjO;D6ZWL=*Sm!L;u|z9;qB?j)1XQc|Vg&#SWjR`$bwC1*}kCq63?SxkJz zK0*g?n8Jx{`!j^%aNVw*zR|h6QY{~8+TFLv!@H*VJ3jg>G#4~D6x`GQ?&O0kYdD=b zINzUaI8DQ8UYX)&C^-s|KJ*_KvfrKvXy{=4FvTBazC(k$d04^0xium8u7mOE6hF&F zt*d_HP0`*P4|*-g&75MrMo*g=Khz_R+w=Y~)xD@*``tsh?WR!SUmWt65UYJJx#p(F z_%-iQ?(v2Z`BqPrSK!U$PbWOyTMo7F^LgAoW{5OcuMW|uG)_%eDLD%<{^;8x^4TR+MGyw2xrA1lR_>hUl zZ+k0+=d>*-<#eaf$!~XxKy$ud*F)SAG$&fDSov3MW{}G;rW_7|N;N1UzEHvLUJuOqHrC!UbI zE!7Lnpr+Z0E<^s^-lD5)tE8;WIrR~L#M4$%68xrj`BaJCK1-QVS$rv+sH3d1VPg1q zN~!aM{*RtZre4*3$NoK?i-F_yT0;8g)1tX+tDX(#{cHYSE2z7aolW0K>sU8$M6#*x zAI%l2{wCH7A0)*7s(eFCTgxvYMDZ9TZ@Z3nDZ+Uue~75HjtD)-_j+pC_7PpxhEg(h z^p;r3vIvX(LV#(!s&6k%#F9OJ*B%(k=lW4NyK2Tv1w zRNh3y3BGN7HYeEEnPd&#a_m%Z|8qLIX?301#ENa}pF}WCziwy1hg+p=^=}v(JGmCW zj_^BB6h;)r-W~lL{3Yj2k9ok-#BE;RNUx{_^M~vm9AB~QN~UCbB@qj0mY@jb(Z_Azts^Atf!K%b&)Zp* z;8`C_;i1h*hM*#u)Ip5Swa2E)$%JDyV{Q0*V#SU1F$t%6!rxBp#4g_T>GPT z{sp+j$L){X*zM5mL<$p#{`25e?wn^@>Fj-2Xgi4#w*?DJSjlG!b;VR>tqAs9jy9|? zmP(1!g6EoUkZxX%6!&1e)<)?6XAKriNmzthTdKKM3HvB^4@ZxWpM?Yw8R{vRHMu?EnZDt?E;Mgtugjt_F;~7EFG4t zT~&!KE2Lj_C2d#BQS+Gn3_FhfubrX&{sQj;nkYc zm}>}d=wt2My_LvVVoKgeD6~y~$eNv`jJ9f0U65M1yznq9$~D+E&Na|As{eWQ^J+Dl zoQYI-4M!~r4r8pNlKS4qw$pHh)0K|J_7lE@+)pA+!cA|VNzPtRzv4pK7rgw`{gL;b zx=)6mWS+^+ioChxas&RUDx@l?DjfP$>ao-_si%-fjE|n*QNN>px93jJ-5F(5k&Zie zKm8xXeRn*S{r~^nkd$@okxd*#p_45f>u}66BI;PlISN?`g*aB$L7Y&wNRDGGQb#yC zWK_pW$QIe#`JH=x?v>Wv{r&Yv5C4>Fyx-5)b6wXpg46~x@pAxz%x@qy3c)x&Q@$Yp z6%q_)fF0*&$Lxy@)Yd4}$l`m=*8yNh>VaAKIWgR^K}{O)lkfTF0Tf6zFaRb1W6WSP z3M58~Xpq-BfEjs2*9N03UBBm?E>n+{PJYHIlZzsjz%mp9C6D*S)8h5<5)dW(6ZUlW zlJ-3ID%m&OuDiu_Xv=}+^yJj!Aaa^=`f{prI&vCvP&sv{3>lMF<5r_HB4a>S5mw*> zlrE|TC59?Mf$+!i9uS3*ec3^7@f|u78COiMiabVHqLd*jBOv?hZlO}zRk~GLRR-)C zigy*W$hM0Kw~3~RfrtUHO{5Jt*dAk#+K->VU{$bMOP_dOY*&~Igf2VDEuuqfBDXJx z+Hl5jAJ7Z9BC-NhM^U0eQCg_;cw7Ab5vmd32>XbfkRqTYl0nr%KP(GO{@&R!6f=ql zesR@4!{ZINa5+TWT3l7!NL&YAW?n+%z%XG>Vai}muqj+egA`7xp#)db@H%1yITDVb zZ((d<6{8np5`&alhr*e91)E_Q1`Gj~4YP-hz?fl{IMIgtxcdzkapmE!!V!!GjJ*=& z>XDbm2knpss_BgX5-N=^OTl$wS&*m0PDi{#BA5%9dk>omm!E})67?}>VN0-@hGpaj z=3e1)n?lv;>qJ$ICPp0=+HecW#jJ4HQ?Wt*pguAc^&W`nggN3;a48L~;i-(y00l`; z)#(iL0-_M61!jgT3NH&g%Q&onk!8Q%**^B~v1dY-{Y*zT1&kFZ5ndL4mZ3)6Q)BwR zIhuGJGX?tqJAsqOxrBR!dxUvJEFqV|`x&Mfr&w!_fOtK%;D*yK)gCN;j5U(Yd>Bd? zT?2O*O@tB)C%~6~`P6hW(H--Vx+O7819^lsf+>O_f@RopT$Wv;NGshEm?V+}G!roc zB2dn#DU>Ik20}S&wA@r23O{?xgC#4%UR%mUTvyx@{@DDMc@c3RMiFxjvm2v^;m1V5(75mh2o4mH z5I%>cyF=Z!&^~s#*0%g_i`5(tfgG}D7D|gdsgosWWNH!zbQS@kPT|i$IPAHEQsY#c zv+RsYfKxy@lofto?QD|p?BYlSGm<%+ISfHwrKaMh{N)yfrwa87tqRrP5$4(E3Fc+y zQAAVB84LpE0qbq>M1ElE6*849uX!SWki3Wz-Ya9aU^FmF9BugVa4rS~aZm7c9`P7v z7$%I<4^L%KIO3^U7zXO5mK+kxYmE4Kao^nDIi@-4xkG?$kTrpqpS}eUixdIN@bAOy z21J;LA=L}jZ~_eUVMsyTMX(f%hMyuf+&n^Cy-+<%K#D#YDGmm~l=vxQBZ$|V)ZYsn zpx?sIU8Fg7y)uXm+^5l}-ls*)$IZ*l&&?MChz1BCg^{^PN8}jtqg);x;D-4vG92<{ z0FEJ)rE_z;YlpF8%tHdv6)R3HxEObESXkTgg|uyV#vMo1AgI2f+RBmkjD1G14)V7ZLl7z(4X zJhf8-7wIhlWk>{49_NXp#p&ZDz)G+aFglndj0Z+a*8?%;xTGCz*SmAUI_<(?s7$(L5w;Q8k-Z~=BTOSQBh))kfscfh zf}5{{u+Zrgq6fwk!wKVpooJA6sK!+{T*X~&a0y!qTZ-ss;iRt-0Eu{-O{Wq4F#p)D zs1UQL!bLeT8p#rgz!n^Tc;SP@2#s)!FpY=?EnH_82jkSwj!5DC{h&Giwcb{Cb)cniT35S{7;* znoTE~4~*Y}Pt|p!sqVQbm6o**|#4N$W zQSXs?AUr`NQLy}sxCK1QJly;~@eD==;{lt1vBQ#K7O-yERD&DNtpS7_3Ht& ziA6!oa>rRzBk@|olMEEoIp(?MN#+&i(dG|{M=;75e@riI56lad_|Y_ zrmM`oqwL9x68BY0@)U=)`fK?ssK#-RsKaO6_{cb+;>n z>j75|*M0557c}o^+)*#(Z55Eyn9-X75J+d_6w(t%1Ew50oWad?VYR`5@GmNhK*P2WMz%2m^`%T~Vh|-jLlwv2kDvs7_Ady(~jxpfk`3<$<~a z*(Veqr_r2KnET$)4ah0tgSrOUl^tUY?$+)G19MP@AQK4C9_0q1us@g`XRMK*(`8t0 zd=c0y;(_{r;zFgO&Z4g3UGUs^YrHbvAF^lUrxD)lSU05GZMR@Ilv||R9k)QYIJa=O z6t|ELuv5;R>^qsIhOH(NV1uI$j{J%EtKLnahrh&?tfqsBIv5jJZbK{bc-ZlXRAvR? z*ZiK=(}6^d9>Hy8S!+Y%AEc3lRTGVN*48@a<5Qb5k^N2vn3OBuumuD#=P`|B&ToKA z3s++F)kouOI`49{wx7`mi{sucGH;w-Tox*NDUUH}XrbH5*lqjwo zc{=>N__Zb)r{btu@O{eh+vE&ui8$-QeygL^FC9m);qI^_u(1XSsJLjVp~SSNpBX8{;SYz*qRI>HCq~V96nt47&E{$|$yzeX7*_GyF4rGXf#>bo6w9 zX!>W!MkD~oh%>=S`!OwzW0EC*~L~02>lI|`{efEag z$=w<>ML&V01|Q}>7#n3C-K6@SAH+LPzlPjRW^ns401TB;q=+h9lk67Jk01}=SinLV z2aKZfRN)$3{N;R;^t}KDdIdlY{SvYtsf2ut^h0hT_u+QoRKWs6^g~C7_78~+u?@)% z?Hv*vVj7YeqRyadkCcs&4ZWaxN6YG@6}XgNf=@!Ah_{HphyD%y8^8x7Cz2Ki1q){! zY>ygOU2IK;b8H8nRr*Ngf&U)>)@VTrycZ_N&j7fI)Wq?c^1=XO09WK`q&IjsKOi>P zJW5-$P&JF!7~l+W3Rq{3KO=K~ay0CkVNt?~?M}@B600t23w;Yy3quQwrDUQ&qFA}A zxPiD$;mJb7LTz{^@i69VgeyFkD1o_(>4*8^mcu_V_Da6y^*lL!*F2NRhj|9m!rcnz z${eJ6xSw@{QG@X#M0-9Lryr3DaAt5OPjgTGLXGK1L<&p<>;WtZClPTLP$T-9yi4l* zaPj=vscu0Xs#Q7@Syzmzfl3%xdA%kV?$HhnKCyA9a-2!vL_B z2L6T&Tt)*7XNqhHf4~&MXeq=ZnaG>?S&~_*rn87&WqJH^af3n}E&a{i<9S|Rfz z9Rc%X@VIjRqH8zX?HIS5-wrfxa;%i@BwJ76&VV^!T>MfE>~aw-3zC z&tr651kA(-;s^1C1B8$Sq%oL1$ z5y;mz!;2^b+cRrvBq6*#o*91{!a2e*BLBCIjIzcbf&7zn8*v+Q8*>|l0Hc8dC}C7C z$`Lh&`pDKK1=(vaCltq^@gvFOG4Cc}Aq(BIl-V9(lh=mEBKfE?lnh=TA} z)F-n0G8T>2zY3+=g2^almvO8`I@|ke4YySDYV#2D9P>o;a^h9YA&eD<2IeDUrDNf= zOYF#t($}@*$p$&<~NBf7^Kw{lX+{~PyZ&Q_#=X-x}fJ;#3cE( ze%qgX2plbvi^-WuLD2Jdh!{{z2@i=LIpZ8amLhPEfA|nD52JBj-qLp#XRe{ePWuF4Yf(PNRuY%|`yci(|Jn-2 z;cA`@Q%n3+7Mw6N@96 zm7m|=U~`@^v+?S`%wrpmJ>pgVp=hRZraG;f=i{BNOKDB-b|+OnJ??TjLZNSXSG4z2 zY3q;SCms#&N&mPVPa0g?&9nNHZhQYp-az_D`e1(QLF7rn4EiWG96#-x_DTM@m`J89 zewvAB32Yl$Vh#r5Q9I#!rr= zd;7#~L(*T_W$`jQX>0A1ioFSc&&%r5q_yjSQ7{a3m&sm4i$c`s=EbhNEFO7UdpO#I z9ZK&qBQ9uB%D3NiS-i{YH{STuzGK1XBhN9}vNi5KeC(#vi*qdQw;Ok}V1n%n&oMij zH|_&rZX%}7vHB7lchR~A+unJ{K6mJ>cCCnV_`{*xRk2-=y9`--#rPn33^~DK07w=?HdyQ! zq>v#OCq@Oyh|3Zb148b{?Zo6DMRB?B#V8>6jI)@;gdq9GIVdq^NVakI zDY4^_2gbQwVl&KCAa~H+-;O|OhQHu%S^Q3Yj z#TfBfQrUW9()dED+!ta8@fjsq;$mX>`z1MrVx0J#l5Dt`0=}pucUp`Rf6p!JkeDz& z-|cHo5%R|=W?#FwN4LMKM zo_Zk}?gs-0A;Ap!U|>5WlA!ncNvw#zjkhpw1U^nDeT)}(b8svs? z9uu$u5@viK1ss9gG|oQ-dUo&?&TNj7pwkh8b8Fl0#(vbV4>GEF#cf418YlyJn}vfIcrLCM}m&X6`iV8mR` zNIyY(#Hz|rB0+5AOqG#Kg2ITkk0E!0@Q8(vk#)j}k+W-t%FMGI^BQwT*O*lrY^V%( zC-4fHQyHlx92K$(GvrSY5jqo=Kd8%YIjsE56*LE;0)>HeK+PZ^C?DhmngFqbl0g=r zZjc;@WE;WjD$;d>i>~!@G^OGYRhxIc*xQe{=&n88;PJk^@4wi5!qqRMxEC|%w%ArF zxz%dSX5MVm(U}(CfWpsnDi9mEmtC)`9~xv6mTh2fb-kf$HOO(IvVm)6?z)!ZAiJb@ z1Lxbh8wTDa1)F25PgwmwYW=hu5PU9}m+Aa|t-bt!n-_4rEUrkc-3+n8U)bFw@)OrL zW_tDQc}d0Jz6gNB_+Y7gJzyt3QmWtuZ~-4!k|z#q!H1XJF9c5ELrU`Dz+U{Vl7eaA z2L6Uy-XUNkKFsa@9pD)Lrdz%h@C`o7t)LIMf)AR{Qv|l*Bj)cv0?y(?=kvWOVB0M0Z5*`zR2$_U<0+tX# zC?KE-HH1(?Zj@IkS`wrTss;Ijwn4iHg z0rPir!H2H}xF5hK1wTXZ!}$?pTL=Mz!w^I8Ap`@Q0TBm}Lm0!25gqUjgcMu~Q35YP zxWU~J^YD4Z0r&w#I6NEyfkO~@I36Ji7e(BM-$z`8Uqnp8ClMTQ4nzt(1#t#`2GIlW zLCC}95!LWvoJ#5#N(u@Alv5dsfEXv$p6)O$B7lX#c1z|-%kLx%(2p~vC5!)u3D zhqn&(4($%j4&4q74zC;t4*d@53klmL2cmQ3mp0HQQI8*4Ih#0|Toz9((otLD~Nnen*lZH#fq%TT4NV`a%mv)l2mqtk2N;^wC zN?*dB!(PDJVc}R9_9E5+>w-Oxb;8~ZG+h;!%JyhZmk;Dm=IwBQQ+~g{DGwJ{P~M6W>l48e65V32TR>u<0+2Ik3d9LQ zgDgS4AO%nj$QQH$q6CG4v_Op@VNfo}5i|y31to#ZKyN@NKouY_&H=Q?*F>Nl;?9m_>&uZ|>rN@dk{sqy43zl1x zwMG7QuNps&i9boDs4h?~s-F=L#u|FDj24B|XjWpiTQmABN^3ZVIi^~NWFx#Bsb(%_ zIL9gIZXYoai3yj<64;a6qy}<}iBNo>`O{4iwPO%Ne4~gvPiEiNBy&;1jO{5`(+{l| z`(B-SBkyI}W)Y;|e8miHRkIB?_*hK}&J7sX;8{O*U6uMgn*dt_+w)^Lbo9=1NZ)Qa zG>W;dA%31+%)Ei)HRfC4?v8N$#~YHH@1lR&%fG*VzpBVn`dj zkA{C`Qj5DOX8r-@57)S!Qr3P9QrS(9UGX9#A3blq4<1?+i&YHa-WI z1S0sinldW8{Eq0tAs-(6D{O*mBA&yaBM!q4BXZz52nV5KH5CmJ=!q(YLqbA zKl*I6^Lb4Nft8bo(}q)pGXVV)`XrhceGW~JHbfsqUqj} zWGKG$>i-&x?cEXXw(idEj_#Kh&MlC!ih4VUJCSy458e2&K%>a>hZt|;m~xHobiv!$p4K%qkc!;$Uw*!LBdRJ0^1=-qeZ82Gz zT`x2xDbQUuijeQh+!b{}P5R8sa8POHp44%*6IWkGC@sg|>Up#HS$7;s~Yb;h#cfpB~e{94>w5HvsvR6x;JF+X{GR zE!eab`NBXYY|d;q>ZFi1m8lxaP)Lu;j31>f1PL>Rq0EK!!^{{^U?CkHQ)ARAA*ha- z6iQD>x7pMUWhG?LY<2*pmaPpmg`kYH^?+ugC`dLW-}EBtOtyZ$83#%;TgS=t49YYc z>SQL5($ChNFujVh&Ni4Z+lNxM*Jd}>L>bxZv6~%6>DWV(O&w4c_WH?YET{(F8L=}N z{Ym#vt6Xq0=}Dl?HkmN4PTgZNusv zBjkQ&d7w2A1?VP71JnQ#0%e2jK_eh$Py*;Q=oRQVs0`!*+T}DeN`L!#uEO`;a}lUP z>jz4KPyg>rAR15<2nr&Aj({G35TFkrE>J4yET|u(1bPhe18q6YcpfE_E!NPVncWxB z6JOF#xM!u3muD!NAewz9-{@k3e73cdAxFaDYzrr&GYO#VvlE8$2@=_s6Gm4Pl(TKv z4fiGR*_*Q)X(k-Aw@Q9VXMFerC8r6f6C@47g4{t1po5?YkS^$}*j4w8=VdaRW0m}x zN#%Z<$0sGAAh%LcX8S=>?yIdU8H6pXjQ+A`TkkU#Dipz*JM3y6+vk3f7Apm9RjoDA zm?zyC3YKnWNoURax;L*&QAnQ+%b$5EmPGDvOVcy$Q@x5*uXoo{xt@1Pll_3N%LD zJH(s^X1;F}bf)VbH_ZhwV@i9VY3V&Ku5mDHb$gKY;ys={Y&iOmZ-LW*nURS#XY$7j zNGSt+{{h>I>*c%l?x4!5z)v^!s~uIN4?h|#usas1CIh37ki`iMCXqTB%@#={3dgx+ zyni4x0~!Y{frdlxLsOvD&=6=2G!a@3y#+0Tra^0=H=y^Ru}};&44My3hE_puhWOU< z&)D7%uZ+$wpZaxoeP;c0M2{6NQmSmHZf{gQPV@U&A^bj-atF^>`WMgL<$){qED56> z(X41Q^a->VnhtHid1>RI+~26XlVVy5o@aA)U~$0tgGZM<`YL)KS`&R3?SN*Xi53=J z3o1Q5Pw7-K^|#Fj+5-v#se_(@1VEV}ThK6wk$h13qZ=wsSnJAR=?e=N7aSH`7S1m? z4L_(Wy)JxWU6=maViNCa&j9S*C&7==iP4@B!D!3q%$PejpS3m9NP2$u`D|s&HyL?< zcnMEN@`+H9|JcYJDQHH2_x6(t z!@YcLeET)no&s)YgZVg)AvF#S#a<^D64`;;8XO(5H}vfIIOUo&xaWlMf`;UFWM2_ef;{Z_ya7G7`J{z$a67s(c3|!aBUuPf zds(Md&MwNI-t@W(i+PtaZk-Dm67NpUt}Mtea+(oO#Zr4EP82y*In-bewYv3Nq}8O% zo_W`LVC}Ysh$a=pD8%j5U53+nnlu-ULa)l)1wb#1+Ll&Ew@>;nf!=to9Q$hm;Z=Z1g z%nIOkl)kQ&ZUFhG;ljSu)Jn5e{eV`JgP-Hzo&QlBvTEYeT-W7zd?IO+_WyQ_y9RdJ zxXo_V@FZ==a0<5hUUCifReT39@P2;KdM?E4+B?R#q$U~$<&QUcHUZa9{wLr}YHz^~ zS*w_j_{@HXEkpS)UFe2@&6g(J)7C0^FR@)eMhJZpBhT6!beqwl)JL@CO!ZMFBYJXX z5-8mfNR_Dz%5p@%%8VPOHKOBVYK<}*f%=#!qYN4pg0E4n?J{6nT;EkEsnn_XZTW=x z-|`9B#DM_qLSK50-^9_0U=^ooURr3#zY2zuak)97&D*QL$)C>< zv|k0vKRFU8o}*T2!a)9k%7k9r#$+4W^|B;Ugk7e$`@n$3tq7AbgBeVWdbV{$)80WyheI$(X5P1%yh_IC%?RF7A`Z%vf5bagD4nM|3gR_J{P?@UEh z74#M<%)pbdN?zr&MckF#)!6MzKFVQV^ot3X)*`p_)0(c#9eVUdTKSzObD%JECzE+v z@{ywBOj)FRT9C~<2D8fNG%o)H>w%97!F1OKDFgQM(yQ**+^+@*xeVrIFhXil!vLY) zg1i77ZOsG5u_0INco{94G-=&pL$5L2rT_c%tsC5Ua-#afn}AG9zaZyf@{cE6Ur&>( z2(L`vknc?EhUZUdM2Euc#`dm?0xr^gN`J;T~Aj2vW+Bi4%2&Jc_$3 zD5?V~iOa|mb%IpK-Ln(5fRx8&z8Cd@)EeJq64iiUj5AQ8_K+&$d#6NCL&}UZyF@)8 zk2~(lh(aOQj*L=K1f-_p-WAcakcy7XMNvOUozz`wQFVN=R7RwzExuCfo}Q=)zEmpn zg{V9JQ3?J0p6Fb;S!Liavrypt;az(Mo7P^d7W2S^#Z} zW<;ByrP1!_gJ@l}7}^=liMB*5pncJlXf2xP4@)JDSnFkP%+#OaEZ0xRSr--42w7xh zoU}e`xh&}OX*2!BXy545(T-8Fi9Y%{4_%@A`#p4;0gm`8D*MCkjQEl%az_7oZ;m6n zQDt}oZFpU&fp({eRRaUT_-UW3WGe)k4NZWSL8G7#psCQu&>(0g zG#-kDMnDUoXlM;I6q*Z7f>uDIp%0?gb;EFiG*^(EkY3?jZjOtLHH#}9~Em0vo4lY5z_Ai+!-eB4yp;IFjJwxW`R zXIQU>Gm{Sbvwroz`axz}I~n-<2gb2I3p91=U+vY^kwe`|GfB_>DHh+NjhR;wd&st9 zcWlko>8s>OS5Cp*acpMt=2W&q&CqmDYJX)xMUmIcbQ+e@Yk9m#xk|YP%i3z)-;J(W zpIIMlRhC=WPH3&&gqZnR`2}Q4*V*{^Z1!7Rui+Tyc;6Z*chJ@G-ps|@&Xo!gtIVWF ze7~lm^dvEE>CLx~&%`hLx)8suA@=W$rIFdkiv9szQEy4n*VMs3w7&Kg2e;j1`;%{( zgU&;$A5zfb`cpyc-*(WPM53c7Nu!O~Hm*~HMP+1>t$FZFdx+;1@=PDsq`TT4>Q8-+ zUY+gve$8Vcu6pMfOm07?b~qO5BYqB`YySLzDJH}NevZ+S_?*@g6Y6*99le(9b1Hq; z5O=E`iAg}qGvFU1{axM2OtTVLZm?)+Cj;EOky3eZ%W+fU-4EHpf8L8*ZI2YG>N*^c z$JXG!J9N*ad_1nMvhOI8kJ@%`CfhUF!$-q_dbazpx1TAp6eESiZ7B-OpUH!Y!;Zjh zDQk$&lxZ&`1r7}dhq9P0zZ^=b!EyEt(XMzu5lH(O$@*xBW_I<7@FP+fr{L#&?_FWq zYEs5Kv?Ri%P?@_E^3;xBG~6MWtPPl-7q1Cr5$h8w`m7~;IqH)~7ypp$-y9ujj84h^ z-txoQb}sYp>izwPm9Nl=0KxT&nR^-{USZ!{Wc$}ORk|bc+j;|L@>ROi|5<|UUK>iL zt)FV3jJ7>i%$>Q{!0r_`rl?zYxvfL8e_=uFjq{55RtVP8%X6&gZH;mzR;x9wuNzyl zKD0jB`c~H0%aLbhGPhu*LVjcZK~m$GW$K!LL4kkK_Kf&->`5<{_eJ|^LMpL_txx+b zDr-0fIVM^&WFtHskIh^xbiShyF(zK0DpXydQ`9^oeiM7jD{-_ar^cZY`{L5t7;Sfx zs>FOu^lqk%{S6|jK>VKy(uUFdWHP7-7gWW1Uf!a;;=iG8KvJm+72VUM>9STFMY z=q)Ne*n!U5_2Q#PqbbB;R4wN9qOXf@(ZFE`dx`ZD%f-=q4h`*Zl&uH0UcPnEYUse5 z%K9V!Z0)31`8@gbHT$ErL&7HI4ykwyKYTAy{%eE#ZwHuhuzy@ta{YI&@&_JX-D-IK zSe^g)8p9~}{ncRC(D5G?J$!F7_wPIOYF>#G-Rbhmi2iRF7ImYq_k2YcVN@Ob4x@aXq==F#cV;?e8T_;cLsF|hPx>E%+BAI^3q z-M~MGQYCk@sI7R8u~zfv_KE+zx&dCLhEgcMFFEJ`E<65BUn`2tZAtdO*s7FX`u+;> zcOtKkVaYi<2#d#?iXYRT=hFXM)b#z22n;HUJ%b0_O<)MJoA&Sd2ySGw^V%lNV^X zsI|Ja3hUF#($}3)Q~kbry46(H(u3Skn5>=(QRsaSZ%IYe6etwc%)q0ueqNsMinz%I zP^@jMeqVQf&DGJXGp!Pr zIWu{Cs!{>{4&IRptt#j&lAeJlV&%L*vqch>64h9t*2Mnq@S5t$>e1HMYg;>M%D8CP z5#{G)8?P=|UFvVF9NzqU``lm+{~Js5pB$mu%vaWYX|Pm4Srf8(ibnv2El!^tc>Quk za;9ES#p~%eSDn6O2iMJ01%1JDCryq3NtgOxh*dHc{pcpvmky6KBDNf$#663gCE1kP z@b@XDM@Kyz6^pjnyE3^A=qsQER|YWZ+=a$GKK-ZXy$GbtiK1%3vz-ek)AbNzhlr2M zx+c?1g5Xn>P4I4067pC}iJzioGq3Lh?Vl%u^q5B}!2uJ6gAU*m%40l%hmJwC<~6kbTe zkSeJaC4!<`UJgrbRz@mHWJb3Tho!bF^%N!Iqg%R$rM8M!sn;&cJMic?_6=`8A8yQn z4*N$SJc;(vezdahk+%A>Ba!YBmG8ryUA)^UUDleoR;~?6+pOYG)Gh?&*3Dj9h#U)M zAgAf`;cp%d9+}-Q9H^avJRD*^gcQC3j`JT_865~Cj_x%MN?G2Z%j7+q2(U}8 zcQG}@28nAWa@zUSyZ9R(3@kJ>WwL9ichND_4Jy<#<+fw4cd<7t2rN8f%4l~iVC|mP z^YwG|T8dPHabPK6R-C3hyIq_HNbY@{mNEsCG5DAe`4yjX%%_Ysjvwzb)>5L8=>SU~ zDecfy;JVVGaYAXaLrY~3wG{5CK%~@jSw=mn1{vuWQjLlS#Y=EfVudBo4u0VFi!+50e2K zg9e@)eHF2XQ=IN4fL5I7T;2fsu+n*F>xVsBt_BvKAbvP_F(8JfXB;XrvGq!0f$}_xZv)}Sni2#1NA4Ew?Y<8`vg)H$`C9XG4dLAx zOKz`?iYO#WVfACekh;34Q&zm{VGFx>bqSyMCMWqcm`v2juU0Zq6*_&&ME!_ISLaCq zs7$At7^1XORru_cPIXDYMd>HJ>eSLtMQkIb>jh2pq@Rhqzra4>*A>S;6?HDe)*rTn zW1mU*PP;$h(>mn-6zF(o)N2o8N`~LS*~rJ@Z4KXO?dP`g>!+04OyE2Qb(j zCK#)CAU`Wse_yg)ER?qDeXPN*n@mP}ROHtO=~E<}GJ?{Sbr~7#iIQp8JNTfqU7s@b zN;{P9@nU=1PeIhjUhL0|Jl485Uhf!z8vEi{TOggy0*Ms*@Mhy$)oP`2aey={yxx{?=ByBq=)7Cad~fWEZ7vRy~^& z^>b~L;@(4DiM-AUWfT^^#l3*8R^F*N4@wJz;$F_KTHdK7n!VlUiWQi;26;JSqxN;1 z7b|dgh4G?gV7a#)LM%paJKoSpGecaXh}>gS8LX=$KF8+6{!uIbl{>RvBoX663O zSNU>_)=cFXWBS@tv)Z{-9>p!OG>SHFeUbBz-U&VQCUCx;zjc`(DHwhMh7`Kx&7dt9 zW@oG|6m>1EF)#bpRgMc;VGd_5Y>64DdHQb* zp6)+ROFrZ$L|JOh2BV{jw}P%RM50W(En-qBYgknuQ8DJ;qwAKXinpLALsG2mm39$xD(uNq3>e7kVN zQrWFhDShJumjH*;xHvI4ipq( zTKA#h7y@n0G^TA==pok^RJnItTPc#PTnRL@Yfp~wR1K#YTpK-Tv-aG}|Kp;Hccb_B z9MMF;D)9ZQf%U4zu-q)0x_`p3_TihSI<$p@^h%lzhg!`yyA9A}x4lDkI2o40?vQMoM!{kMOJ+8;uuY`_b;HnHp53@Un_BP@ZjZmaqGAOw`_*OjWLfC9d7z80fwl6V+7O683I*41uC% zLG|qZ5{+)-j|*-`(WZgD5B6c52n!a!Zq-IV&!FB96f1pnld2!RLrXGw6=*#T0MOA}JJe4B?UVRH;ZPy0H23A|?(zX$y zNJm9s6#26(ab}N3X)gI_$pXL(8ZyUl3|}+&mj?V97`DuKXq_W#xpWWB3C3tMG-t(p zayqk*oHEp5e${2D%e5r)Qk(pW)Rz#>4_995u=X#$)aBWtKH5b8Eb=IxZCLMUGgIe_ zqb-LP#EY94S_+Ht98>V(W|rRR;uh|W(Se!)AMex80>*T-akGkxvrPj&<9$Y|QQN7Z z+gzEHjbC--|AMmEy9jMz&$$RqRs7ea=#U%knD8Ap2bZn2fNQd)ZQGe4nm=afWJh_* zk7q@=TpiDj_TR^5hdi&zW*_N#__kfRlf!NMTR!duqn9Y%3ry=CWTx%oaLPz#IpdU> z`kw&Lz%koj=R(84T)x6>%h2@?fW|wQ@$6$(mz$a2^nFaD>zn^=(tZ4Or;}0~KZRBN zDQvJXfwa90I{e8@#ySG2)Kbm-=tFMJ0_nRC05fhgkiT|t=YVK_MheHp{NK(iv2Iz= zj{I8{)~Csg3Voiko?3xA=Z%qJbP&5IzXDAO_S}kM9;>P+u;xI?)VYG^K4%o?mGTTAwzf>t3)?qN3V*rOv`KUAup> zh1XZem&@)_V1I?GAFJJ936eL0+A}kdgUjw%36VGApyzN<3cX!eNfxgzt*1#~3WuFj z$>O`QNE@YV6)&h=l9mcWXA`?i?(nwIlsw{Xp)1*KaXyBb!ooEsVXsAgp?DKMsF1%& zG^h|_%4K(4)s)lDL)Da2kgnFsGd6NlIbf&DS!J_7ZSIcYQ}23R>$#K@k4Cr#!Sp&z z?Kt}H-_)c{ohG%TlB`Q>LWvgV6OO;`KKCuiBs|OZJrrxZ(|}Ksq<>V>W@6z#r@+6B zk5T(68(-N%#QL@GQ9i$I^5=4_R&EW)PdpmiQ*rJ6EnnriWXWqIoC9n1odcho%mFKs z!7`hZbCSa{`}`_^<23};0YrPeWl&lli`@s+*8scJk|N$I+LAQhDVh>x3$nB!Q|K5P zitb{#V3TGLT)gRd5L^w!ZfBwj;(Ldh?CEUGkBh;;`Jk;JLu0M?=RidABG$4daay$q3_1 zlpWGpWi?QPkUG_;L^{)3^6$op-p~Jqq~85a{{7Bkl9bok&&BYCu%AaAd!BqQ4w#dC zK2dJe;#}-^ELwM$53a2brrQ1_YrlMR>!#@EJ}c=z&n35u+GguEE}LgAjeR@zeHW&0 zoE^CBzxDBcZKvb2u+_3lx^@1y`HaYaakr~Rtt~yH4ohgDu((!?rtiY>>c$CCT0n}? z99^Znx=HqXEgXu`%w5yGEv^JIE%vGjeCK(y{z!P>nL~X=U~9;iIl}lFHAS1FtoLC7 zRW6#ew%c&Uro(~#&3E-Fx=Z1Qn;e4rtM0Z^cK=PEH@yz(kGku!y*@bGDYPANZd+)g z_rK;Thb}k$JjO0=D{ZyWg_7Dx*yl|@TK()`7Le9*VTwymTU z_cMtsu3HhbQ@I^LxxW0nEwM99O#LVYJzBRdXxFmo%TU6#*q?fLcxIo66JR_(bLh&z2BgXe#4y4 zQs;y{&3_Tezsmeysq;3Ot^(I2=|am+r62hRRsODV@n!E}^ws3>qcfe3Z@!Hn`u!W} zy1gaL7aK4bjZ3KW zUS+Xh?wYhwyp~iL`{u9CeBCNgaL|UrUCgKs`t>5|U)_24DXpUwY1?L$kza?e|2kvX zev__tf7}0P#r>t;KZM@DI)~3XXDXKkf5vlCeSFO0b1omIDk#5#_DxuP{1>$E4-0X7 zG(M))M)A@8v+MA0%v^Rn%5JN5h8Nin>%r?RU9wLcJ3+l^bDk{^;2PYTOc}iZU zrw0d9bVr<9u{X4#=$=YX{pn90_!gOdbT-O)=8)2MhS@i?qC3sdZ?@2XaWgjG7^sbI zPd(#HdlSHFZWm^6YHlCp0V3LceY_Sh#5Md|7Vz}}%2u-h-+2=6ZClC3flo;h-dMpn z9livaj%MC?@seh~B*l5)-5CCG;60QS{yU`!0W(^+cG=u=ap3Fi<8RbgzW*G9q_^=g zmFYazue|+0$VX~!gWPD&kb*y#&MTeJlSi5f3M8@gSea9u6=Itp|P1&YdD9U7; zAa>cRrYzHmlx1Xd;w<*B`N!{8)SGdtqIOQjt2TxkLC)0V6b>#zcc zQ}<8W#Xy^Lg5ZaVsWg*TF@zQtGR-HRJuvAO^T1pAAMSs$?^%-kZQuTNj~z3t@AQA8 z55aeW={_DgWWfC8aoxqKf9Ljp{q2%oG36e6d*A=@Ujo$~H~0T+g@EzzSDr&W%2Z*i zk3*kvbQe^-8A>=`JXYGe`bWgIxw5UM-FRthQcTsE5%3>z5ymEynn;eBUS}5fq;9C=+&Y z*h#$xeD?zA7l)22z5kIJ?+z};5)vnq1EL(8x(&7xG$&HOV>vlxr>LUi~ic3`SNB7c>mo{Ptqd8*Ou$cJwvJe z-xRETKi}@yQ%j-rt_HgiluPO#eD}?a>^mwgTLS?H@>jJ~>GH047W_f3zbT*pI|_fH zcoNN}leRUSu6B#Z_emJm_p_S)f$qQYi2r^*qzVHyhwTk@y4xO}W8YnzQF&zh83X=P ztap`1<)$L3QI&4Lhv%~&88v-Igy&IS+3dYbc}2eFySr68YZxzml~3dLc7J@#Q_|ea zJ>hrycc}gRPJ4GuV80c(7q4fN!C`v3=ue&lIWGU=n4&Zwg{k9qK)ElZsT;y%aM-T7 zONo=K`9BH&4_(fqyhd~N$qC1mpUYb+T(tiO^rnln3C!9|->Y=@Y4?M_wYPrwZTzk2 z88EKBJ!rtE6|MA+*sb*W5{GZn(2v8&tqozmPSV0X$``UVKiB-ec}V?Qt!n#LbRbRV z#yAGBEnXjMb@@N)IIt{UUk>0|yiV&_@k^!Y^W5RpETletYqQZBJnQ;P-So?0)z=kT zKC?sX#3G{W#K?{1&zl)jD%Tj?7DDR>9;`nL4Y1wj%c}@r+w2!z`)hf)-R&R7_MeJ? zfAsH0FQoM`S-(iN#2iy!sZ9UiV_kPt9WcK)Jfi?T1$&YUF)nh`?uHG zd+l>(&RJ*ewa=77=*N!OO=1NICv{5en8y;?O%jW*O!}18QH}Mpe|1Gx{Z6*}cFtaX zX8Ei)CySM+N;WRj_4j=Kvdl!Yzf-VD6U9cvoJ`d=kb8QOmZ^|;J~3FSbz0%+@c$=s zwdu@b`+pNcJU`IpIJ!7ljkpl-e$Gbvf5?aVcigsfi^duL>O#=u0r~5pH}_|aRo_B2 zREVKuq@%yYoUF4rt=Xrp`ODTaEo30>)XPsJ8cCg{o%_JsGrm6>4rU-ru^}$fGlRQc zKYSbeO!Xh}i|4O$TqGJN+U!s5(fB$RQ(GvXT%9r;mQgrioSGPJe7>();hgQygoVIn zl}=cv4u%7Q_7yAGvd?Z{d0=cgXV_irK6)I;%HHr z(5u`l->cNC*sH=X$A6z+fnS+lo?nSykzb`quIPS|LXmQje34R-Vv!154t^i509S^~ z!qpl3Rx>5;v z>y3(uoXLF?1>fLLuRjHUdig2*Q}q6I$3U$UQf}3>VUtti~;7~@6KNVIT_Mbm8 z6-`-Zv{+|YpvnmWmJN3IBFyBH0J#9G0Gn0Wtv=0ek@(0a5`b0U`l< z0SW=O;!DMp#l*!oiV2FZ7hfx8F1}n$U3|5ev6!%!u9&>|yotP;@%)t_oHJY#)(;ba z6~i21SQrZo1v7(vgGs|0VE(W(7(OfnrU+|=al&$7R=jHMrakm#*kH(D7&C+!&UovX<@nYy+c5{5C9UWiy{}XunC;Ji<%d})oe*Q;FbkCv z!YT3L#;|?IiXU12^jKfmtjY=A6vc2r=)P4&W|sf!ecg)8>@#L8J*?^e3GLK};ee2R zlZx5wGgd4GEb#sbjX6fvBxz6Z#`(XF%thraEzL)}CjK{|Xix^|IVcVk2}%Klff7Mc zAQUJB6c2g@N&`iJl0Y$_%-o>d*xVPn$+@Aq3AwLx({qD!k-0B(Q**;}-{eN;X5>E4 zjmwS9P00<*P0WqTMdgO%#^=7uP0NkQP0EeQ&9n}(jp1JkC6aF8?yKE=-HhFm-J{9U86{E4(ua4jo{l>Gblvy5$-706 zs~`)|5p{PGv{7MO9~q^tX%Tmtx+D&2;yPmK{sBFg+g3r!#+{}t34#K-j+nY zy#nQe(n7_cWKaR9+3iNdy)umNxSUuNNE6f#5&#v096?wR3vh_dK;J;ppazgX=nRAp z9B@TYD~J=61F`}wf@pxluL~LliGV(W5TG3pDR45>LE5Tspa!Z2P>d=Dnt^;8`xN;! z?rHq@SSe0#t!*WwV4Ppd5*&Q29Av?BMA$73ZB*KJME;2NOIq>;&GH=KbyGkC z?r&QmGh_WUwsn!2ai{4^^q?lt5pDMeXn^9j334{>G-HVZ6qtLkp}o;?EHZu?w)6oM z$aw_m<^f%>M@A|}az%1R@<7?4AmG?=1LNm{azc5!*}FmAH@msJIl8&JIlFmy*?B>{ zH+i{vIe58vIeB>s*b6`fHw(B6I10E5I16~}+3i90H|@FYIqbRYIqiAY+1EkqH`lq> zIo7$>IoEj@*cm_!HyOAYI2gDXI2m|S*i%3$H&eJ%I8wM$I8%6x*^NQQH@y|gHOdvr z)ykF2StEXok4kJdOl75NA_b6+NEW0WXb{?{y3K_YjQ0y$Dgv>^`9&>lf@ax|xVx)V z8#T9CkUx-qF-s>PS@t82?ikeojcpoa3^YJ(TLhUIe;T}$1Zui@B-ZV$8lb)nLC(gX zK3|Ff1>QUo>9$ZkSKAgxHpTlXY*QeUy%LO&5=bv30rEZ)gtSIpN9rL(k#5LqNDbs| z;Qxe}k)}u~q#yD!QUQ4r`2mKbW1;wZI8<3x8QP)R0p(WZhUTf}L2XrS zp)0B@P&!pQ=o{6H*xT{wSTqtHhmM!xnq;2@P2Qa3p5*XW-cDb71+ut#B;0MN+Nico z)jgrwsI^UobVmBUTKWo_W?O4G&hhzheqSt*`-rsL0(!2z?TOS@J(s7B529A5R-x8L${|&O zW1|6#Uk#~@)I!Q5p-3g9CQ=cpj#NQv>&WS->fG1S&{5D)(^1yZ(vjDJ>L}@G>L}`{ z>!|2xkI0Rvj@%#77*QBe8&Mw78j&A?jwp?2jwp_(kEo1j3(Eo+d6ig93SuLYLS; zcO!3=fm}epKqSC*7YgbI@q!9K_MmkT18@~K2HsT+2GxMPK}Vn~z%^M3)DGeTy#v{R zmO-?@6ItB7}tbwj$vo$gNfnwCg+ z$b5l^*Ic9c;v7v|V;WAuEPBw;7}!*Euy;l@$Hn($mg1syx^7~+j;+4Gf#{mkGX_Ft zSL+kW@-w}CyIW~Y$Yj*0ZxjX5aIlMY8FN>{*EZwJMm2#-Q)dR;$oktA2_K69f>S+6D8a0ze&6P z_|q-%yPr*UoD_nN{Fgh3l3m8%FwsB8lx|)r!&}j-4B%{F=l*HA4(+WbR+9tWlB{VHOMHe2y6h663oXNOS%V{d!7vtGFIJY1D4gaq1YHmvQA^a#M(r7eE7hVAQ?gpjDJCKBZ_xKE8F90Mf?Yp6n4ph zM+GuD)jgt>x9p`5E}dC5>`y#}dS#CwEFQ>euj#Xuc8K?2tQ^fk`C!NF^9>+kQw12U zL=V0_VgB^qw#^!En5Uu>^VGLkZ3?VY*Pdb>lPh*;E8JANqK?Cm9DtSqXuCW68(EDT zpn8WDP$i9M3s08?-CLUGDC4lBh$I6eRG)UOD?U-wVxeYo-3F|t0aTcADqlD{!*_#+ z6>Zf}EYZxuY0UFKIS|cM_z`bbp1cy^t_#H}g!75dDX z2iHB=Nd@<@a*7^~7 z@Z-S<_Ctj_#9haMtZ{}4iKI!iisOh@jt66f?gx}4cFZAP88SXqFrxL&+%^o6;w3Ha z??~zQ_ryiqNKIft68r(^J9v&?{%0clp&F&%-@=}h`|^D-GWYkyBmXm= z{SdGLL>xd(AWIUQNzkkvJomS7O-L!*ll`yk52T-e<_eypSU#sF2;|d$uyIZ8`z86G zhD>i&Az@RzLt2d<+ZFLeC}ylRoJSp!@+O4+%MJ(e`OucBMw$rBols!F=HBMRw2IO9 zC_?NQJYNe^IaM&MRq0V!p<9q-Ja5WvKByVUMDRZlNpKTEvqkWnp!`qZpA^(7Pq~P3 z7X2MOi`Sw~5KPqOMM?aeRXIJPX2J&KC09=r7Rk)*D@Pr{%be#e#}~2AZ0jRO7-7u3 zGKX0fN^13+USeIbr^vaaNRbm;`65k?l8$1>ogycJzt^bOnBRyWT+DdzCc=B5_5FO$ zBa9@*M+?>_&}&Hp7lD()`Qc3Y?p|^bL3Dhp6UEv>X+*0`J$9)yvsJ!cez}tD7jY|^ z6P@!uqvOWLrmty7DA8*~6BPaPUY_*ht0xW73?d27m(hHydf*Q|SMD@KaZwoUer8iJ z+Pg0%fLIqckbWGtYHDR>=f5FOI=vf2)>vXgwbS@K@Rx8^LBuavDI zA}%Kyx!t%5E=y)oH&QnSM(mB37?G_Ykfo-n-_PmAC{~Epw_!<|QEs(-zj}vNnKNdr zZK`joWy&Yn-1Ec|w#enioR{dneaNazpYQf@|L4tPaz(+Y7g1-X(w`-}B-YZy0-D8>}S4E!}18ei063r}@LX!%l<6In<#YfvtIAu6XD zc6@($Eq%&gQcL@A%nkl-3xyW*VXz@$|8c3Q$923a)uhOXA2_F*#+ycPV>tQH(jHM( zLTfpG1p)lVX|~HHHS_l#(F<>DUMb}u91hkc8rF12ixX=-%Q0&) zF2mD{Z->E^CvFk3r3~6@d!QEN%RSk!jG;y7yQ{U>OBe5c>ajUVR*q()Jg^n^`T7un zDSM38?;F8m@Jt7qM+35&=}H(s(5|u8xH!cyI`G~@xk3bu;=o>Wu#G}|@PC$;I}R&D+fNu7D=ihW+IHiY zxWz{MV=tA=FVRlsd1QXg5;47WKZ{EBoPEG3)qrm*8>9uSy+ctqFJC*rpwg$!OtP-h zSD^#ZfIOiCw~TSxqBu3cPdvaaOPnjooEnl(?toj`I9H-MH6)$@Ep)KmmGua9QR$PF zX!*ivYnsB+!F=~4^E^WPV;_~wjwo=0iO!#_Vq(qp6xnat5Xj;_eRliV*CS{is%v$D@i-G>RK5>X6^;8cR$6 z?;fjiW0y&E^-}}Wd;E9OXp{P1s+TVy(bXXSJKg`^kL>s$es*KL?yIT>a^;tiw6W+Q z>nneO{<$Y9;6wuT^h-cJGuli3!vrbX>rcDu$DB6Cv?4sFDo68B2G|N%zA;2|%6?2M zWSO1cYjh($u!jNX3}v50!|gO6Ia3V71En6}6(a9Zc-UQdzP2Et;NT~~(*H`Jx6aSr_bUdpkV{=M)2G zAl8GhQX~r%fZbhhpIe^X|785@zt9`Bm%2ec7yk^B*17v-m36yG`GSD)uRmap#boQ{ ze}n$DlOpDBchBAKm*Pvz@n~j5=>mR(j9}@4;_qLa@vnz<1-cNHDf=D>qi<2R zSW)}@hY+$iA?U-;6^L_QJE3*czR)d53F_uTUYBh@tcL0r}D6c5>jFz96qE0TbgY$g>_#@S)Vv(@k|yGt^8W;!qT`Dad;| zp5ROAs;8Ub&||0>MwBflMq7~gWL(dOQcX`c#evUIGm$7;K}^3O&tY88m(oE`7v)fB zs2N3+EiWckkY_tS=0o{NPdClMVRgjDjU{v-WOvy|+`#LGG`it^hH|DFg9Pyz(R$j7 zyc6?6hvm4052Z5sh-bf*sdR~Lx)d=DQL(dI{@Cl$!t{r@TjcxcO<_9n7O4-`arwqi z{99`MVjCBYrHT28)_o_$$TL|(-O0rzrX`8frv20EzL&~a`45nk@vyHDT)bQ)2qWQP z&uG0*9!KKP*@@9nmfHsj?j|3x4P=8#R`{!dXSWWn7P-@_+ut)NK;gpelQ%g=@bpoM<59fr_@KmG+*$y}_m!1(+xO{20oFG|S zDotyhp&4qmWQ)M($+{r$r;+vwo~g*D!9eb6aCLCQ69esWzNb|Q>z(v0V7HZRz*3^5Bld^WXwjOnWmkZ&0>JM7% z2OR2;O$|HEh%ACVir_@6ZiOWcg7QrHz&=y1-!6IjZ&PbLGRv2+d*qG^B;l6mH;$^y z*nCqBZ{aVscmKAK?l>;CLi=S-)UJ{!PuJ{}f2?wiw#zH5&bCnjZ2ZBr z_p#(St?R^wVb&q-`JF1|%6re?(r^~I0N^u;z-i!%{PnUH&-uuUys6!Sf3OHrWlg_di9-F@${zeBxO@qz>^mi)`AQR^pmf`50&o&^RpJp;{2TcwfI-?{-vF-(WL^PPur+I5hl|{d3>RM91U(+xwOL{UCR6UG=%f% zH6hZ}@1-1{zav8T@K)Y%qDh4U=ZWF?eXngHXcD2X%$Dv!HQ$MgF88rXw)9*Q$!;g- zzuYIOV#NA*K%%Am(oR}*sp#j^G|t;3LcNc$r4pZ+T|$-cR+5-4ErX6ADn^u#S1Knh z1A-WH@3O zVOCA8@+FdglFU2e8UgV93)&@0=0bUVp?^#cZkf5XbL6x}@+*9D8oNy55(YBFOndR+h>Y(%|ER%9Fre0-a<>*io10{+>zAw&;-Gj#wszKxa#64P9OVzZ24UjJZ`4W&<0eKaW+ko5#q$?m@0a*^n zazF|LQW%gUfE)p&4j^>^i3B7Pkko*r2IK-D7XbMLkWT=a!AAX98Up$}1qL=5V3P!W zZgG6zt`ns14QwvJ7N8Rp2FcyZy;QUhY%9QaoO=mwA9Dq>z)Fe^lcqD;@g=^ko%~hN zdcI^v5jGz_qxf{*d`9t^cgq;-+g=*(14{D@p|Z{eQVabvD3L{VUwAxuV`;-)E|W#_ zsK-c@VBaQ~_i#f7=NzWX=d=6__2=oIHKJ|$$mc`FSG1t5C$!c$w0UxQt&!Tz;udK_ z7LnQzKG)iF>RmY!pDY6D2f?hjO*H-YvYv?Rn>c`<#BuvC}+(AY{gSlvA8Rnn7esCjNH^QK4o4(GZ43*W@X zIBl;)Wp%$qNiLV1h`7FoS5;<|r;1{a3-{oeZi%tYBq_|^jK&V%p8}8V(}oM%zmWstLVWl-`Ty~(4+@Fk2-jl9X5IlvlXKB+R4Tpav7r?^dFrSJ;-Nd z^h!|1aARySZw%K>Mn4&5C+0t0?IFi#VM+|wCs?FEC{!^PBo&<)ofs1t5rJI}^>66V z+k`^A7;_4lbEr2v^`)B2dXs%Eum9I=y>pn`^txfR_VTmF@67-AQaK&Q|sY4gx>M$Eu)h?2w<*vt3Pd zj!&EOVIq`IEX67*&gY6w$&j@@dL7MxPC*+Ru9dHvKL5^t>MK^#XVq^fFcFxkCmMz-smL>b1u-XU7g3mtOxGjt-Z; zI2+x-glumOqD^ADd>KrL9#8so1(@tbd8a(eL=1>vSTF=7F?LZ_QT{0y|qK zi`&{eReH8Qse!q|z#~0>;(Er4?0bzJ(Z$~NIuGsl`=Y+RWraPX%(>+wqs;HhTSn7! z%cn=v-<9``n%>U;uqe%O@ZtyxzSv||Tn#^}Ij-llWxhhxM^Hq*b2TQ}-oUKG$$Xef zq_?m)JJ`_7qwTg1k*#{nP?2t?j8MbNMSR;UT#FI4oVJPMbsdH8C7xi6X~_(fj;KC% z7sAR`Ou=M|`R#bC&7*Y)ua+Q@wJPA>#UaHRjT_@yF&?#*qwi2!ST|U{8N_kQeq8Gn z5c~cJ#J*9e6xb(+8H`KzrC$h!mrEu^J9`3Xp$9I(CI4P(bY4_(r+! z=NfkFgCIme*B0Jtee5^!B{WorGzK68iWm-k#_uh|3Qt-9$eO6(rs)kHk(_BZje>&*1Db$TvOf4DuHU7u?l zZT8Pk6SD3>IZ1l-|2_)S>v=w%zLldnC?~9&eg0pcIG=#4N3loG^3zI}O#9x77(FB0 z8L$~xe`r@Jl7q6xYS`Q9Kxn2ICI*r{lq*HvCV{`>qOL6ueDfowAkt$q>)HES6qTMn z?;A!Hu_#uDAG`l;)wtF{Xzl~a+NLB{tj0Ck&V3pMmdaSCq$NG*%hSD#wxT~~~UX7{coWG)^@-6OXaCr`OWKMKZj9Hq>fzt=c(I@ZgT-n9Vw_jYHINT!c^K(D`eixKss@^;>ZP-#f^4Y z;M2}A7OP~9pc^mJ6ss)C8(182nLciOuP)|ZRIZZPz*l)8v0E^PH!k|VheMydXl!^< z=xt$#9=N23L|?1WRn0vGOg`FKOcZCQKbp?Bs^7EVV)TGly>LB}FG;^=!^P+!FTBv+ zEKys(XT{}xWK_mC8nhuq>jTr*P-#6iDFf5r5wVMX{o^&p>1lSWJ`BsN>=(?l-G0;5B%GPa)%3OPLJ-XK#c$h&*BW2Y z^DN(KzsP-Me(=g{{bWzThaHvG)aRVxqj5G#@@2kr47e!#yt@juGF~)V931V7T;-UT z@<*k#C3d5E(FN(T0jwhfqwz-!%(kcqje)_HbY|c-B&Bsrg5oIMqRaA^_pOD}(AM&u z2R)YHh{V=y|8JHE^q03B~LTwH!Gzd!$DI(P{8^849!X zX+*{yM?pU9%=ykxr!juIm>VnM;Aqm@)^tdVWRK?Js^xbn><$U*V;wRff7U#&Aclcd z2*pRK&r+UdkIk0ycvdYVMUud#(iHs6B`$}%QfNeV^E}4;%hIzGsb9O_rM{J>T6DeHWI_t|_ti ztGmjqwUL!6m0^{8jApU>@F9=>V`EIV@`fI#yUTaSUDFV!#E~MMV?DK|)Tcigul=-E ztp8*=F7yv<+kU$_vF)cWc7GJ>LE-Fk>>t6Fl0?zuJLh-t zZEo7~B-5?bU}Z-0L&2$7mwyxm=Td|6#GOnPxi*UsW*|@eQ`bM`{;&)JLPmVeG(bgKH{d#ve?oU8k^ZlLxF zEAz|qO1VGUmf4oumgY6d8}ebvUId18t1eM}kNeKrSn{P|H}}Xv?lX^XUyM(K`N8a& z*r8ew&|eb%bO6~o=reX=P94vwiO}NOvlFpH1zgoCe%puUhN!(uMx>=O2$^>(U&^NE zwzGyQ@oK0R8t?D~tI=9RLT&>yyLzqWTrEVTd2b%!PH-acl@s4Rd_t@SO-uXR4exuCS zqKXn=;%qoZk7G6V^XG}{6NofswS%I6E|=GW@?$nCf691bAl1X5^5a{S4OVJ+0J-ed@>ZHH z;C^K2ch$}(<>~TyB3-5UxgedW)I5|PHW$WY41rET$Fzby7Ak4-QAWMLLT~uWIM-&J z#nmyD3%YtL?kjIOb!BvYBb#KYspF`-=_zh)x3m@h{l)aRSS(IS08sjbQ+lIX#*xO^ z9{%*@b*A#WI#0X80bmmV(60dC9sr~QKoJgjc|Fkhld#UI&E!i}7xoWQI?P@CudWkq zt8unJuSen_2^{p&?S!V+>bVdjEQAvFi2Ye4`~BvfSJa`e^a>w$GCci?7yRs@;|opf zQ`l;O2d4649!ejJhS?cIv@1SZgNcKs*@7vrOg@Zmw+4r3*u`2B5i2AxifKX$rl7-G z$D*Ax|mS7%VS8S0~_L%& zklrcpwPo~-@#aN1)4$9Xr#IJ)nUla^ekO$McDqk%?3*#!@`}>pCqO!U8!xUeIx}* z9APvg)xs#T5V3fgazRCmO{#1JKf-vYx?oOt$s*6W>1s{+ny^6OlJIqlPpnS_mN$ek z+r7Jm{C7+~)NTmtZKKDhjanb|KI&8yHx2j6sEp%JGfMLg#`F%kP996vMuoRpe)Tre z&QVN66*j(7PZ~xr)C!I!2{uT1UD&KGJ_~Bi?iK2q7mCP9vJA~vh_9e$m z^GP3cChnohrpMe^7;6er_)UyVyu+{)*_!>s@>~srh2Ix^jsq`+ukq?X8EvbI&opP5 z&o}HW`Fu+j4>FKQgN{e*o)Ubo@VV@Ka>O5p^4wVLalw!{rPkub`$6++T*K{9b$B1e z(Pxr_2rouby(gn1WJdLtXVU?1Q{U8dj~YE(E-bWIyb&lm8{}?2L#SQWUE5yUKPp{^ z2iaip8W_LpsS3DlTSLM$TXLr?jGS@&@ka5+x)K~%^4yv1q~w7_AT%Sg{zMgLM~ zfrCBK4L#GrcfGKBe6sq_c@T`@q}A>N{<}tvoj%twN)$sL;EZR9gM+oQBE9RV%v2|7 zr_GsepCL?dav(!Tv5Gsr-mHxiFg?~*+f_SI+X4JCw}mwu&xQM3Brb%3EKw{>EJbYnx@LyY)r_5>yZNv` z!VVdKItxF3mS5EUj$UB@6}^b_ygH)wtEkV=|5Z)pi^|x_pvv^h*OdvCOV>5c1FpJ) z`yfi{rQywNKKbmcf6UK7O#Ymo`6%;``5ANNR^Ioe<~BJSUweuL(EVslG%6o$v09QI zo=bW8=|Of3<Ej?9 z9E5A@4GyxwLH0NZ*A^WPQsRhK%sjny3?pVaUP>E{qm=yF?dvabpLw78DpiEEi=9UJ zi`EPpj)l@yuNM;E!E`steQnb}k^AOl;us3YfTA}yQ1s>oir(BAIEKSIa99T_Pcb8d z(s$~VB=K&3zlF}x>5HQiCqW-_czfvKgC|B=41B}a5@F$LqMDI;yu+egsd@KD6JV*- zn!0c8{{dPG>An4@o+4LjHg*4lNZa@KMg9};Xz1_*rhXjZnj8)7{!7!Mw5fRtqk6E^ ztD3sm_I#q6VR;2Ze*xJ=rRN!v1K+t4^?hNzO{%fOrni!2dlNO+6*EPzL{( zrC=5__e1jdTM^KNLDj#d&ySPugEij%Q@s_`V@bU%6zTe(fVUZLkbQhe4$vQ_e762e ztCJeJuf2L%Gqd({m<=YxzKi+E0DvXcdkA}K-vO4Fi zGmAplsGPz10Y@0sK|Mu&SxE66>l5(WvlWkx>3aiM*OmSB5OX`H%mM7mbb#H~9QcOo z3i;+V-T+G(qT%%x4mrxoIL;R zjqSr$@5N_A#Xgcu>5J7cTHR>n1EqQiUeAf8W zcpGwH3-?%P4SgBg3vcV#Wb=_$?+W#N7%2kon>GS9J+t~|VW#9eFgmexadIMXVgAQv zaP%vKI4sP~qU}|@YZ5weMCrpxdkMy;A*!e7fsF;%vAIJEs{{9v*05(^(Zu6rM%ms0 zZ7JCX!p(`pgXGic9qvs!ajalfnmKy3?@1~DP9uSf#7z0nKiV2GcV2w>)%$wVus6Nr*2v1*0y?8^sK0k(xx^oRHZAH! zPv{lI!TQ*UOvr~`*(>PoKx#GVqSR-QC+Z1mC2z7$Chse ztS0Kow~V5IUnGtPPREnqQ^m8^$O0}k9+Eu@Ac>h1=9>vp_%mliA2HD=p4GlF)dO}c zgikOH_2E)RY)vP6%{pg;&czQSc0(Od^B8*!F9wRag7LiM2tohKVqN!@fiO;5|I;VQ4S|$5{T+%MdpLZJ8t$wtu)nOFr z7exsgzmV|V&Cpv;D9l{>@-^9?-u6AIL4QGlcVc-~&+qv!$JO#dH?h5!OIKeTYiOUBM;>lOuf;> zE>@GQ98R~t-fWAqfrnO?J&mLkf74I3&{56{DBV+*8xU}@O7T~pHnROjE&e8@3efAo zK>+}ihl2`mP!A6B10Zf36p4dc0Ei(FfI4tc84l_Lpd%@uU71%vFA$&?R|rsYQUXlr z2TZA<0!+!o8McQj(E%kx&bFv!HNY^_XkZs@z|3Wx(8Hay>a(j(k+MxGf$FD6{q-gb zgdzuTs+-$bbX?V^AJffJizh2pwUOD*3%G=Uf7QB&fX{JkAIFYs_g2MK%&VM4BP?(X z_lXGii3s=U1o!F0yz2avyRmS@BwDs9viEk~GSKB0bN^oKpz{;0et{|g_13Iv%ba~$ zuk`LJW4e3;%TSW0cie*;(2HZqBfb~c{gzc)KB_iPXywkqAv!-RX?YK)USIcfynnSZ zL-}eWhVzOAJTCadIG~~isDO2T?tuWc>%51L05t(XZ3R$404kG!iUyz}sq<3|XeAY> z)�MfNCqCdKjoy1*#>0YG7|hpqdP*e#X?+z;pQ0U;8KRPR87(ckUdir|O7r@Q1bo zV6DLqlE#Hu8ox@j(nE)a$G^s1n-_|Xg4+#8ue|!uK}u&qMt{3;L(s@?98f3(6!a0z zxcrZ8U-HIhDZD?xhb{Yk2h)cw>1Z6U4+h#i`T?YkO4-H2iKHyAbk?mN_sk+76NdX4 zUuzmi4yFh866;qzN8m<3 zp24hFQhoqa|5uEE^D95phi3D2u6PfyPmp`HT#a@dn)M_)^Y{F(B9LkqcI$Nj-Y$&9 zM^x(7n=BRep&YKYVQL&uK_LAom{mYN=05_5S5tu2*i?sPV}EzTv5rr2Ohmo=2Pnup z^!Jp*afHvaHXOVCoMso+b^4hF;Kw+A>rgKE950ct3qe=|BzzbE2_NUrA!}^a|5Y@T z29`Fa4cw$$yP)tt!1%KGl#Ch@_)n~pH(p2vYJ0K}9=5ep8j^pEE{%3=Ids3Vm_tru z3htI{*A$tsJeKrNlITrDpm)%u6YC}~l=Nhz-B{jut=Kr3&dSUjq?!G;~vc|Fx%DSgu#p|4kke%%8-OLj|Z98j$x>#i#PVWs0ddQ&P& z6mY9{zgARSi#AopICd=sOil|P0E><8X^&P3cqcr!Y22{*Tvqkajp?esTA3Q}L*93M z?@sR}6eL_t)G5#@)Klwsd~d*8$@fm{-B?jV$Je1}CTHTKeD8o+sF`rO@v*$rDSh2l z$F&EVW`zYNVtX^;8DB9~R{jnp0s7?6)-A8iGUi|f5nL)Kcg-oVzNNgwdq{q&yM zkM6HR&6>KV;C{(gO+=ODo3xc0*XQnWX&KYu@4nVkRlA1qPdK%1I8Fs`njR&%hq%Yn zd~$B36+zI(84_NtDe?4bA+Ltv(PQAFIcOmo$nKn}^azp4%iuW8uVV6`ba z=DOFfPhtZy4N%^hguq-#qxR0~OawFej?s=WsS&C7`NGKqHw%B=j-5A!(4H~SvIDZ` zVTVrIbh4L+>-dM#{}1H=390~XojzT=bb91>wRRkNsRsM&@T;;ZQbS*3!Oh;}#WDL50EM)Z7Y z)w=vHF;Jj4MnsYIy3Xqc zt(4C1P#Byn#_Zsd!}ymyhu4H+9yu@5^d|fE?&R#@RY+y2k990o$Y#+`G()S|Sbqk1 zT{24_Y2|G|1D;g6(BUOQ3CkD!5ymP;Dr`fw9c-VIYkg>>nn8onCvwG4R`4_s=eIYR zH>EZ&$CU0~qSMdh(ObCD%V+*akZ9zq*YPEcy$*Wnfo2+?yZXEDQbCUH4H*S_tA($R z>c_bZ5?z4!DM@C;;h@PZf~T->X;2Z_qxR6I&_V4&D%XGJ`0FbMy|4yheck<44t25R z_j#t{2^Zd7x|&xC3m%Bk2C}}aj?6e)%i^TH@6Kxe#X|-%?D29Yc0l*Rpk*s>?NpTC z+~wI#-3JE>mF_c_11~=t4A*_Y&xnc;{eB-VsrBJ#%xzO60+-`z-Z8|xRz47Nt*CKv zTCzj3N3un-U$R}YThiqvcc#ph5N2}JTP~y4IihG?p`Qwsi0kzI-vk*^x^>!}>;6ED zsd%g*zEWAx>gal((Fa$hJ^!BKO*9-`g#HS+&1q<+{6o7hyk0Hy+q{3~#=QG8H%95t z+!%|$tjCGW3}e~U=k~{Jr0p9!XxeC6YTEWc>9_8Gta));Gh@$Kbx!El$5X4M2$y*w z%8NhDVd44HoU-5MY_(n3kJ@{6TquR@J;qQ0oBcnw@*C1+Y_?Mj~#omz-WEq>v?Ifi=i!1JcUw@6}lo_`g* zNPT9I_v@F9+>%(>shkU>|GU*lz_Qd{`I|GrysM}21CLv3l3l)e{&x#iAPqiuy&ONy zlt+5?axVy^y{LM6^B)Chn+fto6^P0idK1?nSEQFZ^{+Re1%W>**v~9LR2NUEvRlRi z@4jNT>}g7Nj}|(z=qoYv8vrh24KA&#*KUW_EFuW$TBm=3@!^*DWGw5P?=CD}dxH(S zfJE3ae?0{1X;z}OlOZyeTYo7yD-M38&a7g2wN;Maw(3~fELkyHg1U#TaL8AJ^iSFU zx$@K*{9^E{&~kd<2!nkLk zS=jjmbP@TxLoOL-b-$T6hxLC{+{?WvYFoA7lDXjfbz~Sh*d+NAOgic}-Al znT7eRLW9GLHq<6uopMB~j`%7h5GL+UG^?M$2uO)SzDSk&>L-L#l}ObA-!2$&;_gJT zO8%wBykklKMunD$hD&As-TWS7{ekzcsp=F~B)}Hu{@neXFHqK-mRlk{*LdvNj0E%R zqKpB;Rf#)~+LLpJ{iy`Cdd!qBp!5w}^mUIm_wK#<(DHJJuh?AQaGivV6h3WcHk@y0 z*_u*UfS3S#_NLS!Xfwcw%*|=XfvBT&PVp@SHF#}@!^3z;c^)wXy&3P zUs{oLl%M`efv{mB*wp7nduEQIN!z2YM?b-}Zta;N8ss1U4DK-eGq|($kKj%PF1Yh` zGSMh4Cm$18X(%1#z1MfLU}iLDH^Y8x*qf{c$(5mLJ**16F@^(+ z`tN@gvMO*@HNNiJvgPE6~opQW(k!YSDQv?=FrnXK-2(`{LN03rjX0$4iXG(=~ zkpmej{=|P|sBrv|p`r+6sHC;pQCLQ_t}=JXgeP}i?~u+Dn!nqINba)Swo+XX3Tv(C zjP0?Mj7W*$2x$eD1hFdKi>P5sxyemf6-6S7nLo*f-y?@eeD}GAV)j6MIl18@@qO{x+}&M- zMA!UJs~Zc?LR-r^g?i>CBI08#gIjsY?O0nyBR(<@$cBT-=_O2kuDxQGnSZu?_co%s zXa3mAs5Q2$_^rxh+-KWygLq*CPx;9OGL|)cOcikumYkribI)rvI*|1o# ztakJKXl|2!;{THWcTS9V5Lj%XMLK!4O{v6OXHjN;3~>EeU9ha^CvWr1N^~+@7YR4H zJ!jt1`N=~L9-m}*BLg~row7Ck9O@G$4a;Qh;!LJwlD&w5Bm&9VSQYQ?78Q?^+n5>M}-|5s&4*moJ%%93Wee?jl zUxfqCHDGCWWm08KWu|-3XgF&#yP#Ea>#&MYOR*Jt(G+|{4E*Mwplng|c{M6Rz4L(A z2dv5+;XJ?bi`ak_EUPRv!p3=v1cRo$koO^A8mQMqRSkHX7_3=Y2jDFx~u8x zRo|Smx)Z@=i3Un9FcK!PE-T2lOM(qAP*E)9YA8i|!Pkw0IH?4ey zEIYMOTDMc5{NRsj`{H3o_C3cmk2437ca@EnTb^f|*QdXNAZ?Lo;R?JriWGQH)Ubu( zgxmOrU;~ECM9KKUg!VY^1m1Yu^NpaCv@HX99o?lq57cd4n#u?D-B49?>h3R?zzjw>V1m}C_ z?noGay_^K8)iKoh)M3}j)bZAt)uGqv*X6vlkf{vZ9ECCfZ@a;clZoSvGmDEk6A-+` z5i-L_Sn1BXMTiO)7!MsLeilNA8Wb3hJwa?%^)`I9qU=(5)A^>^WbfxufTPcQu?m>} zqt^uei%4Y|OE8ps3b}k82oe(+qV7f*Q8|3kClqdC?(i%50xSV149$7(a(-<=#MH^t>|79g=u2tF{Jj;wN(|qK`SO=H+vBMR*0rcm zGjLAF!B8%e6B(;0L3=Sde6{%fFQm+Y`1+sQSfU^}ntToT65|;k-2IrNa`}Q!y7dXf zfTM^Xg#ZVU^)`YA4k8JYLypPZExy;+#^MD3XGhE(w9*_2To!`nD}19@D~>M2Ox?YN zt%&xU;*E%6ntb69d3+;+6MGM$l&Y2HzNDq zYOnX%cRZBX@mA$ThancebPZ#+ZXodc05@Hd5SpgyeO7K8q0+40a5nr7E;*<>rM zE{DurubMaaxO4-YvS1h~khVQJehf|hdRrzn4Qh+TT@{jiWdJNIeZw)cd z+GZXt_|7X=UN;eO?T8(U_WB}LlWEJJ>26z66%~BPAXnz3E-e5tol!o7ez_-)&ia|! zm3l|cNckZ4S>A{A zuto-%z?VlX@8jB=#fNn7(*^DjZ#!Mv^l>K@4C{MUPE$AF1Ec-IqC}+bC#sy*x)=Qr zLtWXd67fxKt_s{}+LOgXEAXztoRiqFyg<~k;!M(OA5CKSI>%F0NNL)G_G=5zBE5mE z5=wz199#aGbGa5KLGUi`Og@){;CB7i*1MvkN7yw3>XxQYy&t(1-xzc%IxLHhBWJg# z9pQ!NX=Z=^a*)(zfPB@$kGx&y*h2R~+O>4OqVB}%u%i0p)iPvO&wS-7m&cBB8STz1 zN`BJ1Rv0DVkc_BlL+XX1Yh~%Q9| zlOmDmn57%hDK-DaTHDqxgK~))EJ_nTNKXg?n3{oWR?o)Ak`4I3sLzrTrl}& zDrZlsf6h)L^&`$Me)?@>>L=%LJ4WLBh{3vR(ehNe!+wYnn{As?T0g{A*XX#L`w8D_ z7tXvtGYPmNt?R#pymHXrdwn3azGl4XT`!jQc^1t`L2G3RXGW9YC}@7~H^S);-2k(e z^vK_=bY2(ue9;&9UXr?x@rzrD&gVu^j#cWm`Tj)B{OR+dF8cV#K|8qeLA!k6L}7?9 z8q^f}qc&eAFJF{bT0@sMQTgOYZ!3|HwWP|WXco1tZo`MLl|ARp43$ggUJRA^t=ZdG zTKXN^XklLgwma=Y=uo7_nu>DBICsgw|T!#sxytaviOAiblR=-{-wxbPynsiRDFWBO`` zSu|$-fAoDsBP$ugxKeD7HVaoT)&DY+Yg(HmukB{FkYn1CWJ~7c=I&Q?;9C1j>}f`+ zuytMO^u5j2ZSiyDi6f zFKXhwnNO;V&VF>)Nm(TaSwng9kT&%t)#a|Nl9;S}Hv8s0A=C40w`-!^NyVA(ZWWfh zWo|zSch7)v;+AQ>o9T8!Y(6*!z8>2zyM3SWoHeEO+u6C*ufnRXR+W#qu2z|E%>lu` zqS?>R51(MNKJ6rVC)(V}bH3tnTCcNOdDV6F`XH^AFDt^3Z7F0%CTqw;bUtB22 zXjrLMR9ky@uJLx!X)0s3jF#~o1b7lC3~MopY5(-;Zr>GD&TD>OfFnzko-3$KxyEuq zad$6SvL#4goiO)gSGw`AdKh%mHivLJd?hl)(0Hm7Wqp78;I@%^cjJriINJKq{z6-k z;=Oirx|#a^#`gs@H`0DOY#YN#Np?rKk@}77E_E{%pX}~_BXyVTt{_*wjjj5z-JU&0 z$!*OYTe6d>sP*(go+M|Z{nS5(%f9$J)+e5^!M?aY)`#5qP_iA)cv!L>*Z4xR9nE-3 zvfW|Wwr#cYp#Aj4uF1FO+lrTtyHfP=uc@9m-#b`=l1^WP@cE%$c>NV$gW&m++}5`w zagFa{Ial8ugy`?i7c337t2^11&OWre4UW@>;E{;3!uE~(tj)a(fdV3+;m87K{3|v) z(si*qR=n-3xYo;R@rp-%>ZMUSrXzHD>V(xpRp5{^Hj6~4(#uFE*{i<$o}~pG+!(SE zHy;!F_G&_*5wtYd6*_BmEyz#=IH|nmVoRi*i7MG`C!#+<-c za^E#0+LQ;4QGqu^3cgvl0?g$9n0+cD_PR4kvl~F(1~fb!AWE-w851~gWiIe!wCgZH zGCn4Bv%)#wQ;^t=5*RMGpt>B#_7W2)}@F&6_VS?~gT$J|&ovEl71j2f9 z?%-cNx5i$3j0K^q|1U+$s&VrnW5K_QlE<8eHQ`;Q>iLDQOPX0L-lZ9_ho%cqI9f3!l2&AB~>UzRTZMtODSi zLf{mLj$!&hYsnFuN^**$-&3oG8o~W#Y*vX_rKmclz{jlB39Do}XlM#F{b>pc|9^Hq z3Rl&vESYUHazB&K2V@`@+uC>eL+8I2E@L`!H?4jDDijli&he%w?O9h;6aQ&)BgeYJ z4k&=81XShzSy2QFL@*b4m)uXx7&jL}$5!A?34m|*tN=6lKW1UTzufX8@Gp5k1KOSKS; zR<-d^1g>fl?c3)l<$)RfYje~JZs+BKSg`XWT|-i=yil|*UV--2IrEhC!$1jT=8|QT zZR!&4*zuhv+-6f-(o%Vo@7Z!d?2IA5`wyoFPIsP6zWZvT$lVy7ldv6y-o}QN(b$>C zfqRWWINlZUW0&Qb*qL}$w~#7-hW(mj^}=0^^Q$mWkS;@uzxnW zPAD|3NO~Xp{^)VwKn>$z1XijU^j-Dc9M1;(dy;`OT=z$o_Jwlv_xCX5Zd+@piCBDg zT_)gL9y=ji0j`I^F^-a3)={(W1VMGvvt_4Y#);(!54#x?FzHx%^|H)pdGzkAfcn+h zGW;*6=o!{;I@Kf3(VII7)J5?In>_iAO{R|mXA(6!!qf{_C|f97NC}7_6c7>!d)2}E zYbl5>9?qslB8LNU8f6-(4!I7I1BFAnMxV->eem97DISLU~w&pz* zG56q(ZH;LT0}=x=17aCU8B!T?8Rn|X%~u<-cbioFRr?B`T^Jmw9B3TK9he;6I>Ys2^R{73iEa4C~_*v8<`QNOWzmp!J50*RT?eLHGU+(A)x-f zQlDtu?LmY{_2zW97skyr0v4@mw^kbirdaeS6emwRM}(uOex~b2zCX+mk-2;krpXcGp&O zwPeRud-Zykj$~}9x|MNs3DWvBHY37+I(~l5ar)z2K~Q5L%bE6Lg!gq5Z~m0POM2+V zxwC6d+Sf793rY*v8hI0P8o{nV3=@37u?{rKoE1JBOLLWzl;O#Qw2!f-4x z?eGaDj;YLMAO_z(=S@FhzJq zs74@)KjX`ZPe`+hVC5pJk|^E2aED<>m_?vRphb{Ih((;gpyY<+2IM9he>RRYPBIQR z&Y)=E$C6%pBSS++3k{M79fJfwFrYk;D##h6mxp;rkJ$&p1wlZJAbL<5s0Abm+6BEI zz=Q+kgG};}xT^}|?V4kFJ^7@!si}&${n6xLpQ%}pZtXvDe_CtXf0jHTDATP<>KuZz zM*8>8i;VnAH`7v=`GbFHLC$NHr^*@BY08q9Xk-zARcR@=7aiA{AeY((yXKdS)S#<` z&mG_kr7@`=ZZpuA&Ij)+v_Jca2+VkszAYY?96VV@u)>>7bYDqGr)mJJ*SBU|RQmWw z+iO}twhzU*NNYOtRkI^M*@J((M+(uz=m!X%VRWuBKZ`h`BB4h5gPyKfJwcu zlEA+dwiw56RDIN4LzyH{P10GzoFrCF(&I#xgj1c~rh+}U69L(>nc8Fq6%yvB41*wtoYqN zK=XsoNk4JX1?8^ez%PqI`HGT#ZB!0m4R8!V+P6VI8vOKns*cADRusBcG!4O)DSM#q zO^kQ|^;uoOhLSOISI!&xLQS#i0Fg=gP7 zE>NZYs+$jO3cU@D3+tl{*TGhFMuQg{VwYm+ZRa7aLGQdSbnU_riZ9IBt^l+(V{V>va+o2 zLv_Nbw-wIWH`)+kK<|JX*5??Bsq{l4LdPb9I@b_BB5#CuNW6>TgPM4yz#4S>qVA&;-vAsh z|6Co_kD5#n6FgCjPWh%Dii_)0YyrlTelr7UGZ9r}h+WHJR*|QcTb+?{&tgmYc2ZKB z_K9^<;dVt5Uslz{lj3x$U4?%)xfD^Ww@xM><4d?`Wh$%TLXF;g5bFj&4sk%SA4sP?L>S7dAW`7vE3|HxwSE3X7gy*2>iFOCMbf zzE9~Jww9ky`o2i&vU9KCzi95KyNsFS*G>tC3RxbR&#vda7nhZr?=lPhNdZRrboe`bR|_6Nk$;SB-aprDQeccNS>c9%*pP1J zf+1+oWvmwU?H?h5;vXTyAK}Cwp~PR|Urm}n!md9;_&>tEKbqOhe}t=lgn|2+=7g8u zy)#Y6Gfq5{L^PU#17`dYy8IPp{t@c@5tjcE*8LTx{}HPG5k~(Ja{Li0W&IKAHS-S( zYZ#@L{4~Ush-9Et|43L(F&u`gB~kW+%~(7Mi@qjG^Q&oZ#D74o;z_?4Yf$aKntDVs z^yqxFT&0k`z|%@?_%R7UJB&3P_FwZoBN;~jAJDX1NW%}d|Fk7sr5OH=r}aM!@wbq= za%Expx;^MhTrM2f5}s#c>P0DnT?+~c*iHJ93F-SsG+E91BUsthqf)AkN1|{|%*y@) zn$n3%Sv4NH#4{;qAYgCnyPejFQvAOtX5{{%pS;i5FK5qc<`v2MpH2PGL7S?aS^w${ z?j}1pW1F_W4Cq>41S`FI6hP5NB5+OA%Lr*A2X{MBhluIQUX8glRnRG^#{cxf#Q+o_A*5*Z(@L52Z)0wBNOHVIV$s zMvdQn(diwB``b*u2hHHu7onp%CnU%7kPCSHuqx)%>o|!4J}UCo5_>pI`7ySKc7XIG&hYxfha}qd(DhK=P|~c56;XRO&Z^!Q9Y1*wi4~bgx+MPLrvB&5ktrVm5wXG4mC2W}*rk>kl3+}Ey zYmhPUn@k<^CeBnHvN2nqiqws!(WIs9CdRYn`rQ(Neph9E${P4^IQ71)l8+M;37y|N zKbM&XDxwW(7m&9k4KipuHCjlc>7HJi$KMuz#;=bTCKVO1)S~{R@|_Y0aDKNqSA2Y^!lsLu zj*^bF6=U%O>jz%g_)UQhZ(R1dgiSNseR742R3bDkFnNLs&<-F9umXO6h$m6mM1C};{60&*RnyPy(Y-w=P6H#40q0Rfs^VW5Uexj)fkRqP2^M6B&Z_;HDebXBr(VH=`Hp6SR4J zm)cI{@Q2ot8{pXY4?P&W4YMi^Us(zp=&nxDr)MAOYzrr--5^ejSqsz;g@YB!oy=gE zFdg*mn2PkZ-FxX4Y$XP<`W!D7vDE~L{3E6`YtE<2Ai7qAC7lE$4T!xPz{ezH7(m9B z37Y7};t86B)JaIybTVa7)m$>DAHruu%ObIfg>9m+({g*g$hjoL%iq+ChaW2&M`H5j z_A+6%A#F75gatLY=9MsLIveIm$xbaJk|CI=VQ7R52m_FR$7 zi^ERSP*T)AIP4VV%}LJLH?JD)L#(pUSudON!m3Ob+7{kg-%OqDZn|%|-e#m=>@O^zP&Cwq6 zBoUE{?H!R?KNJNPRZrop)=lMk2}pg|JN9qC3rPE}-t=JyhVw-^PCKaiHbDDRGrH?O z38$?K=q2)L1 z13I)G%Qt{eJiYtgoblUTY1?tXMy3JmRfY|so;em1uCy~mxY9B0Jclm_9Dh9_huaj8hH~usp%|K5SkzTJrC2WmY!XBgWD+D2S@%N2EZD1}v0g_ez|BHi zcSI<+nf==JXO!C(@)j1!9ESgM)N9uO9%Q6qJ5~~LcCQJs2p!Qh{Ydh3Hqi(jrX5g& zXo6Y;vT`E3S&A^EUbMJcrktkOj?@FnlB(q@u1+Ld*@;`r3Z-b2-K<)z)L{{JF?i9a zSTEb{VD){iO`(7~lD0mXM;p7@FR6|#B1{4h0XD%~L%{%{ZgNAxa1&iaQ9~7rsciXb z?^d!%N-7rmXV2T+-j2UaO0=W}`h^XQ?mzR#*WEA_Lc^mXCb zVUd_)x-U4&BsZw7Q~Cnw&RTX(BAu3+1bfnp3*T`@`ghZh9~9&_Bj9Ps|u06u?-GB!Ho_D*sqhVM5& zVQrItK&wJeL9@dSWWe!(CcVuSNG_mJpd&?f;B9HYzq^azQHPuD?SOjmlFD-6>Z=x) za_w=jQQanRFRQUm6i`-Kf%$!%A0W*@3tSkaWc?&B_yfxy>AXLKoq=0nIxK(ZGme_u zd^)dFPr(#_=~iUi0p6!wj5JkAav&`DRk&I>T$mP$OstC;<*-eiJVqvfF2E{hCQ!ZS zw<{GneBI{7_x8S4AkeU9FklSaJ5*v*`>4s zpY50q|14F5Rfp?_UV_$vPOCZJf(>^Y8N%`5%u)7#!kY~4Z0bxAJ}C@nn@4e5)=a@(mb*s!+pBr5GryP$Ny%fGo18 zaMQpf`zE-Ku6HLHpBtA>RHvC1!zX5A3UOnssY`9^FB_uDi|PqyxN;Z0)N~@PN|kM? zOBf{~`9<{>bsz}loO_8**w@{sw|+KFnd&ixiKKHfJB-9{A57I+!mM_cj~PlbBp~rc zZX`1?xr;_>d|@%(YwUI>XU}WDA71&tQ&jdHH~pm+aS+TzU#Oyn*{s_lqbxAKy#bCm z2w~EwR8hlsY4XWmtIaCnZKFD*e-=D-2%q)wIx4)ooL9WqKc8xSJz^r{prcGHWc2(Q|{C8c*m<9tS1sb~X%7(Z?wF zCH(YD@=LF~!ie|AgM07tyLRTV_liFLiX(m-4+zFv?Wbhn-)?*>uP$s(hn;Q5{%owX zegK}6^$*iP~V^1}Z#)N!4aZ*VvFJatL`vgjY@w{7qcJ5~8;{i1xI=zlCEcLVG)Hf$wz zzu!pG@sj;j=O~6&tsFwx-O>ebX_=G%m_#(=o-#41Alt{tDIrVDs8^@9s|>33qQ#8FWz_GzcRqHIK^I^SSc z_hpr|4r5d)thk{)t1rEnQ*|>cOJ6@Cks$v#ti;x|@V2y4uWU~J_%-_zTPs{bK@vN# z{l%D#Y7b@VfkHD1Qc9)G=1>*3Eci4j2bG@!EyE6%V21o}07DK+fCcWd6EqIC`Df7(`@`Jy1l4HatN1| z04Nf~2ZCU!iAzRAym83-j;H-VvqM{wQkJHjoSR7zThAWHT#x0OGd=4bH+_kLNg^ku zvRyPUo8MhE`us*3yz*g8@vx09=})5xG~qIMk~BL2<@yXNJP71f9)9Jb}>Q!$0om3*e3( zh@iQ!!ZOuo%pEBePw<30ds7Td-Zg|mL#K?`m!G7wPALp zaUET)PuT1iak+=qh(h8fU&LK>5_|k*G>FSlroCv-7Z~y_-qb$Tmq0s5SCDAKh^%Ih znsGvqtnfk9ydk+4)_6c-P-Le}+|NDc+|rcX4CC~AFRQfa^P-vf)<^2dy)p2^jbr<* zcpTB)6&j~*s6E*?Af>>Nc;K4r2|7{fTNuT?Gv_U`c->1a6>itzrMb_PF;-h4uQyKo z^y{c^9vPj=Q^Vfn205{!PZipQLKnvZsDWM zwF!1r@i94E0rvl6g$;c9Lv4amRXq0JYLGZw1M#tGtJxVkuY&rT3iSzgNQNsUBC|NC zOcU3%Pea+qgnM?}|IRgMshV!6SzBC7qm<^*3fEMxJh!YAoB-$oR$ue#S@V;gl2LI$ zD1LGkc#_B6yb3+2ef)iNdgU>xq4W=&W_yG}J#tEEIc}4~#!l1NkCGfw<6vnlBj;4( zuN%oDZj$AJT9PlYB(2a!LPcdh63~wcE-#A2nf8TuVst} zT~NfS!bi~*GJ)@(Go;M2boWTrKWc@3w)A39B%|qWX^Rq|b7U^^6g-5KlZ~v*4&$+% zYd0jyP;>)6v2I1e?VQKhG&kB~k|NoEa7C8k_9S`Z zJcHArKxr{&>0+s0xqT(10dv+WGJH67_&#J~&N+%-BkydPb(nN^`OM>F%b62*ETeuI zX*45z_otwS^VzcJFq-9wD8Kt%leQPru$Q{UK)WtyqnU$V-lm+<#bU74TN~z>t=jGo=O>Xvise=o-Z*l>Q_t=l6s+{+XR1sx?}CK z9QbYMMJ3Z-Sqi60DsMBXRjn^X&#G#~uqnL4r<* zW(EiC*A5!iIzrmj7)kK3>j*Vk99`ShNSW`_wip|R8=KLt2~dfSILV)w!C6RzEImXV zJRcqA*TV=;=4CnWRnSKo2f2(KCf5@N1usayKj^epZ+8*hQXK|KM^zUSM)r={p3%1vG_598m{9|hRS z@tJ3uR>8Ug5Z1VCJc&z;L|w63OAW)LALkKOo%NkbodKQgotd3X0HrLCG!6PoDYaYv zQcBix{eMzQo9+LilxR2D(&&;OMU;EZ26bi=bOZj(azq25p*n;Gfj0D{?qvy+r2$^b z+wl)xnxXg4MvgB3-ADs+5QBD7hO#_P?KBeg8zp)r%5kc3n(;SEia@M1(YTo6gDS6F zFX|M(G!p$okdYaCML5@{f6uW*+>Yr2p#Ya`htfT)7hE88540F` z5Ht*IsNf8BlAS0=eosQl1@AZRygY z!xna5>zKBb*2_d6H@t4`O!Padb0$puo8X@S)Hu9m_mF1l^? z;wqVZfUj{vmr%Vr6^JWUeOn)Z^l1u4V}*DC2SK+vXVZJj4tSKJnj5*3sk zHsC5{RW1=>;k@APfq2X-3!wkwbYkj+v&egtY*EUVd?!2`{q@!;IfQo2X-g_LHriKezZf2QTiO@u9cwXj(dW8+^;!X=DpI){cE-Agz<2-{)Du# zXSU6H#XEOh_094FTdG(9Wq=8E`(CGiP5FS|+Zg8n6wwmmfETBwGRR0w^5uK=!5L)T zKct^}hB1ta#=rLip0@i}nq&tx2Z@>(6=jkJ5=T=kfn4OpxD|k{1a8iD8jwynH>i_9 zIZpQ|<4;JI{w3+qqoUSu<>KcJ;dy%5L0=Bsy4D6CNxL+hrBCWa6BCd`HVEejJ1d4^(_5Fu;iW`Qf{dImKS#7%V!a-XGh<~oJm*rD{w z!=wHc*U8r9sPW4H>m`+E7LKd81MbD_!|61T-q=@-GN1tL3OF9&+@`IG68MH}8?^Bx zi!(K418H2QrDb7p2NmRIiH8D>T8A}iCSr#1p9t-Wjg%S~T_t&1puI&`8m7gRFuHeK z96$2?aSPGJc*Cz2f9Jt(#B2nGVgBxaLbgAzyyV(#pN!!T@PQJ7dZ#>&tiSK8O%-Dt zfGt|01Mk02uX?>*r(BE)M;836!Y3bJ8Z4{(CrT=ut-x#js^vNHwQ3$uDr_p8_UBo6 z?L&Hj0yjq>Od8Qa{9K`N&vO$-DJA9lu)dc+L^^8^B&wlC#2ugqaZ{nCGgJ2!brO*G zkv2?AE4|ZCf#IP}w+tZ631M(WpI*?|dG&Ki0l%!q!gF|?v5hVAyE@fe3E<9a?u3V$ zB6^fZhnmtRF$y3J(91avsvkHxi&i5Eg_hh93V6|cEZpG1;Q{vptbE;*A!+VZfV3{_{+AjDUKcRc?z7E>a^ z=LS=kS27W^0(D$O2SCDnCD_OF0^>0y!hCKxb$LSo1b1A-20$WwC7|PZ-|-kaz>2ai zF9Lv&j*BP&NYr1JSz|Gn(T%+>&uu(r1?{+qWwClGhZGX+cI0OvX$u^id{H^gV&Ejq zZ5n>DS|^tj661E1X(3q-K(vd>r2rJ+HjNBe0Z^3NQM-jCBLJ~3Dn|lPl-o2kV3kM8 z33O)FLUI&<-Y+WG0#J;b8shQ%@kC52a9aL#c?G~}y*VzTtut|#;2DD!r0}0wv=oQv@(6B@}q%l!P35!E;GH6 z6bX}!2!8C|qwmjvqHUOzkK*6=?!ic8se?^gWNS`OrzKp?iDzcd01es&Y*>=72wp%ki$}M&+x;&)E&%p`+i*#)B8DtxP(5-KNIbMDrh%5D$cJ6 z0|%lEkJna8LC`h7_O@6+?o$L}>yqx{#0`=16AQ*BBxH_j8S7{43}M(xWqh34K8Af! z$eaa4$wlc>DN1QXwM;3hLuTV~_^fz?%<$QW+38f*@7qOeOLC;hf!(SS-*SFD=t9?W z(hWzoyHI;@A=fAxMWTp9gz$UQ7vmT#dQjfTQcx9t)GX}a>|;h9k&R_dox-tDGT@Y=Rs_Wd@ZpWro%b*Og{s z-NJw(n~`tYb`K*rc1_fRBs)~I6#VgPVmVIzcN4zc&6PVpOSTD`+Z8^pP@h?d+Nd0< z*wls!L{LVsN94|hdzG{m{`4;>)Lyl=*`FBuQiXqF7eU07LR09bi-475u|pBLe4~am z>I-T~XF#}|i5HSzqE5S;CZa`dJj#v#>Uml$t^HG)=KE}awh#9CJOjYl=gn6s&*5Du z&hHI=h3$3}miPs)NLe$9(~1l9fy6OVyrTp^Uc!lEk;3qDw;hB0+!hsJ0COg?h{*6=?#`n_<`?gwTVJal)V=)VT%L~>6W#}V1M@is-D}ccdnngN z7w}QnU!faqY|R;Ea-383GI)OERH{=UxfKz}Y0Umn97K~-^c_)&LmBllcUW7Z%zo21 zZ%cr)*JcT{%U@Yuv)SZRl{9a_cz>8voAoCtEH`LSg61ua8Q_E9qH&`^Kin$2X&?Gl ztl94@vh{BLH$(G)EBsw$lh`BwPmnF>0jeFhy%L&40S*aHU%sKecagBW{!z#S*IvmaMYNR~qtai;YAaw8(IjPZ ztD26e^nk{(>#Qu<^-z*%Z=I|X>XB%u#K_JgiyD|X`~`_-TMkUrea$)gyZpEBOn5TI z@1;+k9qYwNp-v>z>hKsMgOqJi0o2n(=2g29s~V*SCJdhZ;`si9IgZdLO4GrZ9#MlV zlKt3yulrd0@WyI&l5^q6GrkbZD~nBtPDoG4PW(7nHdAvHJXdfwH6MJiB24oOcZ_!4 zk^lYV9y;8{bkp`ELlH=oOs%X2#8f9p4hvh=78 ztZS}u|6p*^kH4XEA&Be5pI^%w}*E1GLc~I@<}sFKFkGu zE5Dchx)Y|ulYo|%=|@4LI)TfGxTEXA#(Ow;U>b~R3~xJRl;+f1KoaCVZAJuS{ea&B z!L7osv`IZmul{B+^%TfZI(Wx+i-H1QW^`4*=Bc8y*+#O~Dv|SW^^&v>=?@a5h=nkCm?+p&5ZO*apevDqQ88ZpMZaZH7!*W{&C-&t3v6RV<^#kUk91qF5Md#qoMhwr(| z47*R;p6zETb!$;O89sRQWQgQ`HPxEItkJ^z%=R~KT18RCP(@WG1(4J!;}r70B(>n5 zBsKnDBsJ~!-z0TKByI*EsV!W?IZEiT*KpUc3UCU*3ZfW9bYD7#B+|bm)#~9NB(=`< zA0+il)u<4G8P{g21^YAiN^2ghYrV}W|ELg~XGGC@e5i21CxdLcY_;qU*~vhp*{QgI zh22>^o>#8Kslwf>w&1|X)IVsGbcS>DOJ~?o^ct}R*KX?Vp4&9JJoWV0nVoGs2TGoF zwqCaUE#Jbc?puu&x<0}2DyE#>u*Okk?k#Jf+G zIw}raH5$*mTSG#XEAP(D8^kg3FBARc4ybK|Yixj}5M>d8&LHU7@!L86W1HOSYGZX9 z8?BRia5t9)ekA!&e3+AvuWE7lw`D*lw3fS6kHUD+C} zTrS6EfiKt>U_g8Ym#eL(R*Kio#bXC^8$IzTudME@R*K*5_TG;E)>hfiJ7ETEM*DN6 zUd$4lpL@a@jwxK8cHwWxA(}hrangN^$tMYOg~gq3smTm|>C z$?t}k?G&7)Nl#7Q0VJ~aT8oMci;&17H;SgZVMoe!bAPCIeG%t3-&)t6S6bR%66h_^ zw`FcwMGMXFUv^fvYa@*ZTL-ZT77v<>jfK?~%1a~Imn z%D^JpIY)Ra*}38ylNJIsmezMU$NDUtT+#_P7n;9ztCcObK~{TAbw5a!EQ9$SKz(L)^Od~DqmJDU&7TA)t64(;kr-U8el?!=6XB@z~h5S#J< zfpMSm8-;H?&2V15YbgFokG>mw_ym|Qn2$k6uWO}9C}Bq^cqBTwtl|6nILU#uJaH+< zLZsz5ObWAPnK6oLV?3=J=;e#qHj5S6**55#8rMqgn^c-)afiybwL9eu=at`ODEGBPD3KBKTNL)12DTBcRSO!gFSpu z@dpAsb35b4)rED;25#pmV>km`p#?)aJI)fHuY+RAy$F=*f#<&aI(jYs$&p_8GS2+F zb(pY%a~Q;;&?GO0besUyoJ_3)xT0Nylr~3N^>mH$xF>D;n6w8XGW`W%0mLnoTR3FI zVmmC0;L6c=DaNExt<>tYi7vN`b{FbzveTh%_sCO9U`ybv5Mzj6m0v?v-CZ||@GZXh zrLEih*k0?^9#@Ei25f^`t|0oD(@c(}&>7Hr&?}Q#m`9m&UUF?4dpLhC=OU&>P~}x} zNFOT&3*EEh?STGaO$z1!6_i2euViDtlW@YtqR(Y))`{|j` zFeQ^gNED!HQ*+BfRb2>Rlcq*BjTz!fNUUBu$LBrfLuwu17Kexs=1(GOGa#F~DJ=Bq>g0PK32Swwrn2$Fkq*NSm9;;T8(^{Ycw{1|QCOVkX$mm@} z99{X=@Hp`N)N=dP!}zVg#c}XPRx9I+mP?4hGNXGxJW`GD2FdJgOJH!?MF*9~2@0Ma zQ`wn2{4ha;VWM|XJeb@?>qt*{lw=gN9cw*EP}*y3hwi3Ey?Ex1z*hpDbh{Kp3PzWG zeCmp!3!;98H3#`X`b%9Ak!9xU_9eN`SWr__8}oY&OLP8acDuF4;?*WG)H>TS=k zt6$SR#K4m(U&=x}sv)sENU5bBY4%1n=N;?dB3OyHzx$o_J@2j=8{TT+ve^6944JO7 znORDy$A8B=Q(3w^1PHB3P3rkOs$%1hv<0r0)*uDd8lq>eO0##=#S|U|gleKUw{wWd z8?vHMP8d|B^(;=Oq49Q2LASY*+Q~^)LJVSqC5cJ&+sBnvfY+Ackonf{Pc9JeNn;VXB{gKKCCNQqWZ&h{6_2bih)vu zN~l&Y{}Jm&2aadVo=0+`l0ZUTc{gGJ@x(lrL?mV~T zM}sx)Ge2S-;e9mjI)d8xgOXMC$*l8}8qg+&cX-mQfmI~mKz)}a!;dT$XI?x z2*&4QJ}IpxJKJC{^KPZndfi3U+FHrvkw5iP%pP?menJ5jQJaKEykCO%-AOWlYG}b%jm1Qds;J{HvuoS zL3`9cvw9GZrgW3KtZz*pH6nu3q)UE#OaCv%{xYhquInC$TihYI6nA&GQXse$cZWiY zyF+jY?oyz*JH;iq7B958yF&?9{^@l;zxVU|fx$>dPR`jo`)?Jw>By{I&=1U6CB*>s7RJGK`czec>8r}Q0R0j%~> z?bCb%Va2UM0~42A?sM87T*mi>hS+4o^C-QvSTnV66J`v?L(&`diy1i_l<-&Zh23wR z>(9Kjkm1nfvr9jxS)>%k7R%cIS{N>0{K(4Ko;!Phy+CKv52%x(^pD<2bi*Qp96lDlKRgpE+v+B`meqTGxLc4Zh- z)pX!PwzDhNQLyLKZ^AczR!ZTCF^EB`RUcK*%#f=8ZKqFHl`b{(CC{Jz=30Dl-fssM z6Agb%M9afvjEC?L!j+ijH?M0ttPrma!OEf6`!L0MMTM9Tm|T6niH^4G@q`A<{Pi-z zh40K~tnJ59rp}cW$TY)0n6l`pNc}dEZ_d+GM%tU;bB(?*S=SB+N2b$<$h(N|fnSBc zhrb4^o)U(s`Ly87t&L`cbxn48{wNZTO{D6J>xX3ona3B!avWsC!3M502o7k(g_$Ao#eTzM#pbUaf(V!ZUSv6M4K# zwA#LW@KWc(=Gm@uwEayav<3VVzIL0$e`*Y>PT=M^7qACwsi8fbr?A z=l2NH^cx0lqa<4+C2tPwXb+C?Hw;I5z7~7Bq~BWhsCKLFW@$Zb*}q^g{9?-- zJ=rHY(U}{NX0qo8{hf1HQ+Z`k;5YY8-_f4V@>84Y*NU*=H}UXpao%6oeCh3%!yKO0 z&}ztVw10W7>;CCyf2jK$oY99(zp8Angl~)w@7w(N`gC3~YkD=0jRC8jdv^?IQMG?r z05Zb}H)a;$ojzK}uSx@qDTlhv0a>*gV zBrY&f!ouLjB|I5;La_ZO?$hyglE{IAdmOJ%UXx>XVIu1jO~U07S4a?zcj(hwi#}8xn_^+S580=-_Mve&Q+W7f#U|=($-P7%0hI>%hOs91AG}=;MM*bk7U^4f)DMDu z?QJix*a7P+AOTIm_u4SIlL*@>7amlobl{tdoI+=m}Ywe!zAFd2bU~b)3l&*gB8$-ng6-|rzREV+2mvrn@ z!_V~91nGv>Y4#_z;hn$(8MU`3wN2+pZ6}da77;+vLWYUY|4|X)DaYSw8y%KU!?DPI z*`;w*xvvCM!TCl^UHYB9c{D$38bgLP23Q+##>ULqO3=G6_edBJ7x)q(X}*@ClhqiS z`8}OPG+SRL!kuo_EnUzl4Y7*Nzy@bEkFW#Zk0l3iDKbwPuok8lj`K~ge%R6pHX@1R=&pGELxuSB9r^#8TK)OryWw{TotA!ie zGtW9JF{oV>`l^We3osO2iQ?2TwYl{C)8vm+12y>vdm@-Um*5a^NO*{QNC(^2lHsUF z-}S$wp0w_NNj*QzpZ&k2{!tS5UrO)YMDElne=arz)x`r_?7OL1|Xvi~;1;-6mUCs$#_|Gvg!!g4!!!kp&Hc$o!tJT)`jQTf}%I^OSMf>Le4W;V; zhElXL8_j2Ix?DoI#%Eu{Sm}Xc+IdNW^t{e_+nYsq&0ehLDScpMqK=bbo z#%^Y7VIIdqZOYVteskA4<9vmWg{t`W3oyPWV9Y8zhedK=)(xsy;)yzzvBQGhVUgh77z%e4^ zvTjPg{5F~uC0Yva`v+*Qj%d`Usdqey3vp}{S8}-MMVl0nOTJ`FuqHpKj)u9i(o)6Eedr= z{wB?4T<;!JV(5xwe)O%f7ob<7hCf0c+?j98&>5QSCvT=_CS#^Gmk=tRURP(J8f6J1 z5uSN3)s(&`3fUmSgnLB7ux^AuGz-1`&jceeeU*Kr)Qf9x9KN#LkC(Q6NB9o2;ff}E zVn46>i$?{x{GZ7j{R#gx#lL&x$Dr%miFQ4Xp=5G-EkMIALNh&f`fBj^-FeU$T&!fD zW#npjGkvEl+z=c+TuJh`ch8N%>uChr<@n{eL72~rlNqN!V`!<=8Pz#ws7@K`$>4o; z1!9Y23$zPVK9Lu+#KJ0(l?n_CyHI^9$qH59icK0U@?lDEMFMF1P`aO3<`3=p= z$$KDg9+r)}Z58}$zQPu>6Au!kAqOjf{+0Whq5V}jGBbF`I|pHVM_ul#(x2M~n=KS5 zuEmocl1H@Gq`Nt+zX58s(y+>mz!%uw4i4zBf>xBwBdT-7p8q#hX9&%fz3a`IF1e_= zv9Gv)(R(H00WMZccCZQ+f>Bzb+FlNgy`>{n^g#_KSU&@{=8rRq$L?j;+e}2|I6(x@ zX&$~oI=(fZZx>$&^KUM>&jUvfd;yuUyG zrNIhd8e{<4x*rPw8Fab#JO)rFQ?5hkeFZzvD-ZvSZYcz@+ zBy61o{_S>b6xk#gVmA?xRM3h1!gihPzlOdb-&h#{*?9jzD^NE)?Vs~Xg;n{=zBq(_ zHRM!5@Mkv&(Q?d?WfBT!GPr6!JlDFQ$~io93+f&3?nb5NJKPt#C!QHXPj(wM zer7>syl!0>Vr*R{9OSt(;(W9VP?8m_V`BQP1XaPPiA`o zQP@PQ)=O*30u)^W94r`XVS8mi%0*I@3m@mKwiTS-RrFn=Yfq=ENYO|62`cTmCt4X7 zFy)q*c3OW-t{vXc;+EJXZy;rPCu+ZsNSPb_Vm!R5+TqnZCE3)McL zai~q|FNzP#GG~aS^UEzv0>&B5WA*_^EgDs&4mn!^zolmTf7sl`t*dF#qt5RlACZ-P zqWPA}+)6C0yn-=A>=OGw>Dl;fZ`4?y)wIsM zlC=&Jk{3u!LW#8qF51?SPm+nce-yBtuy5WaqOI2&U&`=Pl~O*xsVC!Zmr_>?8VfR$ zx_q08!fD3NT^}5>*Ja#!8EwBya5EuK<1~Iy?p^VG$?&?4;4&0tIP(;>ol6rLwthih zG1a@HtvFft-I?Z9nl@=^n+l1UkohOmcjn)IvzFrM=8?hz@R>A2YFb-m@^{QOaGdmC zzs+~)9`cd>^zW*?syx742^tBalb7G4d|O=EELOwe; zSyN5(Exa%z?92HUl7J|4#8?$=UFsBpK&UTGam8vcxrRQ2fwwRtFZ$3~{$z437VT2H+v3Q9(#lI@J=&{!D>bR>&JSeFk4O&?`jxK*vZ zuc9XO*J=W|4_@!0pL1elYKs(h^E2tLA1M(zIe^f-nW#-(h*>RO40=p%-T$=>;Xh^0pXg#}Uo^cI50Ac-C2Puf z%}b6L0H5n5x`XEVMqhK8UU1LvF0ML!;a(wdv_pdK;!k;%c}s$~r=pv8*Q;ffeLDIay&i71ePUx{eKUbpqqcq5?TX7r40GsG}bQj{Qwe3b~ zwb{pLs??Q|)zNV`Z>%Ibv!h9x{`YUBva&!0fsTr|xHOfTKQSx#htGj&RlU3| zJm~#)1eU4?c3mW*6VqU z)lCabSz`&>9QMYtFMq~N>g}jzf7tZh_vejlm<1U>+?Zv6R`A7^Fbr(gMIQ|+NO$%# z&3Tmyx5RB%r$ZZbp?_a+^WXekGB=8)OG#biwa@;^KHuWzvOe9OkE|J0xh1U{ycgwb zRtGDp;g*nP9S$bzE%`L{Frxe{*7ky4O>4W(mve^!l~65X4*XpeG;I6BEQt47my1ch zp5#ih*vxGL`!q>K96L+pi^#9rBcsx&n?~3+dRq(@g9Exkm5b&l&dOe2(tQM4ptfQe zA+ys5nls%)`>9iD8(I?ljMAJrQUXO^Y?j#?^{sg)@_4|@yB)bRf~ud>C4W}D3QIh~ z<&|>PZ3yg^`grSYWoeQ#9wPQ?-G4!9V>a;dc7UkXha4ak9nnY<1%=F`P7N`v|5>MM z9obL4ALn(-pZ$=r*NZ~Rq(|#D%8-NTB@f{sQu7}u@OVsb(;JHlICgAft%S;Q-D~Cv z^mj`CT^0n}6<>hH`GK6tdbVzZ`!UIAihr1dZQNvxr)e3FIB`%NbIOW5w2VKhKRl99 z285Naysk%2(MDrR?3W%BNwJselXed7LRCF|H^G>x6mB+(U@WwE{&(vGfy^*IM;H4qZ8H)0_0ku zL2*U+zT&erWF2%7m)YlkiI^hKu{n+xDmFasU^=qo9b_1@NuR>a;om40Vi@Ld8P=}F zzfwj#F@B9Lz)Eoh&GUSR3;fE;2elPyekRC=*Bx~#>K%X>mQ=963Jyq}|2-1Q#cO{m zOM(4acKi}a^J?-y+WwX6&B2(L?k%C#g>v;#wJR5oQ@$&i(IniPozY}EdLGxwpDJ(* zi+X*vv6Dt_+j-G$xPe5UmxsssK>?nq2*e9v-U|1V`8{FudoGsi`y3dJcYKN|B?MiW zG&2-rFGa8w{<)h8<(PxbA!o=lGl`4V5!hLBrcvl9l~JH+CzteHFhjtu0nY}U!)*f` zpZmcy+ia%DRncr${B^3txMf*|S71_4&~j?-oMkrN%&@+snNhwoL&?v+A;ZQf)5UQ( ztE5}IkPOnYsc6RZz>H>dN$0XByL z8kBTIrDGRzyQM&a^~qnC07O75;>Dl3TkD;984CB%RMCiN5TjFJgrJ*g+b}0OsFKqm zSH;~G!vVJi%>ZEvgeCO>ho~8kW*3B%O+Q&pfSv-(JhB!~#n zuB~fy>hG!iwE09^j+2UhjL-rimEx!Vz~QZBS~8xd^w{4LQvEvoXy4i+lJ-qH5oZvw z8$==1TA&mVf^J}!&eimYmeS*_kQmV3^U?LD?%A96Pr9TBbhqg>)sI$Q!TG`oVP(x4 z1@zfR(MX*DEgyC-s+WApMR`c;v$2%=AC9h0BWkBXZHVE^k8_TtnX{VT zx!MZoWNA1qcQYgFzt;95ax1xQ7Zoll%0GwqJghlg8`Gw18GA@ska6C>Y6N- zX#j>G2S~h`?r}i*eRAL$w#+-Ucm$HMc4RGHxzyhKaXm6^urrq1*B?YU11(Pn*ox(w+S9cN&*V_bfLr>EtKAqA?mn zoL^s}4dWbnMX);7a2dhpTt)L>4&Dy-MxrF~^tS24z#?QRY`tyjqR1)YB*?gwvY@ID z^cB1@g0v}Jxf-w6t~XjJ8?)pvjV&z?Y6yrdl*oJ9Vd|taM7P3 z;V`>r6$0eq(wx70)*r9?9Ui3wNrRGIE^Rx>uA-g~NrHlKOtb&UWEJG%c%&Wm7toMn z)x}6))foU67D2V#9X}3zi^$%OfF1cd#tsQ*rhu)aYYep8+XXB9*=YL^u#mQvUN#wTyM|lU#{}qen5_@Z~T_|>6BzWis>No_JH5@fLW;QlKncU4aws@ z3&hl9^_5Fc`gh}WsC!|s+BFAsFfE9p`Y8v&zx@5s0fd~!UU>41FIhW~!YZ?R{q+#k z+v@!ssb+L@AVuV~*&0OQ-n7|0ZAw2VIRYH&=gN5-Pj}_x{92kAw6EWX{4fwOkV~GA zTsHY@e6hRuF{v`M_iUo=YFc0OWl+Wc#|O6W+Xqr0?0P+Eufc)oP}JARg?wa>XU)E! zl!$SI5K6?8!68b-oWUYWMA0sGzAU!Q6Z*vVTSkZw5sUuu`p#L!_k4trpa(YMEnA*P z!RG<(8HJvxKqw>RlQ#Dc@<80&4@tY9v z=wRFno8G>fZD}B(RePGIQOQw0qF3Nf7f|wGIgD2l{k&axy1rem%}xYLmij9nu`2B{ z?-~AeGfg%KiGFPQDg*CC61}p0t2K!5l*bF4zlkMs-4tf|`$d0dP@8E_&U<*O`@X3l zw<5lx{e~Yk*NSHkGK1% zU{&HcJ_hsVnPt$d$`0}H7lK~lMSo#qfM+y0A_OsxnmDb_oDD+kd*&p(_~PK4zSof5 zD$lhmDp1n(WH7=2DUDR2zwj0OD_)ACYaT5);QQcxetPgwJJk!_&bz9apxps>uaoBt=FkjsO4Yi0Y?5U_XU0H ziv!mUuG?W!^xSghKo-q9HS_j7NquI);)qS|6jF|B%*yhrx~sy5F%rxo;uJchh_MCE zleVb}82k>U^f?hC=X|_HTd@!h)vpiN=Niais(N?ucIgS6A9#8J`uz7t<=F*jT{4K! zY9sh?%jwPjCwlLv-tbstqb^I$^B{)4(u^sp90d9*t5#@r$e&*HA5eay(z5*3F*5M6 zleZst9{b}hJFsQwi8nG=c`vu7As?CXS@ZULK5|nX^buZ$;*wBzpS@a<8_X4*W}u(%VXh2F8?oO{v|us=86yN zB^BZUmwGs%h`rE~n`S3OQn zlFf7pE-vF|CA5&u0i8lZbO$x!(Fxas`cVmP+bOw1v{!a{(0ALhxlkTPi4-V{nm_!N zgQ|Z9o~e?5K4V~5+bud?Mu7P?a`eQ}Xf$gt6H2A)_Hk|-NJl|Eg|RlYO@c9gZ?FYd za5p-K%JE0Eq3bp<+VE!Z3}?h`TSj%2!9^~w4SoAOw~dkUJhkl}-euuVdi7owy7V1B zuYZB^_M_l##)Fa}d%`E-?c^v@Yy2O*GI3e%xk#$VdwHp%$E5&n>zB#VSWT|jY|Vu( zvk)8?r6_$Z&IuYF1UpEjnaVL{`BKR`egpk7;VFtIszxjJ9mV45ORz-lIG#{7EiaFa zmGveahRewDo)+pf!T|`qq=WB;|5kTyBdUWSyvbK~6`cY_L-)}`>Jlmo4en~J3y={G zDJoBdtjMNR;@;TT1IAGoGe!_CLHZD|nE{uq_m&PoGNX#8u3{Xl!Z2HECSA|5jCYFW ziLj#R732QY?rxiYu2`r93EwnwW^5x^MX5!dCLww^aN4h!&+-ddUlI&{tZmwu-MxR7 zF-4p7S2GjZW!!eNtg&apIPcX+Ywh@^u77NY-zg$hQvjTy`-IPjViAVYUhpxG3mUZz%PB9%~J$VEqt zkAd>|?;_~TTq)fDf7h_{|E}T7YhIu8sM_Gcut+rD0H%?Lk+VU%u!0RDFUSs(Y^G69 zmB}bGiimfujO)t$uq41HXxe+T@shl~X;kvb)FbfRVuKLDdgFL&dGEc94ovE??@M#t zvaHNBcVEJzsRi_xI=LlSmdzWo3s*_OV4e}#?U`_ZSefE(wa$?^fy>t!uf4YO+{Kh8 zk>dOKsFTnh$&4VXGSW%??m0h}W8gQ+zkgcqNr8ty);`Mz*5i9@f~fz8v*B;JQLp2q zQXU1mmblLOFID?Rx4NIbcjMYfyls11{4m|x0Y46^?e_k}M;V3%9vK?8?&aFk+p@!u zaFk(qAbXkVbcKMcj=?|hNqD;={GzJblG7wma*wZiR3JI(5-EJbWP7G?LC(2Kf1Bmx zFdZaYwjrnF&e{erLRTcq8WFcYl5(y)haeL1DP`*CD`xWb7egNAtpb0RQ~vzr#rII; zYw-?E>?QAgugKq?ALZk%`G0$95%XV|DRWYq`_G9pQx^k9YVt(QZ}ATms-9HI#4U%b zN!)BE4gdMh*{+gjCxB&Ab(EFS4c|bgSWvPn z7kIw5Y*^r*;mQgQUa z`+vy$hkp3Xw+bx|iAIkpX5dQg5i2%M>Py=`T<%?)WY1L3%6 zMrB$dU~$pdq`LhS$(z6KN^dxk8vHPvv7Le6z@`T%PV>fW)E@gO`vpY+(J=Y!{de|X zwNc`bp3V1-w4+$OfjKrZR){0pY7kh<5e6Y5BNPt$&WKaR?zJe`O@?|-?-zC|l{Yu? zp*Dad#IPtAi6Ooq8OLQYAzW&)Xd{Y$ZYjG*wcl3?jN=hEBPbT3J|mF#s#f|>@nar>qI4^J~qN)#Hz3;L*(A{yOVBe3(b1^K;-A| zP-B1}DBvn`6MK*{dK9HGtX%YMP%h?3pdux#uom7=YUTiFN*Z8&i+T9D$SJOe_wns* z_iO?%qvsEBFs*l(M2v{53Na1D0=JJ3JzfetZJ{}aF$?9N-%$FI|M&^C9;y~7`bAb? zF!`w^Zw2tHbke0n8AW8ljR>lGwMOHM(0$xa>A!K}JcLv|AO*v=7`~&hVadg*hNzOl zhSfHkQosm?4a)#HI0ed^{j`s8144vT9fEN7{IN78Y@m^kHHGhG2{ayzFw=Y%fU;kS z(s&~J!N&2X_f!4QzZL;*j7`OD{M8F;Q{fS6u^CYFSCq}h~8*oNIEYFPgRQ`vj?<_ z&t}y#<&jCHvnZBnKy)xG=^2-A5PT6lkTTAZIQGKq7NS?kJsQRb%uEELA#upn2L%I) zxG{I~hTnmaNlAzWMY$Ted;(hxtS%af49z_gq*oqR7M)TOPb9;zDn)r2RiO4|^k<1- z=I4ZbL|wKK(;FvQ8}EZM#PcG`n`ws3XvD{rr6`ARzp>YbT~i5ko~{Nh(>wUTXJ`x` z$l8Wl5oIBmZM3du&sD{=i;3XVM<^YNrzm#36 zTm-tm_$Fs2x*%X)b8-zI8WdHBYSb7_IyXfA#K}?MV8{%J`i2@tF}FA!%)tWu)vU=q znHy@9dx_~`yZ|GAQ(||XtD3Rsem%odlZY*R3~txpN|%i;c4vC)X`_;H*ZlOCE8AC& zYG_}awYhY%VZs1)-Mj#~EO#RXPFtVJ}lXhIl-dusb?3ssV_!BHMqZuZV znkwegB;>8x<#nANj|=6u*!RciLQi+rsHCrOzOxvP!PjVwX}ew8VldG>_mFka%gEuY z4-I!$iXmtAtjQN=zgW2rp^3+Ffwq|5f#I5PDOQA3*|t-G3twrsPfwhMh+^8cCetjO zJ*swF6W8Tk7~6=%ms7=)RgT>mfwLP+fMjDsiCOD^9Rp06_@D`(|bm4yXWquH{`^z zR`Wpa>HukwIV9><;Sjdt2fc+d7e^+JvZ6TVUFrfajSZ?0610a4?8DCai6ru~3>yzz z(>NdNTye|f(!2Thjr^nq`G&1#Ru-W&ckkIek zFvB6At=N zxH8i!liZ5Q?%9H&Z#{yFM=7WAXw~(WE-=t_ccKqhp&3b5xcfo7y7?`W^G*R@0~_dvvBuE`>nsWexwA8f6B7k4Bhjk3jLh5sl^k;~RJ01m(GI!`m#l#?s8T^4lAVLwS zILs2KR9T3_{sR|tCa$uQB>Ic8W!vjlJbq3+95%G{V$+a7l6^|&wYeTz@1;%Sp?*r< zi4Hgcgq)a_2#bk{Z_9udRv0){@0*2h)gF>6lPb+*E`# z6Ox4ptW!>^_`PT{*KLt#GPiA;D8uW)8ex}|K?h-%ciS>~ZM2Mz8Ew3b=Mim;YO6VT zUJ9!jc-Zg7G8rkxqo3$0eniVrtL{f@3SXhHn_fHVs$9(97s1exSI~8x^LFtE^ACIC zM*Ec*#2&`#o|TW07qQ0?;vf^L{DOQOm(Uq(vB&KF#32CcECYtI%_+$$ z(gO6;U^5*Uxkp_6FBhEy1!_^g6V(~<7Y#i~@6@rlO}o$!$BTwS zMl6QOPm?&c=Sn=a_ev(b;G;TyIfIN5`vSj{f3^tVj)wI8pbTHkCmJ&+^unx%hppDR z$N=_`i8F)vC+i>NB+K(Ol{+fl_8TQ(YcSfm?(rI_VbGDds4Ld8LQi`uvKn?X+g1r;Zi>j@ru;qB#;AaZo_9YfTp26 zJdeYNhD8@r8$KP0oKq#O!JJcfe1H5e8V>v}kPSJ?akOx0YDxVU{d(>BY3va_wkpo}}(c#LaFCW(Nu) z!4%xST1fF<3sOj@GR=&*q&Ah@xU1Hm8q{Jl0h2NL$ddQ#L9IkGu)7{%0z^=u`%RT~ zB|FLk5&>nu%-L?0{lEM-@FJj%2l>{;8p~S780j`?R=+60x_)%}dLLkl^)l8}kg_=S zR=|Pni)WKUW0vKaTc}EI$$X_E-HFU5hH=7ki*vFD9vk?4wsmaIg+6xl?h8=9Sl{gY zgVwRNhV$c2q1i>5EMyIaK`gB%DhzG($ZNRwSN1KEr!`N2%XIyYI08swa(co+%En4p zLU>v;2Iev`4TjA?-TFrHsG4Y^>mk=qAN{GOMRMZ!2zj3a9^MeiEl)P-o6m1iTHXmx zgP6znVcSmVTQ?m@|5G2goLZ)#eouB0=QnZ}v8e5onl_`c}G zU=lF8YQ}X?`;HJPt-GgO?<{~= zBaW|y(8v~Y$LDMll}T(_AC%fW_93Tb)ncNCudtRJ-~irS5_0sx`)ICfm#50xAtP&y zP16}b*VQeMC_&oRq3#5~Pod>B5Jhr~DYzQNSM*bNu0wt-s?B9|B-QzUAqd?DMd4*qCuy;UDF$ zx;=Pyxm7?R7D>1g)?Et0J9O1z$ux>ci^J&!bPiD&lbBt@nGZX^!DJ@~ST3!{2PRpR z2nnD$yZlOUUm#1V3rLVW@Ld{BmCW^??RsA^Y)U+Ema^OOByta#OCc7d)-p!CgDP{) zC%g()U(NAIwG_l$_VZ6~7W)lX_OQG2&~a$|hgS}9-O)r4 zP(Oqj&B!!`Wo5Bu+_sY;{W^Q<&s+8-)$~_P#0R65BBX#&Awpa2hQP)nu3s_qh8b2W z^(^w}-w_RAia|uObzF{IQ zR2D>TsqO+*btUifZTX+|HCCufKiL&v`t=MoOgl#PMHE*Qh_He;Z*)--U>{3`sWH@< zM3bm6)(64JgzDGa_uk%m^LEeTWx{tTe@c2oBs$Ly&O@Wz;NnAL0?+L^&_hQYFzf#C zDF1p7zap>BS&r9?l8vYW0`|Kt#aY#4DE_n@L!vwS?^Lufl$vOkh~t7TFW`AJ(nDjB z9URMV9k}ILApdkOwC7bbAYFlt@B|WvaEEO85S|S`zIaJ6?Mhg}#gBEdtZUWA2-YTV zxYzCqqF)*1c}p>JE{Be3_!_CzNpkb@Gn|Gl3#p}citEai5k(KBJxbJFF1iF^=)9c?lEH0Jbh{;mCm zX~URfL)t_F8cH@4DZ{7_R+FLDnS?f!8-51ZJ>_>{Oq-~%2_sDI52Ur=fteMTKS zC*`wL%C>Z2=9Q5RT7DgTHdO8bx848izXN_BT`k_(6HH6Iu#o61ebzFpB3J+vav*7o zD}52O`&5v?h!Qu)2jC)ZcR@)5xHv@FYn#^^&$UreAL6)ICODRN_H3WgoFfEac|UEQ z@jLbUAa9Xh?u2-aick>7?X>s+r{u?@I=E#@dm33XX7PAv9MNv+@GQ$JW~WeW5dWv- zedsPQ-$XE6Xf%^eB&=5K#d^s;5|nX3`;M>zB0st>*x-NZDTjxA+mugC`b+#+y<|z7 zJ0rKV0OoZv^O&A;qlVY@i0XP~>7w>@=pf^DWZzV!F#1Kkx!#pvld3~F#&m;3GclzJ z1Jac&E~s&cufMc&YKOrFyvJ;fCVAzb-&;+}^{;$#Pa&Bi{AH1+bJ9efQAQ zo|&ohdnT92W43Lc8A|gl?1y#kIw74xO&mt$-HphX9xfrD*Oi!;ti`1tHP4=?L*Z!~ zwcws~fJy3qn%^-vA#k0H3#>)*#IDR@VTJx%prA= z+RXe^N*n@|Tdb<>&rv2dY6~N7!iP!rk(B!!=iXPGk<%1GjRnh!J zI0-9XLFm;W_%YA^_~}bd!wx3ce@V=br||qu(vt+P;e)zD0s@JYj&pUM>Nm*>G{M2F z646%oi~>$D3{L$%G@KCxZjb~x-O?T+7b)RU8^d%~7F<~=VIt=%x^i4C7-3B4uhQ>S z0>jOqOepXYb2~?d58Ys}HN=TvgM)@c9;dJt&mUO@t8N19=wy~FzJHVk@OVKv8~_ES zECTip+oH%yP_qqNd~|rr$CS|n;jw$;^CLB{nXzPAUIK;!&Y>Dwe;Jv;DM3?!fKwT;<>R#MMhSgaD}SzMx>+4hoAIoiSF}g zJch1LtQJH7QJsumcrBbl>YLx-lva~)<%|irNro;x&dt~H#?)$_c-iv}7w8K)N(UC( zJ`yd>t;&Zsy(Iy7VPAda!=4i}Sf69R;Go@P3+}s3GZb1|MMKu6=QQ1-04_3B>S%bZ z$0cw?l=}kB^I2t!3KVA8K2dLee(O=S@DFAG6SJ8;1Q(1y-bWo0+@d}r!iSZUfqWC~ z<2^Ga-mRb-#cA*++Z0<}#=*DQ>u};J3jNDGSr@@a2L<_QfhIBk@(hJX>!TtL%$IQ; z@9Zx7br0{Q@BP?r($qOL4J&CO!a#U8jBWCpGledvZFpKN*?0Ox`ZCtAWZ{Pg+x1|f zYIn%$f%;d6aK=(1SW>DqZ=B`gFdQelPJR7TuHVn8$h$z}lw#5JNcNBe7UcJ4Y4Hxr1OD#tFL%P?I?(v0J^=Gx!-cpgpYw(8XQq;5;8Uwy{jgK-U8OKqhu zEd<`AN4FVqxGnz$v@j};PejcC8b|!2j-WGE#T^D5Z#+AO$$e`}l#; zj6ltc7jD8+SE%!ppF5)TpzIqqGseZ?cQRna|yzr^$gPL0H%zTFKVTk16 z$N!768lz<{81LsL$tVPox`{;Z%)RC6FHQFzi7}s;up&MYAdk%eJS=g_Y+kHM_B*fD z^h%i%Q-G?mx!kT;yVXfIG(=z{xb{!GjiUs#x>BWaMtJRxy9}e4w5>9ZWbNp#BctL; zPe2Ng7}vGD)$pigqJHn2i|8)s-z*mfmSc_r(fm6(85nu7vjT*lj}88;{mF3|VDl}? z50F4bvCF)6^)CzFTh%BiH;y|m!E*z+!&w9y<5xb zh5fe9EMr#RolKV;ebau25r&oPDJ9m1N8uWo2FGZ!{(es5hHgq;UvMYt-Az(%I#A7E zH&rY%hmT8;-4V;BN%R?i>uYNpA}^szE5=%Kp}&!~^?XdQH?-zEI0Pm}7^Vqmmw zSSZ802xs|z05cF#0aX*%;_rK*QWvwoncU2zHzQ*6`&kVf`#8d6_a~BdtLO+lOXL|1 z$A0>QF;q1@y6giYVV`jwxpXO2sWv!F8b^-s7n|&T!YcmSisb#8G{V@&ljewuG%MS-pEuQeAN3{ z`_=`z$j8;t{LaHeeUV{c;>p)X$!PKrID-ikBw737&U#2#v;ua_fZP+CMcAp6gH)x6 z-i~~{TvK?(JSLcBJS37t34H(jA5R6PgKBtAXApZ^z=S9~s$m7_8g9V5rBrZv&Rg-X z80gkbz-b@&w>LIynGHHNM3139lKC zE2D2jGtgL62KE~c-UnY0Zm<@r&2R?dJO@Lm0RtewhXr~_!rboVM;ovY{LVYU=`f7k zFlsX%g7mx6xV(m7{hP_LzQuQN`-=y#FjD_d_d^A=BHh`KUnu>b^oMX-vq1SrXXZlv zLJd;z^Twn_K0QqjosNq3^sPQJCYBgcYHfwh{W z^T{^BHClJtQmxq3@k*> zPDzkXu+OzhZLkD%hPg<6fMrOj9c0HG6@D_eXpaalpto?UwFMN#*+t}p{2%(F2|`%2 zZ;`~9eVol?7W94xDLA*VJ`|5eU}y@J_I#n#vIm&Q!AX&W&#i&gBE=R|mqkCN`>aamuWxp%oG0GStmxpP5 zE$ooF3qBU8)YZx_f2;li#Ec=u<%hFhF4xdd(6B7{2#kx(#^Fb_pDVZ1;4R#LKSj#V zX)m>aTP|CaF8i;_KTW`1Wuc>7MV$=j5dE7$%xkHDhwEW=md$vX9oO5#6YE_5*#DoE zz!U%tgLNLiq#bItUR24k!^%)_dkM^sz;jfn}qjzvd2ZF0Ui5hxW(#Qu2Viu2vujj%55+%HKe1_xdQOfnK3)3-7IGr${C{k{Wl&t(7A_isTX2`)?(P!Y zoyJ{*yIT|7-2zQ;*WjMu?h@SH-EU`~v(Kw{>;9pN>Z(;^u3kOo_-rh{e;peNWC|$q ziaFE$Ny>}NB?ReRR8nX25jENn^pEu&2;V#NxM?;U=o6zt<*qM(bS9YPCbgj zR5LmMsvh3Y|EeCk|5H86pCWG+s=^-%|P($}23O#nK7jNqaD<wPYKU=yzNCk)S)7;m*g^dug!rBAPVST# zHm_|C8oMMTTgYI6L@b`5eFDZ_28Zb|nI$T(T>^Ns)ZS_L!XDN7IcN^vDt98MtfTZe zCo=Jlv0EYrk)u}FyqC#5M(b_*bE5apU=oS}z6Qv#?XFuU11=AH0#=U(BVhLrxKX;K zW4KIv?WYddJlbS@k6A5<@Ztt$S#QLXg2`OCKVV8pQxuW(2wfWIU13osY$6ov1lTmw zzPfiB(lpTShmR`c>iImzZ}rF6Gi;RKWR0Rde=Q12K|9pRb3mTo_z$fo^%y_nxl7Z> z^HGQ9r_Q3*6b1yYBni;lx+yeIgokfP{`%`*4%autRHY{E24@eJ>=U%qR{aM1R@~pC zs}B?`Y&r%_ElS2Dp%>gN`!rHWfYt=$?*TvVN7E^J8!AV2SW3x=bWmWS|4Z+jhp=1yEnWk5auu|*CDx6`w4rdNp_LSiW)o)Pe`%jeMjL>=_C{5^=&lALqi&x zn_u{`K716zt0W4pAQTuU8s|$zD*}l*`OI(9qr>%Y!u4CirMzfh zx&Kmb1E-Jqufoz-9b{;J&!d4;D;nO)h?+&;ISZ7b_$pN+*X@zs9pUxiuu}%5kQd#I zVl49pYI++>lXOEcrKvYN!^yr??tn@2*VoOId+aOY^&e;b(Yh+Wdmdop(E1rk%t%@j42W;3UPh*hax0lenqAytDnQ+&F+(S zc)}3dk#cZ+P}x8xw|Pi6kN&t)fH)}EkcDIk)hrlKxX2id9H??hdodLKE;1k7&Vb4i)6H0`$@+0tj-|Qj48pA)(=kE`S zi%4_mEXMx=rg1+1aJWuF)S7EH#ZpW^gd5>e{Y)8vte%;+#%~&w5m%%^Z(}eAVUPahaK4r32KukvMCJXXHi%{hzGB~$ zYj@cnU)lbuC!I(Y;J>hCrv?q42H%5OJIF5){1gu$53v9WKg~#R1G1s+SagD;43hJs z-I8@=Y(`r_&pRVN;xB9QN690GF0B45D#9;{b@US-HB1;#=IJPtmw;I+M>Zrk!MPU} z{DbYVsRM9%;mbZOF;*9>EB?Gke^3{Wwm0mWnw#<9+4)8feh%7;yK9@pO?9yOy8V2K z`jvZvXh_s2t6uXIMBbV!tISwJdO>LwB6^C`8LP@nMrDP{fsk2XAJnRSq>1*IBi4%t zLm=X#Sms}!r`@Kcz)c)6ySMqpsYQq==;OtyauwLvZ={tWMM_vZDl?MAW;z<}G$IfY z2tOiFs5b+|>#1Oy5aY4Tz`=|?59N>pnt$mnB-Q_sT+Maen`xw9BkBnbAuYd<-Rp9K z(2Iwu(TNc2fYBRY9GSp+B6v1X#uiK7nd)BV|D7(A6##3J!UXKN&4GI^{ehof29`#XXTYXS#l7}UF44zeG5 zr!6YdYZ)MuR9~wwv~cWHt-tMmCX${S1xCC_e0o8q%i}sWfDqh% zQ_09Af+=pve<S zyx*5yj&jm$bCw~k<|Fk~Q)MKKT4=WLT+jqSw_h&((1?C?vy8O=S}XUv@`uhJBRVm8 z?gfK3<3ZsQF}DcTu}|tHBa;~G3Mu}e? zm@e z&S0v3j}zhxx2A>{_IUkwtCxE#3$>65pl+vWBS@2+JQUYyJPe1Cb&D^m&r33yZEM4C z>U`5COA`WUxE%WNy~Dyfueb6U0a|E~6Yl}adV@~2hH(+y7Cp}%WyrmX%p&jpB!eL-73aR)aC;e`;9p@q^>u$Pz;ld!}zQ5h$V(qP8nC8Bv+&k=dkHd}6 z@{z}8Kf`mts`Q(m)FC2^!yEr5 zSpqC#+uR5o@aE%08*q5z-AuPRcGK^HH@??#2VXr!6XUU`^%|rse9Kw8;59aHsWtQ_ zn;W46!Q=Pt1F>UTNWQ3$JUxiVbj&v_SZdzmaBM{mIOY{b6UFr<_}OeF==_`INl%W6 zV7z-a$2~dZ@nGm(ARAbPaTGI#_fa-V7Z~2@Y48#*y1tft{ZK75?d##I6f4=2wodR} zw}ra?+wnI!M5H$Cy?}xmF?Di?H1gqx73427kCmNzV)F{q(JJhcqqwO-BOJovW*WuAy6qp-%i#82I`FndYYkn1zoV5!Ar+bzUi1P16!08@` zo1o}${*Qho#^zAkJ*=c#iaj8KC)Hj&!E{Z0oX-U%*zC z%R&bM6)-bn)J!mY(xo8FBCFRvNY?5z4x|o8#dZM9Jv|% z;FiOS72m&j)_+@ShCVN@n;j%HCnEG(>~*H(j}oR|9*i{DT`E8k%#dUxI~68}(o;f0 zD~;yZ9^!rC_P`0sMUm14l?9%EMvSqh4A`_F1$mv`lQO*1&w)Mb-GF#wV29?TA(*dV z^hbUvdvHSohkq`YH<}Ed^ZtAiOqahn>HKt0@O)!T_lSmdL20%|<1R(UrW4z2DsG;# zFYYzI!F$U=os5UW=GgJ+DRFhfTc5lYZ3W>B>K;m-w&Zhi=S}5(au*}Q@S3+0w4e$A zT8UEOPR&j-25iEB)PJ7$&{Fyg3OM;8I!R-t`UJKk720D>QCZ>iLc^Ex|2T_pl@o8u zm71hK0Q-eM%)UDOZbJyZnZgC9tH^W1Qf$?oWJG0IsBi%bNy?1a1_7`aS=2LAT(i*5OrPG<*;twhsgp z_B!qgu_PM@GZgS!TXxOQU3qc+4S3uZE5jS)u~9&N=#9l9J+J_LFY*1SIg~8@8V>aC zxtKL&$09j=8D%A_HBmvs`R{!Dj&@2W3(4Ta%v>Aa^De>nOo?gEIeGAx_g=YC{{$3% zT$s?tg5T?!pV-9K!XLs?%VQ{la?unTqP`xXWnLjI$THlQ_0#m_WxcJP)&AAxh=&B> zlklbU$MEw6v?WmO?@p0xfWl~;&O1EX)A`?x;^6M@M&YOwE%Bpmo#1HUcnE3pkN7GU zy1qYADxlg=fI1ql$zsJTSlBnp7#3YkBA0GY`2Fk0g-&9<5Nsi;D7-;`0(i7KQLmi5 zZ<}mKIgvd=2TecB*D)f?r`8i7eK>z1E<~u0vC^ZsX-k8|!Ew~vIlGhim0%!*sPHB) zd9b)VbeLw9ygpG^|c~y4wJPB|Z)$Hau&(Vn7 zQxtB8s>>>TM}*b}=6kRr z6rC?v-7T%uTfd=?v1HXcOjgt{wK2RlzV|&tY-q@?-YIf?y;suy7aX3lSI%*y%Yd_U5Hu%)+HLI@w?2zR z^D^8hMMws|293NZQLbVJVaA#l^-SM8h7wDcp)a!xh$<+yPg*s9Hn02T9tV?XxqK?j zRtrgT$g@oL+$`+PAWp&`kF-B5TLKkR>(B6!Vo z*F)h~Lue4^R(NPRZkw+mtLakJHn-buC#e=r1vn#45aHhWS|e012TU|#{`n?Rb6ZK& zB0%5<3&%f-$Uhun?mXYwdZj)~M7~GCyvI>2Fruy9=Xuo9CN=ulRHiR5*@s2%u!Dmy znSobmAs`#92J7t@59SQ%{${bWTU)4iRHZWbni~io&HTs1KmSq4*=i&AaVA9Qc*~hw zkrm7!*GnrY?+ZLOdUMa8PrArrORfKkxeZP@i_5DS$h#;mCD!Br^S_w9kAb{Eu{<~z z(&rgjP5B!<0$<)kaS0p@0e`-4kIC8*)7q9?5T$GKE82;@e^h#i#+_Z3oe_*i(ZSLK znwKPe%dAS#r%*;Ip$riFRv1@u@x$3*4q@0A*e>c>oCz35qLp_ToHntS5i&Ba8n79 zEkFoi4g*ZJUus`uRKJ)%)`nyBlx{?KOXXr)Gcx_?dZ2ru)Aw>9{Ty@@Q_=?Q_uEyk zkRRIEAmh>qB5n_yMD0Y27@_w9TxJ}FVSyDv+5B?)$1|Mi5Z@=-4)C8+ZbxhNp|qxN zuA>Jq`B@^|CYvZXU9B-i#^UCi!`t$*yXe!~BOM@tK28!vYmtuO7d7MA3r1nx*3`v3MU zz%yl9Ht-w*Eypk6&Fq7UOYBVLe`$DVK;o*1`__l;W-9Fz1-7RbiliQ?%&Ug0i3j}%+N!^2Hkg1VtHL_MPS*+3+C?VHqmd%t^Ya$8GZ&Z>xV<84~7iT`{WnI{I-z-$> zrrLlhG_ZC+?AoTWnPa@}3JVtd;ck9R;pNv$f&zuOo@Ni&^O;3J1b)^xDM+I%lM8y? zNEqNu4p{hvd;2jQ;9VGD>6>A>8LBl=zr0{?>mmYZ!RVoVPLY^+!6HfAE*Obin+m zPd=h!(cliPEH2abRw-_$J`Ildjo=SowJBi`#vp9QHOTBD=vaF*s@R7n3XD%86C4=O|%z<08fp80bem-??TUaHvk z<18;Tt9rmgr~Cf17o-$I#4|KxB;J?N+&(1T(Qv$c?C z`x?zoR(vE-Tk=XlT4)yt)=w$4!1iDKBd|?Vq5^&%j8hd#n|`b%dQ0_I87b8;^NaV+SAxXO#^^8#rKIWQ+3<&3#mqG8Y(- z8FJ@X^odYI*9+=mKo~aM{B<(n`0}~;o%MzJ z*<3~Wc|>VNg(F-a+jna`PZaVJJtKm9%4lbydpfO$rgqD`M!dowj}U{v0EW7EFHIDh zUMwO_l(P2NJf7rJI}%^`Z{}d9t%ngKAkQtE7WsH5(s(!`bMTQv;0SYYY1wDrEiOYC zm)_vC9`IBj|A3dKNLTKbb~n%ah2VAlkRt zVr#oCo6On8LN}SGF4fr%Ygu7IHCaHyQIKJy|0$ML>Co1np+BLoC$JkkjTpD^Q zXjQUf04w5VxADiT-!4^nRq0+zKJvF8asred46g-MIN{21RJdU;eYeBRlcCW&J?qlz zEwHv}^@_+XD4D6U)vER?DEmASEZf4D2BVfnX`7tqGff1r-1x(n#-f%+XzyL-8%+fI z7HUj&_xjA7hi%9Cl%tDt+H86Wxyu+_#c)teQ7l%noGiiW=?T@dUnZMq=|+MrxLYo? zulmn1%=GUu?4I!NF+9;l&C#T)v zE`Dcxrmhx?oR&AzbTYEsY6Sq7K(UwMMfcn}=_9g{Tz;3OYRI z)c#s#bL2$wE=~lIEau-nJ@DSh!L$zLRj=}pWD65@PXIY0!P zf1sZd5g&db*h4ja3vYuB0324UgL4N6vfDY#al(khTRGLau>4-4DG&G;b5321UnOQE z!uuZ200G7eBuZ-Eg-`EtEWC6!trLo4a!R6p&k*0^B&JK;q%?o}xKK4i5aMf8NZr~n z3ae>CWsT~GFW$w63&l!eBHddPu7XPL6o8e3nSdS*-%pwK&Gt(jE!to9lL5kzd~na| z>RK1Ifkq3R0Z}vA9qZ}mbX9~F4mib`;!aSA4$lcJ0zX*c}bwhj(wdZPT|3XAkWG^tpY611H4;z7@)x(<+(tQ*;yqb4tpA z#fenUrC=`pv&^9&LwgG4%Pt$r%R3Ces{yN&9_4o|c;V}^gH1$Xf!XkCNJIKg19ACD zk!~S8UsJLQ8IG9&1KoBArE;%7qccq8N9f_v)W53L!=|z&J%Z2J{@;##$=*T{>XvSg z08d;TdDI#LxeMQk>f4{;VCwh5>%wL0A47g`b)X?O2)mX}3!@9dUq9#H7O+)t&^;qG zc)d8TKL{_3EsqIuRprm?gq%xdOv;!C%^|g%TEK!h9FR%-cbZIb7%?{3zBwYG1G$9`WGj|&UX>{o9DhG=5lW7l;CaZH8dCDAffeSF=Cp6FtZA&D3IiP<3uE zIKlGEB~4;<{O9;nTnD;k&wk=}_`hnXO-~AV=96f6JR;kb8GK7}!aJyjJ0j*-=UPBi zHCfA*hQ6#0im;ou+e!ce`T;%z(b}BgAmnFa`&VA>Mt4=F;c3N>e8zYinBOfW9dq`* zJ}LHOAdRz^rWpR<)&$)9j90}pcq`_}G$y_TZ*(ryxTkf8mYY*Ed(TR<=~OQ8raZzKG-1 zVH24dv|B>AhAeO^?7XXcG+7H=_?ajt^ttgQvQf+GQc4UXyOm5Vk2`aOUd4~Wsa`uD zhk1G@$%6c_NAs~k%PdQjKNm6A>mUhk3SKT&pY?oZ-ZZuY#t-IokBg=W!i9kCcTG=t zPf1J6h~4N4)g%yF{rDVxfVH_DRiX&F{LNPjV<(^dYwOvvsU9 zaav-%OL?WHhNh8zF(2=|8yE9Rsd|Xvzu2C0U@Uj{kD{A=U(N7xx0GDW&M-5zfJ_+6 zk%y{{DzWq^`nH-O>uwpjl&&oyzLY)GS>Ch_z`qtQYF^`}tvce@PwR9|x>waZ!_cH2 zMwKG;Y-*hv*4EJ;Jd?A~g1=w#qb-_h*zk_MaGkaiG*UJpady{w~X9py*}#(@T9d?~iilS*F()5Vu`Z)fHD<~xy2v?T%* zd#Eci-jkpFeEfNa-}pE~Kf5(&LHY_JtJi+c<30myzadTW1rR_}WHe}SA*&uO-k?M# z1pizxJa}u=QCP}e!dU|GV3~ypu+K#Jr>d&a|5Y{pZ&g-9|Eua_k7VIj{HNDkF4K$9 zy4~-bT7Rop#+sq>o=(Pwb1$3T1hi@#)(x*i`Dh%f?Aoc}j~&+Uc}l@U!J3_9`mS@L&reEJGuOuu7t7-buxXF|WjW-a@Q<=mn~7hM2bZdP@2 zGmi@%QW1<6{52RqSVzuqeaLM{Zrv!eNU;hcr)Wu!eYWmhj9pegPDsXS=3N29DZ5R= zQXI#P7$X}?f0|a$Rnb+u_}6>U(h>DSF#W>H&!5-RRY$h;tt72QHqi%p2Tz>b)U6cw zfb@g9gHR^lJo>!)0LSbA5E*ce~?q_n=5(b7##{Od^4OATtJ#%aUnR!I%^@S+0G%5Socv{XrR#a;gdOcL^ww|K1%eF za!3WV;(tqWfRZ|EG4~xfZ^2^mo~Q(}->={`J5HEOrMR5tj6gP>w>Wnq^EdBv{gyd8 zyT)9o5c#o}ksDYw~qjlZw7L1Id$GrH|eH)~Y!qJ3!H!^O% zZ>vwnU%?e8*_+x%QI?OM?^KnT)Ox4x$XVXqdY5WL(`12C8AY^F!P#7)qJKR9PV!5C zUsrFq3>SD&iP_|KoH^3%lCx>;T^<}KuJZT=meo4EPO4f)SF zv=cd z=(m7&8IZUin>nL2$~cWtW~3rAj0+5FuvaiN|3+dv&S~ICk#>qjABRm*gdF&V8pt!Y zGWFJ(b{qnEO2r{oCfpV%L?{PxKTo@yOyV z(%(GuWP<%6Lw(s!lF;HxWK5kDzZq0H1;Yo+1ef$+=6a;H7jLhf%~as+A(>bh#`_uE zd~OdLQ21jqwahc^GpC!LIFg#kUZ=RM>O4Q6?(*RsD#{f79V%2BxiCE)bS3W)+TApTdCAqsa`45clsa+hWw=?+@qN*STh)#gea?= zQ-R1ahfm}yV0*vWsy&^3)kfzQIu1qG-JG9+<0@hDT2ViPd@3!g2cqz3@%A1F^SY=a z?B3fRh8&7Sg-nIikK7;VYFqU=Q__AURhodL^7y(TZ4Br7ikp$P>OOHIjIk|`5&O^e z)TDTE)nNRA0tru{_|$5z!Q@XIX147lZSa$q{<6p5yk-O;jmVS<`+l7G>!M=N$!pJ{EQJSG;uS12!mF^;WK;Fqmea@}%mY`Vn= zxhr=cmVe5Y&U^Y+==#Ad_`I~&H9r`^Bkth zM&4&qxs`mn3pnKKPGDZ8;(09Ok3=*zY-M-6l>oYgM79PXice(~|T&CH7 z8udKc>p2;)M;i?|iHvk>&l{-1W$-(Z?T#EcieY(Kj0xn7Tcy!@UyKP-h+E}Zd|GVa zM&KuI`&9E>dkpnV+{Wa1QOjR4@6?}Mtjlw~s1PU@w~EmGwwMiUi_@P^zSyZ9f!AMf zz2;9t!S>rP>$y5#A;ohX7Ano$~f1f z#C;wQ>DfJBfoXorUjE44<-#8@O<;b`&;1hT-Q~Nl2(`qlwW=2NM;Z0s zz9Ud`)$rOLcKB-Y+^+!_x!x=zxY&$YbPz+28r|u13yk2d5@osVCxWwBZx-u$A@HEk^4^YxE_cuy z0X*e)IaLBHx$Wg^p8I103ONS}aF%Ag3QKr~ZbdT1-AT#G9s{o4PE5q*t~W^?V8?Yg z<4T2&sxPS3@tP3cKM`uUO;^JJXUY^G`627eMjukr>0JEHMN_=G>I#PQ47F9vm#QmD z>y7|hWfjjU3L0E5!;N&h{^o!bqOQ8?;T%I=_1$hdZeR=ds?1I%wm$bNtxeZdllT4X znya?H>inwf(u+LzeRlFI_v(#q$1EiGDpFKGYw|VsD$j68milE_8fUm@uefwemVKKA z9b`C6Z#qs`_kKf=Y) z@=z9bm+ev9xH9duASwj%TZCVwK1TRy(J}z-ZX5Z<)PO}>mYmz^Up2&~R=Q5- zXD_#x&%S+50&FD)mGoBMS&pkU=~JaR_tF~7)lk_MH|dAVLQMe&zi=4QcOAD{yZ7lY zYGQIL z!HuEtdh&iO{T7yMP)p4eWfycvZ6CY)`htN23CL;oa@>y4{os+)EZg|e8Dxk;OuN?- z`XcYpJ!)BnKkB!4)SYK(kIxXWH@{?2;=kuSxc)HOSK1`#qPs>s!rp{@Cpc55#B(!x z8nivJBE<7LO5}O!wfCuK*U~;sFmKF~=^AHuDPXUzJIRu%*Nx*kWdvHRT8~)kLiU); z_>dj|r`h2wVRTB5vpK$(3a|%NlkWYFexL_L*Y2m3lO0ad6Uw&35WRqHYG+z-zM zMOEuTHs1{sdB=DcN@N`QRO?}Qz6^5?s68I)B_2OX6nE(**h?o!e=cH6@Rv>*{7@iC z!B@H@pEQV?>7HOL9XAMPrfi1EM#m=h3qC=6FnN&BOw$aOEe-{KLEL@HHvB}iQR2#9 zZmT-Q%^;L85>rG`-1`fkxI2$b@u!532}07PgM{$pWRO<);xMFkWMa`aP12hkHfIdf zw0+sHo0;W^)R)!2_zY?X)1`&XbP7JODT&m=_-Gfra&&njO|w#NRXW*dP}UZ>W6K_sJ2j1j<`ywNlMK zMBEm;gbwkfzXT9Lg2MQW7RIw;JQpQc1%eAy0?NaJW;!#{>H_LcOc_cZr>>nSJ% zC3?)tReKEm1ljge*Qata@>t>%zqya~t$B+z8f9Q3r+%n}H#hV@w%e=Bw0LEF-pHY{ z&s4DHlCL+m~igH4ZkN=cP)?ZWa5;(Usqlc z4z3|#e6MqrLZdx}(-?l5H@v$HkvX)Cb+Ar{1;{dtl)uh-#wdNY)6OBTNHbhjTC38A z$N<)j0P5ewV}ph&0dJ-M0J z8j8qlHe85hPr#nVm{p}u{)tu1fApu4g)iH25-<7FAPt*jfe>4&^OVt$5uP++mFL?K z2+Cup>nZ8<`qX>a#``{NCTMO3_$;(^EvU%29MMzTgBBkVaLgShhG0Xfm;Vje2aY!7q&mZ#mTwBKd+Do5717_NAW>IXzdKpI9K zMv_IA{qV*t?xJOd-@>R%W*7LAX~%{+i+K;(Q(>fK=h}Fr$|@?vB7lQqs+69M!aJWm z9$n*wvbGDb+ZXlC51loUxFW%@L1G?%7UE?ap16!#WI9;E+4d#se#wSw0Ncg3LH%Vt zVtKTE5Qt0^zvEY11~vD(-ksY$&tW27S1 zA1`jk^ttq%KaT4b5gezL{Uzf`!n*xTU&X@RdkIaTO=F||W-U4-Nn6q6aK+e|RCTrX zGYpk}zU5;}eV-h+$Yf7)iCJ!P9X-3?w3kqt>XS(D^7$?yais0`- zz1+qio-d=McPw{9&Cm5z_c2Fv=tmg642&70Dry6BiO8|@F<;|zkljj+lMpH%Bm|>a zE4t_`@WX^!7mCh^%6;5QV9^xXt*E=oEN6xn#DK_s8mt-P#OfcZX3!E6ms^t&($=Z3 zFSrcM*9;N$pTy_nx?+#uYJr0{cUWI!7f80T%aGKaw5pnF18Y_K1=WAVXHFIr*{RVZ z6kg2FCqA+K`ZUi882gx4Di<{v)5Zjng>o9^FNK(owY#pOFH()ODhPnCnP;k8Q#xy{ zY}4uX-yLT8X+(2br+WIJ@jCi6tf68pl1%;wwPUfa%b8PM2kkYSC67RNhn{;FTjUmC zAH52s`!ju=G9!N=S#wWcY#ravP%zvJun)9b&?iOnF>Dbf^Iqy3e)>aXf)sF?x#V*C z_9q5dZ`c+Ba;*q)M3170VDkT>Gw_P6ayC}JSL#i^(yBc!=&O5fHh5GJ5uPd&!P-k@ z%)5fg*6-(M6V7g5Q$iv)`y9b&5~Gf{a=akZiAxserb|TDq&4r=3)A$5RW~3UZDpF@ zl@;^W?iIl&&KlQTzSrYE&?OUH|G3___dajuH+@#rTvx5O@@;tP^DTt`_pMBgCk;sh zEBI39vgtRfKE|%8-4`3~`vz?dE6meKK&l)Pe~j}m%3CMwD9vXj#om5 z!nOM@1$`SPPScj}(;1_X=j;m&MmtH#^mU`urOIXixxrD`m*5}R!)S~wzY&4p4uv`$dxWkyS3zi~k`(OAzCmCUJ(c#=`5JrDcOU#KH?jij4l!Ki*tI zaSeA%!5wNLYDQQ`#|E*4N^7tFOE3X_uy&BFC>q4+9Z#5GF5Nk}k%68XQIQ89RUvvL zK2JJE<|N%VNCWR9(N7{qCiYD!l5mrq+!-kYhc}2J>bvL`86KFRAa!ZC4kDVYZT`Y}NphSU$hmLofNjD9&kSxGr zW1fc5#y$+}mEh|rigfi-z#4ke-pt?~sv=O3-U(#P`?B*byhR?t_1TsW@8?29D&xA~%+0imXaHe8I9zvjQ z!;_Y#NGmZ(AgrpuA|sV%q>`?eCN7XGz@KJTg~Y=s4UvzU6~&hDCbc2+izoW-)rC^y z=3VjU>hmmc+-xC^*U;>PDis?nd{i$9GTAlwtAm!V9<++h z|NKEJw^zscMBfCS_bdq>B##ddRg8&x+b|=PaXD0>wC9U{O^$=f`_6QET_CaEzLN!5 zyJT4qm(%pS&QzNBL%)aQ!m8P{Y4WW*O(FuFJef_z2`KM$t|yAE`eWWUX#r+m*?odfZY>-*#F9kWyMt$4&6~uoNgAN6 z?`6W2_+koR+ps3h;e8m?szpIWjvImtBIjYhgDr6D$YTasUW96S*}fLB27@`75!94z zir9rr3M3Cr@LiUmu{m;qe1K0|`7bNd}sK;IE1_d7F#Z2< zx!7_KDDt|eo2K!)`@{~tY!-qg2_O{k)%o-{)^^}I=YbEh_L{K^amsc0{`cMhZqI>v z-C1f3p$zZ{_vYNd1H;#t8VRM!11d=;Bv>Adbu zh;4(&`wS8kCDpm5h0XJv&@G-+9j^3~6Lm#3bF7OB#(i0iyly*5A9RA(Qu-(pmwHYg zyz=Bm&eLt*gHegC-QCosq-mLBwRE>5>8PA4bcvT@pCXacd?PlE62V+zTXs`kAtOxx zI;DV|&hn*^xEIyr#xAmz#*e6hNu1N<1M9OvrEX$vgpP0?F>8!gZ!?1_K?Cg{?&ohH zx-zZ_M&h=*x#N{vvrJ-K1sypHQss;dR_R93cpsrivt97d@kv8lZ zPKPo_%z$Lmtwps>TUOS@XN%VLma7?7Y0%iRE}kior?2Wjc88W}WMxP8%Fw`Lf>0a9 zbEv9Z9*sEbj_MLlF0QHG&xHplA#y*g8L57_;?DR?a6y|=`BSBX2x}>d%4NobjoW!! z#9^R7_zNfuTg+uguiub$WWsxl`#`8V>854gvS6FkW^$uBtjv(E#4Z*BI zWcy}iPG1mJ8L#Iq`V~#WHbi_8f!BoIEV?pYvGEI+!!emNcVCKs_Ex{f9hlx5CN6G< zNg+<@!Fd`jAuRpbKDECa!-S@!->){tnA18rL(sETY@hTR=hP?^yHr?W`I|hhBi7%Y zHga+Ez|4glbE>v@ae=45<OPCq- zJfiN?jBD`hvyi!jyMDl08{?U4@!_T-Bac*t7K)3p z71iY%)6P52mbsSQSo4_BJ=4YDr}84p&8Y#ZE=&B6?r`HETASm-CvS+vNo^Vo#8Vb9 z#9>#5jDFrNNmXt+e0Il;{M5=Lg5&b{RgAn*Jjj&wXx~S}1VbDMDF2|5hhvC;4#2D( zJ2T)CV~x@>6}ZBUgb-)np6c=3VZodW|Ja`&dCBt-Qw@DfW}~r)q4;)^#^eAfm7k-D z>P1V9)+l2XJCbnbPx6{X2HDKf@g=p?k}fsmR^`u2F_@{F5!`-kkeU0cjcfTY>h9(kxx@0e?-P!4jRl>j;zEUk(I}k5%<7Dk56l6o%mBvAn7nY3Ub!w;q+L zA!UPJ!l5-wdQTVo!sb8!3zWYbVfI3&kT7^rEvw}m_R6GFA*K#G+|jPox#Z{Nj!YK& zBY{t{x)XF0#UUChp+kZ}RvC|%z%>Yz<~NftO9S=Yx^b%SbF#4dAa5 zo0VQFlw)DyPrsZ1 zuI$$Ow6>8oa-X8Sn5qdH_JyX?Zd$5(8jcfyVVR-kgghu>ZXN3~!hC(qC~cY}C0Q${`0cJ!;mUadsJ zt3kY{1SfbW_}_5}2?+5Bby`=X%M{!ETid0{^wGY-ns_N2#XBflD1TKpQAS!png<(o zdzAo|htog>|24^LdzKPzD|RbRD^@F*>Dm_j|A1V^xIx*ucCsHGww>wR8=Q>JL;Jr| z^{22Ak^cvCUDy|^#ZS=!JYins$^DCruZh`kInr5hxpCQXp}|)Y`X5}bT39gPG8`qi z$eefi_d2IEJqt&J2r6R;3XqD2GC;;oRy;^8&h%Nq3F#RZ@`Mm0z8!lE}W4Ebeiw9 zwDI`v-pe!YSYcpRk41=P)E|N$eQ~E3rpse(W4Uv=1G&q&Be@vT1ACdd);o>15kDcO zTO|#BmV8+qx@~lRQ-Z+yj)X1)82SE)P}EN#oyr2!KoQ;&9lByq9i9mgAS7?`4&u~x zbKW7q>MUO=Mjw z^-|4BJ+CjhFNv|4$7}^{IiFujlQz(dW>cPaIY%{+J)K`-q*C0;afF`w`0AIzpTy7B z#&eiXn_cZ*MoS~YZx`%;N~VjQiaC-W;879B^SBwcl5rNP{w?4^=DjcJDE0$VC(G=W zb_iJ*oXAGVg5g}R#-KvaIMa2_Q9Bz; zv~-V26RcRU=Sj>o&YH_JJr7N7oNBn1_4VE30b<@UFK@mo7QANsY_G$!{T%aEkh9QD zEm|`YrQEedzO1(Zl-6nJ`^8+eP|95M3Hs17xiq2wlWa?YEJ{qccNh0mviI(%Wm1_F z`BH7l_Fc9IMVF`_+4ufOr6IS{wW|p;nm_vLT&@Xhr3AYa!z>o1&Lu`U|lGGeTi1d)32?A(!Nk)emPhs+0sy6 zQD$2zzVj!St%$8sHNS=m+>yD3OrUi}TkSVy$)lf=#G>8`l$3pg)yS^-Fky1q(Ovf( zZSHZSgw9*hfw&lDWzI}iuVRw*f7tr!u(p@2YbaKVyIYXr6nA%*;O;KPi&Hdsad&r$ z7AR1PJHfrhgHzo3a?ZWyzV`jIpLym<1_=4BJ!|c?XC~9u=G3(~<_?HGJN_`C^(biO zu4;~`BcW)GYE@~`Y4K>@%pKg6vtF_tdSv>lRX0{N7AMt{YgV9Ej#uGU5oj?!GrAv6 zV3K7@aLr~rKQw&i^Qm9_DowOysku|4&{d?3+amUZ0rv530eoOC5TI1fd2l6^D^fa} z>>-e?vVZw2dHZmrL!nGRuUw-@qZGOsC~K_UxDxSf4>I!^s8a(OrLrvavm7goQ@*=1 zY^J`!>|VH#Gw|&ZLo5U;0o3^23&L{lixAsowJ&>eqWwDtt++gGipt5d`!xR2UeJ9C{w$cH; zQZ!i=!WRQ3&piAfZE`7vz2V9ANwP3`DboE8hgZU+NjClGE9r1|{k5}ZndD~dx$etA zj>^6kk-3r46#kDa`*5yZrNHbeOUT+M%5mJhcbDOMX8Z@7Mtv}N6&f^FQ4N}e_}Xue z!nJ;Co9&>BGS5&Rk{WuN^tIK|K7GMO}*l$ENUyzCB6T_d$_)qml(zzG6QC7GDGsUFDjF z;Y%bfv1h0ouUNIp^%FUB>AcP^&#(mC!sGc^cR8Ga0H!beiQL0U!vdB@H0aCt%arro za?>42T>&Bl)?r5{Zt(IdTk^dL^ihR2q{B%ihw~o+Z`+{TQd0Jy~cv$!Txhe%gH6Y1(SqZW{I+^H6i~tul3+ zm0}6nmj&*-m9f1TA2{RLL$-VWrADR|^Fq%(h?CaNG9aw>9otPb_iXA|dcOvPux=sz zF51Rn^9-sMq8XHix77OB?;6d1(WP4SiJ?gJ&FA_grI)?4xMYfP*|^=TFl*kvg1Ry> z%^(@cT`-2ajwp->wH^L=-<$D$h}dymFrJZxZ<8!VmTZ{EK6PyH2tLVr5}mP{r2TiI z%(ukKYV$jihRf;Ags?J%;#U>)7u{oG7qDk(mdp8Km#e7dYECOE4;l~Z4cZN=7n;{! zgtZ#2_0XuzvS;k!aX8o;l8WKaN`4=0q4)bj_6?45H$ViuaP_-zhLr15{X-&AJemrs z3fknjpu5;uI_#97!w*hNk{!Y@RJYGprrqB!$2)(Zh9gQN4j|I*8PT0-T(a^?hKrYq zr-~E4g|FTvBl-xZ;OtpSJ9geBKbRTJcuhcfRG`l~vsq(2#sniECB?(b3 zl{ppT9~}>3N`L8;v7~ioXEm`5f25OUh$GtNm9S`rD8*bdcMFc5w@T{5EYf?-bfW2& zWbFEK|H9d$)UWiqI~!R6Qsp8cmwv%9p<+t+d*$y-kq4g8+XT?U-0TKJG0!)9?LFGtpDY`wfN!F$(I&9 za6_IHM-*S&h>bdTzxQhl$f^4eMq*XI%aa9^>C>7#E+)29uusLIKZ5kTm(FCHFHZt* zzDvP_Lo=k00cm=EQw=v8;;0QUF4nLck1@W>K7s?+>+_AfOf1~p-B_ePhxl1n8vSqd zb1QNxaG!ETLKx8)a~Xk*D2zyqL_u>&Ql*BaidITiGFIYNMy0!oU5AXbj4_M`jFF5s zjO_{6SQ=54j#Z=;mj31bjLOir!ZB|D{R#(0!M|R?rePSR5T{VA5IO20_QKew+ENg3 z7`?0G68)^#wJ45W^TizU}2)S1WqmC?h=*p17W@Ig25x~)JTcUUBIDD z(CHuNmSN*XiHuU+UV+y@77a#@sa0MBhp)f@C649Xij>yK1hZT@wi0P5`(&8GIKYeg zGna&wNGCmIC+%G!y_<4~P?avSlNX7*IO?h`y+UaKIQbHgIC)!{4?iWB&M(LLo-}fK!>ma3c*~*C`f`^mHvjK0%76fj z_E2#bV2H1@FW+Kw_agmb&sKismBd~n+1i7e3ugE4d@DiEl#?wEPqw2L{DpThIHIhL zu$eEJL6c=CfYmRaiBJqgE5<ooQ6PKu# zqy+32l8VFtEclQ2qNJodD4Y1#QY+HfKwr`TuziNA02+?o&Sm?1HyD0AqTjlixdI20ZUR2Gii{*0i)(MP0Ewl)l3uiRGOP{w z18(*ccV1UguP|PB`w(=@89ohk9QmX1Rnj~cy^fo}`9xreEjo^+lCOOk`tEa_0abBp z9>|x$n%<`5KI&83b3&8;2_T_p%ZL3}%$gWCw;z?HCgg3${OhQ#V}E&)Tm+SBkqfar z``o;VJcv;VuSl+luHGCh8D^7}tWW*z)pNN1+pCB8y{yIXpN&Db=znbtax{y2D@29V zMQ3aB?FPS>qm`lJqwb-ZBf3LVIWO$4{B+d6$ffH)+uEDfTiE-#x4V}dTFM?M{1-_TsuY;7ef%erFR=cT$*U>QlsyikQKL52 zP_2i6>*{k6`!35iL~|GRroUHgf?j&=IKy5`W~+P7i4(1sOg_authm|@`JaT<$0-ON zIF$RJ#5T`%do3&umgHWxTy}e@uOOIo?l|a_7~Ql~cY7JFaGQ|awq(yZS8P4QSQ(^} zX+4SN-C;QEs0o5#3!ETJSb zemgE?^B#XY^G)w@23^+IUqfM&!tPUg__3nh7v>juz>idA=W9)noL#-Y%;Ac-Kwqk| zX-Hr$qcVcz>@#JTPYrsuaAf5w)5BdqQS_%LrsAa_h)%gZB3X z&p@*Y=z#C`SjeXK?+NFDD?`wM@a?gnjnUr|umh;ZAR>WVQW2ZyzbDiOVvRsVqPIDG zHq_9Gt9JEqOXjaf9O393CsLvr1K+5&VOr$-0Y$g_J5WVy z-!kBfGrX$hR8OUzf@>o_AC0&a7Lk#Fpq2bD8K<}4*bK4pKdbSMM4c6J)GJt=Alg`d zjvMuCnOL_S=cSO_7_8W2%Q4wiZT%2?;fn_@dw9n7(cG!IvxT-3p?XY)RR3M6QbO$d935sHNUxt` z(3t44a)Ppo-yFj+ap=3s@VAOS6%-w?bx%6Im=ynU>=g-wQ@ytL!nE|s(pGzrOtZa$ z$84a1G1i@fyns=Hd&w<$Tl~_|FMpdZ=Nmh`MHqgDYxw>- z%lkt=2LR5(c!xM2j%C(ngBA)IuLl9>qf2Ly1BJa)7Pw0IFIl1@^+W7{ySbr4ZM zx_OjQyd4i;E7tR|8`sw{a38DekW+2Knf>NfstT=%-`Vwjd0o!LXZafhbgX3lku{{` z@iShU1uQHtIBP7jW5krN*;?6Eyci^~+{dtU;g&+tA09j$KVJuiT?gVHJ^M<9NeA(o zM+Jm4r@aa@cUogQIKI!J5?Gc80lYBVW2Nw@!BH9Jd^}k5sIqKDsq7;quUa71elBwo zsJTmtozws%GFXzxeqgP%!c8YkoIFt9T=eoF^YNrI<^yH!Tl64#+>@tsqw#?MvfhJWkZyzL; z)0Z375NSw++Ak$hfNq`-xsfP~$9yp=?gPFs2p^FqbW#T(fCU7x^uK|_wqoYN>Oz(4 z%X?laZBCxG8np>>RyIQ^)0of92Yw?8HtB}|=axoP!;@azSF%mxmD@!gCtit#MDsFQ zcg63TurMT`duwULa6|+sCZ;1OTL5H}xMn2Zlz3+TyVHpmWBl7cUYfjn;B*k@zwI>c zoP_E{N#8`Z>TfvbA2ScfI~NKD3ziE;3cv-hQ1$NTG?$w}tJDgNol$E2zxB%6i+?=T z`hWiMR8LHpCSbSpKW`pfbD}+DB93?dDwr~zGwnBBGJOkOr{muM3#Edr{{XfA{y#w7 zy!{`bRt;%V;KH~0j1%3hUtsU&gM+-pquvMAwMmjd^$K@a zlA^ONfnU&5NrL(^4Wl`w*lzF9%N!Eip{v#_*$2Bm0Xd7MBlf}e#{=CxRk!wIXAbU$&%U7g}ob+k#8hKFE z^Eo6_bv@Yh0LV+H`#PtL(kklN6cVbsUPQU=o_=|9Z92KnD#^f+`u(^2A}js#S_^WO zh&NM6)|An}K7%t5t0lkF9Lxglm|71j+A6xv?=%CWf$OH$(N%}<)|^mepjXp{j7+VU zncleTRHm`{pO&Rs=x)U1ce;Y9R_@zLu*vX@Ue>Oo<3Xo^r^L8!SMAjVmj0WE@P%xK zwFT!WZItxSKX-!)#lLtj6R;Y1C^tW$cvq2*72%nDg9GD%W%&L5gqLo@SJfH)%ZX zDr;SGQp)Xa!f(L1u{y#dMyYGQpPvzXw%^BqF9xu$npGK8Yk^|n-;9_MvfG5W&ZfoI zf*GLeU*DUNT$O!>fG)*DanWQp@m+wMW4@3k(3Czu#3YGZQDslil7*hK7*PA9w>c>( z0v8SOndC}SCeA$kg!{WvZj6_=S!zDyEW6pXx*_y*0>t(4ST9jV|JwlD<)S)4b*ce? zUp>yAy9i4?^0D>me(om-vxpo#ICbf5$$Vpog#f~r;vF<18mr`{*7iA3FwG_8i~+8Z zOA=#8nIHYo{tPvZO}Oa0Tji9thGa-M0?&HSPN#vVl2j;=p%*c=iN(3qBc4 zCXk_X78{sdk>dO1p8TF5RutoD#1z?%!&0^YT%~5!Bao3Dt#?FcF?2|EeRAxmcecE4cj%~hJpELy zN`+RImeq4vQRjX6XnLJEX5axa!aSQ%a=~e-13p)`2U$p3dY#VnpBXe{ zUy}~k-g8A>7ErgxWk_bav-F488K<|}#vMkzdy3u{Tm#$(!A^`uY@W-E;22=ZXz*wT zZYaHd&v)`QzXKI$?O4L3?3;NiV`^$WcZPymF?OPhY!spc8rJUWy;J6`R0ejO>He6t(&>E849ELf@|5uDv)(*Ael!2 z%|TCz)rRS5pZ{LdYa83Zqrl+>4Lv(Ur#Wj%M%+5;DdT|tTK@g%eR3tWy8sv8hb3M< zPCjlvcD`1RdzY34pQCc7Pe-MSC~1V7J+MM&0BEPzyHK}Kj!+F~kIz_WS>kFV?F^#a zkz2rt)#bo<*m}Fd|1{rD{-^o&vuP@}R`e-%>A{Ip87R}Id*#W^<&wAdLx^9^{Llg%t+Yh$?s?fngt7`g!a=G~|iD^TZ9dlY#=@a8XKB80~= ze|^7#G0q%NWCA@&Q2)->`5`=edd}52xxbxltpO5ZdyKO9Uf{g|^zL!oxG3kqO?&c# zn!E67eA1vzK*+c(EAw!`jx|Dfg5e}}ZpV(%)o?DuN0a8eL_yqjEEb;~FDY+n2a0yB za4umtrjQ$1Rz=`ko~*N8ORuc6JZ8X-Ov}2T};RqF!Y51qfUYbA!lZ>V(}g z6&kLGTaa(a+tG+rtd@dyI;5tgFv#1zSjaoH%D7!klD7+Ms=O-3!gyzJ1@(}I=V7J0gtN*$8GMetrhxjlZy za~H{*%O3Ay(~(=}H#JWi%AdJ^b?P$VvT0$A~YssUG$qq87~f&Rzx7wLS>bA zCmv%tUmiFel0hN*^4sf&G#OPHb?wvdy`DubJ29b`Py&;>yjut-0;yJ-rUHuvkouGJH2I(vz1gb6-se^tre+|1t2Ny zn2!s_kX)Qp1hi6TB&n$jm)OVApi zQ;T*q(77R0#aHxm6^?zmWS%r2ud4P z3i0V+(K4E)YAwGzh*e;bjy$Fr6EKes%^%$rE7jWjqQN|71t*y>jO^6-Z^}u8WCv?dM{NP2N)TS+$(fIvyM&>3ya!s*uk=@1roH`gBpuMHEte{XR3cMCFhkD)Z$~h)t1GR{1KxA1W;(QaRSg;qa*}(9G~KEq zJ!d`cO0R36FkYg!ChgsXjZR4>I7?K2!x2Ng+ zm#+eo{Vjf%=U(T8%c(7$>|$wQq(SwmG6d8<6S_74V`jr2bp?&ory z{e{7Lp+uo}p$t$YCi(oI%oFjS%p;lZKWX5VtmoCVhq3z0AuE7-iM69xPd5KtjgLlX z=Gek;=?8OVru?W(qKeay#*~6lAFicw34SB929h2@Wu#;DIJ?mbft`OXfyq)NZ8g=% zwWOOgB2=>r?YyYP9J$+sDx~AM3l?`&EK7{Bo6A%j7zbE-!Kff+i9zWbD)mIT;hJG8 zOZgqp6A7R%%iW1{eMQ|$Y9t|?-_ieccCF?!jW?rH-iKL|ndJ~VKKD4qSFGY@pB}JO3 zcuWpRUn1wApcZ(|y5hCVl;3D+`_6FCH2zxgp|1BDx^I17gux!0@mpj4{Vl0I0!Tv& zuLvI;W_w%qSJNP&dT}(dP2L9Q7a2qLz}DB~npd(q$=@;#N9Q_L=okm@y@ew7acWKq;~@pS+41x`3p>xi>nr#M5r7 zv~_MZNw3~n#FcYU>w%Qkp4N@lq^27;H52ealSnI5J5xswXr~?8saXcyTk&Y2YpQ5T zByhKDWdfJgmUZ}nBhM_ikqTtzfUJ5@TknVhu>t25{zef!Gu zTRcs?OuSn>PrOe2?Kh&Dw?^IwMQL?2sya?8SfLHjLSC16u6V6D+86R;tqyB&&>lE3 zuMkcS#Y=zh>UWNi%!CD4sA!Mfikvqz`$G5Kz48hNH%7?ScW*Q=)K;{3RCF|S)SJ)Q zy*b04-u`*4xbh`T!RM567#FB+(9&%*S~Tjr&m_IEn%-C0B2~+kr-b9mp2`C=1SK8T z4Se%0!B&-Zcr{9%Os2|J1_;@}b>gMW!-d|@nmQZwq$-|xzjdps;ok#|urMa0OFOD$ z$O75t!-3Z_@}4J^52j@sZ=K6K%mX>}`d@u1N;;mJXkJodT@ZjR+Uc?jENxlIMXJjz zi({`2=^JhVraNgQFeEPR;%7l^F6{vguW5oXL(c7zANSG>XjG5W1dF;EgP>1v_in_G zVgqOR@s91mA0dLQ91P4o+f}`Xf_3q&jyt#0zMFKcyFSj9pMI14xEU|_ zX7Ti!Iyp;I@%P_<$QC74+wNfd9!YOQFha0?P5+iDk7JBp;w2J~Y+QUYPSJ{lS#d4gGFyZXNu z>BeQGFrWZ?lu9=?@V7D=*q7f`k^$0?E7gV@=6q~#FsVW!aSg>!QtZax<;`ClCwIVm zCNAPiO@47iYmBph*Uaiic*0{wBstnluSHgce?dNMCmk815jCPIf2bXvFoK<4MD&a7 zCns`#qObg+{oJ(_3zI*#TScW_Iw1Q)oX<&lvLbP#tMmyIV+?K+01duIuJc-RUlf6b zag2}sv0rJpv8It>(b0TQY6Zlf^a^?+*a-?T*LU_~B)Q0->_tYVgBn7t!|nD;o)#lC zxLk3U&B{fAPVXvlD^e;nE1;i)W|roPW{2j(n=DI4+dM7#U+RMui+czsS_|iaGp#g}3+m-*;DUrdHu%cXD@RZ*0gDAZI@jc%ubilO?+SY$nPygX!xWQ&Gb->H| zO2DrbxSeKI`#e}Xw(=Cm6j)#E8MuxA=MebJ%lh)`Thgx`;g`6hp1zZhq_0NNbZNi7 zH3oH%8$JwbJtq_2$2s&m?UO9hoq&1k6j#Rg>vn3Bc7(l4P5-#-+-MtXO|1tfp(WLv z=Nb<^?AMV-w(dJ8cD5+o@sw{laRjCO9D6d{uKTvR&-zFdWXK?LzZTxl`!?I}RR6BM zf5v_BL8bN1ebF`2g&<`Y7aZ?()-L$1&uC9!_4DH&cU~!+=nXr<$TQo$s}-~lKP;Z! z0-&WQcodV)i!a3L4=Y-qQ|s3mb)Q!TdLI^@Q}&NiXA)Z%>zDw?z9+svx))iqdo;-c zPb7Z#JMf~Z^mtjor(!nWtxOae2ArgQe~p^Q`eB6dr%u%Oy1rYa+Q>_XE) z7HW!)GD#iYyIs4?JD%YA=7z^Z zDX%3CX2l#b?LTAPjO_O88x^_3h5#KnQVdbDShFBZd3`K*5Oz+n;eZz=iBy=}d?=yJ z4vu!*l2{HEquny5IYMHut0J@&(46Bo$psb)NPtVqqUe%q5JN&@0TPy^X0j zKtw-pFrkW3ug@Fxh`JFH)Sonq3ZUa(3PxXeB3R|# z6lhTUSr@hOQ&Qg97J6D^2vuwARj;U64xrIuF=O!~hnOb79$HZ1k>Z8+ctnHTmsfl% z$0`)EtpV#$$3pS3Ud4DNb7f7nqeDc}1g#*2dPs%T93?T9(*`2SU+>U;CGfO+F`?DQ zBmq5PiwuDjq|nR>**|B9GeiFk{K^cDFs>b9np6)^1HXJf>(<*ub{Z@RE;j_08OmKw zeJg0oA7|prYn>O`v-i9kev;wdn_`B>Fkd{+N_**wYN?;E5)`k}E&B6-ifn1~3IcWS ziiPM7)sjb(($hzs`3Nl%F<}NbnN#NPHd@&dT{IePY@VD&aO{zMGL~EUF-u!~k01^W zRZ442l-D447ji2-?RA^I7*LH;(wibgwYMmOyNIY>if?Kdsq|>I)M*_nlHbrn^*u1K1_gixo3p%pI^F~7V5$Q88O zR-cpO>n*cg*F$Kg(pNIFSd(QF{XFA8(!aJ@*@3U$b*AR@hU?u5Y#Y3AFxIa%fwyvh zHoMuy@=Wp7n7<)I-1PboO&`fb2%|jne=3VBAh_EZS;A>I<7(ekn+3lU%Pt_FX0?T* zv7wn}r(AS7nq@{)BgTz=69LZ|Y}Tv%17zCt(`2L3=5tyKIxFqkMt0o_sXr3V?mnTp zj`7;XL6x1337aM*k3YSGps&;M;z4!yXzR_kKdFDAQT1rRC1a{?lllbECl1 zrfmlUJT5XL3~h+7SY`p*^yZ`U>bIM`)^glm(_DLi=mB06<>QlcX{|Z$fHY*0&i!dA zfukMM$s5VJdK*S|k>AKn37^H!RG;3$3Wn^=tIgC=!ZolBBayyUfQ<}c{E-O#%y!;I?4%#G zq-y+$%$O-q^q;`#z!i>WeZ+)|JdI|Z2*|A4XSnvn7_cQFD;d45WnC)0WN=W8y&h2~+g5BaO?I{Zx!bO-E7(UE?G3(wGJksUfz3f_ zawn_1b%WDDmR-#NSDF*zDp%;P-6~K@$zp6Jt;CUjW>=Z%1~+oS3&O~BsK8#9kt$Rd zitRHWP3Oa~!h?sjp+`tl=g~fpMTh4ejg@@bTr^oWa0A3UQDQHGEEs*w)nd4~)+npc z(mdML1y`nnw#2|?Jw#+QN0h3g4Xso$xgA;B$A_f@D>Vd|;nC7QN6`k+N?pzLZbe_| zt8jtQfn95w$5PY{0|h&p!nX`E))|Hg?C^T|@v5Wy^_e~Ks*kgjb6zVxF-`>6ZA8~L zP1Y6%+T#K(8H2rf>9X#DoUM{}LsK5zHa$5fYBO0V?myEG=_l^QPY6SamTzv?$c#ii zQBrOU=UcYZT_aPReYbR9xb|}h?$p8=Y67yV1K%YlxG%_@EeUW^Ux{tOm+}&0M9>ao znHxZ#EiXE&b@npA(9a(l&)X?H1X4c$GGV{D{hhbyY)6VO8pq7 zjbjH=#aC&377n{a=@=z_OE4fAU$1$%WPrWjJ}MKFlhRsZJU9cH9nPGt9~ES0Vl^e7 z6T*k=`fiJ+p8=GNSx%`UF&^=a))jjTzF!`MgZZ9HMJy+b5B4jJ`BIb?GUe2PA_JwO z8loIt3S9hJ0o{-2$C~Hrjqk8mFdaFiv2w@7bM}>eGccvuNiwi8Aq+o4Ovyhmxk_$f zmm(|9rfJhpD6YVxzPo{e3$gf7*zZWKa?eA7toX>ISU$(bfb@Zh9)A4oC=4z6BwE|P z%68tBpyXoDGDU!+@1T2o`FVD*RWG8cbOVYm9x3d?1p1?OSu+8D^ax@*gx!PPm(=KU z-nlyc)z{up`^SdSat|`7^XXHrPHY_=3@HkdS0e zQ17Y#%-IP2PUva!gO3d65+5BStqNiO@okZgspofND&~8%8mr)%Sfn}{?J>Uv0{GdQ{~weS+N#=eMii*9ZdaNGSof@K>^-u&7I(1O+(G6u#grsvk+FsMED) zMC)(UU}i%+e|$G3_{eX}t{$Ywh`aM98eTfsoPvf4E)3b}TrUWpnbi)6p&ZO2 zE*qZkApBd^Wq|hNEh0>3i0h9$5?+*y-oA?_74z++l_#`>ko~RX!wj>u&NXVmhvB^0 zKDV+0-hhB!=MJFS&8O=9`{@1U?HHA4o{!U(!H>b#;%>5r8Zne`)Aqys!Phpq^@Frl z+L#Lb(60i@Fg_Qo8v{p;w-gZT@%1{(5PTN_y%3nj6Jwp9R*FmfjyoF=a6Xfv_d=Iz|y!B{jN$$eb(r>{dI$R={kcJ47c3*|xeul9|4& z@6QID*wtvZCh0uwCnONroJv&7B z^rHIsm ztm&;Bts-5jf{(}P`|fCGsg}XrLBRQLS8tg1JxIomEIN@O<7*A3X-jI1_UV#jOlqV6 z@gg{*1z}-9H{1DRX*9VHj?YztcVEi~Dwo4B!wC!C!TCantK{LX3S)E|mZX5O+Kvy! zUK+60%z@Kp>)9T|AOeqDprX-Sp4~w$fTweJAvLn=#S~5fjeQEH3{MMj+OExPprB~* zW+IwZ`m_4gk=+eK#JAlDllX{Zx*aDfreZ%`)uCu=$r`R4L}@=w$R}gvUi{b+(edUKl8Dsv$uUfn*N^;Kk{lu)yckewI&y z=dGJ3KUO2!;9N*H(7!u<60F~Myb{LN;-Z4%LAVb_x1q2a7g5LzNMV1Zx4KauJT6XI zmL+OR(6FYU#e6JE789#4K%Zu@Mf8v+Tx>9x0wR_1*ks{th*sjE@j0$9#c-uM*lRZ1 zVpyCtZ&DV_e~OMO2mS9bNZBgK9HRU7>sxcGegG&OGlmjhA}3;r`75S5Y`+8u0TYD^ zUyM0S7seIVJh}f3=pGY=a!-;uI0FNUh9doVAguR#B*EDcJ;)gZj<99$tHJ8x3b5rG zU3=Ty81?s`Ci)yij4#i#5*EL+bu?#lP5;2Ogu6hH4yLv_v_o?Zyd~N%^1X$*!A5Qg zRuIQ2P??6c#lM0TfTs=?H;9AtZ5f9_B;LZ#HLi69ko*09;6=>%uls1vI%b^yylWUl_eZQy|vJHk(;-uLV(n6q`f{$YxG zh9uf#78d{;R11LMCJ5IRwg6dI1gnHgVGP(0f_;V6ft!2bZ>T{efMXOtDVea@hHE6= z{?6z=%^~UzeZ;t8t&iBZ_3czW^JuLH*vSLwxKCh!D=(L=CZy9Jdhv0#500tyKkt*4z^jzxCP7%o7>#{#x4^p zeRZoF8@s4--d`_~qy{=IDj1<9UKKQv?|&1s5q`5p)2Z}fES;?MG+b)Uk|Gj+9O z;Y-RzK#}D%t29g-?Ro}CSw9a?l}bD?{GC=?=J;VZ?Dy{o#mEYw4>8)q89dV3hZVBw zrl%XlM{ox5%Nzjj4_S_Vg-r5xZ1=6A9nGHM_pQcY{9Myak4Id|X#D`y!;0x2NLM`0 zq_w<+btHi-1Q(G)5_PL_w+0oTdU#@s;Cnbj^?m!!a3?rq8pcnF?OU8@7mlq>?*QjO}|=@s*t;U*NB+hX#$cDV6KK)t+Z&OEM2{A(>lecWJ` zm6-8dA--ZIsw(dP2K$wYj;N}bzrqpk^qCBf1}~K-){L^`DEL-+V3c%!S3&?ZElxou z1t~~C2Y5`&B4G(YibLO$F|YPwlA+H0&k#83&HKA`n59C?gB}r&_C}``qCIZsfZM|0 zh1=)sOa#8dQqHh_0MRLN1xVr0Wr-9a<0w;r*SNC8i-;)yXShpI%qF$yByI^+mM$AG zmk#iVm2Qe&2uWTZ#eCnBGgKc`EZDm|N?2;B5(R_;myiHOS1hZTO92YFGfx5>3DNg( zll7m$DxYKaAlnu45bk)p9?UAsTjVgU43Y7;+zyPv&2K)i^X;GYuIzEjAPFGtHxIZX zA)DG0o<=Xh^WjnDoTwS2PZK=l4}clOj2{9I!E;1*U+4UyO)T?Qoh-xhT{Ih+Auq-2 zWj7V3-r4o_*SN5$r`s!vc_KPVVh_rne#@+taL*Z-GHS#*FU5f1_P%5C&{(X=^zAet z112^*W9Iv#u&kZNi79s0T*zhuCX%m&3yLpEQ8R|an}FavNs1zu zq69Dr!~i1faq*sz$Rm2lNd}SP+*U1cy%V5+X|P9nEG%P$3c=HS^E1e+QEa3HNPLsN zpKI9PSwy|z61im*k8`Gw^C`W9(hE2L5dt=sjX57Y#!4esU5Ld_#-wiZ1g8f&1nXo~gd_(YA3MFx7xd}*l6rTy3M z#X3(Sc_(Xeh_uBjGF3*gO!_-%D|*FfD|qcmLwIet;a-X6tIX1$p>~uyTd+wwpomai zi2)t2>BbfKwQvbIw`}FvtSH@Cq{CjZ#1%sP_}B08Gun}96t5+Ev!Y?^#~ovAsS10M zT+~e=eUf*@aN6P-2=@cPf~PiZwZIlgN_g>=U3;mS=di!5E1DdsYel@i)v425Ro$ft zIXkr-K`$v1*Jpul)hW^3B+*{BwiTkSG?lYP%yH{Ek-J9B$jcu-0h-tCv+OtKFwclx zQj|eW#_}5hnQ@r25wR=D7;;dff5Cbj0l``J2Xbt|5Pi*$HZ$6eE6Hk}l@^{|WeLCHZ0i_!(77tTzYmzr6i?g(J5EtOE|7 zM3C*Qh^L#2v6t$qlQL?ce(F6nIOvW%?fm})f@2Yh+W-%W!bg#ggy{f^by-Mv!YSv; zi?s)%Dcf_jQF*x06-Rxfh)~#{>Bz_rS2BI+^RPKx>vD?E6(vQi}_E#Jo2r4}_ z7QlQtOesTE+c~;tgdGSW7Kp8fD>xo_$zX+F<{YpU*yC_?2#UcBz3)u*8_+YL ziWkRvyrh^4McSN}$Wg#?1gY2&NygWL)#+3Sw}-IrGn&$8u$~H|A1t0rXA&Q%&Oh7x zd>Fyy5}o}1!fA4b&$WOTybgi8np^#DAB%G_v&g689NKmt^44Mv%IT|mzH!%OyC1$A>9<&J23xK`)Nr$ST5*x0t#Rb?0b^^4 z7N;P3#BnmB)^&~M?8*m^FZN0LYAM;39k|p2^sRcO=9=tvp^~c$%Z^g&cImaz&P|pK zX4=cm%3#M(`GMG4V#hBGZJJQ%00BmbWID?$zBU=OR&WizVK5u$hCYW5vFrxMg++$o z5{TLrLj>Nlmq-<#M#+%}@N0d=VnBA$;PlUED(UEeai-DZ3Sgqkmt^orC}2P?1~1Mx zZ^k6t$pE!c5e#4}lH*M?_Nl(;*qIkJ){4~$jU^utOR1hRlI~Wy!L@3|V2Z%C6R_hx z<#0$w^H5Q@FCdH7_d&biW8l|wOD$UK&URX;gaE^w3>=!cvhf(Q@N%QcyJ8vQZMKT1 z%rb*~Me%aIakCrKl=a-p%HMIGVb154l%vl8-yc+lUd_q-9#RT>CglmpsY0jqW`S%6 zm62C-ioS0H(IduY%s@(q@><8<7AywJ` zJJ_Ebrgih4SZQgRvT>@=Vz&neB{Ahkew+Tp_F#7PIGs@N%Ko6vdR2cp64``dv%|oZ zlZa8U87mYQc%`rf=*~J%MXZO}AntzmyLPks@4$Utn zx0xu6X}<^fYyDgu()oL^#e`wP^zr65>b3*MfdaTcQgJz*{uG1 zaGn}dZ^hU7G2*-h#pbQa--DR?01O!a-JeWB^a2y-xO&{gLC*=kIEKzSlRoT0DFI1C zmG$@RToLv<-SfWahF+Bp>AalwMLj&S+v;oq1h77;AL(TGix;O6Aj%$_IL0vUA+8gB zR0aB9E&vol;&i6kUyz1*TCBds*M-fbKnc56%1pqC!>Iim99;!rL!Y)n#b*4Dik3)? z;!*)cxReMMG+pA^Y*YM(I-ijlKq(gi^ZU+0Pi5~ABeCQ?;>BTdjp%8E!?7I97MW&i zpu)>^YQKcXXk;Coc0r8cIS|Dbiwic|h)MIe+YGuwrE%%)o0*pnlV`$wPoj{fhn$^1 zqR)*E#DouX2{;{7{5EOs1sLtulfee~ z|BtG7fQ~F!qeeTnor!Hb6Hg|_#P-CtJGO0eV%xScvC|XVc)9n!_tyXS>i&B7DSfBT zs$R9e+O-QWHL=9R1mM`VGqbQ$1C0%4X(p@u;~eWen8Awip`j8^qz z4xUuauqJAnMAxK?Su384jFJ4snJWsBN;VtBupaDlbq;FCYCbrS0NM=S-|M2<{>#e; z7lp_T5V;(7F=jH1nLUo$)!1glx~}%_$#OQZ_%5(};7@xqGJbB+=TH3tJ#*cZv(Jw; z5WzQd4Rw^lC!YGXHdH3bxs*iXD6rn*RY0sVNr&y+US&R~`Xj!g=hx6Q{h*ctVrb9w z2&C>Q{ED7%wgGJ11H9Mod9V8bDB)WfKTf-%(Z5hhaJFD{P=&W6Zx~QpZOg5`@dhXGrKT*pImB9(tk!z;kcgu%4?d76_p?KRa zc4!*ccLq=XnXZe3V|eMYm(8Ul>=>t?fw;}}Ipd4wrD~=cyf%kr$u=rP2fRdnjeH{z zvnQ^yM{OktwSdt&n^4AOn!~?FFp4BR;0*Bdr+W!%kRE=r9Xc(VF}fEt{d_dVjxuUU zc?-xHV7hZ7r2rRbG%ybMpJ3-MCEUV{-+VCytfr_8MC|i~L@d*yYBH^bH56e-5h3UR z&xRX|5E=Fh5w^7@FtgTEBzf-(9|d{@7`T>4fWqZUfM?m?pRu3^R2C-5%y5|u)B|u+ z)2~pzkG495BVhnI_nWXXMhTJ#b>6lqTCbl0ru~@)W6yzJW#nzQW>$|pb}GjkIP2u! zAY8RsMztC3E-8y&_5cm+BHIzxZAYUWrY+ab2G%NVb;!|{YBiWpbTtYUBcTjl56{iY z*4Hi2!s#K!lrFjzuar~jpq9MR6lvWSh2ce<28>iCzdiK-XDF7n<=wosVD#`h2@{;Z zjCXS1dY?L8cl~t}q}jJ=KzH*l;*QmS!F4i`Vd)P2H$B=;8}H=)^`rud?I$|_mf)KIff^bmSzok-qTee1pI z{{_4Bg!)eQ%ez6>O~}+yH7-1c-c|b*`1(`wx;t3?+9T?uoi5)01KsmioCsz}^32`K zJ9m3l{{=*pGQ4{6tuW}BV5=kM%D41$;R&Tr!x2xX`Y00A(uWlON9Jm5j8RH$*VFXj ziJ`AoWzDw*F@7-G)33e|T0jjG0wC^d8JUL&J44b`v*9S#&QSIy9eCJoX8~!5Jz*Uw)8?lKf zLB~b^Kr*&9Qz(pd!bunBF0H;Z1IW*J!Purqm(?byK#hJb^PqQObbHm{(V73 z@jWLhR%;>Nht}UTzvUTDHXgb_G_8OC+OKB|AK{7DZ^`63g`XZ4nPw5c$mk|Iubg8{ zv}rv4ec_Sh)$Z;nPMPOQl4Z=)I;mw4I7)yI714hs ze$cF$koqehPM1YpX}R^xqU;dX)-6+#`jV4tf_?a4@*+OZkiwGUe5|FsrM)(Q?lHVn zBWpd|1ad|8|LIH_! z(lOJeQ&{= zy`)B65KNg$y~@2oCxoj9TMr-;&R98!j1V=mD3+fc?w6M?&+>*+6EKjTh1WoHhERig z_v8GUZi}(lB>%M~Vo3l!%9G|^lQn(`{bgCU+qGsu50HhhA_{QpG>Mk+<8s|Qnv?}J zs>7TQSiW$ZaYPDjeG|QGBa<{YII@s+qHC&vo{C|3j+|Gsx1VnjQL9#)JhLy{uO;I9E+2WbRpR66Jw z_8WDAG)06VR0Fz>zzA=UG)xzro+L%A(oX}q4%~=$5I*cs-^3Ed;Szq}N?||op}OD` z@5KeA|3hEw6aD4hTWj}xU_Gz}#SUdluv5VO_3B~gd>}K>4PZyS1=i`~4s-ReOW3;} z`gH+ri?kEMJ@g8Bhp?aD&kgnxu(f+yLsi{B;ru>}O`=eXLW4~JK?iB!7Ggn`aCmS*fEf96P zkKhuoF_L60*H|6W1-n4DZmM4WMKSXn7_VMX`WR66^$&L{V=tf@;Zfwb@Db*^aPGjH zvN5ukm@pPQRMG|2&=>MIZ)TAV#RE~rys*kMoK7}>q5yqFB7}iZ+FAW$3oNI9xOPzGrIsgBFT*o1f@e;Rp*BV+sLS%u$=H5EK%#gRlM zrL8q>@c@cf*QI9P_8H?qz3r@3(GqxvG%gIg)TLu1N<6H@1ujyhY4ZhmRHp7;WZw1pGD9SSq9znb-9-};i;-{es zzF_bQ(bFuUBzG*k`0TiLWl>hBvVb+HMC4!tytw6?^3S@xg{V~j`)Matvu zPI+3ZTDq8c7DQ%yZ^~hnc)KoS4J=ygx{ZtluH}Rx+{zOz+TJW?$K)rlyTnu9ejRem z*q;y|n#v}Or8=b6B*`?Q^j;LnApmMpF(~(#4;86Zv9#z@dZoa?awbg0;#Ig6X?9(y zT#IZXvZBVpYg5pdBFNhiZi{r>S~viXM^m-I2`3sr&sy_LC=u_iI?X2x7yTAz3NbsY=Kt!CI_8X7E`C!H?7zd6; zc2Wn;@vjTd#Z1C8Vy7#bJV_P^ng_!_a>C=vf;!VjM66Jq!G20_)HGm8 zp8O2Ql+vn6WZ7SNZ?SNowO(1NIA8xNa&C+CvJN=xYMIQ{yu3tEcoqXgqJeK7D7IKcTXn{ zNdsv?OpxT3HWxC`d*KL5qdv#6&u$b4#(;J(A^Nw}L|zIR1Fyn!Nlsh?e|y=GOb;B9&72MslS4H;7`;U=u)u81oM+i$`n=TO|cLnsxL`Bzm}5CRVQhr zH_!0J8wzCRYH*b&>E;V&tl6;;M^7r~C<4aQ4^nu z1&(4lh)T#aIDEiJfU9suCRTH>0j?rR8hGUooJa`1LOUeLNuMQ-TUF!&yOHN7FCC?n zJoq^JGN^;EBG21`>00R~*!odDAH?Ky!8}Wtj|FgR&bn6)D+q&LUIBi`S;vjfdc9m4 z6GgR5cf*V`Les|0T_flp47oVRka!e}dg_C&ZO!@Ljy@C|hX*`&yi7c0ZMRVHJ0_`L z3E$o+{;p|rbuCuDF|mt%iEL|;*kr-Y#t;^LA2uP7u1Bd!<2bjD(^JmsIQS+>(H?Vv zP3>m^YvG*vQ_zkZVa9-FPMyzmxVzE4UhD(OH!s+6jz>d-UgjBJ- zcXMG6P})Ln9MIN189u|5k{P4z;T+%4kn3nBL_X>Ju(1ew^);xG{*%y1Sw24ek6B;- z{al=@zo2@vKfpzU}$BoJY$SRx_WC>TE5xEP9noJ|BX- zqtU+`*vpia+VZ4MLbj0B6ZJx_d`M;!f^Gm+;%m4mr?o=u#%(iUnjkd=gh>Tc9q+8lYrHi}`**1WLanhq~z`{?1xJXwm3dBbCBkG+%OrL{gH0hC%8>F}5A%L7g;6}~%DGeDdxwwR zr+tx}rk@Oj#H-;Mlf9z<{!o+$IZIat-iUw?APnFVF3p3PrNjjgwABm)8kp-;m0vst zp(Y0B|E#i1TXYHTP2h@Ezra0@w(J_)4rG<{7Vr+7Cc8}1&iR3r`?`2ov!E_ehESSL zWp&=wq_aEqwPYuvlC;h)xRjP!&72D?fAP<>sRBHBwNe1$0a(Iy284=atKdoSu3*0d zAbRVjVgZF(5#4e*m`UIzkXU{eBAf<46=Bk_IKbO`V9cRpVfnxk1N1TxUTm0fp(}kd zm6zTgQ70mh#H;O|>6Mb`EinTlSce*oMCuAg?-+p|5k}&{1q%P6y`IstIk%rI7X)(U|j9tRjN0djlMwq8z z4;aND0OvZVtngDvKG(L+HPjU>ftS0FFg^dbCR4j&@keE2F{h*p-7CEq^IWDt@Z4qf z5mL?%PlVk-XBHe6y%F3J3Qf5F9LGk#8rF(%>N8}( z!O>z1=M`PdEB@!zUWQ}DE&6-)b%k}Q3~ zH}8N;q;Osj#0i<}m7W*kLbt4kLbtS2^fw0)WLf666fBsv(#B&${l&%to<+f`F1DHC zM`twzje1*E2MWWVqS?s0OSMPRt-pVDn@@~;c3FB67xrH%tC`L_hHkKlo%Z8xjQy4 z_1N2-_0l|DUDk?eIP0Awx17(;ks1V1ch2jiuX1qzS$QY$T2*rk&G&6n}9G}RAQGB%K>RQ0B`1;o+K~QJB-)~fzg~AwZ$rX)|S`ML;h|o9$<$p%l6Sc@V zV2rWtzLW>-Lvg9Kje;lbvvCvTbsK~859Z$URo?_3{S8sXUJIG35T7S$J`x6E!~=SA z4wk7~hx^tU;Hn+2;!)cFKoz}{C%o4rt^hYv1KrCeTeGTc9%YeT~-dgaO#SwJk zT?NH`W+|h0>&yu)f z1%{z>7=@e8ZZrgHNnd%Kqrsql2Ql2`{mKiS;|2Y4X7VJ+4*f4LXbuXb=}hWLq#LC7 z1EAs zqAkIS9^NJjzjcn=2_X1UpP80Fs)|WFUYJ>BuF^=`j)gWAJ?Y@HobA)tc>eZ1G`WX^xod4uFwR)iv-*mSzSVIR|DrBtxUjfiSCGSchuiAb^FS(hG%uJC5r#u)MoO%O zY3dBLY6V!H86yiJ25_}Z8tD#AE&P(x;2M6QAIR68f0bogA95v-{M%OT3ho{PbhozLM?n@jmOpgcJmxGp-c;N`6nB zq6UAr*ZCsZ%JiVUoXg~X`d%?75ws@}wyO}dt6(G?_Z-a@U(6N{%@#+`76;B23&93= z6Sdz_=gVxv1$TcVryL2c-xsBHpf0|A^PaMC{--2^IHcQ!+3wBwVd<1Wv#YhS)H2+A z>##y($|H2$^SNvWd@PJPJr;Z(itT^i^o z$%5;8S_nB+|Gf?4-OiT@_ePQBAb$n%x!4KC!_9fr7&L#r`$1Fqz7>h+_SQAvb3bxP zIN1Ti`VaHx)us2q`vWm>%3fbz$9HHq=H&Ae$xYw7wGcF~vC*{hIgR5>%{SlkJ~q>7 znRV&ZFWKsrC3L&dRp|Z*y3g&0{m8b<`rK<s0@$To@w)b>}?ZVf| zKt$@^T}!UWA8eu8d>d)$(*bl7`QboZ6$9QZ0Lu{exPIAyPE@~)5!=9FfHO=UBOo47 z31z?!zyQNV?o|SqLR{exw<2}l-!)bIiqphxkFGZ=tDq6iX1z<^1H6agzSv6neei|6 zjDur_=V#vl&WC3o>A{n9@bZrS062$c9bEikj6lqm;CK4QWra*%1sm0f^OnBKiTQna zAue%1mGOl%XF@b$h%q-of@X}$>KKLPSQ}@F@}6;}FAx=_5q%oyg}1}Xizite%FXA&_O#byQAbLpg-rUbpm>NdvIWz!*4PXpb2G@%KmWJFb z`oG(*MfdB}??z&SP(<@f0${@IC#eSCRiGRFa-dJ?e~f4R|xRt1pqPpk|gW` zF93~D2JHXoeMkdl01(Zu2fnuj;wlJD{lNkjtI7wiDAYEANEg zznG=go4z&)D&^!qb%_f(e@lYNV+U}8nIrZRL+`|bUnv2&!0j>p?4Wigz*gV$*K=2U zqOyPMSv)pm0@mc=1?(AjPqZ;GCiUN-J~4nurl2Zn&F3-TeT~~xQ|U=4hUgo=(5<%aayryTmtXq- z+hti!&G}Hf+dEY8Nj+7@b7ObOzWUtcr6A>6F1@(?DkdQ*YF(+Y`Bj4f1bWo{NQ|$Q zX8%`H90dpEMUnn<;fg3mT1kF1`@5nZ3NqUa-Poc&g&jn079^00zK zT&>vrC0woWd~MddLtIbNkQif-3~M8f7!XyggVh;T?3L9SS4@KS&KuE(GQ^SyR?!Ag zZpiZ3*qk-wr?ELz2)2vO@$ zDgFuh>q_CRL;3d6OH4!m32U$l1rj7AK$wSP4El`7w;P(x9iF{qz01ax_Ms965;XYm zhI}|7`p|}aP=s`&i2;ee&O+n+I8g_F$b(ScbfaJtNFp$QsbGs~E7V7u=x z$%JpeemwSkmK2$M2t55P;n?m{zT1EwKLO!fBiP38|euvE#!E9ug)xhS$uQ3 zyP}UIz`_4`SmS;E*pe&Rj`O4x*G~S=)UiHnz-~iwc76_YJUh{5cPUeukJeUjsYDB;9QK@ybv!geb&|? zyuUS3SG!URynU=djl{ty-`N(n5N$t}RIE#d`$!2@vU*l4FDJQ#UJI*ch~Ag4b#2gn{kX1cEHNHujc0&I z2t6OK%tF@#;h*O1dC##uw}a(2dl!}qAD5p8J;354ZL{WUtJVgx$Y^1M@R;7eHW%kZ zXgp?_y^iOb)6{k?9_#7k0L}}hlXvd7H6h6;p#`(ruDL11vg1v#OV?qyl2`R$0wCXg z4qCYFX8Gc_@B8-i4Dj;v?L}7`$L)w^qsayAzB4pJ+pYPvqxoWKkzLgFRQ}#`MwlbC z`<8u9!FsfY?$U{1^EDhvD~M^8%z0N(6z6PzKDcE78|Qq#VBIkC_R+yn5HY)s@{vf= zZEd3__qC<%b2)42sNg-T$!yxv>Drg6Tfpo*cVR8fOB81#CvR%#wQcnQ(uQemM*LA> z_Gs^2$J>jk9XNTLzrOCIHqKKO{jO6vHTIy>I3@lH_b?;AuG5(tX{S&NoL(R1UCMrU z`YdwO%1rcSnx|ULiEL^!7jk=;8Cv^qu9>go9Ik2oz%HjX>Wi&stJyu@+_~4L*3a~v z4@Rct5lyqE*OpduVw}yGhH10MW}Y@a*#EeHF~Dzgu`=_%z5%rQa(m8qdX3$zsm)yo zXFI3iVaCFy6CEMj%nN*?V(qQ!9Wq5D)5%)Fz(X}N^1-S49YaHJ>3?@J-2?=mIIq}J zboQEdfWtdWaZ-x@*jRM(6u^6RQl2@#->Az$yxNw&$L`B^=mOe$VBHU%@|KBI9v9>+ zoMt-+;M{NM%@F@}@KE7EKKuO^JLtg^`uYy!QWgt}CIvqt#_4`MYXvj-O{wmoLiwhr~SX zf1ElE6AJdUtvQaluiXDoRk;kzVXJPz*vlq(raQ6f+{*P-s5JXE^Y1>%K_K#f`Myx} ziQN8dS6z-T%)_EXm(YEV#>{`5r``9&{-5(-h*5K10_D{I$!ToLNPM}(M zs5?`v*%Z+QY0knvukm-QEqnEDgr7H^D=%(qSy?U2^HifKI96ZXe{s<9^=SXw{rt}t z-)-c(&v;KIflH;-d0Tch+hSdx@y_t~S$Z>kdkXnE>!)qT0;jD&tR=hQX%9W*GT^Bu zZC=i)4vylN^I6A-e*be`=lHk6ZYfbs3;Vv6(ti2K>3jJj0mT3AaQ~j+q^&E5e_1(Z z#j8yH^VrHEJl|>#i+dE3A~j^Lo{f|)J&DBO`4gZh0|AK%003YCwnh{xp1(az@gV>J zJsJQ2;p?iJgowVgojsGAiRotAy6rj(>W}SLPVCf;raSH!CSg7NJgArVY|`I-qfjvx zYZcfr5aDFJp{cqtZ)P9&ulMK2v+s&cMeKr7Bc%EdRBNY6*pb+c3wV!z5UQ!q8TS4b zIN_iBB?M`0+p?Pr|As|I4;cUh&Zy#SKze(Ilb~*RDVF`DDFu6BY5@4~EB0VSbbvw& zwhc+MU~k}^iB3ZPu*PnQbuqK@gt?c+9(NIE-7#to4jMn`8r6VcVzw!s(O{Ri-H^!O z7M+mM;SvbmVNrwAU|CTD;E4OUr6dvlh%1+R^B6M4{7HlU@%vKB-Z{hnFe@>zqWfCK zadsw_YG~&$>^gE|_A%lSRz`Im;L82_=}%3VWl|_Uj8JX|tK4v_?p1^z zvcqu6Mt0p;GJNmyIH3KEj2Y5oKdei5|jd910L1K|p;G$RMPH({< zIJbmcHO_KHP(z1jrRP=WS|i+a^aTh@%JN~qiy*A_!Eg*4L)u~Y#4X(7jFT1cR@oss z7FV(?zVs!!ko>1%y`MgfZenEQ9i^GgdlYF~B&8XLa3+$e^c~eu`D9&_d7us0t3T=- zW~1Lx_BGn@)R!?X7SLEWQ0?hyr!x^T;K46~Qx3#-AH(6tSQVxOyk0QEUdN)Uor-1! zpA}`fp9IA`ly%dFHsf7d37=sdn&_K1LDO@W?_ z9aJ8ovQlM=?@*BW!DO!fa3AWcE`J=g{00U1uk4Y2K>goCDAeEfla~Sj;Q0C={vSig z-N?ikjDuogUBlX4jh$Oul;rDW!ozC(pKmq}R%7?Ia~~M*ZzIXv*ed>NML$HM6I7Q2 zeq+gy0kGqfOuj)1qoHSjDGtR1`1K|PL4NIdr(JU(d))GpIpZ0M$=1tq-QM&WYr4#G zY}+_Yka6Tp<>`7H+|JwtGo6SUJiMSjkvYf(qTjo2d3}C*vD=xtx}`|;&yo8CzJE-o zJac8*P`((SJJzh9Vr>xhZPL1T29&B_J=kj5(+H)HB{wr)wF?Mz@OgIMcfs&<3SqbseBfU#LG-bDJ0d(ACTJC=eEJ&mD~B$s*6Ld$jS4f@KY4zlOod{ z>Mjd-elVj-;|4fp+p9LKF^MrO@YW>|GZO93+y`mIMR=lj{-Kx^70d)p^HuvI>}!Q; z(wfSLovRa_#}<>PORF-R_Pf2LpcW(l#@vJZjatTsd7*uIeWT#?rbM7dtM*(lVxgUz zqj9i_csS6^E8x&!Rxveo-^AyBEV@w;jnRE_HuJ{_Mk+*xoN{;VNx)quLlUaMu)V}32r0I)U;2>9tf4NAvKcmn@ z6sQ2h?O#ATw3I=&%iUCdY+gbKAWhmsi9o{DX{vu*DICs1&=iLuf?R75m5ww<^L)^R zxhS<|x|u6R9@DF`5^GY%^xXFgz{#kuz!&sm==EIxPA(ISf(cR%I|A=7PM;`@1-H1v9Rc zGOpM%t{gR2=c0pSnF0B+RA;4d?;M7HREYCI5FER{8TN5hh;u)1Yv{uR%5uvIT*m8yc>)cEr=auDv-F-@SKvP>WR%zpvmyUn&QuUUUGD**?99R^U$2@6r0;Xn4)*QMQ(d`TPIOe zEp>9_NMt&ly9_Q`&O-iYN%%PvKVBp)J_e{NC^?}^8<>e3mr9OE5GO8^T~TF;%_U2= zQlXQk>dJGp(S>q)hEwt=lrC1zpNF7ZSyJWuolH!g%soa|==t)VcVnvgyHWnL#pca( zgjT+|@Zd7g<@8=h7Y=5JTk;=tQvw@!YT$e{5+y-^K6!EGqVzbf4zx5kcalA-Z*Y?B zFM8TSds>>!HN}3zCrYJuxvIrt#Wfh|7Ve~WlVW|6V*c9sT0!?$k$>`)96GUE<(zrW zsajHaU0{ms9r;0pkN7vvvH$r^LbJK9*gy9Qq13KWRTQNMNV>42*#*55(F)|LDgB&5 zkw)Q4vcL0P8P?x%4|8fJ`==p3Pe!+?Xhn3Cg>Vp=(2o+|Pp)C8R8jj4lV}J&vA#cc zHqSSz5U1P2{@h`>>Dm4qZnz0b_+BbT(-J4(L>W;Prc7{(_4I{I(FZ{+`B8D&pnCYc*zW)Q4Hyi&4jy`1Juk?Z=@TS;0G(AX5 z;?go3=x1u0n@EulsR(Zwj3QP0PPNZiD@vheM)V`QrZyV^P}Lm_Z)nxhvgvvw9ad!U zg1-ToGYzif02w{uy?DS_RWs50p&ZPxfX{`X$NRFne#5;*|5EAk;!5eV7y@@j22}v; z8#>I&I2evL;YmQ3cdixnVb9a5GiB}+CL|DUGKKxwsHwn;BD2v-cFiNxJ3rjT->PYW zd6g4K?Ur8m#)eJgUd?&4yWIt2rtN_KmP4Is|FJEo>aR_&sZo0tF<}L~UQ36>Djd** zFNpkEwA3@A)-yUe(6~jh+zJqWc(ZfsW+Mup&DiY_0Ezo z6Fy`~M3zZ=RK$Hf;Zc`CtAGGHGVu7WJe3+zzZ8sLLD)G$ne=nfJ|61|BIJ)zGu=^; z7Atj1aK5h8zYOcK{JO@_OBes1KN?KZ9{{;#G(3|!6+#H|GI6jjM7==h`)}#>L*n?6 zkx#))`Elugb~LUhH5iw5H2b+bf`n=Qp}dO58;II2%0e(eairFmAf&(X1gN7$Q1;Se z-e}fopiv&QCD24lOr(>M1%kEAGVFavV9#8rdlFi z>_4{RkNw=h=TSHsvDy!CuZP!_`cl9+u7EwcYK%)y15l^$2GFcq7te)!zlYNnSPxn> zV8O#A>&8@}(dVIkLI>&l=k4$xAO!oo@8;ExrDBSX$ptXu$1yS$-ro=8FXrtaga(6c zEAk|7_-7zUi0&%hg|XnuLcYdwDP<+C5k63&cbn5;%8sjLb6kvu31K4zDdlTmMnT68 zV)RkGp+*c2!a*+rV-=Xvi`Md*!pwtTMXsVs*IiP=CLzY6o+2ch)7Sh7a3e#XgCG`W|&LWAh z_PT%29T3C6qKkUdpv6)KdX0|il(Ty90%=v~F1fPY^4U`SJ%e6uYhgEGDAiW^IH~ro zX_HeNskE`Ae}jk)Pmuqf(MA8Y?Z!ZQvd&MLz>x?p;L#e5jTowIg`gd$gb0Brdc2Z= zgIBWn!PT6x779QRu9UaK$g`Fj4^}D;m^@_LPydCcN=G3OFL7VQAY5FY>`-I9pmBES zHei5>kp72*F0Uo^77J44r`jK7Mp4E9N6NhGFh#Z6E=~@w=-p1SzRnoVROUd&aDf+U zgCwZ>t|ojh0q+)>GTAUq7HZAJ2%Z4atWQ3n-%o{cKwa)$`P(9(B1W%0=_jcA2ZBI0~oP^?obdC+~%YV<0n9%G~V1Wc)x zijcoEPdT|do{&>jR7qB!*<{tz*`!-}Xt9IeVAEz|IO}y&vK5vl_Mz7dXd~0%Ww{4} zEt23yb7}6)6^Gw_7$APjLf)otP^ioih$4ii$k{HY>5SC4z+vogGP{XyXq1@@i+@Lf zoAdyQP9<}`S*GqN2v=Rd_6IN{V+~&>!pCm-Vnn0-M53Ko`dWrG886__I2>^@lD}wI zEOByd3l;gEFot6<;4lZRM#)YVE4`>{WnND+{+vMHk<`d`*W#^tGBb*=6wj#lgWiKh zM3NrCqE&`B@IrAkHjJOX3GQwb%|ncfB!Jfe{fK5U)Fd#o9JQ2Q)q%jXtS8PtDO8ly zP-jwVLcFp^jApqWiWP!^O*1L;`>07I&caJofR6Yt*dptr!EjLv{A|6%cH8dnS$I{8 zBSF?q2sUpMO|rk+_)^OIzfRLMpu=WQ2+c|>k2x1+6(wvSSzniC&K0=M`YEhw z%@7_Z$sm6;QM}ixf}>Ih%p6{o|3J66JHDwE^h?Hyg5qd1lbKhd7ox@P9isx`1*B9# ztjR+hC@Zcv+NAE(sQ#gBWCN^prRH{(3^+_p{QD!s0mFv`b(fFS=vo-L2by@ zF8c0rn)5YId(l7QDtd|qP+7^2jFe0sCa#Q88WEK&vsKMve%sEmPc1I3{9;}xj`UxQ zGMQtUws9s6Zn1nUM^0YKf<;CH0j)qHvH}4BBBzQK{_kdGW8U7!!maIEM5@^Sdd^H* z>FggFp~Zdh6^@O0jQikqGO*D*N|bw$ZgVHf%^Wcy(P@b#N}Yd`CdJx*P-pxq70atA zhQn=P5e^zAs?9_X&ZhcIWV~F~AliXoYW()o3UyEoIZQ>+P(W=^WX6=L$X5U?&Jd^+ zKRv$(_)^-uCThAKuzC)rw1`r9f^yu-Lby)x^@*G^z2&vBYI0NZY(3^>B0UG$fTUu+ zZL01-+c;cEuh*Wtzp563?lHc$Ot|imk1Z*+e}Kc)2bn^GY4fX9^B){tyN15sJ@Vm? zBDj`LUF@`DvGDjALf~@24fDZ;Y}i2}1sW$*l;E|uqw6ppW}=TJIf?Kb3E?6(I(Vh| zGAF%Z-wga?I5VX0AG3VP__w!o9-XRba(pYxvpwP59lo2@2}SOeYuEcK{nx9(xs)b7 zVrYNaK!b%JF~2Mr7aPBKmciIu8Zu^jnA2ll()FBW=Y?#GH7V%a@F{PlZCt2U2raVM z0GJ^?*ncg_f++`8Xrn`nkJn z2-)R3xcg+Grje0k`7ER|Ywl;Bhpa=M?E7o<6E{|G@l=5ui`Qw|qNN!u25?lds6Ipc>?See#RhFkA=FlK`27w9 zm#M&y908}PKor)j@vBz}NI?8~=x+m-uv8LP6oNjt+ADmP2PL_NNMfN(vP(%3>H||n zLvJ-*+}H3xfd3sQi$Bn8lb79nIhQ@qY@m(BoeLk(cPsZ{-#?~1=Q;rteCN z>x8EOX?D&QqpN4$dx-9_&;4mnmL@q#)qDTg^?M}~u~m<$;|PWNtuEi(GDQZV3787^ zNrdpGZ_?kh0{)pP_jFm?`#D7Q=BBj+jD-8t#eUkh><6|$eYB)s^{j(`u7BmI>;0sp zdVBoJ5eoK6Z}Ryi`aco@-nxJYpr_lZ)|3?t_oGZycx6b)h1KAGZk2m#A-f~O_^3+r z?xcFtW_@-}yRsWPSg`2t!Aey4YF|xOaPz+jXI#765o4tzK|p${+%3(;kDu>#1%QWi zFavR@4P$_CB%;AquOg52*6o(2tXH4pcbCP^Q=bNqYqvc`imayC`@E)!?t|Sg02BZE ztFE)JimT6aRwZ{ha67BACH(V)_pcr5e=XXl{})F8?EA79pAb%tJ^oMILe!7iVV@%G z>x}e(pd-^B2>?M_CLuGJy}i8cGur1aZ`+~No5b`(NM>uy^jJXZQ|#1OK<-oQ%veb1 zQ;(56m-is>%XSU|9p$;+27#9Hw(h8(Vor_&p-)04rafj*egjSoyA*(!$*Gh~01w}n zrg-=#{qaE#{WWk&bL$#oriOo=-*@k2HS6pt{BvbyDkc{oIkU&>Yt4nSG#8q0l4@6b z#SgWS_?I&{laZ(fpp^q8UXv6yH2rbpq8 zcbK4}W9)R!y?p*VBHMW)MG1fK@Q&sMCd^mv>0T22Dg4N`Pjw$r4C*$8odL_PlSGv^ zq&IKgnW=e)iaUopiy+5+4y^5d;B%W#)y8esJLD~BF>n5JdS0^24Hi09#bPfI#rCmI z{_fmRceSE>wlP*8pZJb4aI9}un2s{^ zQWsR#d8#h;q*($>&-eWm`+J4Ci1fl(0YuC|w^*OQ1{s;vWnsgX{mV1LMrGngl&uzl zbctBJJ3~A?GzCsGM3$K;RkHyGqszPViFs#C;v)+5JIsEaA_phC)r>u#6GXTMa-dF^QW#Eh)h^y<{O@GXE*HSCe3>WN<#FGjvz@CNe>2x;D|=!JoMk{{E`zG+4zo z6e=<|hKeewCNj4wDYLAmCUC=KD(+)Zi$vLj62vZJCVhIF=1*05zM^?BKoH=M>R~ zlUC}AIU@-@s4c-(STn@OPYJ8+H_-$SLYFKhV4}D;!qmC=I+N|j4;7l_yn36;~O z(0mAbEu1EfWs~*0v?|_4)T$BW#I8olC+6lBO0rvHM#a=Mds697C_Z{ibsBEj`iJf` zxGM%DpT(;WRJt<=F&x^pK@JzuCCY^V#KL!k$){x+9kwq2CG2_Ycw`Sg2VpdkIOzQe zzlGDv(*DPgQa&i(y+kR=)0OQI)KI8wd!)j7deFrra^LpUIs=?-5lV~s+9k8GP@Lsi zT_xKW^3-lzpMOXvc9SZd6e3!idMyso{X@9S$>5u|$Z?|*rQR^}P!=EQ-34^9Dl`q@ z14z;R8;x3K>5r(UIohf@7pion8V*Ycb&79|%lLW8H_le^&Kz+%207TQ%`x&;UABmA zFIG#I<$7$~AU0Yzc{i26yxb?!9ElG73x$xp47@TcR4lS0+$*^Gl})as*>_aXjx zskY5LQ+}r@svW2;MUI$aO)v0Er*!rBo{*t? z%g_xAwYVJvwo!#;96>W)WY=givfVmQZTK2 zu&yNJJGNwZ-X6r^9B$et%QkPRt5ioLHCwl~Kbv&EP)vebH26hmhwaOymJ!{`V+7?e zOSj@wnM5H_R7A?}r8OpIxJ8!QzI?BK(i@Yv==65#g(r|^)0Bt*mY#^IKVT*uf32=5 z9J7t4J@TpC>Pfcv@=kS@%1ygh(JfP#LzLExup1PyxW>uT%3eAP%nt&@MhWel+earo z#J_iNDpG|wrF&AwW*ioCU>Dv-utO(O|5>NdV|CIqgs&0hjm)Q*yd@h>aMq($iw`@E z7@VENNrrLh95+fCe2Oa@ zE!`Qsedb6T0px$Fw8ry6=fRDlHbNuCycu|bVK$6mz1bwKl05X@Z@=|aRc5|4m7x3+ zDNU)}OEFK!4jOQS788~2@+XQ#tcd!dWv4AmO(@qZ5nC&!?c{)yXJ{)Hp?}GT}>nKl4rD zn%bMB_?l>6GzK5nSjrPiB?>68uG&>w;wcE)oUiHJ_D$#SqPRye^*91oX3KRa@=EB~ z?Kp(xx&Srg>53$6)9{wkfp!JTUJVz%1skNrmCxYOOQMncnA}bQ@0A-%c7L0Bs*fwB zi0a%SBnnseTH}rFql{y&p+_x_Dv*C}Laic0k65 zbL+y+9pWAo*p~+Ooryze$916x*G%L-$(*=w1s#mzSf%{#EF}gglT@{7lhJFu03ECcg&$ol^eu8bxg)B223bCxE`Kg<1@2lK4vdt$3PJ zU*bNvvgaO^`K)^hHXZ@h^*hM!XCn{MvFzr<@)wg^yyD)Z4;5UigEA7FF zb`JK)0`@_)h8IHMvUYl7fwa~PZb9*7%zc$YYks%s^=iim0enNkXr9F3QGs~5H z&PS%7KXS~JqUdQ8;O_!RBXqT7G2mq{xfFg`T!=#trSiNi_n#)$gAmTB;Jjo1AKuF z_xF81@B91x^?dGoFXuYuoMXxMq78t==hL_>6(~m@jCy zBmQvF)$5)&<66YD{q|UB(){Ur@=4IREB*L^#+=L2mTS!NMMB21>qgeh;Jbsy0;u5{ zft!DmNodUYOQ++w5GQ$R&+C2Ys=My3)49}Jk}ZGry{lfyKgkl@piRUE?-7rI6aUMS$T>)UhR6&Ti?udJjq|M z$5Yx*&EyszEBJav%3gNtLk&02hl>{KvE}#)N~b_L;+C_4F$qdEh)Umk?4xf> zCsmed#K?hv`Ca4I=|=t!7q~HRbf0fY5#Gu&iC{YAe#j@+b0HKoA=EE`I9+i=w``Q{ zuz9W&w(W5~!5FY%EBpC;18#meaD|2jgYD;y_(}!R>6(> z*iL2IX#zfrd)iEA&1WUwug}RF=fb|(^sxm3LiRr{Pq~sm5Qzt-I=HNNQ%zUXcnQO71$hPK!}5 z&${M3;Q5gDWl|ZHO1g>;^j&2oB(jXVmbu>)KQp3&Rar_&J#A1{-k15qj-|fi+K-m0 zT%yc<&v?(*VY!9`uMyS!%0VM(0o3!((mLX@vVte+o|3H-p;SJSJuhAtFMiMpUt-32 zM!4}jI$&Sr;QNQtIO^|`IkCm_DO|mSKfTsazcOxR2Ms-E@JLvbjqv@_w5dv zbD5$eje1`2d*Rnbb-iVkI8Xi7i8K(;;1t*PH?GA9?rk|D?Z3}lV_0=ppWiZ0Gy8l- zko6s*F{5|#5Gy%yLuA|T_lfmE@`ut!Lx(e+kaNVy{!7@r6XAW7d;4ot@X+@+?Q?av zoQ%jXxDG%1+>#nD8#W-iV?W&yih1ec&#dcj4`LQ}=g5OoU4A(GEXk>O;wAmhSCeNP z%xr1Kol?aJs!$|qqmivat-U|>&^RU89f=KF9z;k@9CcWGXJ=)ot7ADmstD;DM~?M} zR0%g&jMEVn{v{5r626RXT2pY_5Yc%3)hB>xpXxHr#lkRN!Gcwt@W#{wR;$MYm*o`O zWQ5ys)E4MAB{a*2bEZzpS1{r|a{3W0s*UIBSeUL;9}`LP3`QTPj<>PUPD62u?_)j&ddd_^q}GA#df|`UayZTnR~Em@=sZM>+&V9zK=AwO6F98^g^^> z4fw?cAiX2LkZ69rK1A72j78V(yMUuK8hEWC`pKNg>%$O63yisE*ym4h<{5cI66^fj zhM$B?MY%cGk9TMC{%z+!Yq~*_jvjv9^ofRNnbnKzMz>*!43m&oW$C9Ut@5?VWh~`*T{(W%!TJ z{@w4+#l{@MH$vbDSBoX8ZTjS&*fQR%>7O1BUdn_cmDs1osVtNQ2gmV#9k4(4_Kl&i zxgJy>u3E|T7J*Z8>;437qQF~3PGEiMMsK4f)&k_btIvkZrW!qT-hRdkzjr4f-oq@*A2tw;Y7%=f85xPu!;MW zMwMgIvCAp)L8FDoa>U$XJ7d_WPu($0^F&X9r}NG7t%W;1Qh1= z+Hb&+NBss?y(QkW9Q%|JcMuoT_~i_qBLj_an;Jp>eSLxO%k1)T#~+j4QCgS?LAkeV z=lhZh^0TO(+a8U)nD8{;!OZAmjPgz?3xZQ~15eXpujO8f)6i8X%hLs|mdg*5G}cZ9 zzAj^(29MzKFOd~dy)>sCpYEU!DYZGZmHdpxK1+377+8iWF8upPj5M?#({qtryB09= z@1N51Ffp!A(Q%%7MiYWK)^z!HY;@eri|O;*ed6Tn$?vnTUEizNILMM(B z)3oX$y>8Wmdb;`Z$DZ2muCJwp_=eqIaut%}_812`#YL%}(G@?$?($)}yOW=Xq2Ebb zNL16#H5~A+G3%!DFbPe*jd6;@i>=WyHqE8_vi@G{DhAdWt^Ek>-uGq z(QFNL!NVUJpVnK9pl{opUm7AZ-N&L9-|nxXehZQ6AbOKNEvKzCiqLI&neF?EQy--T z&NRB$#ihh_ub}(WRSrmVj^-H&a?f>2sUyGiZSCzZ>HY8sqA(B|j%Jk1 zrkcF-Y>t!9FaF#}fJi=QVSTo>swse)SU!40J;wdp`SZZ9wq0XN1{+c=@_ou)-aG8R zf{a{0ieHYzsTtvadjt0;xZplD(D+&1yOE-Ms3cJguS0r$bU}K5dii66=%;qxfGwiY znvwpnmUV4)1kT5Z7}ktAj_4`XoD&zjEN1yIR$T4kqi8o+LyjjW+!Y3nDkW5AML5Vc=y!*X;;31SC{#4fxp76fa7G$sY-d{kRtjb zR|`Rm(|Aewc6HQBI#l16w*B%+dRJFaUj<{rm?xrku&!QQSWSwLAtv*H-+9@#j{*J^ zF5~kxWx5rsry$SA$uC1>RkaYuC9m|^NYV`1M>*B}E`nOKWO0{p@$(N2zK53=xx!P5 zna+Ck*RVDU`UHNCzRl?tv0yRF`$095aWYK>JEp*?<05_}@I4}6mO3U+Bj}W;Bi(dO zEyZ82i;ZWPQ)Z!7IR6DM><+Q8YgSm`EH!_g2G*H_tvyXg#xDyip0+|$^Nhrl_zyjT z>3hkYdMs;|30U6dp&3H-)}d7xg?T*kI>uHbRyAgS5@P1Bi z(c{TOOfqR_#YkZj3<{EqhQ%eX_eNH$(ZwN z_f3_2Hm5#@?^=t`rA*#L@wRC6ILNMTl1%x z;vgv|Sjq95RjBT)|Af)wuUZ4N#2rov z+tsH>CqeD;l$A7!j;BOglC+~t6Cv%+j|cQV{~i_~TJ0y{cE$dd_xhTs@z98sG_E$m zP$4gOO5{lG#!bVd2YtQG3Dpupi%WGf2GdRxA+O1_m{NEHUI@CS6PI@dn6u)pE3xH> z3E}77=MY!&v2ArvXELQY?2DJLq!|c1eL5Hxph)H(e=yAf(|K!n`((ev9@pyidyL9< z#h;_^^n3blYY_a^daPf$!?RgqDXbIEd+!OC|23UgUquPMtf5F+v{xpmZ_4dT5V2%k zW{C)|>+2^c#KibI3ynS+)lqz3^LoG|ry0p04GYRl8VX2z`gT6wVHNLH`fR*dVm(s-T zYSX$~HEMU}_*Mr!@aF?7aItueZsm1H;OB?E$M;Q9eco;w8-C%$)owDMA&Ef=7_>`o zxR_OO#-WVlk7e%%#A$I&t>{J^CJ{))yWi1GN5)&V-5p4vrmW$1b1_i+;`<<3UiSds zci!+Y*dbub_$P4BT$}5Wvq1%@z8&;nCyxAA=a^rB$Nm1GQ%cs`rf|~=L9;idH`Gi+ zo)mqg7`W~{&qs%+dAt=b6@j}E|HS%_@OojroJV`s--NA=uu3eiRf3*@Uyi~Ylbr;) zj>`2C13@x0DL2OG%YVMPF3P9l^?iJLLzG0(&yFeb#k5XB-NZDHXv1gwr#$;7IDb`$~ZkACre`e*L-QiwMyi`##MWlxOP+ZAL6yy;41`>R zoB-}|dn-FdKx|KR=luoSjo1^ZWoL@dOtd4#w+5Q(dCS9O(^>fMmB}+!iRnn+?g_BF zJIj?SBzMM42OGcfcYZeh4T#_uky|zQs$Ap;-bBWHa1VbP(Utea`sY)S;F@iBUwvuw zjg}~7D>rE1j?*8@k1@ya{>JKJx4MIQ;uBg$tIwQ`<(=Os_bN9sNR*nyt)JnVO70#V zE6I4c^t(?XUiYa}$=`udn1U6B3KKhKCwLXCL^aFZd<{vp@y*0t;~mCPdjuGR7l-a3 zsbP8z9VlpT6}j?pen2RotN<= z5L&@i9v*(470w{AsXayg#lj-u{Lw7!71YH5l51%zn3NnRzRs~_x1on%f2vd|OO%}; zPsmL5s#1`Y8Yc&4%JVfIgFv|AJ08}?r%5#q%+WW~%M;^a&B-tQ>|VGVtQ3>y4Nvsm z#$4lCII|$l`0}PN{Ce|jJbT;S9?yOrmsEJf$4%zev$ zG%v5@NaP+M`4kgOTP!IxYl2AslHQBQ0VY-fOl$--zD0wyssM0~Qj19k_uD<&E5~$! zV@4cy)-J7?Js#BWwC?I)JK&$$-+bqcuXQ+(?RCE^krLy5J+BG~>z)(E=Lic6vzz$N zF=U4o*=HK$UvvYohiD^4K7d+23bXQwyCec!OV<~jZrv#Je)T-j44dhdy<(oxEsG9< z8~1Q&zB-l-=$Qm;F{pjX(h9&Hp(O?Dd5Rall6XNw9eo4T-*!}e07IX1{3Cg zrcBSr5?Z>58>wdJxgKAD97N#u^}}WGRlth`{iD zse@0SM5$lPxEXg%xO#!&ow~{Wg#^mICn>zDFJx(WaATC;4COoT7v>PsggXZA`blch zu0ITsyPuIjE`2S9_X(Jy4UQXstM6HNb>X~h76wn~je$20sSUHf6!hQ`yz7e>2dgkR zfk1n5CY$|6kCPoqB&Ez}0$V{CBj%+g~Ho`o8%UkL_9*BOP5ZiR-ZX#p>yK%&gwH>fis)_x_E z4%GL?csP?ySBu{B$7a|h(&mbpMz_|V;y+H*_=;Gv8dwjH2_g=sv)Rld+|F|XE?|;} z^Du&uOpT4%6(PsCi=q@y1ml;B6JqQ_dfSM>e-dQFdW%IDxQ3&r9X&Z^1O z!F#^kWNDw~JU*S^TW<-H-6-cay2Vxx&#Iavme0C=#(Htv zEa66uH0v$-?5FE+7oK&@57+8>3&9YX`S1Eko^fPgDzd!wYkS^i_}Ap|TuD$gr8M^X zry6yR=BEiN(US8bM^E?p>*QZ#2PO&!) zg#(1SBy89Dh2#A(q~FcPYXEtQK22=F+=H!NQ{%ZQ`a_r_`*9-=K@873ya8I(e2w=Z zreZ%o?#sl~(x=~# zpO1T+zqOitf0KrlWaci(-*~B~Q}2Wf{p11yj@n#6KX!^TpMzXp#-}(kTy(c(q172o zSLKe@H+^|sK}2yuKNkP_40`;JXV6(6#*@|mcnbAHm$(~p1r?k1PX}LgNpF5?H~ljE znE%t4b|Zee`}Oz9rEvXV4`a|2sXm! zl5=Y}#c~^pc0`XH5Ho#ZXc+!Zqko6Uu>@ioA89|0PCb@H1v>Xn`tSR4ov)N5gruaL z=bX935nBiS-t&{kVhBmf>AgsE1CM_1H1|OferE;#a(;Y%XMFyL`26k?h|F??Yg$71 zs`;9ReIt)^(n?#*j^pfwo%hHrzxkN|HtYZ)$loYjS5fsy$TNS=`!+xM{|U74+DM*&;4&#j2_oY7$~r$6_g0HP=?-I!rnqF(2?N;2)G9JXF}`k2M=R zwwrUS%`M>(sumWKsuSg}j#$4GL%`ksTn@XXH1N*Zl?Xczou5xlZ8ZNG@b(i+6{UYIJum*Ca12|D_T)-Bct*6{-j_&SUE z+xq5|nz58{bDOy;?>TDkbLsEZ6$4yC?}%~m=lNyfDB@=|x>G>mRvJ3BW^PdC>lr#Z*7s*5`JZO1W& zk;%5UDz=B)gLZ2b#{D}NP7WGVxkViP{hUQrB5WOZ60O4rnX)^x6F&Yno>q#8=UP|Y>TbblO~SXf%9@px zQ^BMk(ZQyltJv@r9^zZu>czsx#XS3~h94pAZH~W{F@=_J_C0_r*LPp~(t00n+lSkN zpL*M9UR<2=(Wye#HgcC{4QOemcE?BPzBJhlOlB zd$_AbiV6!kihBx+CQBD^>XfWCllQ(=g=sXbWRH5ne(#)MRvBr)-;c+_A1{&ngzw{R zclQ-?a26DBR;nLp{?hRXMrv&jS*=wIh()c5F-rM2#SZOH4^1_n{|Tf2u8O>H>2|lB ztL{yk(XexMYm1%S$XrOVRd?H(@&6;~-&jE|^21lGvZMH-pJKhw?Y{Q(?6d7J2`M@@ znp$;X?mH90u5c}%VUCLMpT0xqR^Bd}aCedi`i_&c!}$+m_hH;$c&GjM`-X*lY?3PN zOTK-pIt*_xa!;+@{F(ya!>lSWgFlg|=4mW(d&fzoQ|?*<6PPoDjTQY$$wgGF4}C$- zOpJM2+KmzArm(r~lvVF7CKnD?PEJ;yx`}XWx%BlZgl|t8`Z?C<)s(vt?e1Dq*l(7K zR+qpk%BCd0{hoCV58oDpNoW>wRvsLTYn9yOT->e{uM@UMVE8vT2R05ld0S}2!AS=( z4J-_s3_54kyYX!YDOcg{Gnu#M{3?A*gTGCVRxN%w8?)ZNqit7Srkc9#c3eeo>(Nza z+qC#+dMM1k?^l{8g>6kW;)7c$V!E!>T;7|#q?v0HQ%~E%dSRW5)_i-;Z=?N@w=x%J z1tKUx_VZ`Q_~n!8cnb3jMn08ZgCb&h)%h_rJhKt!O|Lxvx!vL#^;8I z_AL^Gm)We1j<%62%-o*7U&GyB`Owa_^5UA6`((oeHL4vy_d+^8NiS6=K~HCIw8|UR z_vzvQwOvqdll%VLDbmM8goJaey1(2szw3N)YJYz!EM?wp&QhnY`a*1*elqo;vUZAr zuC_kXeXALxGq9?B6aMx%rGTT`R*PZ^8}29G!!@xtkF#CzX^Pg#)y^$jVx?y?0ey`g z@f&ZX92;3;lzZ$}U)fQl_Zb&iV&0;Z@NhduBQBP5DvBRPkZ5gLrvaqPzMsn*RoqjZ zyD?drjeI{=H2>&SRhct9`@(O~PrBOFF0EmxfzUl|br3l+oO%!5|4gi(OM4{p&z~d!b(Isa;ZXMrLZd8Wb(%B)e{yGv4Iic)J0x9_KKVo`;9Vv=4-`R6-+iv?3+KYW{Ccr%UJJP`dF zbx&-jzmK!G8j&lK)HbUMAGI9SvQ@t0wyLZ1#n*-ZPxAlvw3{scdT# zK}G1>b$bcD&`(?i>~QcH>wOb zjP&Gi>L4f6FB)kX%S#;g%%i-A2T#^M>P#`0qV3Af3kznQ=Dq1F#3Y?gWaw)6Q=6%!0LBDCZt?=-eeP`Z#vML0EMEY&@QtAXLYv z#8Oz?O8nQDhr{7|;>7CuAW5`w`_=!Yd(kbSQahiJL#*lPmuaIt=yIQEhO(w*-f=VG z!!#YAZnvZ?p8-2J&L~ESNJf6YN@Ixz*Yo~p^nozi6NZLWp~ai<_eRD~D{yq8YFAUH z%8xDGz2?_%h&Ai77>HJBvvfPhaKu>arkXl^vPw8<%t24J z676=X)sPhtyhDSfg{tOwRwg0jg-PevCh|Xf+$ms2~4aeY$$!h;bCGT6R zYpT(fbE&=%-Zb`(JF0};$6-J{;05n+P!TTO9_OWUL1;!n=*tGGN2f1-$Ld_9#T33b zjuPEJciuVTFPEYm`z;hzJpQ}+PCl1qxF2=rC`a5Q(-{O zFPzK0_f~`jh4jrdRKU=1jb?Yd zbUlu?!&|}#bUos?<_+|*y00Js5!&jvPKxP4%Um@Lj-?=hkNjw0$y;qwe8-nIH8Pbf z8gayiFX=|z^@V>Pz~%?@&t9BhBvVFydT3~kej)s*@cm0mY8%lK965PHZqehd3(B!M zlB(GfeQeyC%yo9NH`wDFI^d;?%>cf{$Jd>z&QrUnDRV@>AS(1zqiH`Q89#DI2V35~ z2s27aQw9u_oQ_hnY40L`{#SPm-cS3RLa^ucctk5Y&Ah((^YMM^^Milc*~;mP1FbvM z9Ui0Ee<4?Nu}6;slV&Tlu^*O)mf%Hh>tJ`d{fkhSwJ2~CSsb{j+oxVarP)+yuU{Pa zgD*UJC0@>>y_3AZD6PpBCAFIfAhaLSw0JNjr(QBIn?yZ)X4HHV0n+uzb159{(3G*8B&f z<7;SgbR8bgu?uH7lY6+-;{D<1$e+(~B%dzuC!aoaDhka0g}37rYDM0|_(!nW^-QD5 zRa7%TJ~uzT#|egK$DrB7d8XOa%RSEiOWya>uB!7W`x}X`%I`Okg@K#@HI1hI?7vpX z8}WWxzvrjiP$=scn+KbF zjMA14HtT=61JdN&K^I$Us1eWaq^vLytf3)|rg{YJ*l+F&i%J{{;uX=o5MS&0kQ8gs zuiz^)5q+%caO?T+mx2y;-IX|8i!{GPW&#F+|NgP| z`xZI_`R!5HEqkA$?NVEesFOk5%k2)D;*MsXDnV>R+*QGk1SrHdr!@WpZ2$*?`0>hZ`~uUcbt1_ulsTYEiw3Xt(`PQif2&g zZKm=seAe2d-)JTx)tPCL(P*V3mMrT|H6daHf!$G&39IE+YdfhUF4kg%_>7rF{?VH# zhDka1R7rCg1)ZP+iVFG&apA-H*-xsRKGOlak+x!PTb9L+oIXDEsRz52o4c^k?Lw+% zeDHCg;N8s7Vx7=9ai4lo*w(^k^+J|}Piv&xB1NqXZEbAmBgDimU-E=p=a#!tPH!XYj?2!re>nO`yCGyxm973{ z!0X-D{=(gDY^ry+iMLFS6TID$|H5Y%ek&ojT%Wj&%@I0ZI?wuZo%GA1YnG+rF4n@( zx<_f&mj{uT2l5wdQK$Wk$7$$(|I7Di@PJ#>>tBTG-@bGXMNjXhv7-7_&(~7U*Obtn z=4ek!wC7K>c&~rzj+Fap{|s)~v3m~qs0w^nxpHAi9A-+VUwxAL$S<%X3VAZ?Tjz$D zoiMbpm)`b1yf!ty4sY}=)Cy0%7v5HtbQ-q-ly8jSV}Kh-Ci6)i*~8s z3zYTGne}KAx}5Y{k`2GqrPnK4?;jsD4d1aRg2}t>`T8D{wA3wZk+dW&Fx&|_ZkBjL zU?1!qOf`FR?LF1(cq56Chd~-GxXg*Q?lwpki|o3=1^o=rMg(_b48ml-JNXgZjWdXp zx#uiHa5o7hfUA@NCe1X%K%`{mM_tt%w)K`K@pX5^OzEbJ6t?cV^?AePf#2o9`1zVF zxL&MXT&!W8uVG!R*`M^!9i}y|NcqFTZ=s=_4|Zj+{7>}6#i@vin_3VH3mG0KzT)Bp z#Kavf%)7hK#LqDpE=h=^np?8=cB#b0DTt$5T9)>9uZy4GVo3cE%DS-7<>7(HkQx@6 zyRh)r!vlvQ^<${{!osA72N6SRc<9=~!l{P`27~?wT$G7Dqkcqa-26hehX*x-eq^ZT z{DP4<>^5<6b4&i-E{!;BjN!Zy%RoPp5B1i>psM$w$ILZ@A5n}mL>Wu+#pdf%SurHw zXVVA?Qg~`59}D-BMSa4Rk_1EAn`dngN~0sL`h>fA>0Iu=YFJ_{K0!sS#6YY>O}rz( zuti6_BhD~wDlY6QE^I3<>?=MY$S@(FMZDEAfQ$UQhJ`8soyYVtBMn#ae@iw1Z(8@! zTl{~}AQ zqJw>fE255OE+)T}e7u5w5Efalw;FHrS;14W#$DqbDJ!`dpM4LXswP<;m)+jHF|?D3 ztiL|Go{7Z9mfgriw&7Q9W+KT6?r&!zO>GW#B_u`~Vr>~NO9wYaW`2$|ri1cxM!Cva zc&JK|)OJhWc-oO6*_N*_6?xrvR&K@fes8wb3rY#H;mdA@Fs;VMP@^Hn^^EufTyM6> zeS@P{bSuPHmt#Oa9 zo5kL2IY&YgWaVdYhOm~C1n4peU234qDRc?``8At{ZHyAd^YbWMGQ!EXhB!;#;BO1t zgeHoI9a)(QnDicxb4$X@KJSb7b#Jb#$h|DA#m3v%41=HPv8?K-!8ua!YOK?X0frtc^xciS&VA z7MQ9=yKQFLGWb;!`)~)k5nZ1{V4)4)F2DU{y!Pmkv=A|xzfI*k`h}y~TB9nRspsdJ zFg(YRC3jUig|(&!k+KJ$$vT`)>&ZGEds>7Ue>`t!)4H)5{GKrugU`5;Y|nZ835nwt7dAak_iA%&bHsfC5Kyi z{;X@eBs%cCIHfacr;&P5ChmB=+5}U~&30*~5vkx2W^6R4Pjuqnl(KNf>^yPjm;OWCcTGg<9@f1J zZxHKHvv(E#Qdr9uB?O;OTKJ_`qn^EEoQneahL!;#C5DhcAlF1e^2LKxFou9`07wGh z{q>W*3waQARvNZ^bKi~=y}j>XSjtL{WE&42M2boXwyEUgW()s3JS3BkPK{p78{}7| zyYHnDmi4%wD0mn4dDodwy1l>m+5;(WtmX4_g3pKee9~jwJ=ZK7*??1vz$pcgOx!Qu zA;RLosxU|exh4x712v})fiK0txkkvjL;zSrfC4ZbHwS6?_U-x6fL|7>XfLi;$c;pN z?Ir#76)$I8nflq=U1tFou*#sfQudo53E^TO|A?4bg+;uKQOFrMa%alqW!D)SQ%$-IBQR;ov zfl|o%WhS_5+%oAzT!1>?^ccfQe+T}CUrP&5JWhBRB#ru)G&7t82X`r$WFz4d=+#ZJ zRb2v`!@*uNg9$X|dR>H`{~>R?9nTqqu@IGSaw)0&ocUJkAS+ef!g@WSkr18lmr_QW ziwDM10%v5#LNpiD;NqKDN*guXG4V;>zjxxL9HYq=OID+yjoG1QL~q1pY-v&?_|W)E z`82~Vvn*nMxAH-AYVf5X=7<@eLy>kn-ZM7iYAWBOn=y*fp7X2| zaraRR_AHN0NH|Prf*{U=wuGANAku(eccHi5Y+_d~wJ~h2;vjl1-8v*6MmSCnyAh!d!GSg)Vs^-5tBoGc@F{J z&#{Fa4H1lc#PRK`X>UnNHLlGc9Ki8GAqEtZa6sYteE(4rrsRIzHOYteC{zKgA5p&F-q8O? z=M(_5sDc8$#+x&zFKya|T6%37QJu6qy}L-8QdDQshUEUE+9;U)PXDf44Wld~0;O`O z@r%(N;VbmW-rfz>SqGQgcdLqm`EN7Zzd?11jY#gtRz$&Y!L2Cj#U59A2dr?58Nm!l zJ0$m&N~2(c;1(NDH-d)6QLv^>X8XTqR);J_9WYx^9y!B18Y?J%W#4}0-3l+hWY`PI zZtnx22!t|^&DNb_VE;|Y) z@fWr0_q_v#0bt>?PMrwJ{nX4T*vEBd1kG6|{JrFUReBVRaGlv6bvg^{UE{UiJne*g zDAn7pG20KHc8WPm?wch?!O*MB_BE&Z9{#=Zvx*1N$9+D_g?x;j-aYbTw_7@Eji|JY zZRQ`sy^N_KY!?3@TByMXd@~f7bj#0n??($2fm?QP`$vBE%YJlq1|VQ%^sMWWpEUxv z-@xrXMo(0y{45W+{ou3w@(!aG?G5J5>xL%1Oc4c)@1v{V1C)u;6W$>|yS^7)>BdvG zLnJpgZz(S}xMV5sZkCiwJsYe?Ki8KeEV8#_G+`kNuf-NmFBTB(7 zd+)9qQbl|F`gbZMx6)F$@>K( zm>YABqYc_noyBn9WlfMt*3OKlqralg&*1WYZQIO^?Z{{YbyO#JR?>o`z7QyUOx1cwKRxnp_p5Hk=_NS? zSAL{ajl3A@lK0D4W^Uxzk2bh|+R5$dvrH*0S=OEK66UmbfpQItI{(=!??<@ET(>y! zK0N&8ugD29F6xqRdV+6FD2b>}D}$mWwdEKeW<|vfvi@0*p{k5ICzIPgJsvha95+1_ zGCiy|Jxt&`17EW&ijXuw?gjIm8BzQGh-iRKlA`b!8p1-07Z!%fM#sC?X-yA%`Od^_ zE{{DE%p#mr%6q7eGR+cr@#K2>jMcWj zfOD-Qur`_<;UI0RfLd#*mMfCQ9h-lLScOAAy#<){xIb5M46lbJo9m zDqs;?^Ofz2j1wZ$g~;k5vOtw`f$2gmxy;1uXr*30EUQ@K5`F7f>R06g()70~GtC}2 zl6_3fZdIYTioGqbm8bQB?kF9A9MFA7W(HKGgo=+raW7rV>rOo7?Hsco*Qiy?)!u#m zp~WuUH^M>AWN8(P_gPR-0sC1}Vm9XT%ih-*w{y*YFj>T6W&-O3$hrrzUWKfkA!`v} z-I)xmO_YE&-@jW!-YG+l*eLhDUSuN^RQQ;sG{SK|l24`ltMJdK5O^B`<^DgcY1YR$u$r9n zv~U7Jf)QK?2`0j_`5cr`8D`WskWYH~NVY=3j1%>i5vi7&c+?MZZ1M;y;2&GXVwRg( zmbg9mq2+eRDmJ9dOiPw^fWz0S>-%@JNx~msegPEvLn}6JV~C^fj$rpbh+sj>2uD5U z^KuTA0?=r*YJ?--_J@`R{B?OjRkoRytwL|vRBU3lY@L9@M`LfRSWD=Ix+&0os6E0l zYz^`W4$>K}oUS$H>?P2R_ruIG?@9UL&EEnotx&{Cv%o|ri$X#)=c|sNjRsCPd%M5`Z0Vr+} z%kh3K_&pT=b}0T}G2?yahw>7NzX=roAt?TyP@1#?vm1%o@ACc+dHJvO{f9N7i*;P-bOt&4*%Mck8P&?q6M&fwFe?I04FtL`Ks5@mM&%@~sFha?s+Gfr1iH%s zK~$#J>;aEO?6GJ;;))3FD2IQBMeG{rcouYAY!Tb|MxfiER@*vujk0(D{Gm*_cq6D+ z7VHLDN32-o<&SdtC1k`3$t{pBvGY zr-X^7T=&BuGBt>d?TU;WB6~Z+LFjHB8=Yrn`CYZV=Uw6oRl#_oDGq>N$v-WGR*WpP zV$^^zwW?4+D@FnW6`=c43#ix~3>9Df$2!u7-bVS~SVynqTS=kbfku55#*+ogo<|BP zyCS6Q?2z1tL2{pW3j&`4kU>C!qWR!)Gqk>qq4muN>3afL-}j*PT?VahOK5$=Aomx6 z+4bJn(vbE4$G-Eg^?kKH{lglkR6YK0 zT!}Qbez8EesABI>bA4j=?*c8F0=*Yjv3eg8voi{`GzP(fP1ELswEu}!tp0}rtuaHZ z*p=*Bfo{8Jy=5>EL1>pKmLB3zAhZ6EXj;iB*lnfrKNa6{kPA%6L~5ex6!h}L?B%Nm zoBx&CBZ1;0NiAZL$qc<^kAG^>Y=G?rlc$%57gF#mxzD}Q_>Vy#*p8A{8s7(Ly!n;J zcU?(87l6TTukC~XzckNz%!@ancyB|V1Vec`a&;_Wf%5bllpa^fI|8P%Rpl-Ki4*VR zFL>So6NvYj7t(hq{>zFW{$HT@YeDe`$2)duaL^-X0!Kc)K(hx-koEsOcl^KNf0ZWx zu*RB6G-dK20-5j%9MuBS!BMTlM8YyQ;H?zMiT}<7fuUR=(5OsE?mt1A4oP>*>vV8m zfCCi+uZlOVV*3V&g$Qr`OUnNbn%@lqJd`Rl%8{FB%0J2x+NdkgjUQ&_nFb{L1(56` z5^xWcc@8KuB*1R3diUeNz04p7LKTSdgT$3$eY4*EW>8-Zg!>`5e<|3#XYo@@Nl<|n z6d%4Uhvk8m`U2f3Mx5S#j8OG*pLrRrV)nR6*aIl%sBZ;QE2#eZNvoE@A z^l6Pfu#;jvR2nhc95%EgMY6aG2$to9V67{7;tH+;Fkw;lVBw1_Ye+lCA?+*z=VeMgNIPpG z?TkBI4*oa{NDgwWA?*aDJ&8q-b^ow$;2-MSe zsHZWgCtIi|A<)w^;85VaHXdmBf8iJ8{y(gTq^qr|Z=^mM=OcjnDJk+uJJ|p!9Uk(trhxLTl?2|C0V?lLQv(a=i_DggOvhb^}5+b1FZk5 zDu~|K19}eTH(C$u1>^1OD=I7kG2;Nny&c==(D4}pK!MO)*Up28FQqHN`B zwbU$fAmZ^lAS54`Y(Uf&g+assyaHebU4?La!~dOmzLxS- zJOG5YR04RC^$K!IbP&qZr%;~WhQO=jrGdZ~5ZF{{az~u|9u$8*DE<$i_$Nd0AA;g< z4aNTvl#odv@b|#bWE?oLLBs$5y!`L<{fD(>I=qtLdVdDUgg;=Vk&J{Ft;83wg3?y# zKQlq@Ot(AC(7=WBVMsj)9u-o4yv*ng9&|q{4HZeCqM*$95cS!@>fO6iwb0QW!p_&V z!OL90RWu(&PvcE2N+kFzlex_nh9~bs=*w27| zH&ziv==vMZz3o2K^uBoodAQaBM-*bs9#}N~Ao2*xy08b47ES+z1#QZ?P z8O(r!OY}sjaC^lA1wZ8Bmuutt+l5b>3rJR967#DlCIQlTL5ZHHyq18?sPB*mI_ZPz zNIn2Me!XJs(S1P%k>yc9WLyxLK19~EZW#M9s+YSb0%DC^SLgqY*?l3X%PR$VRRvfJ#EKWro4*-i9H~U*L3ls0hwx zWoQD1gd)}DAnP8;dKI#E{&#EO-QIPmcjO_@{m93IEnkHI%FC zKwRP&=x?xipq}DEPv0TGoFKoRLp>EhJxxJ9*+UKq1GAhjoA*F+YiT zD1#Xjlwi`YK-i7TQpJ0~cHk8cwu3_+aOhhHGrr5S3H%8ee@I+TG~80*P#pSz$2%U! zdh!c{8D(auC7XA=x(g=paBi%cf>->^XE48az2jZu8O%I?aQ#2*y?0bp*%B{2W(5RA z1SEeQ~@yH3@vs_p|v27i6AgcO*AiarN((3eRyHfEVsFd^As-Nft0vts>+U#`V9A>)(j$?}qEI2n(+ZAMN}*8y2|m-`nNy=KB*jb8Ai@ZVbQ@uEL!L8v`{4 zYz%;E;sBfY-4en^PsOY(e%fQRpN*0j2s{4>A;;23TzGu%9FnV%bU80Xmm4jvHrak= zR2stpU=wx47!Kf?4>}wf5DtL*lCT;BNB^hl zF-C%193y1EP8)UKBcbi-#^pc5^V-@A}KjXF?79;BT+ChT;;ZJ{v|I7qBn1tOmK6~D7l_c(G;jq#C#z0c;U^=?%L4|{On#I0>Z(pIWsLfv``L51FT z&Vx2j87!XG-u{%B@mr(PZc1a)<%ubQr(nfC4&G5?OuSHKn2%FpNawp{Hy0j>)dRm~ z(Ssf`Iu{*VsU&*eCj|V3fb$XFA%$==z;*9z_}<=?M^_Bq7Ai65OnuP*El33ax6x~- z(V-Y6^vH0t2id}H4;~qvDYycCF3&%Ec&L>V61$CW9YkSSDC`&t8$)NxqRo+^f7g4x}*Qq?jYzVE^Rdl|q4YsgR?%L(0Nnbj5!ki+XJ6zkP+~|4A(3`!p^s zx`%_Q3l3lo*y6#5Y791TLN^~?G(%4rjGpoiJGxH^be}%807l`lT^&KPBAEcB_ZR7c zWl+Gy+a?rm8;CYgD977I9{nX1y{iG-UBh^H1p(VKRsA`2|K!epzis|PNq;lvK`fj>EMNR))bFuuvs;E)vJP0vb&Bga`t?-Uf&$?|^Upx>n3iAt4@u+l_ z-icG__Z?5cZlVza>!EWWY@);(m;Kqb?{BRyhFpN~{mfgIFiUCZ(SP&mjy@!xm|iej z`d}}-HhhsDR8|vm^lvDZZ|Ja@oPYC{jV-Qt5SoFZAd)Krck@haD6Y_<(Ibhsjpt#%;#GU4Oz%e&Sx33*P*T0L1m1 z7HA0tJvLC_8~zdD|F8t|?Hcgb<2%4nH}QjywmFM_JZUQ&A6$y$f^A5y7?r-BAnYE$ z=3n}Ct-l0-C?afopigEv_Svvose_~41dcY}8~pD9^uKqXZx?d>Zlmz7AaeXYdAB~} zHG6Q-MD8{j87mF3_1*jn7b(cN-If2#t6=^5^*vwbNN>xH+5GeCc}hr7T|;Rcz|`EB z1Jsa-vTa9Y+U3P0yJ?F8pO>DldiN+d#zRn`q4ad?&Brkw!d&o&7X3PHpL*`LV4PeU z95V6g`Ky-F(=~8%bAFMfK4lNc0(tL2Y(A$Y!`y0jUX16JCowsFCuPj%zjAOr+hH6n zN$l@Ki2c1SZ2J%868n3Edy){Q^dfA9a6NKAFU3yoaT)a3w&<}-(PM|8$L0Z_`=gT! z+)!GP!O{v`ZOSag(h5lH*5?7o?SR6>5Y&k!Bod_+5ax`+x=>i(4iLr_D(M*et!bu+ z(F0O~>fnMAI0>CxkirOtOO--#5S!ZoLJj&C;nMe`NuKX$wgr#6lQ_K3Q*Yjx>ET!gSOhYBubE0_zu)U?MIJGlT#;4yS^k>o*A6Ud==wm&+# zA<)TXmO>}D*A_at$7P_Cn+vy)f+aXynj~!h4R}nTlN*w<`f<$MTfiNQ+zjOIMs82! zRtD~hIN-K71a8?sbE9@fsEDf(4{Y$cEa4_MJT3#B+`3Zi0D2#hAv(D@ zQYem8i6b4xk>qhCDvWd+^%|ywLP5Z`%mM<`f1?+n{!iR|Jzg@<$?dU)PHtT(c5*|Y zlluaw?+GF3{(0n}2mmwA&I4QgQt0F!lj)bX)Mx#g8#6Z}g#3tGve(v2BsV4?Jp?+r zM`V1RcjnvKe;BVQfkl_GYy{9QasBOa{p)f4PoerHU?z(t zVI%M#?D7xu{fT?&@jToJ9GAh309b-gT`6t^fSRuT$r6~lH$t$JD+#-L#a!5;&-B=0 zCl`nl%DPe7E@up$nyrq1MspiyYctJA{<4{>*0tJf zJ-sidKzd(csej7kpoIP_4@;Z71@7Sa6=~Aj3NtP&nRHvLU;BBL&G|Eai&v;#Ody|~ zycR&8?mfUq9Z$9*JGI<6lLVmD$u(NcnKzP44ZeHk$;%H)b=22p78>gTxP}B^Nai9L zc?dFpc_DZnz<+ujpeB%A!rhLWd%DK8Qtj@&X%wKn&+cww&)HGF@Nue2cD~yyOKlV8 z{*N|$6$S;5EIYt#TRG6vl6T&~f@1#2!{sw;w)3Fx^X~fvQtRb6(`;Qgmo~Wur!{lg zWlU%|ySAM2uU6jjP@tjNH8`VL|GELc<<6Jg9~YK3PfguaqFM1iBH154k$rC9{>#RQ z>#v#z(=t@}RlW8XGCI3oFAUuCX0&cwezo4B($=ZTo8Gi`UM`OjnqQ~e%v(4*tMNFr zjqbZQ&B|JMUtryv%m}SRfp$Fy+Gf^|=(!0Co_`X=Gj`9CZF-V>Ly0VvN_$_&QTwK( zBc;J${-%>Fp6_bg{$-~lmVeKE-f^OGAJ#M?151RP762y2=S1Rh*1WGkx-Zg3M)Wi=j+-eRtSH$ zjah|KWKar>1o71gq?p!$>Vc6kh*BtmHn$5f9s;Ldf$1*OyXS1{+OS4dfHf)#)~G;d zF@Etsio)*jpfKqc zT0q`lwQfV3d_CF2aVy1>tWv)KllO|l1UHi2zE#bhgT zdm^_oa>oI;y&-VRCIfdYax;*-`_J52E~gWKI|%S1 zf@=We7++6xIdLQz7)clP`k)UZT}Hi3aimNfsSOo63T)>K#8>}UdJ*dX#69orxFd8q z2dkmW*+PRZXQm=_Ih$!v@XAz#f|rvA`a_s;8;?et78={b1LKZ@^a66nA&QB0w&K#p z;Pr#mlG`cF-PwxwdghPQjYvzVnTmNLg9cLDvlaWL2djsTEyo=dZx@&zf)zXgf9UqW z>So%yV1?}zh4_$q`kckCF}$$icD6XtCX0Z4U$PArTj>T9Ov3OZ!Wpn? zLGN+JI+daU_I{*Ddg>3Q&;P|dX9^6>hovnaM@=-*PW!flB4R7hPIn4xT_dxZPfbDr3*Rua)mw%Y=Pu%C+DOmahOAv!Q z4N9LaG$?%zR71fFY~puI;Oos$+!Wwj*9JL}HU)Ab;lb))8}V8=-aik?ZAdoGA2c}J z6G(e|amvX910G<*i3M2jf@>-w#xXJiw}?gy4I*PEh;)DjCfCqfXtQ|()x93|AMQ)O z_o$!xxNS&&)?qjNQc{r1Xrz5%DRZjPdW})Wsu}%>kZz5t*45Qtiq?>4zTecPwhM9X z9NFUMw~M;j=r6CDLpzy=%Qc*4GF&IW4>&os{Qi0%ujM(pMcz$*T9B$fIfplPW+Ssb z;nd1unZhk%>fK)#mX=S=ypx_{ub$-<&|b+j%vy9pX4VBVqFEuxkG2^cl{uRuF4^rz z>$FwB{UlV99`Qi7P;2BXbr__2FJABX^>b||&zOq|Tk`sD@6mbkGSkPW3MWrG#ZSby z6vf?O6y+Nf`Zur9m9+cJ%JU=>YEcf7BuF$8^jIM}^wk&XbMfRM+(p3833!-*3jkiq zO~-DzKRQcRbe7!cEJ=_?NSi?#@mseXgpAPrv0Dy88B}iYyz(%J%waPkQG(Mtu>dMn z5)vKpn?@jPFA5VQgh`<=>r83rmbXE-9Hi)nq7*m@-Exp(yB~G5xebG`i=zI#WTI2T zDpzN1^P^jyiA6Xkq6k-nDIMs{0+OIx&h7Y*ZaE6c#4Amipwt!AxmzDb6L9#mrq7Eo zr9)xPbs($@h4rD%T%p|b4tG{L&MfJ$S_5Ed(zD=;!~VD2^kcUdE`GGLCc`M{2~8+;AjC7)citI&Td{@&8IMRR1S# zD2n@IQJfWv;@nsiCt*<>s3r~)7RAl5J(3ACeh?~U-0PuR?r$1Mwt;SW5myXJ-!IE~ zCu;_4U6K4%5(B#B{?IMIwT{Ga*M<3wBtavDH3Pck{>@9tHqb5ShWO$S-EwY6?3Ncn zhU5CCE)T`ehB@dTm(o7+#oY=#~Qs-Evs>mmkq2n6M<+Ek_tu47=q33rXnz=$8Kv z=J^-59jfPGY17m|lf>Pqlu8}i>2|bJP6P?#v8>E zaQ!!oA3knRXl6Sb^3{{t;W5%^AUAb=jib8Lvn{gKUuIVL z#PY0^8hITOJ@HH1d~yKChoupY-}v*N)Ull%S#3-=s`c3MZT|EFKch?9bc0%t_21@m ztg%0|_X5L8O0#=j)0eO0Qz7liT?W248m~Fp8J@LTufuGQRu-DxI>_k#TqTisFZ9Ml zE&W?;VLO+`h>gL7Z!CvL<>#u?+fB|`b&Jx5zst_v6fcw-;h233GaDeCD3VuzzKXN~?4p(RO(0+_Bj6H*cgP@YO2E7T%g0bLouFen zVSwobl?v$u{P{gdC!BvzCqM{;M#pplu9k73QX!o{X>nv{Oef&9fCGS#P7o3?oj_qE z6lQ_K3Q*YjI{31zaGp)fDwHCFQedPNkWPRU(>hQ+FcJn)3PsT7b_zxf;PgxREuCn< zbRq@Q2@^~wKxZ*t90nx)o=$)eCLOMHGytXasi?CYe&VZt)^wo>kxqcHyD01`3cJID z!lbFxfII_8CmJA~aCY2kg6V{b+&n6Ep_BZr{qhR9BWu8P!UWR^1576nOv2-X@kp7Q!LS6yV2`UxR2?IMldZ_@iQLM_9S7X@ zhQKYG4BWBE%|Pz%KXZe2ol9`^L9#QZ6DgQZ&@r8$QZbzXa0dZiL~sp&9P}UQ1db#F zBk7`EAM|0Q%cz$rj+BWbwV^^sf$e;X`0D>kFGBsFxaYm4V>)4g=>(Mu>4dxjq!Y1J z2oUlLm`*rjIsr3o4b@i^=5^ojVkL6Ae}H_?%u2b=>#3pi5Kv- z=sXbvNGCQc^nBI|2C!8^z0Bkrh1p@?JQxQSO00G=08dNGo5_u2_=|l>o6I7~+3DfFkER~v- zLOyw=%A@Y|$D32!)zHnjKk;eX1c~Y)q;Kz%ePgBH@avb*3pqPDMe-XCk#AQKE7Tov zBS>|niy1*F!#w1cy%Ql&2W!~uc6VwAb@Qu39xGOSu-cNdWccCr*7*~8P!5~2q;B3e zBWXZ;*U&av*U%=Bm;z}{Yzr;$dE04YlY#0DiluF*cL9;S83;3z0fQ^CP9Exs;^W^d?%38xZ+OcmM%y^;VjcD^Rz(AGOe=fQwwb=}lWEPZAI* z1}PNRA01ZTBj?LVg?LY4|NSzN5t3e9q%46)w|L}(|F_Ik-hjBqlu@uRAvyI zjo^udxM-|NO(`zy1$CAWB;&=y9m6F6${#ccI-ZrW!4PSi3auO!_ z;+W(^6%V&^kmN&U54LiHaP!i}aVtlGt(@WlC>H!(@#&8w|MwczPu!?o{8kvUDIN<> zVpxT`j#VfJtU~Q2N>ghQ3`1}ofJ4?E>C58b7l5GGD%1;VcF;FMy~wDS0_dfSdVRp! z87EZ3RyWb+-U9AF7sdWYFGBsFxaDizpyKqgwvBB*7}%=CU|^p5jaPsg*McI&02V2l zIAF#Fi8|DaFFp=LVT$`xmf`|O3e!5(slIJMGgEP?10^Ha(As0N;quL$6!_K^%&$EK z+Bt0!Qm-65ytG!8*j^(RO=3LxqDy+CCU;??}4cEg1F4gAug ziPoFt=B{} zb(|rf;W|1Ug$&Zbz`AHrmZIC;%)h)Ar760d2k@U>CKRR){JGUo`Z!(f zu(OBILdwWG1CZ6iMHz8Gq78_ZK%n2BsDz*}E>3}J{Q<=TB9svY1ol%#NIl3fg74>-1_761y>5l&+k1bIAzjA zlgd>XtWF#(t9WyF`cw6jX7fXdChb$pXBEe)g;!b1_0Yz@zjllF>*qT!D92rPJ4WzFVMpwl6^` zVD_!nQ8wY@+Z9V?rrrt#+kJb7Z_5&myW#iM{a+`g?~c{Nqm8D|2x}JzIet?ruY2y} zJ-yS=Gv!&mLey6n9>b*<3eO+ky`)VALbiT1$WRIb%_ zclX17e%~h8&)>av{-&Au*2cXQCG!k^Y4N*ioaJ$M#&rcuZncOWWn6TQDDi6%9lANL zt9nO`ljHZpM}+rmNrc}|5AK2APmhajeYuyyH9t!SQiEN#H_g{AnLl!iTNZv!%(?^~ z;&Y*3RgTJ@==YH#7PrGR9(~DDv-rO9tP?zN$JSW2Z?VQ<4L**DG`9!uTkX|4)6(^Z zRtp_a+i-_H;$gg2a>o+c=y~eTg->YTXjn%Zu`6_h{A=SQM2rur6Go(tMn=oU@BW2?_*?127@?WCxVg z9i)biRJ9Ras+&ZCtz^ysG-=z?5BwT;s_M|T#|{sFF&*KSIqY)s#p6T90-l{D+aSAL z`8y_4Qc3 zX>ra)h<$CB;b5i_5yTrL0}yn>l6O zI#TwoHlyBU+}cvMu180C%eZx<99_wyN6MnKrG^eZNgl44^KcGdp4iCe@9M)3#~*L$ zuzG*=WKm)I`%f2q)r8-{`;PLA0rSaUzOEgh;rmpp%BP**4tTz~^5t{K;|(2FxkrtP z3T@sm4D!9+b^-pt+?NHXRy3bV2gf1gvBknPbjr!Xx0 zhR(b*v!iDx0Z#xswB)=7?934cgW zBsAVqyXLu`Di24^rlF6~b*z;={tlzJWUuf<*XdREBsq+x4~Ira*KMlo>6GZ_WtJq; zf`p``)tQ-G7owuh*VY>^Gc$`zJz**>p8cP$M&ZQQE}My~STyzYwmFf-7ZV~KZssMe z)O8|HNy*$yTz#8f)eUCd@4Ek+k7k;m@z;+8%7tWPNwU7y z3;z45_(>c$(LBxmc}9NwY^!?QiO&M*sF~S0eRHz|RZ&sX`;D_R2XZc_Cpi>eyGkN` zPraQ2WC)U$w_VB2pVv6(Rh{7xH#Zy4(`~L#Z{JY~SJ^g@^;}e`*_rGu^^+O&%*?je z79ylnJ!*aZq^G&n=|qL3q_ODg%p`}zd)xI~TFEE$Tt3}@@?@u(*@pk$zLNewVqe8k z`{ky@&yd{$DBq?tSAFU_Q0M3!-SE;mV9SK*Yqrmfh#W`njT+U|4zjC*0z8Z8g>yjO zH|0jQlSbbUGSwR|_}>4jm=rN~uA8OT&i9eH@BPB`G5?N0YJK_S5znNtH1&G@rtw7;G#J{gCuT&aMV>>yX zRA#z6sQiaOc-1lae7$u_*fd(1$FRU z<;Ke<53*uu|?JDS~S_WX9!f4Ullr{U(xk+@2Hv9H8}govcoqlCzFpVarSPKZ!g z-=7k(Qn$x$Rd0`-jK$Y9i8su0*-?$LG*?=idempxuW6N@xswOGl+z-*Ja_uu-&m=> zf2D&kxUvX`x(Dj}gEJzobq?4ieVgwqan?}hcisQZM{^i@`PYwx%Drqf+hidn{`XVy zv$!9A`R5t=?X$ssLwpwS%YAn6RU$h}()WXfmXikyO-dy4KG})(&j^Oy57{N!|5{J9 ze@;^5*t7bI$uM|T!z-06>e5m{eH1xXN0(1mZ?q5zyC0zlOiRqIc+aGZhut?)IAA8) ze`Dc_&FQYiWYPZ48lkV(So^gb2TVOjuE?iWKFXunfcK7FkapD8Ct7#Nl|7?vm)7I7L3OCg=>f;Jddh4C^z zQx;882ygaaSmt0@|LJNJ{==|{tHc+Ffnh}^t(GT5p8KQ?h82m16$wGoepRoNy^Muo zIv7?37?wgh7?w5|mSQ>>7N02?RwNi!7#Nl|7?vm)RwNjfHW*eU#7BEDtS~gJ-*x{t z9}NuauOA7Od)eqi7}noT#n0k?7}n1-^4n(v!y-Nl27LPkvTpX4OZiR54IWH+unE7p zy1!9tAXvEgGl#L%z3cCP74{w!Sk!ga?whdRaG}gp&9;el-kH}ofp?a&dqy#U! zy{D^JHRC*&Vm5ww#e-kzQPb56ys{*UvZJP7Ef~)lsFAejE^GWqt#6tp}ssic>Uxuh5_^Z#@;3McX; zSK_J#30bi1%jUX3h?K2;+rqLoGrP=QEh~HOl2nqYU@9q~zcI?6VrCvNliV2P#%ygF zw(M?%o!SAHd#W3yBK+c+*YmFvdMtgf2gKXm^$ zA5EgI`L7=dlq+pE%kl4DSNiu;@sqd^;uoIgKhMZ-pN;K!4t|zY__jf6>Q;Jc>OBXS zsu>9hb91RxR~w_IO%G;g57ZRVfs{cq;(2GgklXsg$6HZFM5rg`RYU{#Llt&GGIma~dm%sdlCwRHM>!=}}rl|7g> z=b|WmbE4>RlB5Y_&U;mPK4MRw<>v)gr7Ya_CI-tg-GogiV#rP)b3tyU1hmuQb z#F)+1{`dH(=I!vPW_42o)oe+P|I5cn@9Q59@#TFrX)LV$lx_8N$FKR*&XRN2e&RW~eDz5Adg%y;Fg}d=G&~P?$*R?! zh4G>AU|tz`818L&815B#7_QL^02BbooX>q}f(b~zi_NcZeO1q$B&`h}JoQ==>Z_m4 zbOlayTC&0ej`CeZhK>N(@xZ^N7?8j`ZcdJqq=WbvyO9U}pjsX`6r4VrL6IomCE=Hy z1UM*o9`sXWTeG#TP2=J(L6j{bbM0sP=6`$OZ2+oe!2^O{JN(Kh@LLYbY5@`kh$0~E zaRBH6khq}>4b?Ar2Y9v^1(`~z7@d0# zg)9fQ?mdBGcG3|l+JPJ2=k0SAzV^sx=fa1{>!bMe*4>w6Mu<(#+wity^M?2%IR*V2 z-VT=Jz1g9w5I^_S>+sV#mo#qMx4}czZx}D?ciiOTlz z=ZW4g|EgiMPxRHI_u7xcX;j;F_t|49cWW=2Fz-j?OHeeudL`-Dhy$`C-LOk8VrLcaLYNbIZqGb1OY4biXZ?aqv>u zH_GID&u%@hpPag$*SpAG zL6&uwmF0=e6Swkatp?ot=5Bmz5NKyJ;I1N2sM7TIxYC)UkMeqtOsO9}$?QnT>-|#u z_PBSCyNc>@MM0rl+W7-{wD_&11MWU^*Jb4OE@@zVqHowOkKn81sQH!ZscU!VZ_j9`M`3dB;xe|c+jjrfv=={J|RcGPTI zvUg_c{JYs;9?^Pb*zQOZ`#&&wM_pG+tt!BAIDZM9LY~8vW{0|87Z!OGg`93@G*1fq;jwO22VQc zJ%Mf?lWWDU9uJPid?xK<_wdizubj`{w!iC3fH&n@F{M*5+)r4&@cfwN-mcy4TkZ+; zLh88v`dLQ;yyFthSGRtRSbd!|iM@ukf3p_tW2o=1hINsTwZquWt}) z+w?3POJ?2=_~Dye$r-P45nGKddFPu*j>7A`LYl9YoN*st zZmXe~7uU$O5EDZ)e9u^iIAIWgJIQ_BNp=4%cz|3~m!Am)9RyNO2hP5XDc!}40 z-Il@@vxZ2o<;!jB!bOhBw+L-(b< zKuYDw1DPfQxx|U!R}?&)v*bH}4sL zwK|aGRrp}n(leIV%qDX;$_(*)k1r+pEIoWj{Gn)8heBXNSzdU9^Xo`zzlyPP4r0~gQKTT)-41g%;OZLt}p5C$IPcL( zUA+}%vq=v!!W$eLBPT0Wj73d#`ULuQQ*8xP6z_|k@H=sP=3zK*;-r(_l>@U$*$={b zQzs9))ZMKzYsnfG{*^s-isPpErrCK;#g((a`VZZWEWM}A{gBTz-`W1hf&A97=2t@v zk!Eo!#zrPOmTY>KdZi8YhRDgwFq*MWpK70OsObs)I*sq+9xK z#_(CdFnT)9cD=f(n*>jslg+ww{C4)$@P^>}$QC0NCpnBa2rd%OjDKvxvrWgDzem@1Zu4@L zdFkuq=UqK8@lbT-l!|c>{5PgzybAvNq+*=%Ky>9t6=UTGqOaQ1Kkt3Rd$+7{)_&vN z6Kgl-o^y1+b7`z5WEZUA!p6wpLxL%lrC07gDBXQc;*3a(Zl?1*hnB5@n|EJdsz6sa z+mRH$cSoPDLt^;e_3&Rp_}+!^-;MCS-Q?+w{m0kJJ~-#-vbu(EqfFAilfAn8qQdu@ z^y;p>8opPmSNBz9_;yx>t7KKdo~ejz3pjjoY@=H8JyEqQ@H%@>RN1BV-EKEOX2#O+7g5U96H+4* z$)~;@Q?3@B^rU*S$i!S_^J8-~%&-=@5X+P}sB6>cgqq-zDaWq*sibw&(Rf;_;DY7Y`> zTcO&ULs9K;7_PzJ->SXX_ASbbsz%YTkmM%FY9tRi;7mM}^`tHcIe;HwsdDwPO=+M( zl)M+Awiltc6spZ%7Dulgi*vL;ZDcq+sPoS z2;>zbNka1bb0CKrZ48+m-c0G_-Xr;zG0fviZDMdz^@IEg(Ss1w4Ve(sl?@=McgsUi zk7bTRP)Cr8peus82(laoP$aqcVhkK?#DhC=$Z-rgDv{&w!0i=el{LR{aGgJ;t@^97 zLEw9zZh67*Fk8^8X#p+0j2r2=%SlK|Q1P_#vqYF8%A;;dA{|eMJWGZuxnBgSLip z(oL`gD>4p!fa6m!Og6S|8vtVhl?`k*Dp!MTU-{w_#bkiJFZfhVR!L`&Sz-faVwv~% z0JX1MUha5M99=T?qAi%x2KW|en3yM38T41%M#D&FaPX`(`@w-ZKbd}7I@CY!ZaP3l7!`j znHT>ia-VepF>%CvgRRDI1>^OZyMq9U`QD5m*tySKe*l9nF^_NmFXsD?m09yaUU2zu z%f#|rRaEF1sEMDBy<8hs)*Zqikd1bI>+ z2|5PHstoeNg(K1cGT=s(_EoM9Ew+Hw6o7A?%e|Kt_N1-~%$bYtC+Fe2;(UDn3h$NA zIidwb`;cun!8RGgWGFS1$2pcIsDl}?kI5v*9=#I*6199$V%s<@f7ekhL(d9w--^(H zILBCK{*^BI&#ubVc?S(g9z-$o2QMpEUjawWUqxVvnA zCskO$)X*frJf3-Vg98v+hH_zEmWC#W;=!1$Bbi)}D#$F4`#q^VVP&B7qbm`X>yuB} zpEdL}6j^xXvdyLE=uj_!k66Gej&VSf4I{v%Vua_*AiR@+xd<5C2>Njr%ALb@7;((e zgETTlw*1U>x0je!nKHn9tmm=tZs)u0;+# zKplcG!vGSlMG?Uc0Dtxo|Iw8buI1+lpebE*aS?_;@S6}C!7W2*gz!+DW`JZKK@zc( zLXbNEh4A6R3vsVv+ZY1J(j4e&{^450_17iGf^Bmi zsyRkPl4k`F@1m3qL`J07dr}pGl?@Uq;~WDdBbg0JEBEMk%lEJKq~9qg^Fgq8_HrWloH>CDFx6=df#sVogyG_$e(=MA z?{gqTvzJQ|k*FOaHO~A3jSD9dtkG)+qu1U=Y)4{ov*Co`T?8u$kcQw90GA)5hF|>8 z+mWC4C>MT;03tDmOXi``KES}&B!)KYOKe2eBWX#HYmrnVNZ8Q`3LT@qS)BU64UccHu>5pY_X;wE2H*2_mzWGs>TpWfQo)J1vhJ|cvUZ8u z>LF?3qBovKvA9$w%XsO37~yFZ9S#a9ST=E~v@G!S1KVgV^xmjC&UEr+o3u^=WFWh(N8r*l(HMo^-E1r^}?E*UNOi)8h* zkKv?(i4SQ>iZ^7lN4rhRquNflG}Y6Lgw1_M@&T?9ei+wwy3CsgIFx|{LQmW2ap2$y zg$v{G!YzlC;Vbz_X|&ai(ogT%%%sV_86KspiUT1~Pa|jc*VBfFxq1!egpAUE-Qi>M z>@BTrYSnE;;W1HtkG8#h@HfbfpDvyLlISjzTBbOAZ-zEho#?2@GE{A1c0Xe}M^i-b z%3QnM<+)QVtiJcx?K>!DPs%9L6on@UN0}xF{qsx2=Iq{PEj3!YrmwtLf0eI%cK;?{ z`SJdH-g>8(YCH`WZhscx;dswbd5uKBxthfOu|Xm3kV!+15Cz|yz*3iQ1wz~pJHAp5 z<_9F}_emToUi9^m_fp~d;!cIrcOJRZ+!P;~a`Y#rnJ16eH9cTXIt{$;)ttRqFXMMY zdu`*$oomZN*V@E}nkftP+ci{n9OO;h&t4wPn{~|lqM5Sl_EZ6(Tl?8L_G}6aymPHs zt6`VodAM1%Q&rCeugV&Ro3){G#hutan~W~pxwc`qLZX^Q>TUiVyLAc7%>Ascxr$EH!ox00NyNinTJ!J49NFiS2%(8f^DN$Gu^@-|`-|`%V!XG&9Q~ zDcQp*(zoaGGi%FG|Fw<<7yaiij8Hb2krTQbT5~LJr8TRYs>M5DW2Kq{s+xCb;$4@y zBI0^J59oB1Cw5VV>s%_mOYGiUm0WbxYdmJz38f7bHo2t{CtP}m=SSST74h(v(VbgH zTvt6r+H1}lbDVMKnE401_qey}v_MTZeZSXXgNhz^H;^t*S#`v@p%8YJ)gJqClFJJ%F{-^sn2UnkjSl zW$a+Q+7%u8IeKn6wP}r)Qd9|dT5;N%?290#1jN_|1_GcJ($tZ#11hp>x{19m$=2wm zZT3aj>o%_$hrMn{(+W7fWN&rU?PX(8_STOivw0w8$>fI5&H&#^zo34jG*bxfz!5y@)Wi-E2^4blT?k+j)CaIHEX?^1|)0)tK(tF=GYSU z8)~$sB63vfjnXFf*<_Ak;eNf@{NC5jy;gG5W~2$$#=h6iFND+fBOcz%L+KSJ zoiG~xd^OzZZK1n;<8;5y)N1-{z0n~R@}m z8C++X7lqG`T1}qQ(V1KhuUKszR<@zWzx?BWdwog4vm@@+|M*8%>eroi6s$9IuQqef zY195R(D5?&?OfXU0=o>dl@i_HUVd(-it&~GI+HnvbS7V0>P&vM(2+l6B~KUM_%crU zrqn9hyvceQ!QH-B`EIHh&zk5spY6NTedaDRMRfXJvgq_Pe<`Or4%5lYeTz2v_V)*h z3-&G&v$%7EI;uGl*YM76PqEQ9pKGT-J=ayAv|fATjPzxWt-RL{Cyoqp)jW$h%r_&! zuhy!~)6}D!efeWc&#BEmPs0vbM|dZM9kNg4Y3*4TGn4dfNOsEm$&{F(Nc*S83Golb z(OdjaAD|dNd;{5K@TVbeL$V7DiDc|#BrrWk>`+hyk>SPV`>))9pFZx*@V#V zaPhjZr7$B<2Lcg$c(~8_a)g{feQcYFK@?EH7FM;tdHI?LRTHz|PRv3cF$-3N`qD5{ zxo23EWoNk3rC-4y&rK>|D-I*iPdzH)Bx3i_DQt@XZM(~xj^}(Qy&shc3f(^%xahBk z7K*nV!zgoCGWou4e^jQn{Qgm+`7Uq3uO?rD9QhOrba-HT)y@?WWL)wk`1@TVl)2YN zxYD7T@?Vppo#OUT8p_^y;bv0AqDT8WVDG)(ANJm^`;=hsjidpPs+k)Fgv?g5bEL8a z1_G#c?>v73M3d}|5KWrzet~FmuLz<^Dhq7=5WI_Oi4hv+5+rB{)h8gA5B=Y1NPLVNckvd(o#~3^IBnkwptzVF}MYKa=+MYRuTJw_K3NGg7poBr8%-o++Jj4Kd+ISQM6s zf9QMyM&d0AcKF~U98In>6sfZFF%&s`Rl{FmZx>g(X0Pg35nQ{b_`$neCs_A*Oe|U$0lw>*HQb!aP#P?o&zW(kWd2;gQ+ON`n0yI#;NC2zF;7h@ zRt3W1D8HBHhs6}=2A>dGY<@2Jk{tWzg|O^O`677sOZ+==`>uC^RpOQn<#^2`qPvCh znm)Wn%NMK$>OOek82AOK1`$^eMl1PCMDQDma3o(ETcTYo{$ZGFxT?&HWunV&i~m$` z+I7t{T}_4}h0gil#STOaat*iRn+vjJAqxk-U6U`ht7e;2c&us_|Bw@YK;3^Tdq`5ne`-9<;Y*tgoZ$%rjkc7-Wu2(+>&NlM z=0{j2-lB{b-a1Ai9PJ`T8Z^!%9ArhLwCD zC2(_i@ivACh6-D1OX+?Wsyyv5iYZ^yD2k8Rw z`6l5_o9K#Iw)cU=SrAD#8zec9%wcEJ*vn}Fz2J2Qi$=i%Y2!(ef)xJeP}67Pmmc&z zu%=&tHT_ZWIr0d?3}a0n!D9w%7uEV!^tDAE0B~VNKPb$2y!`)9K8na7aIM~$F@Ol!_f!I$+5jqZg8Y4 zs-3%q;9UZwA?S`^5rEruJyh1E4|e!FGlI^+hf}pHgv_o6z3m+8dwdA$(^^uhq*gHFs2R4 zK0Z+P>4iEFT@mU)zXJx_TyPnE=!(#2Xv0QBfvGC!Sn3H@Vo@{dcyyYx*Wi@Ck&j&B zX&8N%j|tDOzwmQ|y%(o}4MC$p5Er0ODW(k?4L5Kdrc_{lI=;EV5*80t!88TFKMBi= zGyj~!25R~^Ew(sumvJ_oiTS1?=!{@Gf_(&tQ+#0*YWn|qzJFR7kpgIE;p!gtFk!;W zfP@VzBG>`on%jzkU|#>74HMS>v*~L#pas~)w6U?_P5R8bFs4nP;S&kR-8MehmqJho z?%7^F4=DWD`asu#pGjL4I01{Rl)sXA=O^Api1!UKZF||zJig7pM;O^A5o{$a?oc_|rcfEd1Yi!s@_SktK$#<>gweis7`&xwS_mQP^4`i)Qo`_HDl5gLV9oL-%{f4I= z&{Fss5zQb!y`L4=U1bi?Eg%*_Z(-^kbS8xPq!{E%<5=d^B-vzfJ!mgPRmRaJPHZzY z;f5!)NWi07OyQvy>F`t*hjYL=H;0s*@-TI>YZAU#>RImI9pJgCOKxmB!){35H)5PF zH|BdUAoZ!oDY<;nK}T<$=ISru*|ZM941388%Na-SMGlfCH6FYmQbS)ia zphX{T$~f=;#(^6;_ujFk(78W^@GSx!AmGykYz6RUdl`SVh76ey2xlZm8SHLIKrkwd zDaGzaM$Eb}!Mw-Gk`i$svoseklb|7ammsM~QjyHBWY}Wh#E~A#X2dMuPmk%^Z<~Q~ z2Dnkqpe;AXVumfoVpDx{?_FnY9PlFUG6{&mmPkgy;LmOM5u&tFl$0olg20L6R8_#q z013KV(%{0)A*PJWW$uL9lBo7~LhWK=I8b|s<-u=bY7&jrD6bji?I*}OBpVrk!Z!&MLno!$@VasA!W2;?uSjImE#yfAy*na;b=wQul zve=P3?Eu48MSxZ!0iJOSlC4EZ3M1(u=qMu?uDCQKhP}Mi-&8;FeHfn9;x-}bY>qNm z6fec1I5$L=SW|t-j1Ytw#-ccaGz5}RpZyX{q zrce|w#iBSj7R6C7UpNWh5=7FHAlD+PMv!ZO6iSczQ4|ls96|zfh>RHQ8QWsd7{O`; zHzW8KL4N>)E|o&h7!Ixx950bW89BQC6}ZM;MsWFW94vckY_TZLjYV-s87zu(V^JKA zV**VX;0qBv6;sMZzg)_&ag(YJT^oGbDhc|fQ|~UDGWbd*=(`=b<+P$J zZ2RhiAFs=ZIdR!k|LsND5a^QFwwSz1Cjd%O3?b&kqF@O+e7#gA1iJJR(526afwsLZ zwC(=@&NrsRGLC^2y#~XvDBR8soq9(ZXxVdTJdQVIK)RM5GaM5y;ix?UqhFJtOa5|H zUIOeLzC4Q7P^f|naOS9V2=V1nnEY=iV19~+T44zT-$%nVK_&;wi!*;-xCk~?I4z|( zaqDn48;C`aNAMMb4g^Rnf)ap>P4#ua4FA)7|Fkli+_0&_g#ISbdST2N{EzzgdnAqNJ=RwAR&!(cb9a7gd!l_ASDd~(p@6W zr4cSjcXxB|{pRBPd~f{U-}-&)zrM9NGked>nKNgfz4z=rd*+gF1~{OLEfi@~RU{&f zs!*g+1@QiMCZth?EaV3=JDSkZ|Dqqrbf*EgDLV(w5ol=d_KXdg^4z4NH>o^ZXu+fF zcRh?>1(D58kj?TVH2RT&c|+tBNra5yS0o~5Q_$mB7wB=p27G=c3LazjAf{FGZo?99 zV{486h;Zi6i?T8kc2+ViqNBqDK@)Z%!D^;e`p)={>*dMv#B?x!8G`w%1I%BcVE!Tk z^A{7Czg9pJsUf35RgqZ!%9P#2Pn3uti#qhBF}n$;F?$Vj=u_8I(0F$nF!*mAx9N&R zhpTyV&=qqCu`&BfHDHlmn^4fLCj&?5(=!Di54)LwS$>PU3k?|hcvx%5JhXKuQc8;j z0FFjKCuw=&bX3RnLu2-cruQ2CDN-p*`&zv<$&^0*%(>%itG?sD9G~6$pkX2;N3ld( z)`((-?)vO=;>r@1mLDQJmTw4&Jk2YaUJ{d(DquA*cUhh?Z06E| zGHmBkiZTp#$vBIz*B(*2)Wx&ElmabOV!YdTsfrt_;@uF`@wIuh-B5OJ$KZg}rxY3e zfFYwFPh)sPC-&O}K=dQ%7#aOw{5EY0!sox*LAc{r0WzdgDM)(dTt6g~?Z{+PYn-OYD5YZ@8hU`-={7p!SuZMxm&;>ceLzCU&Vq=N1BCl59n zHg#fA3b55ggaR0W1F?wDV4Ho+Lo?LL$0WGK=Rpq!M)4!=Z-Tw^+CQJrU-Dfko*$Uk ztx6mxKi}Rb;mMKa`jRR@heABiL(v}i!)Qjc-l=t|aDAz0ouF`?0Ch#H+jT8{EFgV4 zK&TGg_g?iz!TAB_wHvR`_gkeA!npVm26sTn!vOl;^~G$Kbt~T^J4Nn!athLY99(R- zpdhryc2UqE^VZ(}(0k(R`@ngEzCQzUerFcQIkp>cp19`)@JRQ8HnKi52r0#*n0Qv6SiJZ(8tnt(e{aj%!RG}p?zmJ^K)K)POm@V`1T19M#0ttVH9pJ zWEf@tkQ?eq<;ykS4mF56ucD=kjDJ%Q7>&&*yQ<-|0gUqO0T)B><82kUl+cV!eMU-#1O_Cl0v1y?pAZQCzny~Cp)H%9w+lFOMc!7V`LJi zO^U?f+n!mooX>?Cx&HpsSr4j7IcVogZ#c>zK8F-v{NvAN#;(M@PiyCiEBxKsjIE#i zRZ`hSrP}9>QO1^fS?Q~j-ZG6WwJ-=;lZQ3;I+xK@#wCNZuyZzDe)!m(aYe}OVrc3m zR64$OPzyva%$Pv*VvUh}!|bVcK4k`oUUZMm6TEH5eGQ@)C_f|xQ4MG)1KFYnMgDK2 z7fi)uE!Bn=nZA(0!-PEeNf@SKi}R*F+^_D)z^YS8YR+F47OkQTg6B z!Z@jaQymD5-r*6(4SkC^r|*?g9v0GlsybEw+g->`t0B(PMcJUY5zrgB9|PQmuc)pm zGIZJY+`{4|zq%$4ZhU-uK+ej({(nR3!9PszBWN2reEq$WACHMy9_0pIwCpfx`)dB* z?)Z=XZVa-~|GXdBU0}YJrXNPUs^Kq8>1S+hBlx+77I*{9%zmCs%$eNfmCMPx($aZM z%*>wPf1#Imy_S}qc&o1YP)holsD*_I12eO*RlKU-=m_IGO-xz+C)!IqJ9uVc0VO}e5xP+A`^~DeslT_WA^TCk=}n#c2Ijg+Id4)9 zWC|i zhD^VO-oQ8Mw-6-U`1xkMCd=eU_kaU-^Qeb6kLv5@TZO8c{-OnCz+Jp~4V|0UXt{ad z$D0R|gVzZ8d)wMg=P5T2N=ByK4?X_Nby*D@FXRASkmCTWpf?X-y?KB^qfy$>3E!%i zco_XGyL{p%cpZP&e(9ecK7e!?(kv)5#@mEC4namS>(PAtwaYlTEOqSx(!2Zf|A}si zk7+xA*}Z-_?Ji3ly}$Hs@O(4~*%#@CqV%d>5MhiJTe9FYd~xkRLSbAZKYE0>m$(KD zW4={)5HJgO2M!Dv46v>Lm*SU?XW0jVQ>KGSy}rA2Yg_ZhqlpTu1z=D&5CMa_3<(kd z5LX8?D5wNP$tHd*WLhok4^_?IYzEN51cP*81||r!Y9E*&6FPFh zP&QQ&RR4dDl!kg!C%bhX$*N$p+D)pr%?|``ogWqL2=Fnmr;(*>xPXggR>Iw10=C1` z9o$V!Xy1Ge`}Cv9wcpX$y^Ejvs3b9MuUKhQe@3R@Fx>qiBf4Kohim_64xua;_@Hv2 ztRAmqsgrMYg>5gFyptx~)YI!&prlc!>)qFTvS`R13I-gpQD>wp zzEK43$55#=N&_J@aDY{nHI8$Pk*#&G9`PTu>GSYDI5O_kj=^IK!uW zbB6CZ@(dpYd9Y6md9Y6zC;@j6nL;wh%nftA_?tQY=7PVui65Ndn+FH`0Am3VkP5i* zfB58IT7Y7SpEvwgG0lY1Q113u0|Ypn+uVGQ$U1C608JhVPX9!L(f|ZU`M`NY2pF(w zP>?Oh&En-YH;4yUOmBn5OIr{-<7j|@#Y<$N%FQsLH^T&~WewxO|I6CppZ3^Yf6^c=?#sEda|P@p_OBUc~hp~EIaFxLi+EY zUzfp>=3=<}VeLY=JNhs3qx=8UQMkIZYIkt{O&SMEy3+#Azd^Xc`L_yi{;hgAvUsD( zog%)_hLuT0OIy9Lx&oYp(=jM$avf^FT?Gw9wKT)P9OK3($`^RTq(Jssecu0SJ@t9{ z)w+P2@!7CvclU1A*-+Gs#NPBy^x5#0sTD|6k%=5Ku|+1j$i$~%U(lmmc~(L`zV~Xq z=dC-XfCl4U7Ci{s0f-7fn9JVB#(s?vX-tu4!!f9bpn0oJLquBR8dI;6h4hm4tYc;G zihzV85@=tMmAIol>s;BmqEoX7J6@it3*Z@xLEOUJ&8J5^V|wSTAx)qL*BYvcLl9S5 zt{_k$8W+B0Y~c4ehQ_-^)Sh7KgfZP9>r_ppY1g`$FX>y6CKO>lSZm;k^Gd#p)R0iGDdyP-*fxTSd4A- zYXJ+YH@{-iqKpL|y2N~=AGM7>sjQu@=^}Ey8kpRzJey&+dEDbMLKNLdUrg2GH3EzJ zO^;34<5S|*&=e%+vhU)_s|gMo2gx$UxNiR3t#+M4CYQ*h6Pc_A$_1jRYzRmyIJd!0 zX&R-_g?|+Bd2}^J&Dh($rY(6AH_JPfceN9??c@2RP=~yraeNr`$60@CubQ6SV%8Q{ zv}umwqer^{)=9W0$tLp|+nDFBK|aq+`@4i$=e=||ExBUz){Y$S!cQR>EDMM4BGJgM zj2@NuOg-Z$Pr|Beox?mM=A~TI>LjmMAFo#t@AWh1bG5Dg((who()JcbKfAyT>wsA6 zfKS!|Ue*EctOJa#Jx8nbQj2H29Mq(o!g|7g+3L8Dy!6sWo$c5De(%M^K^%Q*`xc=a zhhtm$yf(dZniI|*c^%tcDFnyfU^b4fsf_=8H7f>3T4~^ z3?k*ehOJ$$%FfG$<(S=fBQwh{?F7+%U$t%M(mWHl5~5%$@U1*0xD^AVEQT4k?q9Y% z-X{N+p{9Czq;ji$>tgf$!UbHHP0m5Yp+}EEn19LY$)~*Qpu3AN_10G3$X{H(UwAlo zpN)Tk><--^kLFeJ<<{Y}^LaL}S4xy8p|7{g(mtcyny>XfJduNkG81x5*C>QyA%A}! zf;0K7RSTsy`1TTkZQf38O}*7FQ)o+Z`WcVM8Z69ESNKQcy>oZe?$vQCr`hQXce@$B zz2Z=JRE#6W`87hS6t&V}ce}kcffCo*rI3Fw-c#^}?x_duDF^Lo2kpHL+S3f$Qw{RX z!{NC!larNxsYbUKxF+wjofDI8Ykcl+d;Y`r{HyKxqV0J!XJZwA`}7MhVID679xp{6 zFMCpo30W^~o&)=tpIy!blz)%|fVdJ+&dGXt@f-kT>;L$pcOpn1lJyedIl!3t36Q-V zy4h*j#+np2JD!7C+r=hO>Ozn{DeGm(a}W%W+~A2{KCad1tLu9Mx}BpRTlhR1!e%qG zs;cHj`&I+y`ZylDD`K0_Oq=u-}9%m&9QL!uFar>ooBq~bj5Ent6z#9f1ttVdgI=v zl#g8~J>#z?D}Hya)(&pBb4v}nQhGLDO&0EkdJijlG+#{}%}k{2HJ^H&RjoCY3e0)i zc%LE9RKvC}cFhJ|g+OD7pk>yv*IC6{LwfNZ+%3#0Hf;|MZm3ynXc3r$?Og2I4Z8Yj z&D}8tr=S1wY(}3v5@=1^yQK<#T;hU4fAH)tPsz zUA~1n3 zl#1qyf8iSG3p?jwg{8(kDR=Y^?SddqT;A=qMuhG!rJmcf`!r%k@Oi>(UGLPP2+anV zy)0^sF0=imd)Kx22|c#j;}A!#kMha5rELnm%|sQ0(scvk z=^dlm5tQle5ra!z#QRI3wQlfDFN~}UIoOkY-ybo3@z)%+7cFqq-z|$>3HHHb2ZUHT z^`(XkT)x<%(BRS~YUF<7#jYCbOS|a@b7jM>Hpc?maPPm4M&yzheRi*dDVao3DQ_#x zI^%Nf8~kNLAkVeW`M%sfkIW726?BQCAy269JZO3ykJ}?VjKU;KVtSpOn>Quv$Aj~? zd{1Tlv|Msqo!C%UZ*RD=Sy%xm)*-g{R|MXq+t)_W_irHr-C^n9GZ5_~1&H=viin_u@Jpda=X`{7G^l4=N1&j= z(pxhS&S^3T0?f;F^&!O1YH392+2+WJNWwjQr-Iv_s2MDTRHHc_vIPkF_0+S#e^V$@ zu$CIv*`|(0|3G)b{iA!4kfMp$OT^DvcX_5&LYn@f_vvcyt#zABeJ2p3!iYSx7x~A& z9P7UN0dUG=A@K$k1dkRqJe{BYV6NmbJpDQJ%en6+%_juWh_l$8d5ajx!@uOym9gF* z(V71B1^gJv{6hkcztUc6^!^n|o_UMvXy~sJV56Q5pJrA;lrw+0Odw|(YuVP!gqsAo%E5dW(CKq7TgEfsSq?B+)`e;fm}3dK zBI1jc#F)^UrUndQT6&pa_N~CT&G96itrSkMI_~?!{}NX^?-Ex_;)GI{w-B-aEAw*V zjl&5bA)J6@%=dP{<%Ik56M3e5UqfLS!8FSWLaV9pxaOV;qohL4y=}txh05<`;x@T* zE)hGk?!FC)YLRTEw|#VPmAjuLzlEib@$J3&cUo>DxSNhkC(a_3DpP>vETs5t8qmz8|n*KJDywnYQ#^%W2p zSertA;Fb83F6oKJgvv7D!8Qq(Ejp!)O*)xUs2GLHeoeO(;_gKjylu)=M%3)3o}KsGXIT6Z((y~uCshZNB8hoRayt1z2Yv_<;eFX$JwN87lz^fA>EwwCPFL*Qh*?q z=gx!cJ?|NBS^q^ij*zBLQ9`h$D32lf=mEXh*-sF?!3794+4#4J@+ZoO=eXl7+pOa) zvCTG{dez2JmkEyn(KrF$B?8=FZLGyw8t@r9<_T+qYQ8UY9*{C!!0T-xq8u=SWU$WV_1{cqa^jn(d%`-Xu7vPk1N72`(f1c20#Q#Wi8l9983&o-* zr7_Dl^rC0W2hw{|dStwruC9Ri>3>OIt+R<30Q@%afsv3V|1Ik2y;mxXuX3f3tTmV8 zI@|EzU#X!~h-f$+@SON}c|P&2nRVY&?SCejsCG-J^e>CSc|RUCgOxZ31OEA7;nk==?N>J$O-Ea z;5qnPnDoYUF5E<#sKEEB|E8W))@6c!0o;VlMGn?i{a{ni<+}o++zJRR_AKD$or9RS zFiQ4(M839fmvpU->OVwu3J(xaRTa@PS_EHtXtfD6-XEH|p8$;q2O19!G#(6SJU~0= zd^pf}uz%1DDXu`{!3Z$_kgi%9hOjMVgw2S=-NRSDQF)AnR7yyd2lp*?ot=C3uc{32 zV9Ole-X8IP5HrSeO`z`Ic<{f;DX|=&+Z-n#l<(2sa%zW^(-vnSr*=p=y#jK|2jrAB znJEWuB9RX_p#b8j2e`|78gN$6;}cdf1(>u25L~wL7VGu_AiVJ+us$3jK)Yfxp^wh#1IA+DX*WfC_NiM=|_it`hTP&;^ZtV9v|X5uXa9n zaXvqNa>jk$uIqOm^x@S5n!B%&eCNmTitheNGE9dm9L+B=&EWp0tDINXIM4kiw;ldm zk792hq{hhJ{b@?D_`T;tretzlU}m`LuMe_f1iJj0bYpqSZ}Ok01oBQP+}}LPrx?Rq z$=J^A6^2cm=C_&p;ag|iCSz#r97})D=(s8GLapS>+xs&e{I`v~tkf8vn))u97$1pl z<~dtso9k2aMhdaoqHMjhjk5?-9*lG!UpK$QWZ(T1y|m^Enb(QbL6~1Xn5ZT0enkwc zJmo43BfVa;+7~KqL)4=oR!FaXt+?TXzh13wbbU(M#~i) zO4EdK%;P#=bF04eL|(P%L_S2*?_ASwTeA2$q2&$_cD*LTsY(-3nNRqsl(0K|j8Q4` z7lFjMW`KqLS-r*ZWC9$*%FuEw-}<{&GLeS`e>E&L5Oqlepj1SkF%8>pvQ!#SL-IKk z1#RiEUt8^v`|~A#bvBkys7wBnESxNs`##&xoWj-|(e)NG_6gyPNw<7c(O`0-4H0sp zMgy;wzW5~%D{#=nb5T-oHVlcYy;H6rbdV77zUxdwx+l3EdbQ6}QDp5@6;`saZf7iH$~$;jd_bL*r& z*@2DE{5XSjXm9Q~W^435j9Sy|CAF|G;wqES{H-5W>{$0~dWqe0EuQ~YE5X6in{#^> zpZu7w&(wP*gDF2J152(5`zR#J7d%1rT)B6%cX;{O#uSt5& zge?<~h9iB)GsmxHq*dKwzOdi-O9Um?RyKz!FF{U)4liF0hlxj6oWwOGn@u%bVEYxf z>TX#wLz4uxl%Jd8J!6U21u|8QWrt=(0^OBp4Q7?!sI#e{ z^ve<&wkcB+$sn`gHx5d)er?Kc^x0JKNn{99={ZzBp(=d<4L@P$_UadAFX$KQ*T&?A zgrg~$u&J<-%EYxPYv>p5FQEv%+J7Q?8A(M6>sO4Lt{w(SeerUB_nUXTj1F(Vgope- zvZ0j_8wej&jOu<#PWX~vmzOd2vZ$6ZK`!1|g=Sh#P3*^(lM2mmAJIC-y_CeFLwIl< zBV{50+In2-8OxnN7huJQd_IM~ty>D9&&hFcGb^Y>|CIAK;7T@_)XR%}_RQ#B=<&N4 zN~Lqm(%ov6EAGChvn}f?jpbv>i-i1YjTlEEJO;W4bW9Ah-|3YbfBG-d5~)33XMO}IvQp|YRDhhd3+Q;pfC=pdH8@;JYaD~{()V? z9HXuelVmzdq>Y~L2KPl-@XEN&sH4YYM$IS<-Qf^^9V!dw%D}HShff~x#D>J}?8<34 z(X+-28n=-{V?W!VVerkq#qeyYpic@E&<@v{d~+p=6B%=wx_a3Ml?_grPZd$`YEIdog$8;O$-C_tics-p!sDZb;w^HQu4T?NiYu=kaNH`0r3>U* zZ@}1V(6l()NlPQRJxu$ZQcy4l|LCJ?t^tFxR?`BPi4J8^ngE3Z)px0M6E1EfLAy#ED?y9WFAyH+9`VFr zc1cow{{=vC4BM8di1?A&&>M${Cw^^9lKT5E@JaY_Qt6Lgd_s)~01ZE3Q*^m_J_^FB z(U%;27wPus9p}`JJ*TCr7-U5KS9AUgnmVQ7U#a(!AMw83$xRa*fjF^_RY+>a?SAke zdP6zVG!!Ay=YgfQ#DwMZ1ACi(eI4zDV0S?1>O-X$PvN{nDIGpvM$W zoBsSkUgjGfyr<_Qi%7-1Dz+?ycYa`BTe#u4i8NX4%;MF|CV7UsGxm2>OR|hz!8v_O zW`CPz!gln5^ar!8WkJrftzkGX?B+|=VhnwC7@klOKBarzLFP7O`F2})X4FxHO7|7! z+XFPX>4%Z}JVeUwMiK@3S806<|3n^z=|!q#gp1PF`LrSVt7~2qc4|GXF5Q;!7A*tv zqYZe8TY;alt|c{N;Z;lV>03k%;2m9O%(sd&h^CVIHF{3Qu=e zsZ@XSN`;sS>%Ni*SfqGxhFw&9L7e70cH#QPxBj9l**9&J()dwz-tTzF-M+q^&g|+h z$!3x|auC+J7CXEowSurOjiXb_!A0vk^0M)k^EG!zl^mqs`s&f1<5sHwEVBZzJlGk1x~z5Y_~c0*bJ@A*|kqdep`7VyeEPZA4Rn^Yz{zifZVZ zAkqncv8V4M9L}=T}v}d0$iwx(Q`mqrFy=uS1wTv3YN$C z41`TE6N{`3g-r-B83Dzhyl+a%2}qP(ZU^+r=>t?gw>%g%PL?U(+AyG(LfM5BhmovZ z*aVl+Qvn*#tD9fGBFUpt{b*zMb8r08Z)K>%M^DgeN{PB0c`&Kf31C(EmN#fF}a<468(34IPC%u&~mEtV? z*Pb&Q=;qS)_f-a>Cei7$jDA8*5@+h>G!)L=psACQ){nHnz@6enDYRT@D z3!2$5cU@HRyTw)Yo5gO4k_}{h#dS4e`<1v&=Pj91(EK5_Hf8<9XXInckS!J(M=iw~ zwH2;T&bN{W?&{SYf!9RODy`FxBCCRIY?%+gTQdhWemc5jXy*C%*WAth_bcCQ>?LL>Q-92NkyoU9q zu@zah84ao0;&j+?^_ujQ-B)Gq1`z`nDjyfIAH-F8MNX&F7tq1=be()_mk&R-UtMp9 z7*{MYrov8rPC2g3h#6CKGTHd<3pG53Zr4hg%|!@=kl|8@d3+4$%`&fP1J_)Zxpc%= zE7~n{j0h2lkOGdfboU24EN2W;%%=>=;F{9eRjjO&xJ6s{G{q2JTN(x_JYSsrc2Ah6 zoN+b5+ueF+xisPegVMazOgK$o#qRTw=tz0^n->fMYd#iF(M4%son|>?R`h+#Q*vor zr|jNX8J5PMxL(nVZr{>;Xoxq|cRz(H@nP``G!;=%ew3}Jz9xq8ooX>T)IL8#(!>c` z1}U!Sa?!Y%s^d+}h*INB*$wT#zb<>JUaL<2J6y~qsL{gBMN`)>Ej6Cpphcs)deg1) z@Mqj!UCTG-<~1MqVr2*M%%EOw^?B|UIO{y6Hq$q1SMltydr(G7Z8`DI*{oGizYxBy z6kT8Y^CX$>KI0GZu3{9;byeN%rOyern#*V4cjCM1{SyY z?SL@9Bv3n~Z$v_BjU@`up66s>lI@h#|IUtItHa%yb=6U+kd&y(@X3P86E!nYm4;xn zwy=_&VpOO>0lIzf1Jv&vO4wuCrIHh7?}FGCF{ya=z!ZJaOpPXmTrG~f^CheTGY(Rs zkVyV1T_?)gMZ2nHnxs8-7DtXO>*Xh#&n_+DnpoUu66m2}!`8Fy_fO<-m$ZzI*idwS zv`0z|wV#hn@?!~m3ViOo#&k#FI6%B$!NRK3FE0;12*YqBal54bjFpru-YFCY>AoK; z-pNA`es#?X?B%XO0%ql}8AY8MlSt-L5`zz5!AT@}GBu+=BU3l9&A;E{C3#Cmj#ZF| z^KrZVF}mpNb0@ZC>{sZbx~RM)<$2FulB8Qd!|A-53JExXS1;;&Wz4)mU;4@_D}}x^ zInYKzNrfYhy?aJtxqsm7Hd=5g`ir9BWhx79pA#>2=_sM5Zk|xd&iICiigP`FogB~5 zmeZXkIUcrkCo#v=wAPGK0um>W+W~T2GN6)k-AOdyCXR>!9K4M)(@f6e51c=OUdafT^6_L5(02thq6iw;Z4IWewyCCy#+ zUFg~FM1)5V-67m2!sS|D%UpuoWJFOS$zg05=WbW}$9LD$F83DP2<~-hrFs1E{-Hhzs%U14)ihG_EfRuz-Z1q)bi=)bVP46(L~>7%sS|C9;PvJnpb z&}*v3(2w(TutbuZuViWtJ8w6?vu;QKg>(CziFcPp%lI&7QtPdSun-ev#|%rk@$!J4*=`o1Q+YOW!HXe2w|X}!MtK1{(qjl0w#ASQ8PDtug_jRW@4%%`wadrwp zNR( zNlDZG_QSn%Co=8&BX(&>_*Q@YK;qW7#(}R}pPO|nb}587A&~kfxS(7B{fmYxe6Pho;C)GR^xVnY&<{>azQQUr13|z zl>Q-rmiN<1>woXTC$TO^u`QNW4LGAVbP{e^dZN5~&hX$7B~s%qWl64{&lkdG4xk(_ z1UDYyICSMbd_<{T%_^J?`*~ndGkIW94X*#pUAqq!f=GN?7xIXGv=`P0e5l@}znZrg z+O6}7ofZ&bF*xhKV{ztngPcwYglPyIzN+$ArBwH9N+arQ5Ahj%k_S$OnQHtviXt33 zx>#m7^9t1dapXQW#-A=B4>@x02S7z0CVzV8b(&-D>KVU_rO)6J67Z?doNHf+O~WOw ziP~%bFnSdQGad>VW=s}*9>n3D9EP3V*-znKBvv6Y`(k)IN-e@PqVcZb={riy_BD)A z6YO+)Im~tmiD#ze#y?W+J$*LK@;*#Ex~-kc;NGvvLpz(M<2pa*eRLhPW-XTtW=ab= zbBXM_fvQHt{%;83Q{27~n#w0)o7#O>xPw-nF!anqcmRGKLOT4cj>`Mk6&WXqSBd+9yjmzu#Pefdu>2 z={=Dw;i!IdpbEaPp#GN$?$K!5s^lQsQv=|?-@g0NJ- zeBC*^dai8Xc(*PPLXDdZIoRl5Re1L#A*+D=GGzCoU~F$g#2AXPzZ!PLN)z5#pc$!1| z)78jdiz1ct+y&D%)#}2lH&oT0a5bm%W{QE8_RzFffI~FE%+!3p9}7F}AzdGT1q#|AlV2N$^gQ-P()j$e zn!cvG?9Ltg_Gyak3GuNov?q)L?ZURNtETl|bLHTTZ&N&x+M(zAnjC_c^Ta!UO1?=v zHhjc60FR4Ak)+u8HCMy$PnI5^^Xq=tB%FR7!(7H_1)cp2 zPdzgqe!!k=4YMSAp$J)Rfw-A9=1R+biPs&)OPv^_pwd;s-sO3BW0`zNnPYid1yN;y zitG3r&gN3!K!aCMxL_gGCAn)My7`uuuY|wN~ z1Yg{)Tbt8Ev6-s~tV2Y}tjg{B82i$G&%r)#vrzv2vlKqbt6FQkXO&*fiz+Evq5Mo* znA9yqADGY-wWRM-G226*8lYF&P1I#6Jyo88_y} zoV_dbLQjDOu%E+&9%R0kus-!h7 z}8(SZM-kulc-V{1Y?Jg+}BfS;6v!i5g8jmSCfsrH4C#_gaF?4jS&^YqB2DFyV7C;(DZD1}gkf!_^&bZ)?e8 z=XMcm>DIhA8rGh9)TjHhs`IcY_m{2D$rx#$uh5JFJ`XtfQTtVwx!P?cNG89N%A<3i)uxr@zJe_R zdB)1aRcb8&E*h@V?NOgx_ql@%ivstE9nQV;zlIyRYW3oN&6_wak@4h>FB>GOK3T3x z7U|pS#N??V0!s))B0kLbm`lm##!ffIGYjh#gSB}`*PXNcba_ZgoU*>w*~;h-g<)XT zv-=7280LaXQm3p;VPy7%uFuKncEFzokDQiVwmJ_o$VNG^>g`*`!XQ3bWGOlYWGUb_ zr@uBk2g77}n!PRS6+cR^cMe7X#He20z_3?rn5VB#jNFD!>~}{{0Um2|vbfiplYzKf zlt`o7rs$6cpZ1bV;_>5iUVAe<+Z+#)rNEmd)nTxE&+@2IiqXv#^G6@$a|p`9Fg@i$ zbc+@GC#vnAJt@;rh^2@Hi(H^ouf%I5baLv*yZJc0Z>jK<$d~u<#Mc@8UaND_<;IqY zThx57S;m|;!X#jn?fHOri1{SDX#U6D3oTQ;kq-w0iuVk}x-JNtxbzfjR76a)#JWpA zMb0sb_!Qk$sg`=Uwd8{7snz`5wkWW-IwVpK^e|H8U=Vn%ig&1$b6@qrxA!$Q6{1#J z$7%LlWL%ow>B1aYEme5_SRB7 zL!ip`Nl|TnD#TLjxi3-Xh_*H!HR3Ve;U0yEL<1q-$lG=O`y&%!S~)|9IGudc^#Q}` zzqLvjmS#g%Sw8yBcCZaKkf5Oviw^(pkko(2j!&Zf83m2_4#x`1$IP}4HqKg-j{uD^ zVF7M_XjJRGASWrVkFn63LWg$~SUMMXYr>V;3tgkeJnV}qI<>J*SkQ=# zCTd9_EUxUysm|rm`C@ zT>gUB(qLLbD#7+*wf#&Mvh0S4r3+$_+>5#sU^I{_=-<<}hL>d|=(XLw`{vZI;G{?B zMe*+#8LtRa!a<|P(@cxnhtNka9Z@EX1o@3n){F$N_aE$bd7VcURP_n*nlxyuQHx6| z8MixE`k_DfEsT&|23i0^WAj?bBhT*bL7a;Akc|Wn}j+w8n9H~+K;;yQDYN; zn}oBP8;Y&QE-LP-?36!?+ETjHB*--cvH(F9&WSt>tV>Qm;dDB-b*28;EhW(aK`zd9 zWY%GvBq*yt^ru09;Eb&|uM-p+ooz+N!t5lZ;k0R(1-~bBpe{OvMIn`U%3rQQaIllq(dUA2 zRIJIRDcihCFDW($Zgt0?Ct8gl0YX#*&FQ^U27#v#%VcHqAA+B4<}YjzbvCa!Gw-6v zsVkx}$&+L0Il*uFZBZf@*cf*_`dgZ9y=3hr5$4QJfh_lrXPOPa4P-%&7Vv+6^r@(7z z33GZq-qiAF`dZG?TF;HZUR_?1W)<0P*F%hpgzzDvMyE@eIFcV%hXcOXQdJkE%ORCa zENPLxb$2fkLL*P0M%dGb4jZv?UhP*$j7vK6YoT$^-oE)39XZHz{}7Hz&FnR&``9~I zifQqVJJZ*zIa+1S$C%X1b5?=ZePZ2RkMCZnqhwz1)G)KA4pe!~E(X}l^jR|5QLDwe zV~RNn^}X6Nd$!f%XY4Tf#sumJ0Sf-l7mp+(7f40(rYGBfc88Wdgj+Q zD5bw&f&b!MV|vHT**$b2?HoNQy{cE>poYp%(LUP}O$~Ba>({a7J9#g1T4_etA0E-9 zSJAyByTL+k)+9EeUAb9gV@6s|^4F?5$LFTNY}6gxj<{U)@DiCYFi{v7&D2(!lXa-gbWYP$J(oH z9_io4dI@D^r4#D<%TooY;w34XUzaPCjt)!GHC>h+m84_6d@P|+tTH^6=gG3OcIlo_ zF-h~i;i0TGiHZnuDjmToPtGfIl#%Tio-ZwXf-clP&Z*mf-JvaK_1>L>dv3wfJx?l~ z&?0ufwB6^1Mx?fpdWi%_Rj@=iG!16Rm=Z z2h*uiCR1vBIsg_11lu`oIm6zrFNcsHxVDejzNM}$EPS#EfVhiEZ?IwgW-o4nNUUqyQ z=rtBNoh3tycX;5%-a*c~9I{>Yc$t9u&zx24uk}@dcIqJ5i?Aae+!cTSP)nrS4kNQS zoSq=jP<+Fe6CLKMZ?lEwU3FL(m_I0q~pk)c0Kj@%P4HWz7TjL5{exZTuz zW!6nB&&Euxr{7i?$WJ?pe+Wa7k?uYtcwiAM`;!K4k=#YIOvXeK6N$=BM~!JHBSQ2u zG0=<4zmulZ3c;Ua@?7^SVWYRXyG4%qbl*gi=4e4J_d-^zCHXq)U}_?FHQCGCQuvb{ zkSfV!mBE+xDb<&Bq;EO0aNja;;Jy{)z%7sPPU{w&qMY_x+z_Tri*N2?4oWePMJ_T^ zI$);{K>P^5_0l$=6YQA;p1ZNUaaYT_?o*H{qDvNzQHP)ITO9-j5xE;U^CZJpltf>baD^p`b z8M4^eHyOJ|t}FpbIl#T)~4%Chfo;*6a6;C<_xfQ;9M8Tt`_7y&q^~ctOp|3-BF6?B9CFRL& zas}p2R@BB4=h9dt2NxfPrkH!tKb3|}p#6xZEu~{gVQvoos0?+URfSv4y2 zmIAC@1W|SuA3t+!8pC**`5a6}TeM&@!aEcRR}kxdYP54?YoG?^?7%MyNs&*MiN*g+ zR-j&v`rG=8maj!egw9&D%GD}?*CBT=iXSi6geaI4#TGd2mi7?;vWZiG0$UH;P^8&W zzT1wFx<%!l3h&v(YU;vQS!T8DwKX6>!0MY8m3$Tg0S|QZB_YZR_S`~Vc#<>h4udO*g53iuJSF=xg0=AS+DZ7OFo+_wJo0TQL$<|-IM2O zruE~K4t>d8{fgA8EWNx-a~pTosD{F=o5HF>$SI-IDXA?by#`)I-F!`n-;nMCW!lL&Edb>W4R-y2(RYv z0}l5Y1%!yjCDq!1eV=!Yv2j?(CIbKdUL=nDig>DCJXI)EVVt`GzwVD62Fx*Fe2F=F zC%0zE6ObGOwifJ=0hNF3Fk}WIFrz4Fe;T}4AKm$tCz|3tgiOpUrp<@)t~63~vWYti z?miD0wbCTWd)!?pv1Iq2yFoI0(p1QM@b-8?7P(DDdYRb?_f^sxjm;MB`|(WfT=r0F z`xKo*{`=G0dS5iL-k4H193b7zQ;TuK+ESTPDX{dT z0heLZglE8pB@Dd2nE;e6DxQIdA*7p0Y~^c6>K=O*-@QOS%9MV2di&;4Q*)-ojF1jO zyhB!eYQ!!zC=c{XNsR>2%Sx{lSf9Iwf#8CFa>7sNt7=~zGI#OJMdJss}Q38 zH>GM@AsvSfT9pW;NcTRG6I|@RQwM>cXtO$t$mPr2=+Q`Ghrq_jC(3vZy~kpB zH)uztr32?#O}N_*7tl#33DAn~!mw6g#_*3Z@=t~X?CM+70%1{34t@4`S&v2pJ|o&) z1I745Y&G)>?yIw@`VYhEDLN$#)7v4d+`wP%WE+|W{xTWxm;Xe!NpAEd@RzxPzud{j zISKq_GT<-YgvDX3}*FXm;lVT1&52M+f((m?6 zZx51cq`;E0RHnD(0i=@_WOHg3QC$InXCt|_=ZRHCI$t^WRh?%wpzyN5FH_%MqM^~#Yie75&d9Xey$36)#o>S9NB*@>A@mMoL#C_?OI!PZ16tCbUCD9YiwhPP(e1=P z{2CQGF&4-eAMhM}lc!m_eZu+2*Wi2o5$C_f7En>hO?e{!$PBM=%2e&~L>`)lw`B&% z(7X%HOa9i4NR~@{I+6Dg&D#>_K9Gg=|0H}wn_i1 z`$-YKl|#whM59diFPG0 zeK+pzEKBDpsUD)_fn99>@K$RIF)ri;sSzW1Q~n8Z=>_g*Sd-L z9Q<)8?^j=~j68jI@p1Hs@xp;iH3zH&J;k{2xR?2?9e&gMx$u$j~X>T_PPabPgQ?LyP2qbSbHWfJ%x2 zLx+Tvv~)`R4t_r0xbOSB?&pu+^Q>nr4rhCvBMZ*idtdwgzFz0dwK?nZwa?}CR;v-3 zx5sPMU@T~7VwR~atCAqvc|?fx04zW{jzI(Filkzd!RF;+OOS~paQGF`)8Rge#1C** zKIUBByN$tb_`cSVAms-k;s@?8`ga)McNnH0gFY#Qf(b&!F=}c&_e0ckQ`5(CTjy$j zf8`iGsodzR+Cr^eUGLipBlzMm>YkEA_qLleniIeG)i%F#M|c~hkDH*$XZ?vv-VSQp z2W7}?)>A_AyB;1JfpP~a)&eu2tMxLfz_E?M4DHqWG)O)bUHqRYHYA_@&1sd_@2aM` z#o@(zlE}ex`ee-z9{S5DCmbqT0@id2Q!erhp4O{hlfS(-)t!s`_^fY_>y*D$)9bzt z+H##mDK`J=8o&13%0_wRyMFPw-kZEc9r4ZjW0;&h-sYb*PB2P6pz84+W}tV=)$KVJ z<(?>*22V_DBg%!rtE77MX7{%$G5vzwnK*IY+X?XFytgka2Gfu{%MAMf&KWR>xTvVc zM~)y_mKUwO<$H-bjA%G-`Hh*I(mwyCNhCXP$I+^{^2ClN>I3H?Xg=BE?v=mt>7Z&y z2tV<4R?nuM@%{Z*l613yqhQejYgTVfitJbWzTJ2UJqcx|J zl@7xub~bbXnZJ85B8UwBCJ-HPvquEMI?Na$VJZ}Kkvt%2X@Ud{LKS<{^R4;x1u28b z>kqsa&y?AHy-qdyE*tIMG=^4-ItN)gQ3dq>7z@d|A70vMho;JQetSo4A=S+JxmH!s zG^ct?At#A#E(npZ2p!MCaM6Z&q{eb*#4qu<%F}G8Bre6lovDZr)z+AGdc05x|F1@r zk97)9S5l;nX&)wx)Y$G3?ZiBV%kU6U8XFa$zko{K@{+CD!l4{Q*bpN!x+=n{~prZgh^3Ywd?Gy36ZVQr-OBprt+y_eER(^h)Y zIG$JR4Cm2^Ej+LqKbfW^H0-|GCqG$X9LfkQ!a(Una-36$=F_niT2{>ihgJT;2kT5+ zqjaG^e;>|K;(RoT*Ij?vz+{f9_&A@lao&1bDc&~7y4J27_V{{C|KyuauWV7B=5TF8 z-ZNqHeExBTz$lRgp_gW}^{r=Dv)2J>I~oCtu_J?%D}DLTy6)6J8EfEC8H0-GVNK~0 z+v*6T@97AOW|dv|c?Wj6RFs%K=X+-j4(Nh5?c?=kBw=(NoEXup>j>v_X`LP9oXSi$ zCwj}fF(6vWz`w^ara3ruc}~iO{Wfez3_ImMu?6=;C)bJ~xKubc?ft&(g-rdd6q6iZGs#m)(LI zNZ=C}s=w*1*)on8{v?12Y80gUfpWhQ@pTDml&AWsTI*dSa6!g*zF_(acmqa}JpFrLS5>EH+B7@dTKw65G-&c+ zzgyS{27GV;4aQUpMohHREY>F%2R#)>@IW1w$Jvj`rIK56RQzp(G^XqF!(VMV-IJm8 ze$DTzFU}Kqa2*(Q94>JghN|Z+>3Hr$>VM&EP*FhY2&&nzv!c1~z}3It!&L4yQ1aX< zR{z53rJ_)-BZz#fbQ4u5&=Ca1rx-P-JTgvuk+Xo{xl=PHrmJG-f2mNDGSz*aa30E& z^=D(_x--|ODR>l>rl!xfP+uoX8wtiU{6MOw3VZw5Z@ zgg$#`&YBixC^?d2E3ooxmz&ZF{a&+b?*nF>u*@B$i3!~UJ*PlR(wciYgS~VO@s7kB z#1+D2L}lZ?R~Tb-$j4oTIZ!*g9J>$41@5o*ro%fNx}8WVO7E0O8Z>Dg(dHz@d~(2j zuAwUIIz6JDkbUx;jl`>z#{>P|JUN`P$T=LB>M8wEMGncVPn&c2ue%ma(mUWj5&K=` zP0I^!rEODhJ(+C@hs?2o^!EzkBrjkj2;zOH$P&nfZRxqm8DzEMDw4hdcpZ{AR3hj+Fgm!5}8ibvaF8)sx8^X@^h7(yBVO!6MeDj{4U6+cT zvMG*&TZPk^iv6K1!NPZ(HoxA9I7>mzV%cCUJ&3I&(BQ`oUc;$oDr^**BPHJpjvYhU<_p+}wl_*Vk(GN>7Hu9TF9~DuWr0Xa4B=oM$-&fpS&X$uj^w=1ln3t$ zleWuw3O#{39U8~CkjO*>wwdS8V!XK|NuGR5<8V*%NG^X;N8|9zO=J4&zFhNmIR`38 zLvpC}Wb}5Boj}iREuWOU7>H>9ZLOq*SbL~}@u{q6ex@Akx399C8v`48f&?2m@f?YA zp~?J9gj~DX_zBD-(bcz0Z5FDiM5j=PTc^hkZTf)QyMbGfAaOq&xS+(fTNA_$sx`#n zAX}P!jHyTosJ;TGkt|*V`RqrRmCYz8+*TCao81d*C&nvWrjnl0c(wq&j$889m23?b z4lSj}hRgzq)hk@Pls*kITQIsFg*JSB69ogO!R1A(OhnVd$I27z3O8tR~eg zSyPj)D8eSx72Ja{3r)mCEfngD26}6FVO5jvqu?LM$OE;nd3 zj*)R`)B91~&r9t%Fu@%mF30SSHwoU=kAj|lz{kEKy81!1NP1d3bsmaJ8O0lYYaXOo z{j8O2RxUcA73~&y|BAg}-g^*hs>1q5`#MTP*8OhvY#n%PjA!15@`=($lYXQNX8yuhpzt z(S4_k953N)5RpgLbE(;6v!JIa;R7Z3FcJ0!%I}oL10|eZBJ$<+T*$Y*H&OY5dM;4> z6QkxQTwU~CC5PYB{7YT>7Wn4M;+_zg5KX65Or}LVDL?Y7I^~A%ket?m=SiZL=%cwc zYqs$zSriI%%M~jhMhDrK4KJhA6L6D_#$P|$8b^-Xeqc6A)#|rVe^px%V4Nhqn!Td_ zs^jtFQOJu;aW7gqLAJh3xk7gXY8a;lb@XtKl?HpCfqdb^)yc1KIY%>Vm~>27piLsriRkubJ-LzsAq(2x=TkhjI|4)nW)x5@Y7AQgW{fF4e5f}S z2w@h9(U5%qtIJADrqeU(gue%Rivmt>jU}iq-YZl@kZeZ3E&n~g&lxoX=Mp>~uZ~Ix z+GLGu&q_1svJ#LjdMhzk@b@B5t0ly|8-wPSZHNvYaO|7Qig=DF$uLRjy70D&e#dU& z+ielrXp5itaZ(d|)0QcBN2oAc`yoj(J@vlplzydd( zS1WTwluN|2+J=nF@$r@;A!mKQtHRrWJg`C%=VEKGULjR-eb|fD8FV`&tXDUyCy|~h zlhQ@L_ldl4h+NCU4c%qU;A>~&n(~7IkizI*wV{qnqv?adq9X~Pro7df@q-!3?+3c^ z;*4~?>H2->{+~Fcvx4TkXcOE|vaGEEVXJd36k$CQ@M7K?@<2N}aY^@}8pZ-FR#A}c zEIy*z7h_t<_-E7p(Z}qcqgb%OW!caz94wdRFrcSO?*5dnWh^jLmi#DgEm?rxz>L%< z<%Ic%I#l6dLnMKLiV0UIjmQ9wjjm%BtCMni`GX%)|B2$m{GpaZSexTmPv5EyVMXha z>dKQwR2SkE;B6G*eIAD#e#DX;!#de2XFOF>BqdYcz=U8Per%4ez*{p#V=-;7VY1Y3 zNK~v>+HfY9=s|DCop+qOy%4yCFItsq4rOA^FRf?lE}+Qon3qcJfz_T^{+a zj7%r%)MC0kHjj5Z#J2K177s^!-oIMn6C$En!;j6uUxkX~U`@G`*b>o%ilpcPX`L{! z`R6MFh-Nny4XJH71i@#DG2PqcX>z7V@Ae;74EC(;uRB~;cwW&{oA}8J#5deRE6lmL z@>qQR5QG>POt1NXWJU3~z3g^WKm_Dz(0ZS=**Lc8&5JCzj$Euoc6ScPnf-3C^T-A3wbJ6|5z{!u*B{!w~u zA?8`T={slM=+`R?gu%+ICCKSJkok-D*Rr)T3%I#Nk@TXZjqWz=?XNvUOd5tR*%=&Z zaOg+rDV;TGG~al9bw9HhJ{xDm9&o?-$ao9*zAed_vv4XNU_`RovgjVQgm}bSB8C1+yVpdZB4wc(BFJkr5)(jf;h8yF&tQF(v9f z=Lgb0_D$NKn+@?n*R1`*sQWv)7hp^YJ45O3Py$z+sP!3QT;@rwgF&5fv`?ps5>iiw zu$n@9Esbo%kbnTDqEw)BlimsWx>S_Pb!1d>Z_>LaaKZnP^gk9x#8IU57e;*kiAvMd zOVga>Rw)Cryj~jm7JGyn&FN2O3!bnqKXxcSx$V-#SW0TX|C88lI^G8>NB^DD=R|d- z4qID4SPUaGE#aiO_<1qvq#B1;NPiXxhlJLSz&fJjWe-(Qh}*Hix+cw!*vI#EH4ejD zS3;CmqXRZ#gBwco)_QIlb(t%%j8i~5U(53^>^UC2eF^(d3H>JlvO=>)FZOcMGMm=(0^`9jB=Bci{NAy)aNmesvTH@# zD+WquPd~mdG%`Y-B13KgcP79@@ZHC(YovtI$JWm12gASMl^RSu%q?Xtgk#hJ-472G zQ~L_H(D=H`Gxai(?Ha$$IwWxE$7HQk+v9kYU0e)zGr0Riw6b)KGU%0Vviv#vn|NX( zV(&8)%P1b(7LTrX&I3wsl-=pFV)B}FuwJD6J>_5NsNsuveD+qe%)5GSi_|8mE2V(^ z>ivP|xEn>`4e$yW10}YH0@_d;IiLD)1c3g*sh&2jc%fswO?Cj757$7(sn}{Kj{pVsPAW5o-xhp#O(T5 zowPr`QsG?|h}jjjVGdEB7^_81^ADNLjj&(g{b-@QdNRqM6~exz4Q^6}yJt2{mL|k$ zqzc`Ap08g!P&%d)XdL7oXk7g`p_wFEqmuNUMrG!%!^QOC2=y_rGkVMy6MZ3Xww#h! z@uUTHY369G*kJ)X#e-3sd_UShepbHzO=!Y<3^@vXknSPH=r44vX3IeweEb($kn!C6 zE=nn-8ov5M`|F2SvcWuG>xp|-6HBTN3lj^%qEXR=j2pMPea#;k1{Y8Gv5vWE&^zD^ zjwl*`I(VUl&*tTOfTE}&!6G0(`IfA|pChGx+vk%Kk01Ztl`^pneeEtSj)b_%j%+A| z|CacjN2VBr{`N0YiQ3)e70?UX`|PueL?7)9TPuk!H^;mdpn~50S~Lk^jYNn}vq=^o znkJ=rVLWpQLP7y(ov)Qs5sTYy3qf-8NM(oXt6#O;dR#Ee<*Lvs6`)UXKsEp^EXNtSi}orTu|>E1Js+D z62Uj|x0Wf~wz>4d+m8E1a-(ymTCjRa2oYusxPK4tR^YyuzFcWMO%_dGJ4-ku+M}+K>%)5c>B}+p>?a5{0wDQg`Ra* zuj(K#OcjC|3~p~J)*D1?(fr8ZcbXlpSNvK_5-^}NJM5{rk&!2=-F$eVrSCC8q_B}@ zE~Z_2SeOhcrb&99L*d1-o>u1Bx?;BWz?On|P37wcY6}L1@MhUD^PX!q=2+lwz#bSP zX38(8U&B}KP#T9e)!_94-J%YKY0p~O@vb^>b~iS77TU5reV-HR?L~WYMQxu_B7|X3 z`XS<*$?6YVx3oM@3**x&C979h{>-O>pL>M>pPNHW4mpYvIpeir{}pXC~vn4gVPOiOH1z zD)jnQHE9`-auAeZa|}<(X0=w#THz?=WC&GAe>j2*<0hf%Fs)m+x zoW_X8LI2}Jn$OP&Y4&Xcn45cOhTFUvc>Fg*w<0kB@2|Jb3z{9c`A(TH+@bb#WRI%Z zjbS@(4gxm$4YGBz2;DNlYY1yj9Hiv$%xV}^6IgLP2NY#9+7JBQ5B%F3vt0RL;!Q?# ze70`DxcSPnrOHFqo>v3IygI%yIsvFo~=pn(ftTjc{w&}a3|t5Op?r+~RdP6M*t z5eEORCF+Gd1~X!cJuWysHu-AO11$C1#Bm*Z4A#%ZA%!hhi4I$5l*WUlX#dBN0|yTh zB=e!GXV{QI4zynzT6^m(4Ki3d>i4*K-~bpLH_^xNfdc~GJh$0AH&coH9Hehs;%a5{ z?7<%OW;|;1tR$0aFXIDcUaj_Ek9Vzao9xC}1=g>#iqyq3NAq!wbH zMmoj46H>s_zr&Ac`NWNAwtM-pq%kw$GtIi)!A3H_Uci}B^$(s-LXw`^yXDj$6dHcG zUsMdK2^bdVSPFl~v*vxv@A8J5Pf0YV_FkdZ?wH&|P}#jgk10tZ--G#k-+rVT3;EhA zezPR3tw`aF^z3ta%;c0W5;s!A30RM%7`8=X2w@IrHl^9j>+YT7xOTFCvml9HggV2? z5!EPATxDz>|F8+*yT2pjxYZ`6L=;})F`dp!xXAF9SJVD4^BrRJ@nHrIDEA-cJ22XK zH8*VkHs68K_76)Qyo=EncHDhB{9{teL$q5fD3N5_|KbPfK#A&Nt?+Nmu@GoP`z-#W zc>Itrex~4#(u>%j6m>Dj@Aw{>8C`K6c#>knmoqrcp@lzXPfus~v{`vC1FVCF~ZeZ(E*4wl+1 zQC>4jLM>FMUcJt)&7l{t_6MAOjoctHP)1Shfe<<>=O2$qjYdaafk0cK9J5VrS)d63Pvd|_BoEY(_(0)!W?8C8lirbn) zHTGqp&PkzLnwV2akjgEp9p%xDK)zpw;<^nyblhMQDNNh1W`7;FPUA$K`I{z&Asqvx z6?@Nyh``^8z~U0nrZwD-jsS|r2lFxF;R_DpvWjv$REX*XAXh$s&Pd%ND3VM5n(L9Q z8gPQcIXjN@e#VNO~vyZx1sW2%JJ z=GtqA6eETL1TcT)f)Q0vt~s#A_g5|-QB}z`*WNhPw&xwbiBhZ`rhLRI%hdLbZ`Ck~ zf3CInIQsMNLz6rz-MrU_PgD+;xpU>%Rh`}ZxmC2gIKS#)v4ESPY4Q%!6O;=Y1XY4O!wN}y zsSK&as12!59-42ZY2vks=|E|YXfF;ul}4DJ!ltnC<`5(~?SX@&vXi2JZm*l9=z?5g zvwElGFpE*YxEGu?tPOr{|V1IVKew#M`z211*HHGKp z%jMD-TiIuxPl~w8Q=6%?ez-k5Uj6d2e?jy`3FT#!P*duOQifZm?U#^#{ET*@BL@0=>|zD^3>FihUy*Iad)P+9;;9sYRu!l2o^DKLY8R;TLj>azepS zZyCzo0cntfuM>Gs$tJ-z-s_RA@~g*F$0u#R1EVL++pG+nvkFXb=R~Yw?e6pB46Su^-fQW#2ygAVvPz^zk$rGN%*>A zjmlNOshC;oZ%}yJ^QzoLsn;m#Kc>8#$bI>piH|Gx>^{3)Uh8aZr$mr~PgduLjXSgF z(0f16Lpgq)v$5OF^(lQjLf!U^x!;Ps!}R?$ryH+6kV5pqPbEiO(UVoXd_TEo?(1?t zSM452Hn$6zygz->@gPW*`%OS4{tWbCL+#KMrC|nB2*XnZ0$!$zh}8 zqt>lZ>+dOoQZZ^Fk&TR<@z+bv{>7xWJj@UpJ9FsvaahyEX#a|If+OYRw$CHK5OL8j z!*H!>+`2Dhy(JI8+1<1&rQ17)6SyP%4y5JOz3K;`DF<_~3H>cw9X`aic+myr)od15 zP9j1T8Uo)k#PV1L?v4|2sB_4jmC{|j-#xFq-7=<(Eil zNTVo6so9rDu5;uZ-jO12c^YEPkg8j1OP%~^c5gWUTj176Hc)bS7xM%7MXXu5>K5`X z=S|eCKy?eGYAq0JCZcLhnq8^9rKh|FPwzCR2$+PF#WFA*pZfOTp#meK4`Uy>_Pu_& zRPs6wXw=5+&@}Dks^pW;x3sS)_b|7Otdq}&JQzK_`jq^ePovZ4fHe=sTk&T7R?HsE zyz|t8M7Vq83)xbClCQOX&i+pct*h>#EsLGkP2@`YcvRaxboTm255) z73h|vAj7mFBb+?l^AbSgD-i7gqHYW;oxU51NqeJ}4iEBBakLs84Z{wes940px(GB% z5oVIJ=P1+RPlM_7Z?zv-2Wkmjg7xeVDrGV)vbxXo z3cl8Y%z}Z*#mSy)pjO2!SZ`y$QajT^)<)|lS_#dxz{$8k{kkxAY)}oJ1@KHf#Uu{JTd6ZU!T_*Gt)w_bu>WH!Fiz+U-`gHsS`0MvLYo zjH^onvt6Uic<0+zn#4l$JQf?~9hlI+?j;AL*lF-fYGO7J^Gn6ZTCXZDui<}D#W#j} zr`+yU=fs*)HUg6vUkC&yB+0Kf?LVssp&cyw4KF)fT>r?)j5QT;%l5V)P*2GF-j}~| zHT10|G!ZcX6u`EiHzmy8IUJ~j=_Vt-E6=?2g;&hKJzAbuoVpEHjU z#_IFvYG6#{%^cDWj-)% z@RK4t7NX0jPLVB+N3s@l?^l@TNVD`<4k@ZAkheeG9$H_B{Z%t|d+5^E-mYw_6E zTt7YJEg1XFd1cH(IZ)AwMA;U1-gmLg-6sFCD`&w_&T&4iBsnypxDng-pl@H!Cvo)l ztgyBQ>;#BP7Twj5n^pE_*_e5#AtxEdQHUx3XwZ?3d^XUWVrYAbUCTe2;7`3W=;zA(-E1>v)wE|{LDqY|CENATb&Z5owW&gV$ zFuj2rHS3t>cwWfpM)j95U~KD>=#ocJ4tGQ8i6rrhExdrrQx|PIq(66H&{4r7}S@L_T{Yqh@1Lgr26093SU| zHAOi%J7GgiSkY5-@PToltYlI&Iz?AJFwW`KbnhYcpC~@8Nx|~1@Me>6vDoX$S9RuP z5Zk5_%4o~V$ydeBPXJcJ>R+scrC9KaJI-cg&7Fz@z7X|Wo! z1u7-Ki|!`N&ML><-7u}~l}^&alL_~CA14~RsfV0BYfsh`f3{UO?dM-J1QgnGv24R7 z5dH6<^k3cq^T2>#_nT(9@Ed>76=RBu8R{*MkKh}^sPo49;}5Plxlg;?F#M zgEcTE+SSq&@_)Q-_6Vqj6b4C4 ztH3ojQ{KSZFsyxC*5{8rIt|OtCp!yUD$NzU96iQ!HPcc~qFo!p$LqVX15?CCk`~o8 z6qN|&199ruv7t>Lv3tk0F+2k0`)t!J@b;_T;dlR0F|>Yo;7x|mDj$rxAmB*G-d2{4 zilP$zngC*30KuShBVhvd)&zVK=Q^w?#!=7N*|D`3!3Gp;5^`Huz#2lE+v<)YB7ZsH;J4`th+cKO zSP58c@Ha}0@zY8ND_ScC4;qR-zd~2jOUn$J-GBb+&1KLW(&WCNQ!ze6A|VEZfE$DV zZi#*z?;E-04|{3sETiC(t8)66=cP>#jzWgeeB_^Uq+D3iq+F_y7wa~;6CvG(t4H~d z@+AG_`&D_}Aj0Esf|1MnB^*mRmg=+8_Z3-ym&xCI4x_q#{!n-OGby(F>*sP_5uVuY z*gm$f2+x}~D&&5ah7X3_-iWHCeHo-Y@C!!UPmAN$(=ECE$1a(vCkM|{c^PPnUBKD5 z;Ldbdbq+7If~SLnC593nivXfym{FS#QC*2w=XC`2E~M`*G5(`UxGr#nbi^2#GefUH zQ*UwWHZel0gfZ$apQh!1wwRO}2W%TBu=qIC8_0?C@GUyrzqO2f2h7n;UA-m6^ZEm; z`W7HA4eW2X$Yf3eYV(g)F|(#wqX@9)Qr`l^rNIV8i+1KDu-?9jwm>r{aWVku(&C)a z$tYrwI$4=AiNzD!%69z5OY^q)2ZQR$R6xUmd|rSNRd+S# z9}FSc%T-T0y{d$FpTzgnqdC?U_#x`GmkUm zi?271RAT~d$S+Nsi~8=Gu=%uKtld%pRS&Kn*(B@@GB~{mnG@sbmI?tPI6y?;E)W?K z{HRIk&Q?w;D4+It-%PizVIOZ68=#9WM`LT1|4ZBLh6qI|}VO>!1= z-bpP1W-Qp6wL=f*oiRC$Q@4 z!ZI?zu$9C^^w<758r^!9?#+I{16U-LGT9bX(-(k6Vo>7oPrZV=xUooXR7^VR0+1#=YsChHOvHsssbX-kLbAnrj;d}HYl(JVUsCypMkQrm6QV@5^AwTPHeErk z{8pVENxo!r?%1?z8E~r_i0fKdJW1Oikg=HY=;shO|DpL4lHzP+^=@8i!*5GG^zZ9t z-}fiw9EnWxE6+gYbkUsyDb5g?eTpz@MD8+n-R(Z8w>C9Uc1Z%*imZ*Vi&KYf(X44+1M2X<)hWNan zt!Fn7~p`R zFWN>eRdyS5?ACdVb6-?;_1)?bV5+S2i?q*LI89%=D??10Ej7-(gkFApaUSU}Kf=zCb+Qt)v zR?PxfOTk~XWY(+bM8w-qH}Vmyw}ud-u8qi^6~JJD)ko&ZJVOHy>Mx8Ez%j&udRaO4 zKS0V_+LFIPN~`~GK}rJvr1TO7KuUu@yv24kQ{UNpJjmVP7-K-01Z_sw+*!Sx!D_{> zlv|VAm4o^F7CPZ=#IEJ+%C$o=-e!!2LRfh1tRvCTN;Fda^|GLW9t+1*Ea17;HfUW}I z$PL^`eNj#r3hq$#PYhCB6iARaa3pTAx+td?3P_Lu9H}mf4?{^?4iRsT6R)f?#<_}B zp^%UUVEchWa-*1?UCRH-N8S(@9rVVt?w7tb`2hw06Se??f58^Xjgs*fGacE+k-K*P zsyfcGfZ*3*Qofa^SXWL>j|*zp0Oq2~fJt|cyI{;deBYDw`s``4fY_zjda#Rd{VsD#JQEMl~+*sF99s}@`G}d(A z|Hy}iCIw`@FrX*?mJfhX03ht|f6E7j@_J!_pB%rD4+ZtYp!jN|=4!4k=C1LyBbhPg zdO%HJn{)f#jHPJkRva0)g$3w#_89*LQ_=rdnCc&yHl6?pAW3Jb+Ox3k+r^#%F$oNiW832f=_y2{7ohdmiP* zpi&RzM&CQ+#rWUSki$X^f6ng$l;|Ihigf3R63fAdA9dzR?g0Ee^1sQ4?B8Bk+bK>R z0Ez}^FYi_|M7kDq9F6HBCkjljs0F?ba{x6+LBV}GQPRkBp<-f#rN5JxQf&8A&y;y>l&#bHz6?srW+>`~!gAZPgc@ z5zKP}`XvPlK7cn1t%d^cwFi{Mh901b{ucN?6^dWq*_)Q|h*S&94ps zyXOi8BcfL!>S%!4H3X>LbA|E|fZA;XsNFw@K)G$?hKK#AqyFSVx8+;Wrt_oG>ho!i z}K zpk0qQ`%6C=2gz8>s}!WN=3EgP>C=fThZF`AR%2?d-HKtNqb0kp=?Fcgr^D^MHi^Up zxK&)aav`uvE~eJTEhT$iK`npqcwEg1-fAk1+Mf=sBZK?sBTv%84s@Q>Mf;K zW~{IqkP==?b;<}AAUQ1wt;OAcT5wh)a$FIPEY~X!TPO>gEeo3}8+8nH`QYoK;<-GL z3c^A{EUb6OXO6h~ljmb7aXYebi_Qj2D?j_nRue8IJj}B?@Tbd0k3Ni)E+UPTHiLgI zkdFLZfcXk}AD#QUyI|nS2<_<7*hIx{`6{2@r-E%n%PA9M+-#QvM`HeYcEFeC>5R%l zMGr}uT4?)IAI`7uqt&|5xSxHxNJ;zcn+W0$1dr(trc$-k4 zKtKUKE7d6vU%(HOQk~Qam8#{k2CTGyj2#-@> zz51#6tHj}|tf@eS-Sq?E5wkGtr(7z!YU!25<)5lbVbSe<%%QwLSDC@Vm#z@xr0$CxGy9l zZ2hoMkVRzpq4h(VMlP)T2iJR@0LQ(hRy6u$K(%{WWT}bZU4Kvc;f&o+5w4S;OElLK z$w?Yc2XC{#OEsLGw(>*1ed}TPplQQQ_DxEs4cMoAlR~xvd&>R?J8A;J4ZOFs{|GPE z@7Wx=qGqH>7K zH-WoRM&0rpKAAaVT`>O0qKk+xCh_X43TJh(l8w0EN+;;<=Swe9h~z70(hUglSq|I3 zd~YliE!oO&H9O|5_^Lx>&U0(7s(*{QE3c zmY#2ZmF3en`zgD^lM@Y|Vg4-H&IT5QSqZT%%^}uQ<0jNwS_+X%@ia|J?>GFaZKS3Q zkfs0S>&1SlrxdN!;@fTU?UdA_9jG^irdNFxG_`ID&K+?1{WSna*t|WNu$Pp-<?0u!NGKpP-t4SA*{I zI_p_kL_ujLa8U1lUAwTj(Q0mDy2@wg6}xFw*?FXHT071#Aq(4hvULlyxXqLEd4@r?^K^}~nc^$N;AN+rAaP#>N6LC!sTx~0)v|=T zNyc&e@u}Rjl=;1spy_LbDy7g!FrL8Zq{6+F;bUuWL?);<(**8J-JwX5er?+g{w{cAh_j~Cy))L5c}S&fDx znT>|o2aEVj!en;#tT-^Z>k^cLjsXGc_@eX|3XS8q% zp%))GzHMLTZBHsLCN>JaXi)WsCt^-9dHsQkG;r}2<2#*Mi_k?J-x-4*{?huJ91_=s zHYq~A%R(t+y8>W~E!&e%JbI>)g?})Un8Bn(OplYH2jCXVJ25RuNNY;}<)1Tdi2 z+;E0ffa6u_5c%`qLs0KA2>7|?7*i?O;9s;+aavd0+a}Qtm0IgL;tWH%p3msAi6h6I zIUDR`kwWxpHucQtEMoY8Gd|3YwSh95xOl*s)5}h_T!kfs@Ge$38_#pCg}Lt{u*+C#}h0D&*47qh#5` zHpXHL{KHwwe#I3S%agguv&1xu!RoKb7cj{?&lTCQ7ZN;JwlkVE*Idh-T5*` zLZ*=axI&%~#!txwJW%=?x+;?l$|br9WGDslKUX4_c(%Mj`6{SAy*N2)DZ1OG{PWO{ zWHkPJHdss`1xi_+Ac(a#qV288A_>wT9z06ANojA*`0wA88fn`{5z#%L9tda8)8h?} zItPbT)vV*$cs-H2Tj_K@WN0>$)KhP!v-T?e2{O7{WX#I`i&*4?r|XpdNp-k%A03_& zd(qya(1cwTNz05aT;_8Xo+|lr(h&k1SXT^PW+{zD{906N;fSpW#L7g~q@*0lSEude z>-j|uN9lP5$q6Pw1;!Vxv4z(87p3PK?b*A!&+dkC^y_)*-PavaA)ChEEziTBlf#&% znj!={@q|`YW7IW)IIVbmH9qUlQ2SIhQZ?{w&?2hq@apm~dcAM;P7^8+n0N%t6eU&{ zu(Ft7+6G|Sy5Jxhsi5Qf_xqZu@)=89$*TW09_=9|f&}mmz*B!mm`$YsXHpGKTFN7te zAmh_7tSUr`9xcE1FwNf^c_1is9oc(P|A0k^ba<;%?Q$%C% zdBJOL_0BQr+Wu3iE8e~jrA(#lSLa{d1H|{gy1V$EseO3fdg%e1rs|RcXRE@U`Ely@ zNR>Pt;(dd}uj?%)+~C3%Chz(9FqDb=Qnw2NMbrzn|D`abG3$VbQ9I62cwyOUX+DAc z=1$j1hj9*nJq*u>f#{!ydRMo;|4>ELAq=J6CAggq_BovI07#U`0j#M3tUdO^18CC= zycjpbnGR@R>}K%hJ3cD0@_eGjvjL@m904o|GgkCE9bA0^Fl_)!Vw0{|eFCs@0J#EK z5=u8wK*<1>M2%5%%@j}j8OJj*HdM)u==H`CeolnD@>zD;>K89a{MAp3Txv<34K80* z^~@B=is*Hm455j51>(Z(Dsqb?2sXVE;1FEch&E+v&m}-OYp2C$#c!JpJ1;@N0NQLvY)42Vl_X@ zW;Ag159~`9PBWK&R7G(=>y zSxeG5z2qc&`Fy)wujqJbCbqBq;GXfyUPG*ZRjEcp-_o7byU*m!!s_?iS(F%ZN@~g` z%JvK3E|n5Lsw~UFb(U`|n+s}yHn2Aie;T58e@6Cr0=vVqU?9Ak$&2w}1Vd$GYdgoO zz*T0%9;EGS_h!80^U~q_YKlsa6=-qm{IPm7fG7L+LEwR&O_C0v-4YyUz3b*8iM*pzfIfOSES&5Goa5Ju_2Z0XrpShwsT_|q_TL_h_86S5db%CqI~cH zM*?2`N<+RWUVR?tny-#}U5=5}T*WF}m0c#*?Qvt=K$wBBGV<@I)MkWqln0>>#RhE2 zeG3BkTX8RjK2N~88^N&ykf+kx_8%*Fqe|2WOT)VgN4)r`bHn-c?O#{E-LTaBsbw^n zbC{-yO#bzXZ9{XyR%^cMi}g$9x?i+SUo)b(>)P(?CLeWKogU9S=Sju3pRGE1Vxj#ET4=MFwcfH0K~Ae#ug!D$^r=`4g!sS70%sDsMIl)NfhQ zHsEEw(FibkQ@;vZTtXQWvLUOAsoYMAwdoaOO=Xp^(qko^u&<=UAJV@KjU5&%#oPWA zAWqJDub24kLsx88vp24iBkWN9m;a#xrz3;vE`+Udu{qa4=vDTVv&b0<+opZ)@o$*^O z!fgA*i7XQ$N-3hFs=X8O@kSlR@j5Y)4P5*07wuqgck2d2spfavAHl@Uj{PgDRV_U_ ziz($rvy?yQo*Ge$Wno*cxVG3PNwbV$*C^!F`8!ymKb z$5#s&^epl!H|kZ(+6nU+Dsm0CJt@MUr? zNOdP)hrU%;y>iG;wZ3O~Gq!T~-->a3?^fLM#4qSv|74ZW<=iK>)|gBR7qBVo;jxGD(R6Mdgb*?_IRI< z5z)Y=xbw7aKzu`WJ0~r9w!kU%h=0%y&J;0h+*%>th@C$Dm2~fuU=*0?GuPv>Zx{73 z%9&~1tLih$zE=bFvs0@TjOru!1ntoSfevu-XpWlumH9_fN65&Jdwi#UeCNsvvX|(U z|J{HL?AM(vq^T(F#%=Z-NTA(%pMqX3 z2*O+F+2GfG!tm?a1L&2d>IT2Gy%#mJf?4;U!8Cs>`j2Q z+C1NwXXwJ7IPh9_2`f2lL0?b zwmu@Xd@5B|kD?pcf6MA6$O`k}_lCGyHM#NP=!{{P#PyW*7M08Q=BdPH?Rm02-YZ(q zpU99pK2Lc$b_t0bnmlz2Ndl!WwphU$<~(dmQwf%f>W#dE9+yrb?i@pfJaq489lbO5 z|MJ6QQF`Hs$D~^MEdk^AKnEJ0Xb$>&mBH;&wb7(DbW#2{)t$~%AFauzpXC^j0D;jz zrY-l;ZQ_Om7a85c>YElq77k`4ra!tXbvboN`Fa6(OkzV=?J{z@TU=b5UoQ6hPu}J1 zzotE(zw${2z?ev){XPe7O``*tO4L5MK<_>!FeS0>YxyS03}pQYZxeWb1Yw`u{UZU0 zvU2{lIuKs%n;E&5IK&sh@KKu0jL-lxZ&-=6pyD0=8vREpCNnfq%tr=c3?IQvX7Qq! zdE-jS0QA)jl@7QJBKPH!;g@*W9EBj}SRG!ANmQbeO?-TU5;Dz5vuaKHA`|m+FYTep z{fu|PC;3`8RiF=EUKgMesppxuUK9ke{DRGy2h!!<9!9U0QZGbRvhq9wKM{o8?`F;M z41Azf=lQdFH_U%*eiRc6Q)fTzVNy@)? z-a*$B&l6EWd!MB9YZ4&_m2Zy>#{`ffV~0WhaGB4!QV%Rqd7^RJr3CR%Ux7E?#M#wN zv0a(@c9e_*Ki{p#ziF8(Beg-t{j|y#jO|s~6iwCfN1q%5kJ^Vu>PY&gTkh3f$s*$; ziS4fcG|82ccAM}-H{C*Qv3YKus9*{m$NdLh3utfSLhCjGFt6~kRh!*rpl9_*VN{`I z^?sW+|CnqTv>A2*y?M@wP0(ftr~h@e6Op(WlFH1 zZ_u$e`%#gf0_#tHr;cOzl|6uMdJl*u*LcmW^ohNWBU?wPZs5%@9+JL}JL`NMCuTWr zm5?uR4X#-+g->1x6$z-XmH9N96Eq(Q0qs)n%6bP@1RiOpm)Q}m78`b)rs_B~*8*?* z!07?VJmG6Q!~*akVcQqFC)8uy7$$E<^*q~@7Voqv<)OBDnZwXlTk7d(gtN5#*Yd)L zR2CT~%`e$Y88^>!a7CYk1pu6C<8XA#Z{~NMicIP_fcc93M}LW}f!S)dlqN5Q^d_RI zt&(9Y$6!t$zKE(isiY=!#1_Z!%bj6xdQ$>ot7QD8V?L)3FkiW$Izl*ou-TD5Kaig< zh822d#nPKLZ4c!p#guP*ePUMZf&WQB$NLl_0j*^T!nH zD38a{o9q6rh-3MRlWL|6VSl^x53zKg&pyO1ElL&PiLn`Hx9{$K>uh<03~_!Tz@c3? zzmv3EM9x3`PI8K&jhGLpk)a^M8^WQ(N8R-%qc^8MZv;_Cj$)L4tgfe@@X#&VE&gw8 za)#~Tb{11gic;xk9p5swo=(@~2Q$`~yD3xxw)(s^F+9_UXAdpU2boPthX)b~?j>-7 z7N#qzm_u#ZaR?1;vxh;b!D?jP89Pt_vkwPN)b{7~yc8LbJGadqS3=EKBV%?O1&~RU zP$6n$7_OsIFJkU(Cf-x%jWYxC6|!WX+%Unc=ddTwN^upLLxj(cRX)Q$wegBlOI9Dt zA2t;92oVqWEeY2WKUkZXLOIi{@*m4{#}2faz^xQ5IeVr9)0XVssNT4*e>sII5&V_W zs%zacnF2N;3mlTn+|yHAw@y|&5eKV?(9%<&g(qUHhg(RE>+6hg#sindakQ1rb<3wh zv%au2_I(*D$MiK^KcG?D!q?^C@R9MPOd7_94BFv}iVXeXii3>oVS)Wuq^8b*nPcoH zO0`I|>y=P7`<9oye5JZq8aX)%KrZ@6yQ^RX=(D*s(NHR89Zo^zx@y-GK^)PQ@^z5c zL$L>%OeI{8`@dcErv#jRm8F#|QC)IVS{g_?bcy=@f`>20}=Sv3Q>%uh}KYwS_z(iqjE z*rVsg1kbc0ZAj!tBp| zhS8hAXGsH7SQ_0NCuZFBLLib~c>LWdJcqG=`h6<5Bb60{3Ky?>n-}=RSllE0db7vi=Oc!ze_K z>c^pr)mCYZvRrYPN-DG{NF`bM)3`cyN$0Kjt!93X(cMwZ1MKcZeN-ec_s&Z8>rB7Q zUCi^pi@ezYifg`*c!D)shU@ZlF5h}D|6n!Ns{(Bsz7pvq+vSI@DLUDG)hNaVheF)E zuYhKkYLIb(_6BbWILQ`>7Z(t%@s>y>*`g<^eBM?uWHiRLE-3L}9GheqdtVZ3s}3qC znar@2X-?*v1iRZY8Q3;g1?1L%mJQSI#1-=kOi4BvsA3|7hd_*)b3FR)yb0GE{rM*P z_M=TjlhE^6QMUEwDpiCP=b`bdQNQCYs9^_7k~crcGFXTw2^3U0-=KAc?Uf{N+qbuE zN~OH?V~$f3F0msq))AcIRTo5J=Rl_kAN?+00M4&yfPvS8;cLhx1Bq2?o`hbph41j; zPPJe`cUISmG1I%|Fe;gLwQEjV_p@`13chN>F1374cUHFwO?Y?)&@<(Z_1`~t(0^h`Ct#IrBmHfTrn_<3bA7DI%OdW8i;A(XSA{zBBw0MIX6s*sWx%gKSv}0-ukG=0&&T&(3O8~FmqEMZVd9;9((0G;~{VxI53^0rip}C=A zQI|7}0OH`Um|b57*=`Aa%NcI~;^42C-Coo26W>xXTwlw)a3bGy zCtq9;1T1Rrt8uYK!43H9pgLiN%8}>?S%-?d3xeg^Go3xI7&6jaa16;(T;T7H~gOL+`u9PbEV_tfp z-4xaY1il`e#L4%CUjT;1W>Bunzc*hh3T*rRj_2>ev-_vAVHFYEDBB}2$*n#^Vt zWoE@jy4+hF0YE+fYgzH0`v&TJZIf{`-@RjTUwRR2q#o0cf3?kghluF$B&(QE>)a3J zCQ<(u(cnpj^U1z|CXgpbW181u&mPA3v1g~c)3TnflRkJgS+%S{HKcBSLxk@uH3fd~ zGivgC5C>AUN;ACf_s`@{-R6ce{-?#?@3Tg__1{zJKyZj@83<<>5l#Ip8os9+%vsMH z5mYQiVuX(P$vTYw4G3o#B_Mtljic-4bJoA_%)O!NLOAQO*xTHGw7KcMEl!_*j{eQg z`mMcyK>g%>8*uZK&6^UwAbah%_CQl%8_^$4g`yH)mieahb$YE7OX9yH;0XkV&asr| zh|q+=pmvaT7gW4P(dhgz9Vh(+Dt2CjH0bX#v_)j3{)1!m;Dm~ zdc4fn!5C-wq1jK~Su;J~2vg4<&$Gph?_7iqjO!XEi4@O8@viP_vbbzSNi?7jiO*Lu zg;8$xr(8hti(t;Dr<`=ZTPEEueZc}8*mdih=(~$)(^;tn#<7?&Pas?I644nzg?dyb z(9MbeL37POA@_84;MF?2pWSn#r2wg&Ks-!Fmu_q{bJJwwr zhs+W0-YPzhh(GPFc@loLrI(>Y)n^mQaf+<)rc7`q@OS^j31)EMVAd|GQ6jpovY;82 zE|eUqSSzW=^WxFr>X;c6^dMm%PAWxcfSNl@ zh8H{-c#;FTySpR$;6qD}nbbv#Hfb~vE0u~DMa><5jF&$c2-NkW(hfhygA4{@u-~)% zaSzIQPj>K4H;$s)+5<)H2N)IRQtpF+5w{31G29O>6lZ8M>tP?wG(|gkE4Z0Mv zDk4e(=P9@*_IN$)bnqWlR2M^~nx6*0kv5`-KZEtxk!l6;TsxKtjWp|o?|wDl=!~+f zA(bqxV!ww|=cV$_%d_1mDl~hWUiF^a>(riX%5$>#3FZd~&NA+`)W$E_%KLIGq;(X$ zq&t_U7C}y(p?rhPa%>Wg&F%TQZmR=sj~_II{SqW~CSsa?QH{LL-yM3O@;UR2P{PDc z?)lzb%YekYAME8Oy%SV(7i;&FCDu3;dm=%~5+|w~@xDOObBHwCQ$mCJoMBAd2CDSm zzlAX0g|uALJiU;7g%JgmK|hTbod?RGar4zY0d~y;Wze_~HBStqlHWFS-;|@CoVy)2 zQ+61QvNUe)2Eo!*o90FGF9SDVc=K=u8f*Od$BZ-q&)&7fV4k`l0wrr-nNH3L{tBD2 zTAF&;UQ6-el^EXZ^WnlYa3gqppf_B1qZ9$h%|^hrfBe?b5W+4_I}0pMLlmZ!*RZ-h z;w>vPg?r`qu!oF2xu^(DESVA2NZQ|3+Hzj2_f6Ul4fPOtu&+JH6vqm~B7=z_9bcxT zTYa;H)I1xAspGJ5ew|g=b9x}FI%v&k=PV)C!z+@NR@jqM+PXBH{bgzR&VZoEcm}j5 zXYg^{qu0c-B4!TrE`@W2DmjpY1eW^b(y2D={lkYp^mTT)tKCDMDQrLAG}(UlqsgD? ziDDR&L(DR!A-(q(;)cv}ImCTb)m|p4N(nblbwNA-t1T*ml~v4=QxSuH^+x~<^?{m2l-G{jcd&iH8s2;2gJ|w7NYoyZ=!7Az1u+ff`hB;ph zOD&&xP_TB*4L=vTnq$>cvtiK@0cG@RY-mM-Va@=oS1-(5)|S%CF=5?DJ1JYPqlfLFt&bDG(EnVmfNb$QG}3o#ye zJX9`Ni*&hLG5FawGYi>vWNfa7RUT+#8~YJxBUDbw3-YEWykV0VV-pN^CYmmRF0s}c zD)neTdBPwkzM%o0LB_`dU^1})wr{+2F%-b|T@JYi>TTJ44!(N-K6dX~CkF~-Q)2-D zz<4kW3N*?B$o=v0QZOjcKFjdN7__l`>HW@K&ih@=7#$>C4$Ye$uUG+PofWS8?<_sE z7;1w2ml8ym#v%&-{j10+?OJJo&8nE;xlqgEn`2mPYALi?LLa2$XHgj+i*OmugDQsi z!=c-$>;=&6%$q|>VJyNU7qpS~NE>{tS{YB+g!&vjP6RMT57?ndP#7Xk3q0Nc49;*E zm&*Jb-~%l?KD%u`Yf5cwAWQ z9?HBn=Sry|^(SS3hYoeIv49veFz7nHi6=ZPR8VrV)&zwCh>D;bZ7^0zEP$vOPesPe za$PE;bV^PJ>IKRHV{cFuL2yklDC35yS^%md7$qYXfKrZcbz_0+9&h4L5v}9Pt3{zX z6XUPNNPVKjyy4u~|IIn?R{_)2Y<6ie_F{N|FxUQ)LVz~hE?)r!Ot0iie@Qvq7DBLq z!i2(OvC`y}h!_|QZ;smW)DwdXm7Z!VVWXZ#Dn9*Yn+V~7` z5m!x1Nt_?J{onM;03+@&$QeUob0NX8xzAEdpoL;e400K1z+mn} z>V~C3e{pmEhMRde-0T9lDG3Ouw(_m{~SD!NA#y`q*5J8!cY~w0s^Icv}k=K{;D^a-jY&W)?2I zQV$-FA1G$VAW*6K=L8^a=FY~_5EhgNEmRN(g^8ts!GK-870erLhd}|ms&wi>0qO

    mXkw}N@2?WM{}O>onw;ZnsYZf9@$=&BD=QE29eiqysohbvdL zwz}hjbl+};5D+`pgmJ^Oe^uiEYcpDhmSjNH{Y3zoEDmLbyBujNWqi)chptfs#^#nvDUGyB z1FAcq0|wk#Z0_LAz!Bh=Vi|M|lnpI>47?^h1{hxvADgSjUJA8|7XyXeYsiDz)B}Ur zln7S%+?kQClKA|1F0@g~GwpxZbiVf&m;OvE{-t|8Mrx22MV#Qn1l}Ug+P)8yVEAV^(mV7lOp!@p9fCB3ZB>=1zl&uLy`IZlz z{ZXa>czp#@5%F8EuYghkh-qo8xB9$2nHxXaOmqPT}?#Q6TrAV9KD zafJL19bi3Ua-D)>a(Pl;-B?dLzU$pP?xev+L*v?-nM2ZjQ2R{fOrpNR~F5VAt zWqpYvh!v(rSFMvZ?5n$4yCIo(F~U_m6@JlbPNKvW&Pf0ueD}Nx4u9$r-Pk=>M{?Ts zckp#A5+s0g8s6ws5e`j~Bf+eW316!HB9|$yXaeOkqY{l3G}A6OJ(OIbqii{je-v;~ zcC}_YrXPf3K{m}DJo%obT2LjY%1p(AoN*Y*4Hh zH&m7EDl?!A@GJNvYV1UP^hABEJ~_$Un9jpdGJ`(UDdf?8sJ zu8F%sf9zoc$~ETu;EomaIneK4(#>9PK4s<`Gk<)j)nw!|UYsOl)j-l)ih9WPjpFc% zAzB}$Kx8WzHG$hvI5n1A8;@H%(a%=20{4<&P^hnkKcvYf`{Bx2-fSBhujZFG=Wn>V zW_0iG=lZ#EbIlGpb8{hqccZ|&r0wFM28V!xAh`Dhwp;&65^Hx7XaM_=xJHWqy`|}w z0a=s+ti62ah5S?wV^)l$H_G~p1h4UmjlPg~4l;ZcgJMTyBymmkFr00xlP^=K9N6C45bYa6_qB0r12Jon^XzAm=-3 zOCY`j&)diiN1N)FyS<>`?>}a59jg$Pl3~Ivyn$)En0X$sMkETJEM#_-54LsCLKDpc zR)R#3!9pNNl?SX3iSh>vncd_W_=#_+7_RbiFL+ox3cIa%Sj}AG%0vj&My;H>2jF0NN^mgHZV2rS*f(W_ z_C7L%^UmPIW4~Ow(+0bkUvY#pyzthK4}=KD1_Et$$=4PC;8WCT9p!q&DNrV}55$%B ziKddvhC6+OIal~1ESjZA_R$f^T*Dm~KwN1*0g+rb-r<|ixdNn}Zm7Nx&J}ESo`4@b z0ea@m={fBeGQ|7EGUWR}dCYz>!T#6Dhn*K()jZd98OiGObSnWB5vNoU=KjK}!U&;d z-IGLQ+%}8l3e0CV)u#be^BtUEKc#rh8hS8uSM8uN0e{Vu1Cn_yof#0ZT%9Ifll8B5$Uap7%2;F#2a$F05=W zH>?7WNN^9H*0;pv-rC#(#ROHZPy*5cuNCc&J)c_UEEq)0r`5v^>)=(B)adL|%R zi^tJT@;QG4@y;8n354@E7CWR4%>>B~5ppQy`n{)v*~swweF=eQ6^dgMvNasn^{muB6W{!w9uJLKPJ9J^df;dZ{7j}SNEcLJ@Y785K0gxNYtD;k+)1bK8lj-_f$NT$bGZ>!B)z}y(pKEl=o*x4 zunm`+;RhN7ysl4?q5VFM1C6E6eZu)1v5sM*go8a19_)z|YcDl)P%HH#H2hy*T>MQ! zv&dWCK!t=xOD$h7Q8>NUvT@0iP9rBm%yjb)MxYqKCR}sQlS(3&Q3lR!IOlM+fEO{M|kd9#-c`<4Vd2uW`prtFFKJQE0J)5T%d_qcV zJwtQN+vR$NPMu=vh~bQ{`#!|r&rMjhdnn5((Q?|n0(Zy05aB%?UP#P^3ZiG0gZ=Or&*5@JMUc`qDf_;+8BEM~{o{f;*w)=ofi za$g8&vN!Ss0E31~{cZp5b@yPeS{nah-W#si{$c;Q9yEK?b39-zDJ`HwOiZX){E^nx zA!BwO4FvMMKvtJ}_%?(4|CZNXj9H9zwpP?+cNb1F@)ndNl)6yy25jT&?luj}tPF`&UdXI)iNqFNn_STB z?@VXaJ-ENgZ3;#S~c|^1FTd z_A$FISp>%8fZeHE745wn(m(dyt-t4}6n52a%JivMP15qpKD9xtMI8N!poLx4xUfX8$cI~D2mXv6odTwe-jEE+v54y9isf`vtUoG$=+Tdx# z!dU`ksnwmxtl0i`S1dBx!^MPyDS5M6MR=~aI|#U&*g>sQKL<3h$psDooMAi0JzTQH*}Kd{)N4>uD<63FGx)if3x(*a|s5m<+HJ{NTm0xLuGx&mo+-F~Q{#>x3Y0Fs zLui1WJW@c=FN>l^VDVavwk`6uSRI zX?sPOthtWggJaI}CMcXFJ7;##?1P1WCc3yIdu2s;)5t>d1ZZT*EAPlOD|IBity)TT7#)80tMx^`Fb~z;FNRc2xCSl4+z#q^o(bGr(W+-LdEchaD~A8oIm9 zw$`Q=mr3+(LO8#MKK|Ce_<4ULq3759ue>$N_c(F8<7O(IW280VPk6J?AjN4%{2#nB zKdJ|2JpW-y2DcUjc`TA9XEQx=X)+1RV{OS2uJU(S$MAR_gwxcm$Fkhe!#_o zY`jnu{!Ej?YJhcSvYstJu!w2_J${wKi59@+X^>9qCPK&#@~bVO7}Ej>JPlGw-GGOn1#o#9z)9Ue86+iH z_$?JEgXH{uhjEW^cA?yeGQ;%C9v1B9H^Ri?Pvu4l@uwinwXh zAK%_x)Ckf9G^uvaNlBL$z!t@(U43=aB6nVo?TS~8@gNo-@g5d=Sdkmc2^P%juhS8% zdbvtOXjE~H$4??33qW`JSOh5JE&wXzKi_S$t)@4AMm#+#!!T$ z@Ms#jtuC+7UZk)PYjuN0&Oii~@oP)6Re1=f5SD9$Ms{BWP#7!3>eiqE?~4E#zXnRx zZmBm;RnK`5;bP(C>faMFok3n)(i1TO>5tIvi7>Oj@3N@iJAdFyG)8quG^QL6qT>WN z_e6yQfH@JahEF0sdOD`zx3D~p8JcAY%uK}FiF?-_Ktnytb(bgIc<5Ww-(04y1mH5a zH}du!z3iW0WUVni^C-(a4GBkQ@YW}c4|I5L9xsV`mUlBjje9>rLTxD1&m2H-(4`Q0 zxya&YJC#ib)#u#PZXd|4C0%osUgH{eT6dgQn9ZZ9`_-==`9!S4tyA~0&?=NJckqs_ z_0+=`xE_JmutuuqHmB^a-)oR_NG)3y;<{4OoZh+YFvIXYG!`%fYrJIcH%BeWYjBPwZ$NPiO@9e(av@C zTBlS&)%4fhB?=XRu+V0O3TGH5H)_OWmT;KO0L4!M%PI@EeZ*=_RYe_@sy3Uh{s6f~oEoj@{B)CKNPUrg6~H1D{wnee1fP%4q>8vcUU>oFGB%oE48Ew1m3 zP|mR1Z$Y-6KKSGH3aHrLkxa{+o0b@b2r|}v_#7dD;#4=HKs~Jh)C7%7Mp^h(-jw5e zuCoFroHIwR*7W0E&=FT8G|2r{y~|f9oVs;vWNM|!D~S-%1N;w>D8}8yYtpq+B$a@A zck66O*MdnZ6A;l9WZ}0|bVM{q`MWK*kS*|nLqfD)xs2dn>f8t0 zdvoy?Qs4keRYYdlY6`w`XDV?LCJ@X(!#uxm-#Z)Q^`a0|?eA1(wp)}XtlPDd-Nv5k zzVxDM*o=O>8u|mbrXo#EzJk0&<3OH@*+0*kux;di`)}-NQhx>VueL6`q$VBs7xRK| z1Ii+P&N%y5^l%`S+@B(?^-B6d7bJX@YAQwy3)V-D>_Q zNKedoX1x{h(6`GliVW>?6$ROhyC6b#izp5~E8vX(nYklolL{iJ4y~J*ov&AYct|)^ zZ8(9L9YFMb`?A0(OvgqO(Cl1*KLh+~BPhmU#A{$cvvUFdgz0R60nN?@_yY*gEfwGo zM>%xO4Ymd@Hv+q|=yeiTfy+OZ$YkbvZT`$(^B#P=9Q81XMX%Ym-nDIS;42Xk`!zH} zWPn|-`DK0L+hv8LMdYZ$G;*|S3OSlpTa_B(?f=xxGwDhe{ot7@<@MLCHaOM zd{IZh_vBPk_~0s4`{FTh1E|QiFR2a7A6V{l=Uq7XUybOa_x+(eRtR-WRi6M5UmbKk4rbsrF?Rg1Z;8q{Xg00lxWv z6zbY~N7cgebL7*OH0`3}BJBiy$H3bAYY;QkqmSa{^Y}^{;j7q4)oD`Cd&!gm<+h&d z?{U8V{+>5G!~KACQu-H^cv}n}JpM&hOei#$ep`$o*1#Z_+38#JPPk+HsY3dP?l><; ztCz&Zm4h&kjex->rtJppos}IbCv|_jCet=vinniHisEA>uG>%_b8Kp2C5Aq>Zrh%- z+!93Z<0r>TWVuJku4&1vS3nv#pJg%^^mcj93hmlh{`Z_UTEO8X7%x51Iwy3Sh*Ph5 zxeonGqJo$`s*fpY*_e>MrG_hGv{h<2n*Etf<>NPsi75IT>JXTt3RG%?6-@0Ri={OJ z&JIgN(RL;5wu4IHQ=o^fF+Z18fY=r&LW)GOv?esNiy~2g$6%w#xe_wx=1JkRp>w98 z*ta?7w+5*~RP$TMiwNtVV?9kq?|Uae-DdbL0nN0kh6=a~kZ;?beOl7?zKn?FRCJe_B4YLc^XgwT+wT_D#Al^uR>Gu=I%D}5nKaSLa^5Qb3YVJzwEG4Q8#L0(uBbEi9 zls;`e*iwWj7UuzhDLqz{J_x_z;+I&#BWE98G8!fs!e|Fz8zhWfao*p1qn>khmcf%; zab{0OvntCSEi8eC+QXPgbWSR|Syt-Y!V?Rk4kmUWc~{xAX4t5vVi_rntTnsdzZ zP+3X1PS3(an$+_XeWON}`E$RpaZy-KiKjRGyEeuwX;h;(25 z)bC%-zRykB5TWxvtE#|jv+5haqu-DBDgd7H}oT@__o!F31 zUhS(I8a%yPDM@bd?@P2QokQfm?^-watnO}3?-$@0%MI^ZPmO6Tz?R!f<)rGdH|Ppl z_qk|m(floLqSIpMX(Z9WzC-Kfr^b(68UD;z+ND)Wak3-Ok_RvLC0Nmbc$PWNSskJ@ z6ajfj5`?e>q224bcduvitxTmaRwycJR;AX@v3J-CM3{){_6LWe+?Sd}9BD;s z-{U8`3ur|JiAE~mA0k!9RAN-M-5iRFEHt$#(h7P|(!$ohYsq#M(CP>p3#Lu(u$DR) zxU4Nd5v^lQqXY|z<4>+GB zJXo5X%^#Vb-??KU$Oj#rW3lX!bPHtQ^b)LhAWjFtij6w7&aMx$(4bGR+BKtmI#_ou z-L8Do#74}dl@udnD&bCvXRuDLWG-S+6-IgX=q-6ocH61XgyDVSrlc-u7NI(1G-Gcm zX!PCRS+dp85FK4DWJ+(J=AOj<*2_(hfA@mgmRsb`!S^Lr=m*fW7>d>i#ue~sky+1}Pnykhrm#j0< zR$cV4K--NwgAtpX1GogALM2?!%p1vVe0){J?f0Fai6MC#ygjXzuMj&U5UEbPd2qQ^Xc`@np(f=IQWG$Ol=moR;D>O zf@~cQ?AMC2d`?Lyub>ZCn@eqKS(A=wHV$oS@jp|?{&d-^J$t@6+VgUAoWT_h8f57b z7ws@g7+X^Q-m-6!MqkhxE}3RZykBJTRE2Jd0FR5_(DOmh9yk5xV-k9DF6GP9%f0oB zObaN4IIhZ4xta#q)IyCU)d%}?b$EtF>>A^5hV!h{+cdrltEav_SFg8$JGC>*9OUlf zyuoVqsew+qHjKDZyE+t`Y(kjA3N{JTz;{ z|Ky!2(_OOwi($tdE>SeOaaUSGjj<7_ZO4ZNTbFYE!r1OvSrya3uqr1t)m%zIK<>Sr zUD#dHtECsXM^^}Hx|86?ZCt$={=3e9ItYMw1p$JCb6qXa?Mng9ETcpZ4T;OlW>*j@ zD&QZ`aC)p3Y4ouy;gaah9u~CdVBHv$2D(4|Lp5O`VElFH{`3#DqF5??a)0^jRSl%EB^?(~D^eks7hZ80nB%qLhAop`$KOS=MQe^sc7gIvuIYFbPy(Dl0 zS_|QcTt8B!y=JC9=Pp~3_3k1&u@bo+MK5NF3O`H|BkvQyUa8mqalT2FK}NHEqNy(I zpMafMmRv@g@DTe0S`RIO@?~7E?jQ*^1>+++V#Qj~#QZDFp<`8rUiXK9rl4@qAeWfv z!;_-1(EDaIfq7X#ze6Mu``8D(2T%4_9sl&O)1U%&xxuYSs> zWRr8~72q$T_kEHN(-{F*hb86$u5!Q*YJ*Q97rw@9AgeF}xXO_t(J-9}jq0MvT)fr+hw6< zn`h{KNvr*~L%^FR8y57wovAS7sK=_qx{q`1L6eA~cZYLjel@)^yIujdasJz7w(B|+ z`>gU_^gdlxPQ3!o96D+WdSH6iL(DD%%*3LByQ1$~VL!CqFBZd2v;|f{3&ECSCDH7- zf1GY)KU_VwFWC2qjJao^G8oID_udjakp|F*4byVpSM6u!A4NFHxN>MY6@mF*Y(#ke zRkq&``N!4Mahke3+5;5$f9OH50}+K~6&cNc^niHenR_aW^ndiAq$p^MUqA3x4**qZ z$3phK-!dpSqB(y7D!fsnGPe1z;{Z}5Os z&%4X%Lw{h#h5<~HWPyoL9%QD)FbiC+a-#;ODHYSeg$_3pr03--F*z?%%fZ zN}Nl=zqowdCK-)?5!CnWjX`EGBSN?S#i{A_D@cneu=4F%j_cdw#7dMLPg1jeL2aX$ zm`#IpcuKDfVLybm;{GpAlyt2L8tv>Q3E3YdoV;Bw{%f8jfZ}|ic^_D*SX(q$wLPgT zLhmc{)_hl=G@5kugoBt}J-Y_ep{|UY)1pVgTyBFZaTp#-1b5YwqF4N=c;V64I?A2H zzg`Ac3IM5|iP?=(X~bQT=OMS3h5!5r={6-<2tAHD!pQviju=P zB0=6$r(5#A(;n@BAdW>hSFCOKMv@F^65G{DQpPprc_#4F8Zkhne+hb@^!76xB1qdK zVjU$kbC%8@?O$$>n9Y0Oj%HqL?;2eWL~IK6uE^)rEb*H+CZ9v=&JWll`GOnFn5T;1yvs;(g|mK)SnO(L0bou9x9Uh^vY19TPU~ z&siC2dHGq*+tBp1`2A7IRagM0;&uPpLN@gkg5&bT$Dx5Y zuO#B*_NZn@wJsH$!B=@z^vhf-JVysE<(>^sDdiu2BCi)KcYAT#Is}P9HN2mQ>p*dq zfM7;{%Pwww*`f5Tve~-gf?+*Nc}y}XKfvAg$|&=SrQsGI(P_i-ZXwJX6G}c5R+``>y6;PIyoh(a94C?!!GLGr#>Nd%MMG`@7^JSU;O0 z_;$syM6rk;W?&N!p4xAVV=c~0?Urc{$9QJE9Sr%#vPS>gm9slD(ktVOr)ZF+K>xy~ zFZ;RZN(ojfp`-S5X3yfcDD8$sgjl|r*i}O^j%c1)A@S(vPY2MVRu_?rr?N+$r9+fM zILe2fHZ&S_Rir^7{>a8QnHJvJpX;ZBooxc1SBLkp?hUb3wk^(%3%A}=SIXS-jbFMk zJ+tE{TBdxEd-s^oW8J{iNM3qHpD&Y7bpvXfUs})_YU;+6G|*Mnge8_}S38vCTG;E* z8HTTYH<0a`q!q0N<{Y&;6BRW@ktM)P7MOE5Z~&L!Qy7NpA-$0f8K!sP4#vo{Hx+K0 zNYNTrLn^#l|9Mm8l$4UT;Gdh}yry&Y%O=XZzT(rcu8o4unR2Cv@2ZubAv62lOq~xylpNd z=LknmPBfz=c^u8l8)(x=Eg8>UKlyd1VStlb8NgT34!=<84bSiNOiD;q*5F2OC8Dq& za%t2HBr-33hbyZ^ey2hHxv^NTdNwGqVLHFa3Wb;jT^?DEGicIsE7nG$#O4Pm3UVv@ z#&}`}W$*UL-|bmBrm=k{V?w#j()e|+fwceoG43#i2-90g z`z{TsVl4uNpeuyI4F21^_Z3fA1w#(LnG!Yfc6|pnQ>s^>hJ=Cj%U&P>i9(cCFb*J)~DCXmX{Y7i$cXFpoFrb4EZr$m>_XDjQ< z81Z2cs>CN3C$DWiTDYM?rk$Y_$B2DP#ve)Hr`3tGLNX3m{eZ~;c^H+{p2U6>69X16 z4hHptvTJa;XYK@V&c~dk(N_O?VtWS!hC@_S_GsZHZ*m8{{zm~YP7KL+yc@gq;X>aw zE3)lfnXJw3ehTD_RvmYoq`{lc@mmGNnkOrWvek1*@T|A{_`ZST?VC_H9_o4P=g*ZN#rZ|jp(^l zAzOCfb6u&sIi){4aZ1=u#uJGNXDS8Km_{l0)=QS&4X@W77{?4bbYzfAreR}9)a94< zylM#id8Chp;eAG{S8(CpZ7z73Z7)A@$C$YneQi&JQ)*MV=MgghvSP_kQo>2M?buWQ zNPJSa{g}BYF5`Wyue1KFoKkDX2zxi@JvYpOyQnn!B&e|+Qi_R6H0#PF5xgwNgvke` zqbxA2=`G;$t-KlnIfUIuJpE#rtgk8D^h$O9Ad(|^Y??29cJeN4;6V10 zllMSz;1TWV_(g`H=5U>ZSENKsG2carzAmEE*I*eUC3ur~uzt4Ty{sjM5;rMCsE&)^Okqw>(cRJwGiwCjDpn z=&e{OR(8x|LWl4Wb8ZV|X}T|tnDpnH*gXbBz?aL&f2+OwTOL_t!=C`eMKsqx$$yrX zv)3)O`GrN4Vccd{%_i&W9{gdj6mJAcWLsdPTez`>rJZ4%Q&hz^YT0&6rWO4x-EODL zK3!S}Kd{ps*AlfCNHF;oHXghGOX0IQw@AX(6bamLH;4?s5`(mHzt zaBTG%>*c1hYW`dmk>>hbH{2Bq<3GF2(**yW#DdYC=~U!OJ(ZxswC@XL&2`+@z-nE_ zFclQwsf-gf6h1#9-#oGI$zO$_&?}IGjRMgO5DZ(6HG`81?s^-|c#z3&%wi51|h~<0MEuW%3UG-d-Ct;8R z2v$`85zC-Rt0Y6-1}bi28Mdh`l{e!0M=WEEU;o9Q65ZZg&}TK43Mz9j&|DWtC@twN zxX+$&rKOfHnWDKKF=piya-j!#NkXs z2kwy-_zG8jrtKSBNo6O7>rrZI`I<@5c%GQC%M6OdOVr3uT!1))0N9HEqrl7QXEe(>t~l@U-N@ucqEux|bMz({gi{OJ)B~ zbR&4O*18|`eucf9Cc2fmRFHK^!Dz8@jDd6WrH$?bM%XA<&+Cp3dzXUIGNUoAGa~yc zK%#(=XvTf`f!JhX3g~)NMo4K-p{C|HIo`hef%y z?ZeV3U4nE-Bi%@YA|NRO(kUe+Al)S}v~+`jNR4y~B1oqW4bmNoG~XI@@9ln`_xJtr zy~po6j(v}77IV+B@0n{|v##?zuk&WTu+ak_`!R^g))s)G5dswLTa5^1jD>bBQZIB( zQ{*YH`npSwV!`M#eWJ>y`(5uCUmq8t9nZ1a5v+qAa}Uzu@8xpUG>v3qjC^R=L1s^} z6-hwyWD34c1^)M`WB!Grfpz^vA{hQ9f{eLIVJ=}eDE;)KrpW*IjA_H#TxG3X@I|ws z24H9vny1Y+m_QOE&|0|-*Gy>etP3_WA4S*+8RE$(`^pM>0R`Nn&%DU;dN0R zda``@j`&PYZ=gJp134q*s7`NO@?vEQf)#KQlVbfydtKVHe|Gxn_{}Dl^L&>Or+x%r zN!1&yiZxW}1(!o-eO{GA#AEiTsb!cEZ04Zx8?Em}YExz|%qE#(q z_GoEL>*0cv0XVL0w`E^TQR5pAU1mHU?wxN2GVz^DwfsoBr1qP8s(O@wN}9pF__MO9)U8nhf% z$*i7IjbmF7M>W3FJ#t%BtzRw2ng zQf*BxjqOd)??wi?ig&rnMiESBuNH$44_k64aAJDB>-O~yE6FKIbZ*9(^Cz7;f2&=M z493B#l&q?(7z6sjYVKHy;?nM@Y5aOMx!x#NU3<-8NBYuO3OSc)=G<@c_>_W$DRhD* z8+B@0pQ1`c>>tLX*b@yq=7mL5$i1Dev$cO)(3(hrD*3fz8neL|7@sDKLo-+ zTMi4#Dw1u^k60FlV1iw8mFc&czI#a!b z-e~?*#`FEsN+KIv^9#JVew?Z?>X*J^f2RugN{IjSl4rC2Ni87uFKzR%o40$4OJBDy zN252f>dtEoBbGI?~59`U5XlFkGZ-TERQ*8S@+q>t0sq@?z0K| zB{?A~PnHtvuSz+Hp4J{DsS6ne2gwube%!nFuQdEUV#*U+zd2FcpPzWWl@CSH4m5ib zRd6|d9QnufuXY*QKU|XEV0EH*lLaB|loydiq2)5&{cH&y(h+NQYOelu;=mTftcEupc+WA??J6#;xlmfY~L$h)zK z1&JQCY`)ehIAq^1zg^YdXfD?`U%R;Ql9US#-lAbhqXY>5_mnIaUV59fT#ECU}pol zJJAAVc1)jYro_1UqKwka%kG*7Iizky$O)?U-PkDq6@PCT#dkIub~CNo<*t*h~N zPg2}HwJ#;UzF*x%nTlv4wODFqrr-T|0r?sCswo*fW2fI;p6QN!E_S4@Ia-k{jdC<{ zz|Pkps$9nKFG*~r`?=6Hx?;*N!d^kn(EAKP;9Xw^|HxH>EeqYU_WAGV z1f3L?1ORPYi{uK3A{_iC0GX2^jV){+VH+d~ec%J4~CbVuTOacO24j6V@tjJbSB`}9#;*2El2rE5B=WWH zgibrjKtPGQn4QB?=sUZ(5QM$?^=)=QX9v7vZ+6gWfUUC6Y;h>zTCj`wd}~c)(%WjaYkTwMp0)*U=I4u%6krVC>1Fi*HKweyY^GF$J6RcT)VBpqvHs>{?3u` zhWQ4{qa@ecV70_>;TMk44Dls4V&J~^ZIu4tRIm?{`&6O#DWU1`er`q_F|Lr}Dz z3*_|(cpzhHPlgygAehG*31>h6x81qsAX~S)E7e$zZgWL}8`U>Kj^R{fZ^y5HfANsp zqbK5@6p=WXEWZd&>y5sR%?d3h_K6dhZn@NH5P%$iJmr<^p$7%NB z$7xAa=gL@acTdiyzK|ZD1@+C@uzi?g^x)Y~f&-=0$;%Q;ZWe|j1^)iov`+#;%&PYx z>?l=ZS%`xoJh6zyrHcO3_$-g)l>AwBfvQD~rZo0%$qFS3*ixaCY%o94Qh=*kz?KS= zXjm;fEE-YnEm55gb=eIfC1d?n>`W#()9|O9t zwVqdU+L5EP*%q*ugPH$kFIV9kra#$B=OTLZ>(%R@46!{RjQgmh7#v`}!$QQKMar9I z3Zy}F$VkPHDqd0PRrIOn7@eG-*ke$V*kdqwD(P&f4w2qwA#}+DFEeQ}N*PxiX4U;g zU`FRYiTcG(UK5xi{X{IfS_;1jOqW#lM_>(K6PP9iYvvj*96Ilao?;~po0O5T=ec&X zr0Si;Reh4q#psHnUgo*E~%%YVIwhsySxLq%hPm@ zW@BNPx^5gJP?QC~Q*S>YrDm%*>U~@f1YtcWy}#LfIQbZ0eoZ#Qxkwl&H*9^>EZcd0 zts>h7;<#nwUtDBz!R5KnzKhQw_lRU<&y&CCP6~RZ3#=rra2>-}+@IvWF=}?AT(hB$ zm1ERUE^&3#?dx(x%UL1FmYBn=)Cw#lG}v4DzgLM1B*P*C0!T|+ElT)85>+Poi{B@y zls>AWZ6slT+%567c;lnw3uUc5Em}`s83C#__n>bnQK3+=#4mz#weJ#?^Mz&Fd2XT^ zkKUL3N?P_4D4%2iH+ct;&6GEnTHL)KwDWL(WB=-H+GVd%@vs`sSxUC^$b|%$0}9`# z=ahSZ7lazbv@gv*HdH@t9d&RgLL0s&sBVEL#oj+oX z5KF^Nw?D^$q|^~KlHXd$biu;EzWe6BudMPZAm*;T$j!MjOMPp8%p5*tpk#U+6dBa{ zrFkoIss6OcYL0hKZp0W+n%g~c2l^MzO}iPpbn6w*VlTm|aqh1%MwZ0Q|tGC1ov10q_%G zK7}G|?Irad3n%#L9;@+rE2PT|$r$1NB+5M32^wQoPI=K@@+bS@2|}yH=>RLfhl+x` zU7E+GrgLKx@slqx%UUIlpf#DpNw3b*Ob4HB3fqatX!|xUQcUW;a->}?CF#PlH-26k z8qBSe8obZ?gLB@jt+89~+c!>B3HI)yz#sjQhqZD~#}77B!kLXtAS31`&<@U?GyA7A zRF2vrXjQO$mlX2t3bq3`9K(3tua-p`{c56@De0YshpxA7gFhU8!7=p5 zp#0fmJ?n7v9%;VsS8VIY#*IZ3i}%RBjq;?&3@&#b*-fSHw20rS*777PA!v}J}<9l#p%U0Cv2se6)}o3!$MQm3Z}hX_;w1?>pmNNPOPM%d_FnC zpS7iH#6nCCyi)nU}EA7X+@!C zY%?GPr1$hME>eDeq6^M-9X3F^nee0Sqf8YeU_3Fzf6kG_?VLh8&zC;;&_UMa5->Zh zfZ4f{*IJ!>vvE$LmEjARo$G1*;S)hR?W@95!I8I@%FlKRd^^fE<}|OE-k10~OP;5# zpzJ&BRU&55@3l)wu)KActxPhM?M5B=VTy{w@(mRy`okHz3n!Vdn+5%92seEw(UmSD zB}+Nu+jScZ7lPL!Zsr=RUAe`)irO9QT>}}guQ|?(+sLV!vno2HwS>%)O&O9oZEN+S z6ykz0x5V>Z$i;6DzVps(tQvH7JM!4+kr-^Akx=Dr{Un1GewzG|OzeB_%1x@%#C=rU z86Gk*=t!yMp4Z|oDRySEd7!1Y9Cl`$80>_(=T5S@C9eMX7piRkb_##9W_-mONwks7 zA)dkUIjXvs@}7QOX!nrgnvH&cke>6Y^n}|88?2K^)A-v6#AV{yx$n_5U5;*)4pV{E zhD0ag36>RZeoC%5SZ!#Uu19_)15}FfDA&_rYg-8TrQ#K~o<_}+NKPToaBuT?W)~kx zKGX8NanzPQiB$X^+jBnC7I(U~?5NGo6tw%udHSi`@SLBu#T}|Q!kw0|z=e!xtqyl4 zKW-wHl+~?4)HU|(!?elJ($G)7$M61BvzCV+FyrT}_$u8*`b3aWT)SdghqMj@C8NHJ9ANn9$Jd)sq;tK5P zJkAF=D|ztg>-RsEhhX-$iC=HrsUvww-6p$vhmN1uL$(@)AVPflB1#t*2{F_xXDm@I zJTic^Oflwv$;zs$DkryD{ANvzSUazXF85GV)+I9{Y(N8qwyK=gYVn&5F=DNZqBth3 z>uLO94^}#DRdZP8XNwtQIB6-CxRi~Z1lmd8#>NGYeBopf;u*e`@<<3lBUrC5Vk;KC zsDr~eM)s@wx}nA0*B^Vn@?M$jA)b&HfVO|Ln)KnG97Q2dV|u zHyFqJyK5P!J_iS?T@7vc6&h-WlRKeSmN0F$Z)}UY&}v(^NfPv%6DVR> z5zlii{VUW;Buj(8sG&x}$^uo9rvlzlEMq{)nN_nHX+D`iwC(!de=U%zToM<(JbO%% z9!^-zyN|}U`p_#kUd~#!?Ve(i9PJZJM0J0;`l9)V`qbgiUxE1>rivszw8T0Io|prj zH~;v;Utz+(aE<3o_FMX>0|G`Oi?JUrM4kr_UF^UUt zD`yh`cx^oGJPf*AUMaw9hlLFwgAgH<)v^o#yf&Uz1_lU`>uJDi)6i)Xn!%X5sWl0K zt>2r6kit}&`)MWte9r=~OEBVe$B|*4gvhv_Vzc{_pXl{7Vc{1iQW<{KD(DFJ(jnAg zgdet4RSm@)(&DhB(LSK$AT0!(KW8Eh!U?*5elpBJY6j8qCb_dzIyIw$u6*-OP+$ZZIeQzA2_jTg(T3!OV^A?q{SRqiq(U?>K4At_*&EDC7o$tHR4Iy~V_Nw#J7F$w zatmaLIVelk)*$1Gp>!f5M8m^5VbM6CV#d*Ob$L^gC!Eegda+$Eut?# zIl-0l#>Ks2y&~m7Xy7R$Q89lkPMWt$sB%Gvibz7J%Lh6LZ6#j!9;tK)Mr4HWK_S%J zw7P9Ko96C%jL&pxk=MO1+ek;5b30Gc@9ig!yEIhpW*;X#r@R`AawQ6DZ?M1|;jd)& z#b^E`b+^aSCW-F+BI>#CRcjQw+hXyjv|W7tX6duRPCG&b6^?{Q$#}Q~mJr)T?6?^L z{J#zYUJ}0uMrG$@lY2c~m$14<+xTxR%5tIiGN;;|1QeV`Qk?}ybdwvu3 z%{Lg7is5|yFxfuGNScc1V z#Z_o_8_v1rZ$0AE?N+7l!a8{p#R=5;1&@XsfI2@JsPoms*7SioUx_x?8LrMRcr;!Q z)cMIkov#km`Pb93;FghL;{`f3N@`dqrAoA%ALk_-h(?{iGA0M;z1_CMX)NZHdsdd9 zG`v-B$CS*JEkw_?5dOuNbcX+P%V7PSS6H=(2g`PnO1Wz(#dch~2piEbY???`k)ikSurOS-gvh6WJofOVDmFWueT2()fL^DjkUVZ# zL-97*aY6xN)~siKU(xoHH>IzoR-?NMS#^KuGNP5AMEw$7T4Dh3sK%Mswg~L zM0zPednyW)Ve}McpE$e>_bfR~NR1qP?BVOx_=2cBP9B*c$m~8|oOk1dzIu72)U=5<(63l<|9?u%Ixa{PA$am^eM5;wi$px}t0 zP!R{LD0Bpws#+BI^wB}hUP7>XXs9Tdh8#O4LTqCZ@;}ayNEqj^E*^5vM{@;c?;D@c z!jkXM>=SdGY47PjvrN4F@*;DDG&(`NK;DMRs?)t6sdV_U`ta!kR$asUa;t2WX*4DV~LvK4Q574SXTgHOkW4Ll~OJblo6l<3qib0HnpvB0K$ zDvkcmU8iYO^LfYvd5s>(hK+>si8Q)>I6o0(F~&FnueSViCH(-TgJ8VCC%4~`&STM{ zo=tC(H%S_h*1mLq8d%`*^b(6U4h)n@QEcydupzfR5eCFsq|M9e{o2&N1O}b)D ziF-{l!DPq^9Kw$x_S;FP)UglC@RX-@{8WOUVT(|WlevhMaKC%$m_y#-bzhcOPpzxG z=FS#}q<=O|poM}IYJYUVE8NzvI~+zWcXQYG?eI6#T4yJ=(R`}Qrf|<+=+#mm&(xfC zg=wE$)a(fEZRC(RHd(wOnY0Pjt=ezMA#q9<7>kSgf_o-pLqwj=e?>_^v?d#Wb2u*| zaDspL6ME>)OmbVnW4paYBWSyE;2bJf^o4*#?3Dn9F5cC0ER>9SJ)7}EG%_^7lxn*D zLM8m_)DFdWr*3PoK7-=%hb+(hQO9h2|Btj!J|V>YSf$x6_|~RDa$c`M-xec`!$)__ z3VDl5rqC2qi2>xF|v`v>qEf3pNRug()7}8kS#lZ@ap{L zCJwQJm#!zQSMLsMhQgjF!v6rRoBD9)A%ZCBeyPG|P7?|wS7Nr&I(m^XR$VkTxlNjq zwcE1Vd4bHi&UkVzIJsd1QlN_fO-*Z+rex!`tX4)KXd}3uCK?tFqtixngyERXo>Ys3 zg-%J?mH_t_UXieueiU4QR7p@ zit~JprDsU}#*G-4c?%(ZvGmoU*qb6^;8a;J|?LX@ZDzHEq}k!!E@WIs&u$WJP!g8mO$L)@D zFIs44;=EnJ{k06ryzb-_NuhQ_v@?Fk+5iA zjVX_`*RUK{h(Rk95|S?jc2N!81=9v|-mi>fik+u=l<3~3*N&1!l4&3=jpn+e7T zcP?S3n%&1Tdr+BWX6j3~^CHglKUxAiH~kny7nd`om%9Z`j65hvesu)ueL(K1y2n64 z#?Ye3(D;NkA;gQf0moFd2<$0t<@%ZB`I*_^(Gb5-0cWCoiU;b?3=Qj`bi#JYDinN( ztrqF8?M4p!x8navcJwCGUF2V~Bj`&yrE9^c^!-j=h0jM1^SHE!!}du_b)rb3A|`Ux zhIRQ^MIuASt%k$a*vauT>y!Z%HN?f6>)I zLitFNSp?`kT_h3i4@=EHJesE6iUUnrA$zj!x%Nu=;!Ec_B)Ix_$2J16LOGScvXX|2 zSG9QTKT?iY3ei=0zFsj(Yi%*Jiw4w6{buW_YJ!ra5djDdUPRbKA}R;6FRXppJyx?e z?+NdCrtifyq-_0?%t^IX|Id;+f%xT2#CQo(q+Xx|?MXn#hHDqgc0$cgh~j1*CV@?b zgzxW7g^onq|98z?LyE2WC<+Ny(+%6nzP>_lETlRu*mp?Px{+@c3)R{IxAM3Mq3g9mREN2{FHtN{4YFS@iyf5!i5k!3Y~B z370w+Qq}!os44dd8%-SH<@B=FUc6UVJbz zoWuz9w!edIKqKm}C%zTbUbP+6=|)J2B384Xo`mMy>&~T4x{#!Qe3iKn6af?~e1bP+ zQqyqcQ_n_sIL;O~f4Jb}*R4zbIvhBf4elvKoHch~?2c(5D>{rqe;soRv&Dj34K?16 zv3~@gu!Wv}I6n)4KZNdl{Gxr*_K0_i`u2wB;p3b9jov>VRZo4v9uKV377IJ7UayG3 zkjlA5h={MkM~5fCGXvH2=;)VoC-9cK<>Ia!YunfMyr@8W9j7l)A3TtRl1Qh(jmCG z;}|F1k1`-JIAHMgkQG(7&oO=3^oQNbG zEhxYR@?^B>|1SDPM8KX%M00#Nrz9GV3xF+=3+TL;!Kb8*&{=;Db9pVeRqCR%zDF}+ z%$taV*7&B*Ql;;0t&Io}8&C?IrQ-l4ZiWjp?s||Mjf@E`WFhc3GGGB4KSE}@7>?1y zVy8V$&451+E0{klcZ)nDD~DP=R&y^1KsTd#?H+4I2-h8 z+vWK)SdctA6+$iztdEi*Z6HLG8M>M&Epq0Tks?Zt&*KKMUBOYOb^atC>~ggG2joQf z8iI-OaEB$o+Rz(n^RI9PXY(sGCIQ6rPjspXGT>vk$}@zXf(m|py>PDqFh$E&&H;TF z!UJqR34Kn6O&ZCn;3M`QZg?u9x-am^IiN-O--3_c%9BdTZPI}zBV^)?$hK!L_@Gn| z2pf>Y8?HyUE?lE~v!Ra+nvAkbobf?;UQdH2BdN{}I`%2KH^E1tqjF?#Uqx0l-9F5H z8kA}(Z^J~oFtqxbaP9{m!BYEl+)8RHde&D>!i8^Xgb$H#`xEirl!-{ek&iez?H?fG z1@~LA5P5VIH`V9aW2d6XEm-Z2ZI|7Fv2Gr5W8ECsg29hvJ>Yhc>_Ge}r+Fo(F!`S7 z*!P3gkjkOUnw-KU2kK|fPNKnJ_cj>3%hAPii$odb{7?~tCY5tC#v>;*94h%$kz@23 zNBL0ZJBl82-J1-_)))}|LM>6FflkVf3`fhwIxSA9ulJqEkuX1B2z#V-)c|rnVx*I5 zdy2aZ!v}7X0(^v5v`-j9HI3AEScC{2uE9l%5P%QHnQQ))N(%oAN=*CXd;J@SY%2J7 z&Hzbh;=huRS~4A)^Goopg1+0o&`N6y%b;{e=U#S-o>DYoKQhdbV~GVeDMI5qK_wncl~_HIbI(kW%A=mI>a;dADq$Y zC()gymK+hZ8L=^=xZh{Ls%;GhD*fBjK zbg$sPuYvOE6zE^o9=&)x&K$d+5G-~gYWmDy?+5T^TyA_7eE%cACR2K|JVv~%%o57@?Q-R7_2f$xy}`Wkxq;J=8YXf*-bC^4 zlcS-<5)?eEZPCb0tqr^7WGN$u_APR^`lXH!rz@%ldNi#t_0UbW?a|e~B~hX%&f8%; z=;Tp6=ptyJX?z;Zduaw9Sh8u$3>81RDfKCjJRn+uzjL<+a~#jIh&PURqHi(cJ+)RS zAtoI&9+B+O7IRoOObyR*vW6o}=#HFUOvh?r)_~Ggde&t<%>@$0cBx6;dum9iF7N*F zBO9g`iLsag<~VngH*y4XWY35{3WjcEiwlpv3@-}NmD`6NKifrI zY1xu@UfPCHnG-_aoiGxR*;b6rH?-Ra5~F3^M5Wjg&~b#>H!^<=JjKw3M8*g3VCrHg zs0|V1yb4Hi>I-aSxNx>UU9I{)$Qf1NJ9>{1jq}VI7TLS=^u=;jt>AFhS?Rr7KUY=U z?;ovBZ!)nh3}(!&3k`*}EkfRYyU}y%3^^KcoQH!YDERZAZljUodl4&6pa(#OKKZNa z?5%QcHF1EwH#QtXnF#lTCf5cRu^&5=UIQ+Fz<2=WS^RHg#k@VMG5xU)H3;}` zxMsYx_p!$lc+@Vi!eK*e$J$`k*N87T7nc=!e_=3KBmYYb#^-7AzcCo^VlXi-^{`B4 zfo5?OMZ#m=G}tRzk?NMOyJ8oatt?NM5q*o#RExB^zN{CK)dLbbN?fei=+NNfkZoah zSrMx1iT$XlQI1Zjs&b1;{4B~BQ(;61wz3g71QypDtMRiaN}`U>0Se=Ab6o!X=#gRb z>A<71&Rv}G2j{c!0WKJ{g8?;sW*9!eJ<|y%nmxR~uT6&{+W#P*%`Z{WCbFto?l{bv zvME-vcOgnv7n3X;DtVW{n)`9LqSt0CD_Qs_bNb=tQdhsI&bV{w*3uvE*6k(pY#$<_ zB)4|~0#)}vkQg`WjPB_%Ei?O_us)!Iv?u0pE?s+_Hr_o=C$d5^%v~D>u$PCi^;)id zKm}>9Md=JwkbglmblNKh0?w<+ZLH3ZR}6$#sJ^rmf+AWeb7gVWnj z^vHhE(=PIcS@g#PZY+|RCr03Zz1^`|-RQj5m#Y1Exrpj2qA9$mE5gJiHf6uq9;N@{fM@D3SvcI!k17LvzGjvxPN z7J))@hrYk=dMlv5jboZ$SUm9 ziA1RK%0)aLS8FPXUIb<%S-@p;0*KWw*nZ|dMuk{3nId@j>vDq#2%wunK&gk#KJ8ycaHZgmFR}#GQ z=Jhm=s2%0{+R^9)s*o^$qhvkhi&GJTp>0cO)QneU&tOQ~l1nb9ihXECWweX)S-S&L zc6w^|Le|fMh43diM+~ia7*qGuG^t8)MWDdImIcy0-|5 z3}a)1zpKajJ%y++V0=aC$>mnM7(PZ)h>3box$6Gg;DfgtVvBlD@HY8@7_{Z_a@l6=kwarJE_m5#7liE8)?@Z#- zMd=Jmt@d^0BEPVTG+FR3z7NAGmveFF$nDtV)9AF(qBJPo*wfVt{bF6^_k~WRiDY=; zeHd{$n}JgGqU$qG-12&@{RS}7YT60@(m%V%|A$&m@8#3po;BCy%}riI4d?W7?%U>b zE(FhyE^I!-1kDBt3%O2#aPGVE9qC~~%9|@bM#?E?@`KZXov*5&|8(GS8|?{e3TBixpFNKohsp876yyHKED{ba!7Agb-%x|`-6C4H%=_YT?sVN!S+}9y*=VlQJF%_ zQpnvf1&bJvjllt!mLt1sa2?(u8_ujZ^6 zqzR@u`>+hDD=qMI8qm4SdNCia*vNlQt2Lj^w}=&7SKM3aND*9TnD3wBbx^NnE1&8_ zw5niif0V+Z$8;;Iow0U>#e0B%5R0MvOBANznB$~XNW%(~&riCi&ZIH|SSt#rj5qF$ zl%*GhdH9ZgLvo1u?%u?v`NDAA(Qlnw<8x>sp#c^fpR^DT6s>0`7o|s?n4zFV{(gif zpHf=j$#dCydTuh{twTemC@_xEWB(%fX=&Y^ey_NUlRvd8Jr5OM@N%;w{j@p|L^~Y* zcQG;27ya|z#6P&zZvn&SGvU`UZa^Iau?BU5jVx#=M*5kbqFTx8OE)lW%yKPju}u_W zz`2<`pzf=*aGGbXlrzlgNX~7*IPeTgtO>0Nh?vlRGz`OhE3XGID7LUQTNM0^GKfV) z4ZxtZAC1E>OGNZ&l}WCrX>zNHW#86(sIm(_zjEx=>Z_hHn?4)mKe3ShL~Wke~Z1z_-RJ4+sc=cVut!-3I1rmddUtW z&Y||ZqysLVuZab@@|+(<2yyFN}4 zYfW##aH6zhT8;CkVw)Y|57zJpk0q~AZI50>H%8A*@F41)Pt|#AQ3{$MrcSuHZ6Xa# z7u#^5#Y=UgWBw4Xg8V^VK1~}-ZaMJdYXC;M6S&NDTaP}YDNG)AP5O^& z(b4(e#T_^|{tq3?FX0jZwcs`=d$Z_718TH?g68^kmByCcZw8?)(KsNjplv zzF1$6T(#5q2Z4(G1C5IO8;#n%?fMTI)mSJ*YZ*O;A#9Vc(hZ|`l^(dY-nF$FJ$6&r zA>Y3Hgx*z#ldPD+X+d!Y5-GnCqA#W{xD==M-`W(YL?Fox1N5i-pk%}XCF2dCQJKMc zP3qM1{+mHq*}mJ^TWUBK#Z}VP%J8$e=Bm%-hF!v;RdzPD5N@0|{f#)~co`E(WWOqS z`3U>pmyb=9FAF*zgrWgiO0kR3o5Y|0Cxyz)-wKr!-8|3e>d=8teR?*(Ye_%#vmgCR z3XSjHuO7ZioHS(82O4@)N)(fdcPRlb{X7r0h0&RW?aNehEOq5mXcg?=gj?6kgx z@m9cp>xlS+IrEABG}BGPN8{2Mt{D3?j;K9M12iypDuza7a)!nNQifUKsH?u@6Q<~tRZb^3$;qq;;*^c0t4MLfAKL-jBWLT0CYOEA0`Ie>IrNPfXA}rM_UUNWswBayBe!2#tEp5*I(=G_%XznFiP+PUh8WI88j0AW?I&5) zcqla)FSk&CNM#X&(HHE}T-w%_2ZomZTb%g?U~Eewem7&GEeC8rb70G>zBK!8Sp zxaHS$Eet6c7<)9HLJr~2=`=sgXxsL-GM44xXU+Z@>!~|V~>z_C|-+|AGx~aSGAldSb?>5}Cl^@OD zouObKcuD^7WVTrf=D{kg={@ttqt(qJn}oQwGj}qI(p3>Ws~GGrZ`Cf&h-f0xJ2MbH>g@O0bYNOM|-rkJhS`!@cnK3-|uA2Lq zY|s3Fdrg8!you;rC)b4%QqQc7g7?b=_7;Y?-Y*fg(Q%{6vOH(H`@DH($BeOJt=i{X zRxz!3d-+_%Tdj{R&Kx|Kw_48@1#h|+l@{QV(_SJqVJp^XQ^oPS(^NJia3K+#(e)nj zxWBthn!6w*$&E^FFhi+ehP>TG>1i;4*cxLn@Vlojb0Mo19~rhRqAl7G&^McGU_Qp~ zG2musUX(YCzneU^X@Vy>`)>ZQ&@Y4gWPV9c_9+1+s5^ZfXkmKDJ+pL*o*t3z5BTX1 ziSO~9kf=SbGf_N>pyfCx+4s^SdsD>r!A8sH+oO&XmPH7S6)!K$6+1n;rSD(x5RanQ09lrrGQ3<4smoA~Hl-zAyDO+aEif@q^P6U z&PC+78h-qIHI~)vMr3GD@d{QQxO8*CYIs&Kb+2UVg8sb)HCZwL*}P)e6HMF*_Tber zSg3sSTUe;Vvwk0+{E016`DgtSJR^@6sVLVypT|U{%T2Kw-Y!-?D~O$h8d8BlA{h7z zP9mixO%Q7(>{+d=&kv87d&VSj)`u!6MIy%}C3Sd0p{%oc1zP?JN`huzsJ0&~pu`;6 z(qT0T?IImOi{Ge2&u-Vdcbi)(M=us8>Bo4?T2Q$PU$t@ zwpaL@Q8Fn`OaMc%Ng7y#fTHIj%Ax*7c_PU&Q6sZqW)t%mBb%R`zgdQw;)GR@S(Lou z3pJYU`^xNt1<~5pYU!ch6Y~hg88%p7Do*2z1AHwsKlcYj(}fD)Ycd4G9*T4#xc6mO zX#u_#nqP7V(R8H(_?isB*RH3-*Tfj`NjGT|mwFtyR_6R#)3$sbJ$`;q$7x4FU4<3} zJia}PO>kUYF8!|%ka9CP5ZuvTEfC=f?e(xO6yXZgrApQnPfxbU66I2MHBh(NO(*5S zoo|A8+*l3nLlWXreKqEB(xqtgtwlKEy`yUMn2*P^{3EQWzzb=G4xb$74?Z3*^WQrT zzVfoW9r>-mu|J{qJ{n_r@C{$4@?apS(0i6aECCIzJlIlG~6uH&Snba z&AL5(F21lrBB!7W*$Y&2n|(`pBtyHJq@nP6^d5gAniUE8t{E3$W*IF!b znR~iQyKrWccU!$0ZCfJV`e(?)YwL|ci^=BUQBEN{lEn*aCIgWtxQpJLguDGI?#1Dn z`-AckP4@=7&mvznwQhwrwSMkC+XO@4K``}S9t3r6j;AOHha=mlha)3_9@ezLazh2} zmB-b_9P8a(HlD+G<>-e=OFf#pac9rJRsY*^!}*U-Y%ppNz;#ieESKL2n|_nKeBIQ& zRu?!T2Xzrpuk9==B~u0+aNi-Ok=;BGM7cGma1@4L~_xdUFkgHD3{_N5y`9SBCEVo4)?MPE}|1?M<&IzDg!g&&5}h4AT_ zLhtPvt6}aaGA31=DEjZdZjmJ5Lzo172!a1Cy!9LS5V8Z&88C`g ziqv`X62|VGUjU)dvxU}xhI|73UMMArX5`QGot9PppPIdW zy{!G;#~3aLe53B{uezbZL8+EJgb%@qn>nB)=wLV|h`SKLP(0d{{%tjVb=Us!y{-;E zX-8dnOsVXt-9{U}Xo~~YXU!SYtfBI7!tE8&tl(2rcc*oHHk1gJ+(MkXJTfA!= zlv&ag2@@_(9>T_MtLmBxp{J=Jez-JJF}TOxvpL51I!dO(LpTFHEg5b%UCQ%Mi?@$P zm%Yd>V?hi0@AB9|b78OWZgb!0C?6oZwCZ~PY#?SqS$0nl+><~_ey`R7`JT5hx=L^L zkgVXvh+pgaL}07+dixyoIa++{)x|x|y`LBoKubLcaKz&82|;R$WQ>4Mmc{4Mix}bbT8AZeNYHJJwCZblyF= z`)QcN@MFMo?Ul7(9bt4{xx4N{z;f2$DQOd?4dBhd8gL(%@&8`!nE6ykTGyf;Ec6;al zYpvdwH1YFtqGzB%{Y@t+yI~V=@Il@Ca?oRs{~z}396Fb2Ur@tDGu^yJSn+DDY3&iq zl#Lp_Q5^E0FCA1ltdmMjR zm-;<<+~w*C|7SC?0t@3qtrm$wRE2$3>!8jUK;UbnK#o7GvtIl%pq4iN-8Mn-M<+zT zqx8shX=_NqG)bmf|PgNK0)BA=q1-G)jYnG)Q+IKtwvEQ9(jlx z@7w45-)}r)yx$ls_Bv~9K+oQ1?rU9h&g<$)WsTCg&fKL7yQVIuRZ;5{^5Q<)v#VK= zczpx*KSThNwALX*ZmgCP@^moI)g~t1Vk^H>UDCs>(&SJ5$|lEO3$shUhL#$PPF!_S z)$BA~b}l|3(U<_GvmQQV4Jo<4Fe3K!VL_+->-viZHrB5K-gBzOzyEr}zHCqVJ+U(m zYx#Xg_@UOB-=f0nu~vAM+O5~Jj{V%7ay5t^boaY!)rC>g*1ROs*StEsDg&f+%_kUn z7?LcGE+8hNKLZu2ZjdiJsR#<}=&1;9yqFA1O6q|1tFddYHX7!*nIzKs3*Xrmev>}$ zWhB+!cuzMp9tCSQ5&yg(R!V|9AIq_=`@w?u7wi#}<%F2^#ocGs52b2*561WplKR(& zy2Cr17^bTEmuziEs`O~xo?9l|TLa3i8q&N7r_->0c~{}kl4wMSgEKb7IZ#Vi6%MbXyN&ghM)Ti*jf%F47tk6$GvH-{h@xb~=cO&q zU3)COct)N;Dn1lN@db)tHPR+S{y>jAq~g4dl#VzFpDD8XL$UPIv8JE%1-`gT+9Wpp zAH@Rh^5)_@t)U@-;Ry2YMKfj|oEbI9Q{MfY*N#7+#ENv|41qiKDU`usxOHcy4Z#wA zlFiSgCAj%RiX@xE&}2k9<@3mVfWs%5qm3|)Ao3wahRt+-v+M5WQh80`>p9Q%f=Ej) zUsrPKq;1v=!e8NFc=Gz3DpelS=N-bSYgzUiz_1a(eaV#mK6MX5JuIVHi}KoL zAp<+;wjTAPK@_MngQ+7k2g zVXdP#G`?9k!Yb6^-+UQo)(!r4{5Z|K@4j0%9OD^TAqfFw-Ow{!Gh}yk$IQLyXt?%F zf6dG7BI8X*_Gc9++f|GX!m)3M7Cw%x*v?ztuywpy=K2Vxg*HwTi)1ClRFzb2qcNnw zetdxwad4?CZbErmSRQA9Jg0)QbHvO)r8o5!&VfHE1xM!xq;@7`6U(@piIPI+W|Y~S z_9dqbPv~psx!Oo9@LPEpxs`=0f>=tK5F&U9+ukv%2^e`T#cCqGYnSoboEX1cx91y^ zyGQb9LH=Dg;YSl+VcIVINO=XZA_A_6Sg~C?-gafe8|EGBatghH5sCf=PkSQ>V-n}_ zz#{YFulv5#=vk=aK zW3%sSt*_k_tM5r30jwrp?P)Ix?_VT&#G!YD$)lPcla=emz>0 zp2?6h9%NNFR+(NCRX?b9DTNM-d>?t-oA1 z;3gY~G*1n8MIq_kwB<#}wx-?vOTGtr$som)N~|`oe)m> zB?Sv@S*s*d1Wa{*76Q#b8OJZM(#?FCaI&&Q;T(md2?Fr%%_^E7PnZ#*Iw2j{2n>XUT`2&E` z^w&6)4ImBEtIx3zMwu4X{ggR9tB7eI1@GR6QHGDBJ_pEG%Mfh24-?OvWb%e<3iHv} zSV0W+BS=ptR~dZE@a`!vjF|3#e}#AXiEw*f^<%`fCx1sEMSwu2HQbYQ5!Py!S)Mk^ z6P}vrmp#@-&WDD3T(3T#tvg#?NToZQ6tv=#@UE2f2|=ykTWQo`I)|PI4Y73!FuAnn zV$sEunfPteT+y%2Y8(DTn)LPG(xl&OAf`;_k1^u8rmv581#UW8slkCJDbtC7sTpjq zlKWtgCW)w9u_`cZ8f>p1O;Y~Lbf>$d&0u@=Q?{fUtjpDG^(mh{=Kn^TQK)51loro z2d`}pGKF8pTC&+R70q#<`M48 zXOu%gq?G6`AxYRHD~;eF!uN#wJ`r_D3*%R*8EgwOl4p9In?0x%NKF^t8%0nKVcevy zf#%TW&h_NcM;oFiB}u`^GJ}D*k#6cJk^L3MP^!cu_XL)!#?lfyleT&3#7961X%v zA&VOt;sFCxs#UL@nA(E}UQ`HF&8s#qgBg%15$SNdgbe(wNC{dI4cW@?$KSzob#t44 zXco53>7Tv=(j^`4)V4dAac^EMh17r@pBvcmeUX>)sUUCv=$3B0CZgA(UVga#cYRX0 zAyzG~Ee~g0qjQnA{@y)ye-9@8y_qYGwd3%q_NyRg;^X^8Z!f{!v~I#~8DvbpOj>D_ z`@EmX-}kBI?wDX{KdP>wI?|4$#j}zI6~Oq%kJNO>GrYWlKw)oxgWiz{#(~05DcnMW z*Q|Q>>gprRwZIoBblHB}TwzxJ^NlSUNAYo$?yaogXzsgqRqxGa<#9=W$dG`8G~p%R zxJut<)=QvPGVtP-u&bg^n~}$5uwqW&9$>KgaOH*-8EoAAhE-Po`I&Z+x%N*Q$X4!b z+w*w*f9R89Zd&z7*Cmu01I5xkowA?{UH4_ZQ*UaPCYG`z$AH2VUw8)uSRyED>5<+G zou^2__nb{JnwHY~n?6ZsFCF1l4P$Tm;ma^Yx$rop*C`54Ou1dCQ#@UO-wz?Amc1Jh z^^*8H{aQDp1=hTOppBFs>vv1rOT5Uj74)~N*0D6cQLz@JNkN^qtLz^UW*O&p2AbDrnB)d}Yz&=&A(!7Y; z)YM`0fcL7vppwUW3*OEo$-{+*l;X0QSZbpApn%1~4{YZcK>e^h*1-j!Vj3zHoqija z4`YKKJ5bJmJ|`b_pLOZEJNfDSmvkL^>9>5(j-7g1%LdF7OwB z*ygWf7%FqHyH{kjo`bSUx=GQ`UHdkjrfIjyS8d|xL?Qd4S63CD2(E%1WEtZu{_oB04w-?mU#cq=h7vy}d-8BU!WFU0&a;bGx0t=GbyJBFI5~#@3?l1-+}j zU7sF_nwarWhRcD4@^ppPaEDK5`voZXi z{g2F~#v*jrb4a!lk_0VL{T9Y>f^FhSL{l3vQwcOp+>n{hRpC^c0i6;d&?!MKx=K=3 zmRZewU~$QB)d?IiK0fC{dEHr&^W=KP?UG~d-t)zZ9qC@)?vnzr9r!uO>JoGw_Z+Bd z%dtO}@Lzjf{>Ne^Mao8BiRP=$%ENMb-M8Kii7n{Q=atZ&71hxYe&Hrd&;LWKbgEDu z=JC0O554KWHv5I8c*Ce+TO2u?QH>5h@$#6Y$KKBJE??1C*W<7hm=d~B>#qsp zQzumT81xQBp!@BND#MH?M&c^caVc$4-W#n^5ZrV;TO0T#k4&iZRe{|HHFT2PJpKeK z;I>2j?JobWvx6B64gRq8GERt{-O)Wm*v)YvmZHgCEdgzQ2Bb)^(yrvp6Rd{D1Vfb6 zZc!JI#4_mwo;j6bW}u;zxC1>h!v{pj?>GsrD&Ezp*kB!PvB_u^G1Z>sTanQ{$ZiJZ7HX~ zQhN}a6{Bk_*V~qVD{EKj%+JNf-!$H>XM#n*T?Z#KfAapH1RjM zsloY+NB4L2>rc2LTm7f^R^Z>dSD=;*fv%z`>#2~771_i0)|vawZufE@-!-^9k!ge> zw_C@0|G~LNvXHxJgotBn#EN5Fqhob);l^6GbdX4pjWC>wiv?m^oSujWC%~9SckfP9 zt!YRrrV%QUNGNF)D~;~TN0sNNB`!1((RK!r#9i5~cG0bN)IAcp`-$ku71~ahOc##{ z-Z(Vr?DI-gm;z6M4Y@lGSp#BJ5K$RC&^yEN!9NZF6ER0J;oCBa;A=)KzeQ%`hSPBsin!pKqu*^vlnA3uU z+ZRjW{-zZPEsagY+e9vcf)LMOoCaoEJdsEf$UqY~pdp8Ik9hSsCzj%{7pXqrmf-lN zS6KMQM%?NU`6@or(IZjRy(wSUYdnP!INsWn5nLz^gd5fQ2swj}8StCYi%<{o|%rAt%mQt<@K^oDeR0B&z;U_2IR z_)3?SDyFK>hmn@rNI?u8#A=o00@8^2@cX(_bNsQ6jc`ekySpCXV3Hyic1=|+6>tm_ z@r@4JEov+koV{yLu*UeZ#qKQ@JjH4ZD1P_7PS~Lr#x26@4`(gM;lK^NzxXaaj$=55 zFIGAI*wkxz&uUCf%8M=+wDVn%Nq4(!NHLm!>K6JaAl7DQnsa!f7fXR4p)1%^%99RO zX@epi^M>*|@v1Y8Vn6HP#q88c$goOJGm5eQ}|A93-J36OW-KJMWrGp z^6DFuKuxp8^)}J+r64A%U&nuonnp|{qB27jXr_f!t3FGG)Lo7$!oe(x6B5E|MU=`h zY^6YyTE@!UaAfxJjRUR>{7U)@Enj(E{Tl1P6`60EY#jb0@GHnZ(U~&Q`L>Nupd*%fc2W=@Nvrahp@k~t0 zzHmVx@ivVs;;fZ)skFq8p(mkZRz|<0m6dA&>J0Ry<8}DnU}n)tKfVTZ-3(MmJ{jux zkq$Hz*VUqof!aHr4OgLnE-$d|a-^gU42^Iu&X;{t?bij1SEPh41e)>m=AYLKoF@M3 zwC@)YEE+(I_!!W|4&vC5Ylw4t$&Oh}HGBnN$M?n1a(sK%+m8Q&kFDjoVqB%9(5%Qj zd;#193Mw-Y16go`>zRt%vN#~4Z8pL#{g&*$ z;noBrcMqlf^`!_}JwDJS`V2of>{`v_0z^3ME{lsnhegNWr8_EOKk#FMG3`65G*S@q zC32HSOCExMQUaDX1L=-TdNny`PpamE-6A?E$f)c^?0okCpD;(HzDqNJkG8+X>U`t{*5mrg3~;nPym z#2Z|x1n0xgDMr}MVMQ_qx;vniB@SVk3@_tLb1Ovry}}F)T%fnXyvx}Q3I2#um2HoFj^p#M z-E*QnSP0Z(CY?$(J{wy$!y=D*Ol~vKkgTf1wUKa3LMYN4aK$;85ndIB;E2!szzFXN zda%sN?48phfzwxx3Z7oS(1nob!8o%h^z>pDwR)iCyWbaUyh;^C%r2>1ftpa$va-+I z3qvLUcs%zerb(Q~{8cO}`N;5ns!7zSSko&qAMIFWJ_cB=GP3pZ0z^SnJR2u_{r;EU zB(&(~++;POV^Kz;W9cpZHayH80{md8x6X;=i`d%YM5JfYud7*|JBZXYz2P z$ego+z~oq%G0+P6Vw%hs3Ml$9H;tn*|3ZnwCDRs_x6e7;YoA^&HzaHwr{p<()6l|) z7w>er1kG5q`k~b(@v82UfuaWP6ghQ!T0}AXdHVV^C^pc62R-tZFqJ@RiQtG7lPRF< zq)rSP-~;b@S`sC=3O%9d^iPnJrFro3|NOjz)3|>-?OO~17ANfgfCcC@=n8)SZQo7{ zlvPC8KKuiYu7I9OqJl+C7QQO9=`RczF5GScl}Lw?a1{ZJ5aapw4gSE62nu4nrgi)T ziZo(8aTWbD7^zh^_z{`Fa6-^-TH$3t_>b2_fYah~;Cl$QtO6<)gOvXxvVXc=aNwr8%Enp4}kyu6=?9SSIzRGC)-X zro@Kzaa$wQPz{_kD8#}g-V;|OIHuq7k`_GJG@*g-l${~S%wG|N{Dexf{MMmEt;-XV zRqPS2%Ncbqyf4M0H~BPNq1NW{Y5Icn-200|Oe342^1J@$-K|$Ko3HfU<%?GD)4fHznR%DrzI0$ z4}KbPOoJ6+1wIH}ByixexJ}baA4f9j;784wsLT>pVwL!-oGVeX_1F6QOZ``aWv8Sr z&MB3b*wa7OvPMinaW)4h;N$!n1O72@?$KVUo61q( z@NBLpvFE?qN9l-_5qr;^jUxB4m$-408K_T+MiOrBnN0mb?K1^)xydf`+)TbV% zT*(2oMp2*!sUlQ^bU%KpoXss9TYzuLWyM)@)#VFO(=P0NyMmYubXzM{o`{s1O+;>!wLcH-Sa`4Z@ojyqWw6Y_ zEicA>tFsoISj=N`3`-|Bhzg@ltaL*nY&u7FR)>!J^WG~xM&##;n6DK55U(J3C8oy_ zjip$b-Qc?N2^HBU0qmZ6jsoS;L|+z1s-G)V@vf%ClkrrryGf<_ZBKi?j?3n ztv}OEKUKkaVy|R!BTW;=M;~FQM6r}1+{1a`OzT}Hhkq(Ws=KLBp%))qa3zv?S+s}K z2zC%m*(|i38`AXEvvglBDA}vCiPQ9G@$;mqMBw>)u^#YcghZ`Pk+K^eQYOc7?JBwM zb$2a=*O98e_d!`wJ9hBo4Lujc;%YBMC8(ShFy+g$7etPm7w9e?@g*EJ@&s2zqm3Nb zDvmWxAvE7+S_<@5^pJ@7a%v^qGml5z(frw6+%?PB*uJN<*my1@+gnv`N2P%HP?gGT zLPmU5Gswi1K~;a^Mqo=BdfH~5H+WgAyrLOo=E|T5x4QmvGY`a#Rs`ZRnisnFR7DtT z`l{SsRc22YPitDBPkcVEH$M=*%)zhDzE}j~xlMnK!O&?gUX%Q)7EAgeo6L}E;Y?o_ zyfD5a1RImgcPF)8?B?Uk>9wQ+ROwQN`2B898?m8eG2M8V=MIgqTTQsIG#8zt8qyN8xn}`)IVpF<;r7t#kD12~zSvHVPZTdi_xk;O_~A>uq}fJc z?AGIFHbwUoHa!wQpYpVyvSm8%)(OXpl3i`RXJ{8Hz=2b{Hs$ptK;UM?>nBn^Ap^SZ z=3S;UyoDPIyM;!4&M=cP8ib43S){JFEp0+ zcu6`$6XMh+ZeCa8eW8vWkHnc%wait=*QeL!*8A3USHJX)-(TJD>v)iUxO;Cz>gn1< z^6)V`=5><6W7+rZrD|uN;O;v%Hs->8(IoO05Rm!AdZJ0F;T;jhBIl&JqdtyMOfumi z*VAtj41g2-9@Z0x_1pte=9j`fM(3$(ru*V9fV)8b(dW`)^*a^{%I}ehk^|ugxFw1d zXy9Fpf>6)NJ0>*FJJU%nv1A?XEj59s2Yl3qD2bU1yNFM#Nc4mFJp-PSR(NfOU(3=P+FWO*5QQg}k-d}5Oxm+ICr zR`|~!XCo|bPkhEoHjTHZV_=|iqrJudM#QyJ4Zg={)?l3a*2j2e>v+lI^5*ec@H+PE z{S(g%Q-{Ru3L{)RbP|0Xwwc?EO9DcC4szo2W(nCFh^pAFkkA4*P>p(e$4P9L_kG%Hjd#fjE}Jr2774p zq$=jJz>5$Lhxh6uJq|%n$I&&|hBWKV%;N%cnDB5*45`uz<<#IzPbrAoz@F?T8hCl6 zP-sZ?vQSQ(Gdd`z<>qFBM6@&x+z@1Z;*bi}Xtqn`u;`+tdBh6kXc(Wik7_=7822bf zqAS=?i4hOHrG^dZ7;PD4x{ObCMm4?GvG$5^YDiU(4hWrFX-ib;5|CtK?kX`I4r+G6 zruaFF4X2+mcV=iftY~)KnmW8mQ;o;1ec05CxJPs1s@)=8vQl0KYeV7;8jMC$;v6$&pFdUFvK%7vi>`+TJ1n?pKi2Ym(A zm%gNn<|7%pZdOH|Zs<~1;oE0_ns%l)=ANLJQ1;?d%n!&|{u*HyfI#gQfk0m)<_2cn@bRDW)OnbeTKkTCfPw(TS^aq9u}B7Q=c}AHjoCeji+ydho*mwkM^< z0m>5c%I~AWU4Bf0TLPMq>{y9&oM65x^uQCJnLz5^;}+pKflttbWzG|LPD?Fr-yS!( z%WKinHm$r(juI#cPqX&8AE+IX2x-Zl`b#y}(x1S|2~LfC_P8x;_qg{oyw-8|rg3WM zRVLm?H`P&&ARuFnh3a8mRieSXdex6#Z&8cYW=;3fwSYCFLP;$8oup(tYRjP1?WyR? zd}wk>DA7%yQc09($F27_?qm^l zPVNtQB(gevXlF%pw$k9*sk>0IbB-yr3Kup^;*~*SN0Eodw5_whQ)irAjAG(88z9_6 zgkdVr9J~9W3`MXkubb@oi;P=!c!jqp(n?XpKkax1wvgTDEJg7)S@EJEcA)?-L8P_g znbSgs(>I8iM(k2&ML2{g!m%Ta1HXmSq=)@+gpAIy3E$=Zn_Ce0?b?{Gra~8?ZiS$P+-!-h;|5rh}Z)==aMe&oEtONUp=o>c_5&5Sj{G$Vao7) zy0hMws4m^!-YPVt7E5!|1C(Ys#jH9tn{+!Fk$FTuv?S`ztq&TKkcAw6VJLX97P`M& z8~ETf!tE{gYu!w}N?zientDXFdj-1JhW$44kar~FUr(tb@-a@|fqhJ^lZf6*pkvlh z2i=edFBb|=Z{S>%-FL$v%4Y;-t>6ohVSbse6O)n8Kr3H~LgAjmf~fE>DHG9>k7*q( zx`N-oWIU?Qkmrvb>F}Bime}|`@S0vCUmHtpl^Ash}j=vR-mKe^VR(g>aveX zfnXr=vX5GU`?#9!z2mk7EiROPZZTJ@uQ(}b+xX23ms}d~1E;D|9a5$b`p&rss&*o0 z5s{@j7oS5N1BumImajB1I*po=o4j!B`3-3;O7+fB%JX&|Rh-k^$rYS>l`d4tOPOmy zYW3|n`F)(*9}_6ud)c|gp7SV(%})jQpHSchlC+8UN$cb@Oj=K~+L+NKXLG9swDbOk>`3nYqsohsJD zX$LzvL4fh;L~m{GZGhy3rO z?eTMhu4GTGO$?0YJ?=B*{_;{8WAScwYu76UHqS<@g6hvNrQJSM+L8Es7|>ll=}x`B z_s-vYDgcw8Z8Yy(J^d{@=}!OJr5MSQP8H=>4T=G2o5~4o;eFx{Ic4Ih4xq3v+^3`)~wW|MBqzyziQvl0$wGpEImFO z6w58~2?!SE<#92siio$3a4@ZqyEmZ2L6Y7$e&0q`jz6~qXVnsSh!cCDKevwwIQVfd zv?tidI83!SB#=De)}E3V8opmO#89;)wLRHR@^DVJ{MS2ugqwB&1+E}VPpQcR1f#2_-)Icj?T zYv*WL_Gd1*b0fA5n9DX6Jv}i7&-BxeW*8r-%LbitHfBG)XkdnY{eKH1Cuf@QVA zmWv^Wq+?xzX}Jw6Y>lQQX)H^Q9OAW)?_f#YyC}qFZoH(wY_?);&$;sy4CS#tHS=Dz zCtqJsJzv|@(~QYnksBk1;}=hT%^glJ?0f995)&5hyK>7)bCz)FVS;9c=?xsba`Z^c zC;l0l8DNWU3agYLj`X*Q-by`sn8egmOr-_tBxSVKN7(URK09s3ke+-rzl}+~SG4_Q zNrr4=gk1;+HR(0kec{uu^s@cMg1*7!6wq(tV~*aE?VlKo&f54q%khj+eOp{^lFAH$ zND;3*(7#R{jwS#OJ|?Kp0lcMNA!evrDq@pq#0a|y5=dm?Vv`~qNMuc-liM^KJyhDL zuKF>#%1CSIUWba58*!I-!sq2CUnkW;gx4ciqZu(i*Bkd94HC`M>?Nj2#774Nxa=;2 zv8|D@gi{Rb4Qf!XKKu#>6H`x9*wRg|a2nWAFK5v5JLla@iFiHX5Dp1{Let>$1}FDD zFf}rSl*RTpIiphUi0ucB-C5J{9L@YN0_&8M9lbb8b-bf|=_oI`<*r5slz#>vM8V%a zh<^6pKZsTe?-Pmzr|*L|@e>o+uh-npU=G1FNxcT!_deN#Qfm=4jZVEJkPI$eMMQ*`5zCtkmCEa;r zUd(N({i(ll39R?Ylxerylsw;ibIlCs1308B=*)9IMnHp`sV5uBd$#sG#cj-U?>!QN z$*tS9F_w%zhF=_^a$AbrQQnlS|@g50j)I_u}RU>H)*Z@}VT zQd}Rlah7~pY|lCoq-dGO6r<{E0qPKGfA*!m)u5nZ=iIV>l>K;t zO{njTMC*(P(amX)4E*xyj2g7e{l+Vc2_7H5SDjS!&+F1$C>6CDFZEt{`y$D&ah$57 zp+vJ;z1)aF(0SkLgIXOfE0M{Sj~DnZ&o>N|HfT)}8XhPtO!ILiA=;bs;hc??<|0I|i*r2JiN&vt>T8sQ z8eGsFBs`d7qFmagHJ$*cuc!5<6&ZlXa~UFXTF9KQxO6L}1an?))LtGiRZ^>R=9)al z(*b{_%H;n>m8Jh8CpgkY&q#-kruIL%2|%7j|2pkEwFwsc|43m&#M{ijPMcQxR6p7e z-6Izezf7MhWU5 z+069s2|jimuZ;THpv%?q#;*olI!`b5Z08EX@wO@+l;MeLHln5GP5F+F0WIt>o1#ivxsQ*OZ4}CmNmqYMd%H=Uqt>Pg zX!1lo2Og<%?hB=od>JpONw>u1&XV2`d*+X&4qrOUYkx~`1MvQl^akwvmjsC)oZ96k z(SYr&#!S(GDGWa>Zfep>Rlb#H9WFv<8DeD}OI-wfD;bR&l&giP1~5jXn^o7plV_R9 z1@i)!d`^q5A7xxt(d4$e!=>0wO7d-ZwCwY5r5LC6orL_!#Lst|b%O3(nZ@xZ%a5u+yb9nd{T86m&e*h1fR}HP02%EcWITW?2`HoegG~dxJbf?w69egLDS7MPVG=#O5csp0yS4qFc=2Ofq=-A$x3i#i^ZS>!|DdD+w(<0<-Y>jCq zw6*DCg^4{INsWrB<%DMb_bwn#fJ8h$U}P^z?EI55Fq0D4nTTrBJ&pBQId!4rrzax z-cEVVNYW8A zZ9ec*%JXpWmy{>G7|40Zg7LPJ0I+al0AR5Ox6A}-Fv(UmfRG7tF!2Esp{oF4dI7?a zV>V+}ILFTT-*M{4Or;z7R_EW)+<)wf;Q0;F#g|ilcbF&r?jV3M&J{~kG?(d1RP>f( z`1S{v-mM$NtK_EtQOM(Ar+JOEM)TFhcsUbxg%((@o6(l1;it+ZDNc-{4Ymee2HH2iy6|Mb5J zc_wZq0wGV{pYZWr$Wx)&LO6vr{>G{^S;Df|BlkaZ@lJo?;)DJQ9Z&y!-T`)A`Cq{f z;Nr8PTl61X{Ib(RM#7!-Z=nv5B3>nCPRevenToS8MX8rgzm*qjUTh4&0x)4`dLE$C z0n#22$NVJZ-LnVJSorUHp1pP|A_!8>=_i+j+%fxj zS$>Yn{DAn)!dtm(l&-A1F`dgHK^rO-R02Z=e^Bwa|3$@r{Xxb1J`i(`qY`$GE0s7K z`^|anb8{o!Gk20)>E`D6{grfTY%c@7g8@K`6s*kRqc;FVJOlxVu=wkV9NkpI>GN;A zitR1>CeskaDWIG`9g}kD`~ajw1PnZ4v!Tp+fFDqNqP0VQJu#?^#U}mOicdXCReTRc z`VAfRs+{n5&V8aqoTommmGgsrvNq;H2$Ga8j!D-v%c#>BsXNB3f)BRsDM2q0M|#T zL&!4d5MlxNcr0$;n_P`+7%4!z1N94~BLV}U2%QxPDCK{uiKo*_3GPCr?<~9wzbD_6 zfX3x6>_ilx{S)gkw1HK2Cubhr+hXTX{DQ>g=@5B}yK zumO1ZE-S+BGyNYV1H4Guk$@$e?v~mJmk)UT49f)qTjHgzJX=0HKP*tQ8FaX2M7VP4 zq8Ek-d25%xVv*$9{1_Rw`)<^0)oTLwgIVdHyZ{l=@Q;bfzEv zxby!^0JyL6NHqTUpu_d?Z@U?}pSzi!f|0YG45T4eFa`QyVi0s}-ARnN=RfUR#Ru-1 z0kC(e5(Ik}IDn8^u%p?U2dFWD-Hf^43LA|G5Bs0%hut?j@pNF$X>Ow5kt66o3jp=Y z{#i)JDk9{`G|=Ez@G`bPV6au2ZsWqa(Yks=G3f6xDil zQl$_r57h}N&^vhAQq(jQ$Tzvz+Voa0qC_Z3Ix!+oPlD z8zjjQ9;6q`4aMuG-_qs=@dhT?uUr5)($58IL!X|!1t0w|5Go420FBEfd_5vKLPUE& z8=>z|!AsMC2I0zOu^t%KcU7Rmk{n`Qpo2fyHA=JJI8$v;$t4c|5hwuhpu+O6(|)=Q z6xN|dP?hZaj*|z^_+Q&l+?X`1`H#@W{;3~7699G$Cv%CueA=y2^vs(UY)T;UFq9a9 zgAQfpzZ8C8kK!0C|3f?sB}OI~Z+`P0K--pPq_{FPCE``?Y_#cMwCNH;yryT8EqvCf3AcOKRHQ+0GW?1K&0}h2&W69qX z#700td<093z>}QzP2{;mJDc$YsPF|YanD9Q0Y-zcCQM!nYRlH8Yt~{NmxD-b<^g=X zk^G+v09~+egJcMh|2^FrFRlufJbW{9{uA0lcY`Nntg7rLi)4N()&t*RoQrwiIW+#i zr2gAG6!s?ziR855UYB%1c z{OTu6g^tEZJmIuP1kCdh6m0D0Bh(^`>~BO1^%W+T6{#&2p!QRks_9Fcy?dc1_h9$v z7i~g)VN``N;IkUD8bpTTU!*1lOeoIb(5h*meb2Hy1C2|yI$T6m9J7eZEP|lhlkpW> zD{{A|ISwXt$eo}^R#(Jq4K@>2RY5EQT&IDLi7;0nvVo79u){coi}3lONB;8IMb(&S zMXQD#2t}6(BD66gR;{&PKQY{_zbY8?YF$*ep&*9L^R~9oiua(|&@)-OhpH2$Sm!<- zJRoXUZL6vDeQflVbk(!3*gl0Hq2~b4p3S0UCH^`-+bda~bLPtdo|>Nxb+3=^#B`EK z4{aSi0RgCd z*DqC>$v(SV9^Q{=$O#THx$y}1u{h-tnIcS!PJ9)oXXMPk?F;$0;Vq1F>6?BdDE!KW z1DinWct&d4i}sW#z+9nuSWKp?YYkl)ne0U|$h*B38bB>ySCXM`Ku`XPCG61mDO5Al;2uVmBYi30Z5?BBlu>Ml2q^+LyqR98k$c? zx*jF-4~Z4FIHS|uVj=f-@%+j|vyQCPRmv=}E-^V;QEWD-y+p3j+CwJWT>*B5#<7%F z6$uKGqwujVIZ(1IE|yA+ovryCmPgh6#nEZfZ*X6?)Zf~Ddhhz)p*E+-E|G7ovJLxY7O(^jZOkv&IzJ_pl*5o_ zXQS30_s^&Z%XA&DvXdFl_=<}H7+?{I1nx|Tv9OwzkC)k^x!v@Q+vKzgHUXU_V!zRs zXDnv#I{x-|ZWAI`YSo((L^!Ihh&LvPFjnzejjs@mV;Iv_RZu$jLWBxtOG0%+f;$KH zxNF*cduO;Ww^gq5Q-A64jBE7?xBIK4Y0q1^m(9x)JM`IWi^bfmzZ1{&isf-STKQ}@ z#&FcWz$=>8HUyEaiE|M#D4w&m^3CDp^UVHs?B6>}gxgpXKf^wCkPAmyA35HUaHrav zTlKwHkDiBm6=m2bgPaXXTA6@4^#(0DdJN2<>@Q6 z+bN%u@>hO6EQr`CuO}BbeWO~=qRT(2me2o+0~!wPS#=k|Z$KRZ-71CyzgyO@`}jTB z#w!|?zIg$(r@!wcq8nmLvzac?YEOfmgluBppel~y2s)J+Xe6P}P(VELlS=_F!A?R` zL`9z=(9HaTB0LZ5B!03msCtD2^A-$IwBA+Uv?UMX1_c{zpO^_e>~a&F=B1; z>$G21qmqA}rv1;;u2|E5zF#x`N91wFpKzCEf6H#J2VDg&$Jzjk+F9;SiL3~hi3rzUI#1aY=W0s~AYy?PaCIL6nH>)DZbuMy;eDexGoQfaTf_%VS&?HEnaQ*+0 zCVW3g6SAy%qdoCQ-=YQ#jn!9OlCRc4vk1B3;Zuq~wjQGb8fVQS$e0w;k5i6lM9at4 zN6U&xGK)JEU!xXQ%0TW#~VkC)cwYP4-u#tsVzZF_6%A*+AkG1w$IL zIxc2w5wXwrr~x{F_acIz&oeg%*+7DmVwpSSThssr4;Ks;FpK7%7+x?yY~A6aZY_=B zz1XU!BJ98>T*^I%SD&JN$v^2NXtI+jZ#rl)pQ(K@Xj15^{Gs^9Kn!0ps{26L-9`(0 ziEH$lCnnTRF1B?epFd0Ty2=*Hy(?=)i!X~Y@~Jq9K_F<8Z~+(9isp`ECsq2IV?qk5 zg0fdg*EMwM-9XWv+eiT!DX3V|Lbz8WCH14Xy7yd?ZX;zAOkKiZq1ImZ&3Kp;@ddA* za>+^k!@Gs`g?@e02dE@$qCH8Q-_|dK_0Qx@ZVm4*FPEFRp%Pe&OhZ*UA$?BZapV8i zBmb3^oZHkxQTYw~4!n9(-r)eyB56hT&>8$+etXi_&TKNS-5tN*=J3Hs=8b8}f$f+u)!z0;d}PQBst^$GXB_;Iga zMYEb0z)cK8veFqM0!pQiJ3*63Vy30JIMy6MBM~o>0Ls|*A?^1HM;vjVo9#pp-kQkz zR(7TG%JxiyAnwua6S}l_KGyMLW0wm@g=2! zA|@r!wuxe;QO;5ji(=_f&N}1Syl5p9Wyb8>_Z?;MU6Fc4V?ahAnj9VBV%^BzJzpd+ zzEo;h5wyEwcz&LLIl;;5h1K-2^|*YM-AniO4{i_U_z%>%zo^=B?`BfFJk*sbD*JM; z7x{SmPT1GNy=x>-;+V@=`UXZ0Y(*DzKQ#~N_BRi_{XD&#Kd#ocF)FRx^Z4lM+mAz}O(JX2+*)96MTr3EDPRW*9xZCVHbJ--( zSv-FG^m*dx!0eIG^?~E{R#-ViE(5(b>-w|KBcUMv z#?=e=>U)D9-I@eire)$t1tjV*d(0qQm+_#<>MeQylolGqONu}w{N(*P=>&|3;-7~g zd4FeGzugaxEbgV%ZPm{So~~VZRpggqBP=N$ZA9+!m$RdMlQf=q?4QEVy$7#!sX|(d2*lEl>%e_voZBiKvdF`qFXjh zVc1x&W4ezoXMPNko6zSZdl!I={b7;v%B|4u2`sWF-RU>cmjwl!SX$-7WAtGTMCmo5funhe&+Z*Hw@D26e&qAgrQfkymRrE zCuZOwjmKTQ%BsdZrdlhKON-p5-seHP*E_e*nEj>6m^Y7Bu!=X? znr&q`%S3EyabF8Y?&VmP4deSC>x#XuAu=GhV8|s5$MQD5Q#@ohew^Bzl?tOVkAHJS zZhR=zvFVZ9^Okqu^vO+Avy0iK56yhCxh%~Nv%4FdJIUV>cJS|#9<51Odha=#PZbmF zC9yJ)67mv9*x@0`?klvh=w)Xz{Z#nby*W=kW?rXML%G#P4^*OxS`l{87vtO^o@4Wg z_!yFi@)M!+(ua5v#lH^RA_Y-a*N)6wV&K9IG!{sz`XnOa7S{rEERb;F@H#W|Ye?OL zAUDnhST*>hW3UC1A{ zRUlVji8T1Oav&-RHke^}yN0G-KG{`#3}dSec&HcH!OdDCEwj2}F4~>z-rj?Nlzg zCY2?!=GvcSu#!)MI_%>8`W{-3EqKd3PN--W9as7Hv_s^&9P>k;FF_wJQ*R^sl;`Vl zkt(Kc;x8jOUWoQ&d{7b;ncz3nDi#dB7#Rk>LNZ;wYx3iso8F)@fyF~VP0R7dd3Vl#mE&R}qO2fTL%T?S0k z!4E+S@E`62QjfNzh?Kz7i!<7R)BoooXBNBk=>BIgmjC=14j*{BNjVv~PCPJOSLI|G z_&k-Cp)k45mB(U7h%54qGU+`5t_b=#^yze)Y4wh53i_=$JVQZneP1Z1UnDX-Bg7s! zg=JuiL|8J|NpY>4^fy4?GuRPL@1ouDUcfKzHnJwO+9roWwsyamXgH3hUcxVY4P6B9 z?k3&uO#C?arz#othNE(_D==?<3(8385TChh%8ez*-YbmNUZOE=7d@&4=S(&INF@u+ z0VdFE>ius~cEr6z#-`&plyKb%TpGk({oeSTk7prtXS~H<&qtO+Ix*Nqn#A;qHrje^X|wA>_G z7tRpO&+&2lg zrgrsH^y6d12a6PbpM{=cA*BXIkz(+K5OY$PWD$`BP)R2~qN&4^gNb*n#Dobu*!1L8 z3RS-DI%ZwFMUwQs+q=6aY~>Twwo_3Ust_w%*g&=ck2aFU&{RRh9&%QaLD zr{U*X4W$3+2Y2uGl8(QPzA>4itz~=@qs;=k-{%K>)xkHjcF_99C{M%Pym`^ZqV%CE z0a7G|eW?30G8j)6@OK8_MjUKNs-@u|Z$ni($$M=Iv*8%rDas^aSLf1z{YB5ZyZz7K*N8h84 z06h(PD_vCGPny;RvfrqD2WH6anxelsr+R%CafU({@2 zL}$te^6P+3uLpZo`tmP-|8x&biN_UWS9O2hG6FxPuACp3@*tO|xj!?AGesl(J_I<% zJp*VakV~tF0Gf#x02K`m5YHU~&`dl7XeL66du;*x3kL|{vIV?ao&k~I;q+vwmIo%` zCs_N#D=(7uEj+G>OOF``Ijdq$fe7zi-}M$GDs$$e1w?bQ&bkmRNT2q}WA@yV=fUdW z<0IFn$4kh@W5DNK!QU!FkFt~q59kgESE>A-edm3>I@k0nL$`4JWtWR3stSCn7_E0# z2Kz|~2|a8gR+RZRyFw;96OL^tc$ngZGb~3c%LVOhL2^iKC}zqBsb$N!zexr{eQMm6 zT<`77W3m%3e)u&ly%OUzCL1yIvQ|bbIwuT~oAAVPP@~8I3{gXW@}(|k-g>Moz0f_G zOHq&?oiJ#j1rPE^4O)NT_m4%pkSe7AT5;@2hDO91aX>8-|LpTUQ&VK_SpQDwRgLR0 zbbWQD6FZfG?D@tMu3goDv-XaUNju1{rK>^W=lCg$r)GnAep_F8k_r}mz$=yJG9t+& z50oFF`ZtHyF#(E{0Swrs59|eY$i_^@(Sk%Hnq`TYPN7QElsl+SaPqQ5vnoYz;%TtU z1m#Y7iC||wi&J-$U?&U2Chmx>M$wbRkV)K#AE?kn$vz!vz|^AW1g{I9j!ZIUS~P(f zGsRO6l@ltRZA?d|dPHix>sCL8q?BpM&i}Sb4o%pK7Vnz?y<6zN0*$1BB7HGVW60$9nzBQS^T2c`Q%*cS+v7ugg z^y%wpes$&1#~;uy!J0+ybu+4UGLE$~gtSe%x)4k6B_*8QwC?R_ zIsD}-qUjQ=SC!VwuLx&3XI$TMrTlVHHsCs};7)nyG~}Wab5+Pui%`hHbx@Q3a=Bac zie)`k<-JqL2+u)qAk5S!u$11Ee3mPMhC)IFk^QTGh5#uBbp{^fy(s8@9R)lW8Uj}n z2H)|8H54}9yj^KIdHcEROOmXP*1w_bkRW&x5`-0XA{`WVx$Bq#8Q2@dfDBO0FMMw+@^;#G6T)78z8R&2aNcAhGy z7T-MqWn2;V9g;|`hBjOi@;vyzZiysz;$4MTdlIsC#kiJBqR^B!3cJq3(N~N_MN9@g zU9ChlRh?do){}L0lwbacYrC0(7gcS&{a$pG5_U==Nvj{g+DHNT|1wAugLU`$keF7S{7is*f=M`vi`xgecb?2>E#_l z>6>4=SBT3Im=GyLBBDp%6q~=P5c~tIkD2;LTUo}}yT?WMxD&SnZ9PIUT?$(8r?GxTmri@GXZCxqO3 z>!&JntjC39{y^O?xzWl_N_b-tIVC{L>K^o>{YGB&L@sp<{fHtNTx<0nXy> z7&1i=79@!c^jdrd3sB=cgo~v^dd0jCX~^%Lb6nqb)Jis5fEyEmmNZY-?XywG;+xlz z;3~Ub%XmUhzmqKvy3YcG8)*3Q{;PxGgGiu^eMN;jXvr~!i7HPUBIEH=0jF)&YfLf@QDXL>INNM1^eJ-vsa*_gdvtw z!kPNlvurBt33CIcdj)Rrp;boF8cbNj&X90~sRC8jd&_Ham}C?G&%-5)<-wZW6r|2aIqxRh+9rMImYRjs z6nwtfTwFvi{^9g?sXSVwO8cQw>YIB|VsDJK?VR_@%>E1KPRb9Tz4RYL#OTjCYFol< z7mT-Rhc!4=e|WlmP!}4LZI(dS3o}=JQrB_?|@yNy3V zdB03C0CXZoN$?}H>sMDvHI1jGPB~!=3iY1gn^lH^a!lt3@}I^4AAtGn!11Rkp@Cnz zXKUf`fO+WpQeaYWb0fSgMrOYLdDiG2vZOA$ud2#Ao^Tkxz*AGW zz*Fg6JRZ!2w=W(?|L|yj;lQ1_`2T;qH||aj4F)PJI6X##)hR4gA~j{q`ev`S%9w#5 z(P?d$Okp&hmr>iLc8+Gd4x z@qRq%B=i<}L^WFdxPpPT-RkDqV0Av9Zvzn_5dvej%M7^gZuYlVod$D~t-@KUkD)__ zE+aE9cI5mVxVY()DLu8!fdzLA5pNo9`WeTGl+IpzL_-`54few)z`0^>sNC&qlv4XtJ%($zAkRL+f*TgXAq`+=2Y`)heLph(J`mpp2JQ%-q zDyTv019L5(tSk722M0X&*kOCB+KDgBd4c?++aIAk@(uxflR^Lncr&`Cz=0Afdj$JB zC+3A4xUpU5ez<)k)Qcp-;-q)V<~^W07CKdE-W%GfaWHqn$#R3NxkkYs1hm`pdbBby-xz4BQd zx$am3!V7pQ60+H!&Oif9JUDlI5K5r>a)P?d(bn7{BEmSpV26V-Czl}g7a!p)=%U@9 zUV`2X?N7riq08TCujCBk?AZf>AiePKit;{(O~jEG6_n$61_bh@ZAICcs95T)3BPV4 z6XKsSHWXe+nAtEn`8cOReUxm z#fT=o8xavJC!AZOa&H)y=mUQM9_RuKbfXp2{aKbVfs!H7Db@)kNoE zWveO6Ful1y zgFCS)%sV6|;Ii9!zmY~Lk&K{Kfz-;I{+g{NT`4UF@z>&74C~_psInP8Biq;e+00|7 z3o-gMWo;7Xe9YbxH|-SdcwkBihUb=uVg|diqnHicl=6Y5)k#D7U8665F{mlHV?;IJ z1H<9cJT9aNi08xwv8chr3w%ZIOiZS}LB3w({JT6x!wUjZR9$?cU3$ql8Cf;=kIo$$ zN!gcZ=7sCHIQ|8q2JO7)cP4084Glr&C3q*rflG~OQnclSR}J-|;K~Kr4^Xq-uwD9= zJZuy&?;0bLyBjYD4dz+?RtWO_Hb`1Z6mu*=9qBCpQmg!|b@MtIjeR$Fd0||xqbYwg z*kh^U_^+7Y;&{_a(~_KeDc9WiEXiDhgGy**UgFk#nZ-!?<%^X+54RA#Kc_#^r+zZE zlLb%l#2&uPx?0uX%;SB4o{B z1a||}eV22zz!j>9ivAl5ofE^;9RfW{XO`(y z+6^D?OF_Y;Gl%6Ze?adx0CQn*HCu8SfDfaQ$R?=Zfnh@NsMu{z)m7SmS*P$vOU-HT zTdH+Y+N4sVm+^bG3E@g?qZH(B=k*MU6|^%~UDXy$%t$ky2Z*Qby}XAcZWEK=OeILZM(# zUEvh0R|#NsIG*_QL1w~|LA)CY;vrL$oDh;K$V3XT6)Dj&?07SPGL^uh2~YuTnThIe zPlZB#>N*S@-FT2SdeHqFAaMF4n9`PEX9+RoNgibpsOa}q%l}AZECCBoJuKvm$8vf3 z5RZtuIp4qhNX@#VgDwsg-G#PK7|e=>6Dn*U{m0_3~1+;6tV)+1>52C+(Gz9qPlvOR_trK(vR2#rS~QiB-DHaUfec z-sRh4J>V|gNh3Xe&UY<~e3F~D6H~OR$_^vlKwkVLey)qu}+_uAlY~jI1Xh42R zOe&}cSaVZd!5{q%#zshMIqkQUP!CSVM?U|#_kK92kQViB;)KQrVu6*Ur_NO=xR86t zJKBXsZOMCI4UOz!4@xw=()|15SswLE#F259b`e>l<@tjT#B6VIGn(G>sO5M}3M=g( zjbs|GQ6suykBlqFymwawa&(DXd>*^7&|T$28_LLmp?Jm@Xp;H9sqY%8pM%G{5EFhk zwSG>K`^9cA6BKoG`*5vvEHDy97~GNsR#7A~!5s~Cm%s%stCR8d&EG_nI^r7<_FzNm zYGfz)Fd$i>I(_~8Ncw=^QFO>>&u-Ro3^67OfF3Y7sQcdw+T#IOf|Y5tNoE4CP@7ap zVcw-(mCa)I$|pHU$1Q*J$tbwvn?>`920M1ZXS@;wYeYpU69Ef%Vh^lFOpEmn-=OML z)Y#1p3RDVgz8k`9mzK89V5RbQ13$vQ-=&z*NKoBD(MY01qKGt@nG`f@MNt=e=Zcd& z(e4X%I2U@?Mp!}#=+TQJ&geba2qc-(j8g`G%-%&ad#1BxW@C&OI1`^qF$K$If8mjI zXMZUV<=>EdETxdR3R5C>39q+zXhd(TZ}_%$(8oM;d=PWlJf1us8+@+6TM1ymY6@j& zkTrmaj|wW_EIkn>2X1uj*Y*$kTRIHzFp`LYhTlR3>|`f0Fd^?*K*OK8Hr{Uv{-=mW z4D`Q}3RO|PzTNTMYkGJI28Q7a>1{wfhy>mW$hrDR1k*kNh#YR z%~o23_&%hdCz0*`%vERC!TRv-Lye{#1?lcQ%H%+PgQneXPt@U1fjR+Ge^Mmj`e*+D zMnb0O6#3Xw=}~2oeBL@{vzgRCM7+By&rjd`vA;GOOSRgxyQM;gn2NF!nz!^>n&)XtEHW4Ola8YxVvSnSa3;i)W%^9L0~Od2%WYE`>43-(dR zw^V#CvgcT32d5X&J7fjJy|7@LPd5Oc$xP*wO3(t(_5UXUa@iM3|98v>bj1HkDboyn z(>sl=&nO)@f)!J61iUviwGraX#>civ!xfQ-mfkFWDa>mzeBtAxaQKgCSS+uNhkbtO z)(WY-cB$NMY01017*ved;6_d9dWOYS*M4FX^`re=kS#6h3}=;z#bF{{6OJs zdpL>-Sk(EpwMeB?nj2l zL%M4C!?4IB{>XK}+mT0|_Y03B^SXYWOYtsMZ<>OmN$mX)lwBD>;nKk2_0I+~+w=2` z%iZ7&%!Y#(3r3GQi%kO0a{id-WWa=m7cwLm+J0eOzr{N730VGtAKJlTsQLGhglrvA zn%hn4H5RH)e_Bk$fBF1^%=!6G*!b2Qua4wG&~4A4v9!J0F6asU+gi`UKG4yMv*h>L zo}nmSuU`kozV6Cd@uiAa^s0?_NLJcQQ}iMk-@e_L)|O^>=0;6aU$or)iOrnZti0~| z$St|ntL=RN(o8Z_#GHf+sb(#YR!@v96W_03@hwpAoPgS>T9q>QA3sJvuIWDZIXon= zBTo8{ch>QCs<9qnQnz3q?NCxinEI-))3`R`I}%Bo-kKH!s=1?vNNeSzrUdgNrnRfh zzr~st?>fZW760|fjV#Hz!u8lU`;hSdj;^$M=gj00eZ1h*en;TLbf_V}!*IG_^-Jxo zD<{ldUnR9nl`v5Tz~d|Yyp{MjCZY^!)wnLg%SlXz9lJ8wiAw|732_X_-|8}E9-0x- z!mqps&HCi{(~yQ-5q1GmW>g|`4A>K}2II++T@`0whYD+)s$(5~$1AO2_xjbosU0-z z8jkd(G<0~qD-`Pu*$h|Jj?x$8mz>}R8%T7P`7@RA)9yqPiMHpkJXS>9=&Ux%BKq3& z`bPf%%uLGfqg_f!aHVN!?{0A5S-KmdcEDFf`tllg4^u+a@>RV$q#8L zI&J!<7a0s$4@*MKM`xLWS?LjsZ!N^j9d>%JNLhcx>uKSZV+fTy7&EEn*Jv__x~v<~iwqPmu4-Y-isbT` zB+U#I+Vamy?HYAP+3LZ>uNwf4DO8dW9Ky6#Y!43DZS7vLS`*2yskS_%Tfq~KEP-}sCKmAU7&)sq6biJdD>T~#0f@7WA0K;8OM0ZqokDL+l%Z--1 zoaQ|! z)aVA@*3KcZZhc|zqAM(=eG>DP{>)WQ>hJu2^j-M{NomW}!Xg}XRxH!7rj8PiL#x}F z1o*~|e*^`^XP%L{7Jj#ho26`?&_b)^ArKdyNTuB{E`TKqeldGIvI_)MT7)hXDy3170OxT8q8g;%nt-1?Zk zRgx@wb-enD04ii9;mM-p|lD`ZWbGRpjT#^5fxuWwa9LxQMn)p*V8iqwTC%G|9B z_?g(LE!dajinROYh_jV{QNzE7*!q|=i#o>qcoHZs>MQq0rE$5(5`DR&KlWK~S6}l@ zPi6lxCy$@t1?R>7pZ7`e~(t{52r6=B=L2DC`AbogIG6aCFt#RQY@LyXyNdmN>g#ZCgZ#|?Z4o$7u z>Dmw90JD_5X1NJH-2cbw2uu3S$(kCG=7Oi5#YtM^Diqe!fRT~2uR5m|bmE91oULOg zR}=;jl1OSD74M$^%$g=B76_tMeHIc>MMvZ`nR60T_>^fNCE_yA_ABa zk$#7g8Wd;7wPC%c@#Q$IP&Nmc-Br-ZPE^bNHvtovI4LJUY_b^t&iocc5g){U${eaLG>0&uU^q4mh4W$7%v(cYYR7h?@ zIR{pXFG-j*>xKxiz1NmI!1!(l#Jp>q}+Qpz-#GP z7W(j!>*#v6x_xUwE@*T#4plOnrtj$5-4v++#<6_b02B<1p-5)aVf#w9T5lfBo-%7r zN|fl+5Gd2^2Wsxpp@(WeUSZMKZpC!JrN8MkkUs0F4%}9;M${U#!j7C!o?llpMpWD> zBU9WF(CK1R(6w;C;(heT$#*I8sUxq~yB<=fC?H+ImS|m*n=q|`y5kbV{uYIIHzQnG zu+u3XI--fDV+ApO1UH6bLVoix?EJ6;H>RLLkZDw|`EE)#XB;@>!)6$EW*8iP1V0gz zHzhGRK!Fu=#lv{RDojNOOA;o~Fc5LKFSoj<5X<$+Xyb;lN4t~@ZU83CmyHf1F@_{6 z?LkR0HCGqTfAyNvVl!)7b2}zi##*bwS%VRYcY@5@yY>5mqblQ2i39k^RDJ!Zt@C!1 z3vh#?CI_%p;p%1R;-bjklB(XV(_yeYIMSzi?$|4&ZO;)N*JK7q zCgahsQd!G%FU1)XChE-@F#}UY24Jcv4!6gm#dEpUAojfatI*r!lb#q>i1Zr4klw*2 z2=0arQzQd7+L8dLloPGv#izIKSf zq_AE-Fy(uIRr5m_xZ(nYch+*Jmc8)iFD3s5jvK;*~c7dR>Fudbq;nU(ZfFsV-Qj~ z>8&q;lYQv4 z8oZSy5i<|Em9{g5>7k?`2un|lAwzy=(L2n9!uMcY8(o_r!((zfglGR02&Dsj+poZl zg@DTimCAM1wdVVM0n!UOV5Tt2`h>eszGT=*=K%EAQ=x0uAq3!xjQ$rO{D7R8Fn@eQB{yIXMLvRC0 zTceoDe)KD6KKU?#b|wNxH3!0{VuAUd&nT1m`e?;diBgnxa=Xt3;r@Bylh#gPi3%ER z2WCop2hKo_%&B0G%-4>Z1(8_Ac#nTtFg5*dS6_iy*KNe$G1w3+8WUQ5NlI(^cllUY z_LhNIImnWr^MTV`Z&Jl+!0WOPjxlwb@4vyHiK^|Oz_n2T`J#_Ldvzto&Oi! zv(R(rL+rhU*~;w6U)dsiBByZLt?Lo5AMZIgM0c^B*BUi~_A>ZrrmQ;Lvz_fkjW7%I z-?qEED-OB4r|+bVkY<&7I^UWzd;W!{Z>5lZ+A^WaDga`}uCqbwpz!*SdOue(S*_>O zia$?8x0AKwg46xz9VA0Qad{YJH-$s|m=|6g;gy&dxulkMfU=z_!b9M1-0aa_$-M6t zQwj6ve1>&cdlZk|yoPm1vdG@tHRrvW*|o&j{JsNkB@^2N&~E;bZ?P(YINXT+V-1)#89@~K2x$2w2%F>q z#K=DxZt?t;5Yr%D088(utfD`dT?6D^Mii|TAsqBOjA)>RVGM|eRZX(_`SpkQLQHC5 z#Rv>gfl%oQR0?n-3=QJJFFUai%Y{i(V8y%rf}PyGqbetc?ysFYdqH|I&lfUN&)Tf9 zf}3dHp_=8P%%Nc3Iv(uSJ5R%-4Z!ESWdCA=%)bLu^IPt(yw`GHa$n1_8i;4PadYXI zo+U~Q@v98c?Y2KNvphu4Pa;WA9LI9l?0b9-d;PKW-Om)%+|M_E?)Zn7HXS&8+576e zz>TelkR%L{8YA=!xV!lyuJZ85m*04*`ms>tloU(@eIcmhg`R?{@4tOgE!ix;mO zKK7TZD{^)Y5TJr+o)TRCS)3h_ZSB_D?VsI0{q`IgbU`u`K)NKAo#3qs>|SA#;QDkj z=WjL19i|1$>GRcJI5rfN@$FK+aCFJ!-)-cqJip7-wwNqU4b{T0%>Dtn)Ckf_ps@Wk z8n@9Y;VIy|s*X(Mq4R9m2)HkP(>(TXYLeF{@ zyoH{bs?~paQ_GlF9xuMAx6QE;YWjS3;;mDCery&`v?GnFNF%<+n?p(XC$_oqkJ6^1 zoVh$dK=VB%g>q){uc|!iPnTG$JaV&}u4VZ}Cv>mk6DseaXV%>GJNm%ZG2%!NDLFz| zD@|;H@4jC(Am0Dzv_u-1B7m39GC1r5K(mjz$EFJe#fUJq-{WUY{)Q0a&Y4b22ccwGXhMyRj2W7SIR^}%)!49Jc`#*@!C+ta@Ba+2qK8oTMTY;3ucss0GQGjE=T-FibYwQ}sL!xp z+-S-4`r4GWUM(#VzY%vSvRHFyLZR9z_g!&g0WL<<+7(y1ESLyMtB!N4E!I8@zRY@M zy*Arq^+vp$cgAyptfMz6A~SbJo!#6kV$mb7!`C}-L8~aSzM2o6?%JwL^+je z8GSp@(WEC{iGnF<7#u=_x@{0}09%YEAT_4$pU(FWZp{t8c_|NsAs-JTqoaT5i>%)T zV!Q~R0})+XUjU@~){p;WT(|z?T^Yj;$Nzx$Co&WLecCdu!M=bvvgydy-=kh*om^|l zw3a{kB0g*FhcFvQ-2I zB;X#fuFfUrsKwM2{;w7PuQ?Cc@_}?>Y{WVamZ88@)J$d~4GUt;p>l2SY4F}3@Mw*= zlbyh=gt~Wef<~wTlcJgo)@zi4MM)+AEK=a!SLNh#+8c}kixiaVVc};y4Vt+7*pw5u zJAvV6+M+otYSuDcslD9xGpFB0bP-ElV#wcl$3>puc^ISWx_r;qUqmF`Iro(AvFii%tPgw*gzm>$697=p~b8B?C^E1u0Zqu(c2TVyQ#%d`eT`~Jl54M zkMe1qh8$R@iRo%<;0TJ@o!X0u06@Lu(`|BAa{xaG1*VHr_(Z=@TK@v?8cgS+NScmC zfTRmB#FI1Z6smwJUp*P2JJHN4*KD5hPllBx87R&W>i&!QAJfX3!66pVGK2}@#igRE zoOvd46UVX>YI#uiW~h6GA%}rMRTV86*I8F^ScQdUhpZHbL0UqXI`jyhNWXG?-sP}8 zz$D#C+}Y)DGsGIdil*i5gF-34v<*lp2Glup_z~mj0uWct%b0L?fw80J>YNpF4-85( zaT0;Mc^(-#@2Nj!V`BxhRYnJH9~P*+E#pGW(^TGXD||SIRuBn$9`kf`sLZ)R^^BnCgn z+w23W(@H34kY7w7wN|bT;+f}}D8SG|OAl;_#D@+cCuP{7BL=BiaFHSzo1;wgqL7Vf zVw8oon=n+#T7QAi_HN!Q8xf57w&r`~E&9 zORbL1+(IH-eYss?y($x(&G+B-@+rGjY*z5*V6BD$F3mnvdotAL%V9p;x z;U%F3vdnui%HCnhfSL12RXgfS4v~H_ToaN@M0*gxQPP^a=pG0iNWdd_as9@;e?7Pr zIxsBwc^)Ri;(Tn5_t%K6yN4I8&0D4K%h?@K7_IY%nH8*ey8Xvei=<3_ViyPge~jx` zd~PUb@`B{2N#9K_{Yi-9q-ruk$i4ZYwwFJM1~Q!u>^A>(y|guPLU;1`K$xv^zvuRl z9e?`hobjl%R`bxaJS2HI^@{x~?^QiJZ`!mHIS#~}4=&hX0c_&Yb(G{5*Kg)ZDqfC} zia{9yZ#tvj>C=>*5I~E1?);BqY>aJoG$CnJab95w6cL09Q`7}Fs*%9_t8hX0Rm5PN znkO9g)r^NOGtIX_}HWp9rP(1l*!<`;th$=T~dy_Z!<_e=kGM;qp& zKfI1t7iisWH9TSuaNZv9%}P%0=~2P-1QU&mN`m|Tj-ayyyj|eyj^it7@Bvf38 zGpI*M7D(+xfu;y5%Q$qX8A1H_OD8zN|0`ZgP#B2UszG-=&3TFC=#={d$8jxpT-@_9 z3G;M$c}|>W3ETAr{N@F=oCS6K{Z%_G?5;p77P`@1bp)`AW8IaK?WHB zwPU?fz0*uzPx#)5W<;SK8WRD(Rbp^(L6}JQLD zFxS5|Gzq5Tt&CGyUX^|HuSR1_+(r-NcKz@c+t*URlv}#b$T%=wZ4Sx3kaQNQ!T{-> zOJ!NAIlT(yWFAKhxz3o&xEk!~132*<3u}9s`?QgaomewCS3F&hugI``| z=E-ZB$Sa@StNUJdHwYaL$Nn7oSuq$NFw?=is+d9b`PGMjrKm`ifr^?On*@YB0W!Um zY9h``E5KG#Y^|0g1i_cmERZ6_9!}d zo`wzKSxmalBF;bK5esBy8p4B@s@sJ*^_&%UJV!=SNt@z1>+?Je-(FB$724B2psmTHEXcR*-Bn* zC`u8&un9B%)^OK?{{!LcK8;w5%JfVV9PVM*Tu}UleYMTqU}Y@B6tDgST`&d%_w?u) zhg|HoxQrlSGo7^RcO+O)jrtc8I|G<<6GYHm6fW1?#>mZcolHY8Ro= z85Zb-G!*E(S5nDL*D>0ioS)s@om}=76{Lt)$F1NM6~qWs$MvS3ERl&FD2Z}&#Jevd zRUg(5(czbHQy-pw!Sa1@4||Mpi$0H#*-1~c<~1tw-m)fTObC;8kC^b*q?m9q$1&s6 zZ#B)}0YH>Ks>lV^Wu-uH08PewAAhm2yt9KGn|mDPkBn@yF6ad(!O4lLp|J&c>QnM> zYKYy;Mx&%-Cge41h-C)UQJBRDlY3tfzDT)YeMS77ONjC3=Jg(V3f8yJVF0-$wcxc) zzfQFBi)zp5K`XM7TWxm*Czor7(@Djz(CD(>Fh98P2LMPfMLM)w2 z(23|%q3)^vTVunAa2=Pm`a*B22vnD~8Q?56pr36+-&APfY%^$DenOP&Q#3hW|3P^; z&Zls4-t%=_mhW+I`r==-_|cpu#Qc!Iy`+}7SX{V`R33GT8_oiE@*OMoSF^c8K_dA> zK~Z@?j&>Khi@c|xskqZL5D@~w!f>tJRJ8i|Tf~_wHTxRQYXg9S!eIp%@gN1o1lP$2#)~#s~2{1Hj5w%kZ zHUjM!uP;pE85y@Sj>>?ML^4;Gb`6e-1D774bPgR&bQg7WGBApS62hbbZD10|A>u`l zS)<~z0jBL%_aShIQ#hfAp|7Y|pVGr?Ur1n#ohIK91Yk*a=j!ar`3jUuc6ada%FTb% z{oddCNn^3ugW(Dvdsj+)M*DH)Zy3j8N7y~D+Bt2NZ^$At*~>AXb|UD!kAal?VUYEB zfN(>>Ll^emR6xCp%zZ?J5m0YF`hsp=b2x(gy`!W!(NFYHxzm+#^-~#T6Vsr*7}j^I zbIOm161clk^gq_6y07qA?}xA+EwdpPbUabassdbuEX*ot$y}D&l-QPo2FH?J1?Qnr zK({AiVRmZOtl?y5Gwg)hXt3o9qgnl)xXYh_O^BbRaDG7F9U)Ev345NdV8==|dKLR86@j2vzsiGL2 zTXzFPVJy!k6%qLQ3~ZS3gtcsjW55VH2CH86i{{*8MSWEO$pu~ZLUL{Vu#{6I*=N54 z9n@;EACP9xt8+k!-hC8dPhNjIlxfHe@KF5oAh676Q|6hyx?8OCB4d$nZtHzJRiRBP zmKNm@*0X1vUIYUn7(MGUS5{B$DN!7Qof+TiyAQ$0E&hlPzPcYe=wU}pd~x?cEJwr- zU7+YNaO&=irXovcX(cM<29K9#>IzTF1go_Rc7vyZwzRUwd`^?)SaNve?zG)1H_iDn z+`S^A-EShGy$P}{wOpopgD>^=fbnrfrZtM0^P#NZ0eLh0q08^R={>rM`5B$-+k(fy zEVOev0^<`ptGkHXR{a&JeX)UuF2Qr%sIM6)kWa~FkJ1Ay-t2~xg*IAWCJc(Td!sMu zD$27-bT@=L0r7lW`sWd#!8EA{PZ+Q${8L^Elz(CggJqH^PnYD`+@mX#^|8m7QjCFaL(fTAs<{3Figw6V%SHQBb+3ZUkq8Bi0hH`DgKF6Iua zTe@xaxS=Y*TKyhN)rY(d>38ad%g?DRQlLe(0WGSHvA6OHAKm?il&Vd9z`qCgyglq| z#up5eWG+{&ns$YOzk4}0K`|2sfhvGFIGxL$#KD&Qk2tz>ZP=4xrt-25Trprk9&M|I zPx5fkW}6-6NiH*&8K@}BwP^!J?GrU%G`Wxd^3)u!58nOzzE5w=~?6m zD&~}KCvbIYhEMy0Z0i+kYC>j~hC4-Hm^G ztlBf>>Ev9HxjWD(Zx3=nrvkfAf_jABMQEx%gz&OFGF;;i1OS4fV`&dSnEVxh@a>D{c!lPinWVY^2=a zR;4vw;nufq3oXwnw>@cbAwY`@bzk8=esl_3s^QGF6j-B)(E-5kL13_e-0=tef=wZD%RP6da;Xp zN_&G~cI6a4c3=z>NMWM11r+W|mUpN8EB_{ts`ACWvhvFfjR9b+`*KnwK-QTIsPu53 zr#{5IUuaYPZgBcgvR8yU>^ud^-g`P-NrrH?@gmnL2OvJ>OC=!JsoaIt8SnCD z5Zt@fO+IG`QMiOect_VkKwqFHfjZJn%1Dn z89dHO^ZK@Z-m-F}qS&ypD*3o%Et8W9*2TSi`p1-!vQHXlSKI{3E z49|){b?u%C(X40aKD{wyUaqmJu?`{KH=kJ| z(QSq9g#<_Sp>szo6Y~N`Xu0fyL0LKd7C5*(cqub?&hvsA&y8hd{y^lk2`jBz4T;6= zmn#dnB)4II_;m2g)=y3s=g;_ukhDr=D?8G|A0#gV7PR}t%W|P!_HqoTEqYUf*JZ0w z&zOha@++rk46_+JXKU5?zEd1{cLnxRV`@gq%>{ee$|aseD|ReiN+=#%l}}}#Uwvth zX?x4Aut;|@e(15KP|J0~=*<<(*cgeR5KNCrI8H!=867GU4Dw3M0lxwLAGW>(9O|x( zJF-i%FJ&8s8EYgIA-l1UT_TzmPqHM8ecxsUGuY@pHL8?-*qeZtv<2tb{#`-ABU07X~=`kyfdaGS7sQ{N~IyG!8!?&s-M^_ zKiw~W-5jTro*)?lx42P^aF(>ValOpqMh{biDct_Bx(pStLBM}HcZVR&YA0;3bSvBX z+8U>%vND$>t3#!Ez+$AT}Q^dN%6lFv{}q zx0|AcCmps14Yw2G+O8(zXTPKj8DqLPfM7Q z_!%K(!3(b;Z3HvprOZBDnNDcJ!ApDr!G?D)Z`x{0we0bd|4tyZO=3)H?-JY3UTV>c z!!;J@`TeUh-ECj4V8Vo+a~_35pYLYAcb2bVU>N_RqZvN`^wbd7R)T5m#=Kj1tNuf- zUxImp48I)xmsHOS&wjnbxwrY*4>H?3oysbMeW9~$*LCUsu<|#N?C2mYeT#iWkTXl8 z@_FyZ9jk)IxSvo$F={v(mmgI8w_GUgN19&91yO9RW%~=b7u_KTWO)SUzriEtn}=^w3XR?@;h=R&h}pC z&@*$|F^)-!xI<_j;FX?Vewj8_9?_g~3>t7rXY1b8$IMlj(U_SNzAvcanJvT?9Mq0V zm=^Xwiq*^zh^Lqzg*bI*157C<-$JaM#l*n-NT_zuN#W` zW}6)MxR>3z>YN<>AK1yo{=$iq|SGQZ_t&!4*XVPZwbEW(L~LFr)ZMQkSEtxA)4gKx-F&GFY~+bRPHpRx_B!$ic4~`6{8do{(zm^0 zl=5jL@eYkHrnhh9^L2e|b;0Z9sIi+!;)EhX$q(TdA__g-WI*QK3wG~eWibM89G?b4 zX;Gha^FYVp$0K`ajVqJ!owFRYC-oxWi*xYt{X%(p}3@

    ~}i8M4v1Q=Z&KF>dOfxKC^KW<@-q1++- zFjb;K%BY-p-F0TwDW}kkFlUGTQ^g1yPlxT?SK8b%=mraI@sx z;#F*CXK!p+i?|v1E$mIPOpfLIE^GQ&OqzrY+*Ghdg(){!d~V4{rkiB60z|5-$!WLk zy9|McF$5mQ6p|gChCSL9@?Ti$X_4TQaQ^n9fkg%Z(>Bciop78W{o0%e(fJ>%_%EEu^~&*(ZSEb)O->->xa0f3jT?%KZ3gxHt|;W zkD)N^IfSe~lI&N7{>m$&%-GaPU{Gv$e7AEdyOww~n46T=tWzV0VsS`QejP5vK=@IeM0oX zYU*>MGeJ&W`sPAp^81X1MaH|pWF|2<3EE(_7x6gLVKx=S{jP{o9sQ*X3CXO|@QVwy z!JS&Yv4gMKg$5rWgQqT*?d}@3_cnZxR6o4w#NNov^{Fq z|9-E7)Y;qcrS_QhUWpo*f__O0;;sQn_GTH%I}zW0yjHUm9UNT#&nv=XjU-|v;S=L< zlF2zg5Uv9oBKdy--el$ic=*5wDDM{-n1tKA^S}k6VbdIw!^NC(ltmh}Ca)}fl5Htv z4z%UxOXS3Z?=uQZ+B+J!v3N+B)SG#RE%$8+%U#Tem6cj9yj(@Qr)TX(JmU%rWsoo5QBspAkzy#rN;uo(v*SXWA^7vEqL8omy??(A;-ZFY58_3ccq-P=wY zDgosst;*xW%8k-t4_B_MLz~P@O3`NyOw6P&V}tEn8KF6;C8#i6QV$?+r!0s*0Hz2)^O{bTjKX?iu7%nj3U>y5u}B}hc)SE< z*+p=_MrljQo8=N%12G{BNS|py7tMnKGZUHz4EQpglmy`>_9aZPXNZAjY_m6s`Bv_C_nY`yusWGU&BIu(Pd0R@Z_bUr@Vtf135pi^8&S z^pADT@T{u=4o#|J)ZvT??XFr2YgFt7PGel`!|W-2MGP2#(J%m`nFEZ*$MHm2ghEpKp}u&!W@@LLgpHhoXV_xoEA_e23=+uFGyxE3z@4Au=^2O~p> zOEbaUBNb0;L>cScf1zjXR!uP+N_+7TwUUj`yOX+bdAsBv4+{+E|F)~8wM%@RG{McG zE|1Sr*~9LLX^t*YVe~8T#*Bw*x8$*-yLY-0XVqT_E2lQrS@x(wzr77InBwcqt3GTI zkoCT`U`h~ARE<&kerR*XKeYMLR6v*OxbllfQwgFs`|=8;|N6OA*rJA3IuJSk_F6tK zcblLF4|iMa3Gw7=5>MLzJkcY+GGbW!r#bJXF_hdbF1^75Yu=V?e(1B=zB?U+n{smb zBkP}%;r&jnDrH{#BUUOT-#}p%-|1J|iN_vF3`%C@^R;t6m}k%07pPfg5wg-Ot2Qoe zZ1sfb!V(O4cJ};lKz{EZ25qPYNI6$71=2mi?^I?m)BH5N)LmGiBTta-HYajwlaME% zMwFX)PP1!as}eE~lHz~v#V2C%$h+~ne@P-k3o_VLOApM`2Tw{Q;!XA}h;LHh6YVg= zYsPyC&jOL5F0a)q!{kIO5F0l*A8$J8)woAO!rtEdG-2M4=~da+3428JVqaagqfK zEV_c+ALcr|?FuSS-yGs$WEDu+^x|S<4N2Y1&$8$Uy7v&X={TR1G+>$cU1w!mCW-!u zOj{XwkUsL_7_@U$t^GyBoD7ycZQ~!_R6kEO0B>$lU!3m`@bckW(@_*@EDC$bF`OQu zTwZgtOO0ygfab@7@>t9?|DmYR$+kVYo4r@~$R<-04GJ$)>!yDl*-A{_-Q5zqb^6Gz zCm}gez+tIbGrADv|Hy)-iC$!C#i0$QLrTSIY!Xe7X*059tIHyX@eXV07 zW0Db^g?P^__kn{;=aTy$wB6{az3_eGfv5XGu%}h{X9<1wWCbYRli-HGUt*kqI(;LX zZq9lv&sxL%HqqP1jyA~8KxrXrmB_>`gS`SBzAir-FH6^9L-(HLD)|#H{AI_O-QMcH zCu^nVSdEFoOF_k^r`1emjwbsoUwv+h1ez23^huBk19E)eru&#cWTMo+fhu1f0FR*A zNg~xU1QjNkONJq=$zVC5uE-a-K@ivW%o{Z8r7 zTDgGfXE&+)`D4|Qrsa1W3$E1Manx{I;~!fY`@%ma@P+H5#+~#cpUwfzaw(K&n_1K5`w5)Rx69G5`9nxZ1_D>lH=lJG9zBg#FmYb{nU z=fTkDb`v;@LC#^xaS!4Ko9Ie{gpKfudRha!l3JjUQvsW{^?;BLJHn3)h32r8pi-mp zy6gxgQGiJ@1T84oLX!`GEyPI#w=LZMprlmFAqqt{D@8kAQs90(d{&4u?R@$OKM)@- zfq2*gS5e?>^(oH>+&4c&f%O@*x-!DLzjo=>gi7nJZ>D%R-@6c!tQcQfpB1nY3B$1@ zu`nlqKk3S`iBI32gW zgS{RfFr{L1va`D2!~j=?Gwt6I=$O7D0NE=qda<*yNysyKZ_Vg-lcZL-Bx+8o?0MgL zq_Vf}gh^`@SXk0G!lbF3&r}KUz2d#KUS=`3^xFrMHb#@HCf6LX_3@iZLWXXx4i0pl zkVUa#LzNee^Pib=bK8B;)cgle1zEuKG;y%NCTLR$?mtuUy2lYpojRn2QUkKe9%aQ% z*Y5^@`CB+NC!`d`^X6}?isi(mX;f1pr9ukYcR~2g!0kC7qP+3oCUwDkYnSpr|L`lh zr4+D7nIsiM3i|CJMW+>Id5!RJn7)ioW0U|07v-$tM#SS9I3>skriCTnyWJ%J3{Hs} z&rI*Fr{QV-&p9QW3v6?nL!7*~V(S-{k_1!&RtXDBug+o8+Q5YPp+!Hbf|zGR_9T}? z!vNfLX4EFP`9+lq;{n8!x*|SJu0by%P2xG1gmboKZt(dzv4Od-k^dtS+D|skooe*P zdv8StDr#CYvcT-cN>H`2_R;RDz%kl?O|$M=6u z;KZd!{7B@H*)jk_O~N26<7Gtp)=-KX2GOIt+Ka=c2tZr_I~zC;{WT5Zh*bP<4?v!? z{LcvSS4WRoIp=9J$CB~g;Yim-voW}mQ@v>|+k+Pa-B0YhsVp&x`etwi!SiK(y8)J| zl*;` zuPlR#xf}?a(fDo-1ou_=M9g!7G!tMVBg_BHfeW7;6dMUXLK0yHq~byYG7KGfiK$pp z2=||O2wXh4f>832LTbH=jx5SDAL8L)dKn$bNE-q#y51DR) zJr7b{%+|p9k=d%R7DKaf%!n{sn*FA#s_i(JrXeARi~-bD*gK(@t2u|KOp4pOH`K0N z4s&MNZn(Lyx{;Va8p*wP?oz=4d_pFiAkBp&S{aa#SOc;Z`ld;<{mP@)+Sfp)Nd!rZ zHXw;Vg~6Xkqs#OjpQZ7$*@NY59_m?5v}>JxQp;gTk_{~r6IoRLi#x_Z9YY=MQ5|>D z>XU#^AZ!AcflrFZ)80lXwds?VcXTlHBXyKW3GPRsTmGx1_E49)P}jeemF5x`X1HzgE^j$Ut1jBN?A}y$I#XC4F7FzV1tm2xpQ82Wb(ohRX!;zP znecM%M)5aaaNp3M!SmX~89CJ=vEJ0&Qq}nDdkd$3?Vg2~?accNsE>C?p_c|v7@93< zB(&U;{&wQ$O@XQ5YEWpr^Wub0CTGY7)9SClTMOrM=IU=bm)8tMQ~&h6@vZwu-qf3v zm$h;ohU-m@8#yj^#W6fO&$@%kWowD9roaur&jODolqp0KL z0X+!26UjhFLGG`vFQ*d>-pl=UUoa`R7qXJt7jWjD_AL?HzJm*c8UuB>NxpC1>2F0h z!f3Wsx&_`+d-Vp4dUL_m6>H(@YuzcymBnYqV!RxSa}qrcXK|`)H#=t{JU*B*Oh-%X z`+O-_wMf^<`ks)F4=FB3HN3YVDr%7)TEZsoV+_dlt=}o6+xe= zSl#a%_lY_SxEvl!=Da@v%%g*V!Pg?9Fc};?0t!j2ldDT4!*GpVQnU(JF{^w+^Z0 z^a!~ETe?>1+;SfyBR*dGC-?}@?3I0)uzXg_n6YPBk^r{KoQvsy5H_ge;`Mbey644;45;b>ZN)5$-C$ii+@=><*~&^_8CN6lS2x}yO+a-+Y}KE5N8 zz!Rne)jI2lFpdzV0j?js;S~oD3V1b1kP}cW6+xQLoLC8g+n+cE7i6nhPjI|#fyu<{ z$|AU5!0eZbQK?}4(`l|~jv%r>uPYil%L?*SL>~mnRT_$|g>+w^fB$0^WZOB~_sUSU zDfr*&<`hQE&VUpuq=T@_dU}?VgL~h#Lo4&?S(hR?sPsBB^Poqp@qA!+NBNi(xB7FZ z+D+0AOpMu+nhvdUgLsdG=+z8^_>=L`tA*^0-q6%jw(N{-w0};+vrkh}w9mogiym-x z4RvWJ>Z|E+c4-@b*d%)ewRf61VB#9+)S92D3Ic@53J@kM;C#@4^AVH{C2Q2QYx|%F zDz3Pm&i_^O;HsUp+K$<~ANCT~VIK~9l*!j*H9k9UA{S4aFG;A|DqT($I&j=m;@sOC zO!u7kZf!3d`*4oF;@*8>A9JFyK1o3Un)9;+HHHFoyMiv}*3g%K-)vMs0{#;ek&y|r z4}FLVFf2t2wzN_xR!Wq~P6;lA3nnb-JF(n8{c!o={;bd=$=`>k z9&i5Yl=`_7XS=?^TGO|Ivwl11R(5w`<<;&BpR&6pQX0y!uLhGm4yFta){PPhN_Oo} zezX@knBq+=$h&o`eCojN%8R{Jw@=G)<)r?5OG&S8Ui)a(_ed6|F4SDOdw{+|=IiZT z-kp*yc;$nr``l-5F3h%Hp8?rU6RF&{niRcHNsP3wN`GXD!fz-4K#UC1NMQMMjVH(QUV(9IMY|8dZT z#!B>&!=sy}bWZ|$qdI*3_EuzA$D84rew|^KR3BU6c9WUmldUuhAfebG7ts{LxY|FU zpEx_ahoB7&lbPFj`?-4~=ZjE*g>_&s_v6jo^>mYE@`Th+(DQbGrv;wSu{MQuX(Br0 zOF^>D4>nQth#=j8Br-@L)k0^H{{~`r1k8yHfa@})GzWS>5ARe_oV4NwVZGTBY)JTL zXaFQtc0;c0SotZ@IS>}gP{4&OmX*4F1$3a*wY{&Oe2>jva|J@FLBPJtQ9%>ZBP@ua zlyjr(2&TjLaK`JW)GPJV9|s<-Ab7O- zZN%%d_8LkRi0fD@-bpDx_nPtA7UIXdQ4dh3fp}w5xJSv0q-0S4>T?|nf12BARP=q1 zEAPHt`S_QR``x(r<9DC44tS1D(%;tmb?oYhx=KyePpX%tA>k3Xg$mykha4LW|4HTd z#jmw?xnZ7RcJ|q*`YxbWe;p&gQ*XaItlr)-^7k2BhksJY3fZjl^ZzvmDmb&&n^cBu zV(UNBk2PFhKR$J0{kU!YNw2-a4^-5jpPl4Y{CZr+;Tfw}Z)Yv5m*q3oO~&-~<3}#l zYDaTN2P<5M5f_g9w`}}pt#Mg^6JUcH>4A_f4-XYZWE-;Jb&XROh7Z5}C$d>rgc|u0 zmQI3z8QTkJ8c27^?@PZ?<=WDX(rt`AT818xweg&|&pRImMJu+}=G2gQ%7Mtu2>m5c zh-y1dSpVyOD(8HqtFy?NuP@75DwnJiGu(9jwcfN2DO9bR-hRO}j6^`65k{CU8^Kwk zGkD^-rj?@`3L}@|GkP01WpM6mm~UT}Up`s&qupqn|K5NyMETya-wLlME=>l(jq;~$ zGP)*AQ6%k2?W_HFlhH4$i%tcEXp6AButUNok?~#M#;AlxeChj+AJ=q6Fr*C9gdg1C z2}iwI_{(G4F52KeRs8+mPnPu*^R`$08s1MSA5}7)IoKCloD2B@1D>3m2LtIZn}?`# zXhQVKcxr-l572Rkg8bjBUlbflff^|96nyt(1UEh0{!A&#+Z=G*!T+L(bLD^Rn0X35 zLHmv1p5Z`A1U&uMTY=D!!sKq8fIgrH_8)pn2eF&ADbESWeAf>B%uNcr4-H;t7V<`& zBD0lGvkSdKbm^yvk=dB>3Ak{a>t9=W47*u+KRo< zO}b8PI>YI;_2PfT$GhaC4+&|fCHB`J#l8L_tI8cwtb4d_cBT+@4nv3*jR$!#EhJF| z&<9%TjyQ!!uS;A3wT`HfV3dD2;4pzq!Rz+%bxwi z9snjgy8Z9z!G0r1zr+$wDTtt8;?dh6DJCm|(lT&HFih}h2@>NVOE2m$DLey`T25zA zb2m`VidXddc&N1mo4{bQSN$l~M7J+98`7Zjh5t$tGqIwrZgux&p45mbc zqQ!>0jg5!Dputm{H{3n0<}5Bg>+a<@eNyzA3xk_{9mH_O8Y@;;j#hkI@MCY+j3ldB zDmK;L8-~#0*(DD^c8d>oj7D1aM0^e<-tGy>t%TJ(ZU{-2JBnQIu3P=7pvvQ^&MYXi zHFtrHu>Kz%`{fb~v*<)F)_Pp}d3;JEAPT46e&%X=@Lp=R zBe%+Rrod)KLxz0l@#@#?8-o?YOY?EMrgPQpvvSR)Wtq>nnT(E}ZdPPCy+h7?qi3$Uv&JIRLP9prZWd?6E~+j4 z2Krp(@4^*If4jigJ@l; zh2abbww?M>Oykok8ybAaGsx433>zA!3qg?^HCxZ_i`Hk#73l7p5BR*ZXbPok9}gmfvTh%c@$tq8NiHZUUS)r;7u z`CAPmU4=JZ_180`hSF)3rd}?II!RZ>%+IHI;WjFF{&;bL_rs$$hASoW$^-eIvu&e9C33AG)JN;;;$GPLlIRH_r6eZ<@c$-;((WqN_21p z5Csp+t*JE-+y^lG%@Wir9G+GKah*zoPijUgpdu7$o~{onM4>W;Es3ol-PTY}x#Y)s zLV&bU+-!^@Z3Ox}ig?qc2*pEVPMi#cCv{t=0U=*n!3QwB^$5tWDMDDFLth69{z@?z zi3S%4)Wy~tIaVY<05cfz;>%K{lk(~mC3(&hWLO&5Wmw=)BT;*P(#U36a~qWmi}a)N zvIyEA&lF7=PdCkaJT8lP4sNO+)hWImUhIu6>8*WOkkO+%e`CP2{{0BL^6Cw@v#^i+ zo(=Cmpp~AyYa>?G_o&`|etzce7YNqWsFP*smSXc!=MV19u+=;5M@2PMM{%um2L-`9 zJKM5b=VckC_6u@toEJCi_xW+Y&Uhf@pP>T+S*0P%uGc57|D|$X@zmt_36`<$w_Z_l zGrTxw?=|lmZLS-?mEE(JWR7V_wXr>(yll%S4kTtx@QF`A2YW9dbwMVoAag9kswbT# zCU$Q%F%^IH5?CD`7mBHoc!9ca*O`zRe&4-76RW1u1GY@?5!S(WEE_s#Q;1e6x-s7}+ z@2k&U7>^RQmYdEoJeV*Lg_ z24$(sDc3~%MZW4~Yui+1EaysGSb-0ClSVgJWljs}yPo4i?>c3lP;-@oUN}QA!FQ&P zi@nfxC=q_q`!Ic}I?ocX>QNvSAo+@fYE|_F1{~wrBG)9gkjb5C9lnGeL02!zZj1 zpf5xZLf%5yN&3(D&ng>Fy!p{~-Q0R#KomLep9l~zZ_W5D2;67oE3?YR#52JDM(OF8 zGSzb>kwdf@rk)$=NY^#%j*&F-&zT4%9^2-You^){e11pEDwk?hl58Pt<+bSqmETTH z&D-1?cArMBH1lT~BAb)b!J!l!)(=p4&KfGZo64~}Kb^`;lTJ&xUB77XF-r`u^f0+J ziBTZ@S)EkayKknGc2|u*_DtjJ!zMp8uW`Kl(e-t^zl9;CD@hO=V0oYF^Ri-O^X~)Q zcIC*57*D;wj^+BR!J?I!Jim3Dc&naOegbs2+)A`wc4#w7N~24uzG|LSy3t3tm3 zM+t&(MUy|06_Ylnf1{b4;XkS;3Zp;Ehxn-~ihR9VEzM-|#fKy2q+SucBnM`NsLaqR zd!_|0j$5oImkG-4cdzM{ny>ex^mcyx@oD$xWIy#J@a}(j;=20NzI$l)IhVG^?M!)2 zRYXEjuM8~KRUaz z_c&BtNCm1LFQ>n+5HxBz>XS7lh*@M^obDk2F1JG1Ok~h6r^Ubg+F`YsTheLU-ATIV zQ7Jkc%MB$Ip*Zdm+>4X&CVhaX76q8Dbz-F+`An``m*gQ@ifUj3jCP#odr|I+t$;b; z=COq|MM271@+3K;}He5@uJ>?_n9tloX{vH^sd-BcAT=Y=uXu z0LkS^z+cb<{(>Ix7b<|iI4P_CyN>D1l=9rQ`FVO% z>YOgY{h>iAS~0l6Oi}08K`bv1H0MI8m~s~oAM1BIj}5{>PD}*={w)gxHw#D?7Nf`* z{8hj-sPVUsy%PjF7XNVk?~~@lJNa;X0?-DTm)6c*Yh0V9u+|~_kpq7qLm+xG1R62;XrC$D$P4zt~mU@}v4%b;_`gWQKy<^Mu z7iiyp^|Ht|$JmZZ76X)RdEw{~A>rboIB6`gQCK+ZH#@OHfDD}tdnyk0 zvUTZ^sxwPbaIg~MgEf@&6yF|qO(d-Ms3Vm0KuZm@xDn^b$5-M)b0%H%h05lytCyY? zOSZXb2}51XOj)4J5d>)(B(dFuWSV4fJ)&Lmg2!vGxR9-<6L&Rl7%_+#vRSwGJ`oINk-* zwDfyuWa+o#gFdf-S)Et|_EeetqtFL^O2)g%9jt-N=pjfLVrB6XkX09>))MhhA;eFz zQz`o5uaHaGg_0ZKN3e)*Knj{ziu&$Ga8CwlG7HQo_M_Ii(1+gSKl(V*00_LT3GSik z_@dc1b`9ui42cv3|Ae55jC`0*cB8f(h@QG$&Xj7MUX>_y{Gvhmj;ppfP5f=yX z?3A-2MRHXPVqKq2A@j8&Y5ptxx*fJFombSU*#+%%I*ccVhooob?>!fXZlbv>M3G6m{Zy5IU;{FKbj%xs+T(>-;<5(9p zLMcIyR4fK<$^pSM;h=O7d_#f$VB?>pbQ21QmyHj!f9DoUvPMv-Q3w>9K#&$k62X>y zNVNeuYuAk;qU<&$eFH&c6)l3B13nQ@4z5z!#l?+*hBBcw>+CSp*fs1>ywU@uJBE!V^ zxYb(Fi#^qJ6d7503bLgZ=X-uD2M6GRUsGpe{%RDjOH%)ANsNag)%Jha3R;?{`fd6x{in z0_DC1x6cL6B?D-~fa*pUVUU#qSYq~lS1ql~KL!C6TW4l;c89UIzB3mKZYVO?_0vw> z?2m=aUrDOyE`6|z)b>7=cZz=ay!WZ&z=L`a>SD)r`ksi?Gb`LdTlVog32|r7JbiQ< zz1YW{eX;v;vv!(Fyz(7(dU9+3{NkL{9WHvDeWl87<#S9_dBe*EVFzw+03f|j0S##s z(2&k87&%w8ze-9=jG}-0BQEN8!FMX5@Bf!RQS++iK^EyUK8D$s0*V}i*Of$YKL%d5 zJ!<){R3>Za-=AJh1Zvv}*u)d5KU!K9v==ag*T+F7!9DiRA~bykh%h6|P&}_N1h2F6 z*I?pj0Cx~2JAbX?vNJ+uoaf{WmqPT$`3|iSFR{1N^9ET#*_bH65S)ufUlC3{<;g6@ zPF)-y9S(!cm%PJ7Pd=Ltnv8~>xhQ-b}9_SzW(w;Qkp6S&7YylLb9G5J>i@=Le zI;TUr84I)LEJRrVy(miUnS{chyosN;C=}aBkOo?8>}eqQ>FNBu!f#y$#8dzhp35h! zJ6aNbOh^ka49IwaT_$j2Uji0 zYO2v#xB-gTGRz1S`cRbX@(vIAPpk}M3&h5M`JJtbQTmZ zx$s|JvNO;alnJ2(5}?%$|JNWR2ZuPoVmi*iLsDEOz+wqa$2jsJkbO}|u?7TEE%xW6 z_gF_qSc1*_7ajuX5re2t+UOiu2chFvIayQvMFm@VlB@T8+qH8y4Al<4I+e6*PpObM zAKd`I#-!~>&K}s|L?oaAbEXEEGc^ixCQ@vOZbWw}3mWBHA5if?3D@4Ai*yvG~`EVw?3J3D*z5u%B0pO=c|5Oxn@83$SW^qO zxN>$bZ?xp!7pz(Qf|5!HMvw$-d7S&g@EZ(P{Ba0J3~S zwD4}c98&Et&k85;NV13v+GLl8@76+aS5fxEp~yO=Xlb<<|AKF&#Xr<5fDEo8e>|lf z8u8Dr1K=9|mS0k`Ewxwz$RfJH#HE3ch>>-1>CkdU*o_Nue=D*BZeKY6#Und(PqFL# z8Wt0COD3cClmHv^Fk9`ZuUpa!#jYazV%)`p3vT5*#%|)|q|IcV=J9+qdO31oo{t$5 zcT463E9=$wWTj-4@m%{7Mf8-x?altD=gHyE5mCL*33OqNQ|WZWM1!c+TF{F<`E?W% z=y*JjLM2#2yNBjRqld1gI}YBl-U@wxFCxWMAW_lVet^4_4w0b<$d~+gbXeNVbXSn> zXLEbcVVw2k-3oWBdDfEyue$%*)x`MEt|p=AKf9V_pX|IIRX*IB99m-4nfZJr>$&v_ zge4;ZunaKYNc@}2B;RoSrUey&U-WpH9w+QPJ8Tb0U1m*>NK(HH4?4UPXmc+=E%%n# z%3fb;QZ(aM;>5l83y4FJCFiySvwTN=_CVC2ETh88T5rIXcYdHF7a0}e!FzFA#Z1kE z^VxUN<a8^UF0-QIg#z>$jH^<=Ja<29*ynHzJ%bkVk3Oa< z;nLNdciBnYV(+ry;zQwDZ`-{NFehGMMJPFI8zLpQ-c9H3{lh@N@;NLY*b}<25;g-E zXr*!(APObs2Bak-(ckSy0h5rFAdFCA(;?*>YyasU&=Rq_3zSXY3+IAvrSBZ)Zez3G z1R!NFp%BG03^qF41{=wEIrg}t_5dS zDRnOljU%Z}j~y-qj*FbZWH3}~O!r< zc-?CVzfK7#b2Q6SPG;9QSd>*K8q1A&?)*Yxi z@+*`qpp;O$!(&cVhx}opKe{2dp7Z}G?X#;4HPlXT##F^Ug{j)Jk^&7rL>YaEl7oJ6 zNw;G~I=Fo3gue=GCnKH-$}<0ole=5_!|Q-p9joHV^QHV zo&E35&m5mg)!vx@5%5FMqri4!KDIuP72U$r5_h_D-m-)&n7e;+^YW@{yFk&#u|bq# zYm}5m1J|XvFUzAo?N;x6(EcrfCwIqRqE9xqHqsmiwNY3ol`N=0B@2-r&&I7>(>9mI zSi_&E2fpO{`Y|DIXIXLps;OVml6c?HO`2H&lvvo9KN!!^?>sOK_)d`4MG}?G+@zI3 zRh^&{Jlos6Ly$IIP{!zsJSZ$(3wt1h{x7==wmzsJ~<&3V$T)TC#g?8Oo z=y5~4@T#0J!#*?bgG1_t3Fu)5>Fy66Q^v!N^7-96!GTu~Xh9_u9#9FTjZz7P2UJ4g zaXpCHyCZcFQ)5DG^Rl?)SR^R{@8E(O92 zWnd<&CM4OzLKHl0#13W&{&r#6wF_*wQ)kZumtwMJNxXRi+B63W3{Y$c41V>QVEwq_ z-wP_lnD8JJWJ+3HK;Z#qk~1hgAO{K$@Zj504rt-fFi?1ax%%_5xL6JQ_c^jQvUUQ7nCriF(sS5v235j_!Hu-S->^6P* zs5AOD;&%-FLr^Wi6I}c%_e*Wt^xou|aV|ORc>LzG+_j%gyUd_Ag&e3&5k{#^AtzkF zB-gdetkGK6;XE#}%bdhW=BZc;+^qhyspWp4z1c-wZ0-vKKrmfoL~wtAO_VUgl449j zg}3_-(6BlO)7xWk9Pd|t#;;)Y`q*8V_hrRPEpte=C{GUhY_e*2AmE9Q;F_aOc!RJUH+0V_bE=4(&4j$jYZ__6U4d)83=_>}I?iT3p&qtaH z`Wz=_<-kt*2zE@FGp>`r-k|>V`SA452TQh&SDgknW5-SxN!}7+=YZynm!ddw2PToUQ-8%~SK>bCbxnI1K`VUYC=+rPB54A=p z)dAtT4WiUZlh3uS!uUh?@r641A&5{0z`7vf5pRc2(5OHkoCNz#UfWblmzvbP`Z%3Z zFBkq*S=nCqp*78Eqe?E#GmHCQ4;twZ{YS8qB)m)~WaS4QvOdmpjsAxwPxa&qKD>D-5UaoWMVcaWR8l#4sy;=u3K z_nk}n^Qfw$LDic*8@JwN(|3)^FYegyBnmljGlFdomLaPUYB}simzE-pDMVZzziT)m z=E|;;kcE1q@@UF1^b9#+bK}xv0*+D+XBX`o@acMkzwQmz@3)<`K$V|QAXKykz<2)q z4|GfgyORYGtxL)`(j&=QmZ35qBiXlIC^C-u=YMc9MGcCrhTDskqx_%!N4_3AMxmHS z{+8bW3hG~s2%!)t05Wp`?S8RQ7GP8|*~NRVyOun?%=PA60%klNVb$fKQw-USd=$@8 zp=;GO|4v8ujG>8@nk&MByb0IU%{4W#no_!|r@MX^D)}voWzzB%7uV6V(nq^{$npG( zI#&0s4g1^^qj)%3ag0I80$xVJ{02SpW=Z{m$bg8b;LN!(F4q5#RcUCmj)+@MC#A*3 zjqN1F-7e4=`)*P2XNxi`P-=9Z4!rqv2<%0@4N8%4L33UKF4vAAoeiWb(aJwB;BGr( zfDROkpAubUhYRj_RPp=_9n_lTH3*$D zYFsg5+@b4kF{1uPwH_ZdeqL`%)z990;}PWBiwb2;<%4e*Ac8+f*~m}dRr}bx*?4ad z7N*a+WD1X`q5U<-q3CPlP!%@xPENSLCtb7Z#W{i4uaW*BKvo3-vMLCWXF#O`e{7CM zMSD{Xee)eth8b(pk0ER5hOG=w%F1%!GfnH{<2uX;ZW*vmA&%hAorv#-Ah^eX7{9oH zU?yJO_1qn%__p(AB0>7loH!v1BBW9S#xNW1Lq(25pk+E(>k_hUtCX8J>kxuN4<>wf z7ny=S?>3vQzba9vrN3=*r+IXjj&m=yKivdq1(j`ES#(3geLh`5 z3vQgw)+%lIaQ##Tf>($1t*IErGipJMzf6LF9IJ*|>F$&FcSCMg4XF#IdIQVXBi*b5 z6Hzx=vhX@qt26jM2pw<2;BK)BUC{Fwlt{Sh>$TqV`$feS+eJvCkR1={^>f^VC#&b2~$)i{Kv-}yB1L@clzrBfvbvFUs>T8!8#&yXxUxC1AR z!zsqe@FO3_exJ$ywlm}wD2pHoHF^Z;=J?!F%c!IHR{vHa!5vuSG+5ttQjyf&H{{I` z!s2wFu@OjWd3kf%-c`v~-T$-h*qnu~Hh#Yw;r@ohS^yICC^JEx^Sj;Ydq6p**rp6=+3PX~Q z2mb`L*=>S!0oa8ov9rU+^D%Rw7_|r*J6zt-6>n~AynszGbacgciM0~4Q0C&^OR4J{ znkvsr%2e*@N>S@CIgBt=LzCt{PABV~bmw#v`=$NyxL&DlDyYux$|l3o2hrFq&yyy; zI@7CCD?RenZE8=#%hs-P`Ql*a0^S^l8!*#U`^AGnP$sudHV+8K$ z&}ocT1wgm3RUSW?>E!XWHuiKXknX zR2R z-fz9PrmA~-dS)%C?yhrcpR@N#*OK>dj8Y?c`~(;=X;j7-f!ZI_Et*czJBMyNf3j!d zmFzV*iCpr3CMr#wL(jRkip67#+)gQx%)Ux(jbvwI_r*6Qe5w(%QA1X)3)Dg7(Rv0d z>nz;EeyBl;zr=gi>^-2M?JE}YE*KlNO4XE2iNm?*A=W8i&8^tfrPzy@YaV$}?CHe(9{__>1goY!zf;cPJJpH>~BA1OZ*78*_E5{P5~ zsysldA}))sHPk7=tR)yL`DI!UaB;Z-Ubif(s`n34=HKGlFr&6#sv!C=EYx3GJe1bo zMpSj!JXL~gk}^x`ryc5PPrGVnaa3x5!U=QXWxWy8$6-MUjV?m~#3y6Z4qpkS+zoSL zS@qFK89cm2(=S^U0-oj>-+}w`)A&Cco9TfiM*86DEZ97YDiH5ZTY|v-?@M;D+MjtS zvKy-^mToo2sHs-Jfl+hD!m2ObAeFqT8RnLp;V^1%Wb)X|LL7jR8lj0fVr3A1mO+y? zO5JM28X_H(d1g4{1J_U7i}CAEIM+{{)&j}2^EmX^l;9$#yzZNk-D_KyMkEkIMpsTX zke^1;o$@s3u=Qgoo~Y~aY?J$%?Xln?KI1DsFa2VGx+1-SzZ@cZYazKuRohyDtw`DX z>N*)-O8bWuVfa$_TS6117ORusj>*c7`Q`XhYk|Y710wB9PY9&N^G0$bpC@oYHQ-aJ z-p8_pyyUErt3UJ{@9wHo?;JyioEnDM4+@nrz1WPW0rWWrHZH2uXfF{ziw#rk(7Jf! zZ9U?N*uT=aD772^0*$krvQ!&EjVn;Oe>6}4pDlT(!gg}9)Hc&yr_pp&Dc;iJR__sw z9r$K;-69|qs=%`92 zrt6px8ttdC)4$}soFqE zUV#)KQ7KT}+D^H`Fh4sZUuQIj!mVHxez~*HG3|+LU9Q{T??S*Y !oCPH{V5}IX* z8=Y0BHl6XAh}$*CpgHhG9*e81gm$ZhsEWv{!1Don^cN^wtOr5|Dr2mFEKz z{!!T%${GRZYi-iMm=mB)d(Z(K8m_#?|E%;RK`XrZu`a~(zr}(@cPww*? z%|2X;RDWC1y9j8m-19k1D_(6WFJ84F#2knYNf%2wPBd1Q=}-+E9^NIn;sd!Kj~fq2 z<3N@a8Ty7kuhttb{WkY)T1puMy%?y@Y~P>*KuUZ7q{Ih6$^ZbQT-msJP+|S)B1BDD z!HRbkOqdYx(x{h2l}wk-xTe_F9bnWh1(ZLAvyxSSa8^?`ko*cPa~rf9->JfxGQg+c z>(T#7w*XXR{*~JDo^9dVqswOL_3+9T&`_hp1cnEYIZ#$m%^AnxS516wg|V|Ba86DR ze-Q*kM<`S!{1_4Dz9}~{-J}pZc#k|`8Q)jR0d$*$h#hWqn8uZII-8%**MI6Y7L|*G z+5)gW`+1#l*_fpL5AwPkpKF)5`cr`+5c%^j#;6*h)bhk*HgbZxbop~qn@?tP?Co`@C^-)Zcjgy19OEu>d)d7)2QHj_wcnHro(1w(qy zVIRzUh`HO|kSY?&d~pK0#_CC2EKwj&@Hsl^Nw(%i4fIi|VC|P#HaSPn9D8YD5GEix z$()%M$&bOsG@$tPe7Pvz-A=K{T86|1c%%UD9Ef})eFPA!md04tJg3yy3hdL?B-(&D zU!v2)mi{MYq+lsc2lV8tQd+4MpPkv0($#F;*W03aG}2|UuV>SkCUyzigysWY=Tjq% zw4UZVq%L2eI*sgp&mA+%u9n=I5oKqAPbIFC%=4DC_OntJ)JVP?WBq;Db*1E*xA=us zu`no(k6n3IAG_ zJd3O^r8DhgZE4~Rz2K}~m!{PBKr3Bm zyh*%`vDHhb146q}pa8p6Kk#LI5YVMSN_5@OZFjsFK971+^X=% zTj1>{8qB0({?0emZr5IR=0awTG$m8Of~N|9H9-tdZuum4Gykg#`7tAo0mz681v26g zfQ&dq3*)20+!d=7IX|hwC2(P`+(M=S2HR+Gr#}8j-C5L?@p5DDX(Hq9_xCsTtke;9 z@WDNpGfoZnt9wP2EgMfInWz8S$=PgeI%i3~9T`3rPD?p<#OohkNEhYy+1(l~PfvAk z4s-&UTtkf7q~YH_;WQ1e4>D+6Y7c@JS~h)_v`ChvkTEec@c5q(P@ccjQI#Q#ZmQTkaq~ML2qo~T5>YG|mVWK)1aSGm>9j5lBMFL(^6hR+wX$Z%_j;;9 z*8B02Su6o8vK6)P#n+2D9YK|}u#Ya=Gqp$lGRjT4T8kqUyC2fEzDVAX*ubilXq1OUTw#y7^x>_U;$CM9n%+8ZTmTgf3V|!I0Ox@jdMD;F z0xLxXgkO2u0UPx<{3rqt9(&G8{MnxV!wjKJ{zo0*4*1bP8W%}IDT4`VKyeQsO(c{h z62n5JE;OuD+@lm>?(j^N^9`E247&rtZYm7zI9s2p|E9E5KG$TVtixy0z=d#}L*^w1 z*1d;;_Q{Q#=6=(9?)2^pr)LDNUm37AYN?duJM<&iVm~?(pq?5eekm-}#km7*vB|#T zKdkE@h^|lygInl|^ zpzkFP~ob4@7EtLazJeBDF3Quls`sRj-v^_p;^?%ea~wT=%C(YB{IU2nTLx zVidH>_Okx;N4OrV3-r_QLHMIFEWc5XSy-UX8G7F`ie%hki$|nLr~nvm1;BU{#K#gv z@^s#h3Pc9e4w2Q?j>*qWy}*52$A(c0vza?)Q(rO%90Y|9@D8(>f+i(oTu zDFxa7l~LX(`gQ)!Ro)x_WtJA9Yd&lo6F}>1Rf75iY*ByF@mZQ6$yCsbR-Likxa&3= zAUzh{xY*=bv6xLfrNbDWUgtpD+OHzs&kM*d38?~#drSQ$rTQt5FX<58ETFGP*kX`eLX-g;f1`*V3&$1*;d z#r!n2iGOODZ*+*ZT3^vkM_2!^xF7bN6>?e~tW(6t@Lyv4v1ZAsNyERyhU3hVkIJ|F zb1_I;?|mmVBU~dsyR-1w#97nRJ9~UTb}0 zUFk!0i@$G18@`JSDwcIYEPkMrL6ShQzkNs$M4C8zaK3!VJ$hJx_*f-8RE(fuu*mbF zghvt7gjw;;=Ab4DUHlnM0t;kjsXd^U6V9 zxTw#C;#69ITbmSj)G$x2a0{z0fQyK|#lDc(mUcLaP}WFJ=9Q}aR?h!Sv=P2CTx6GA za!qK*U#QIPtLp6~%lu>O+4R>x0|6B9A!eW|h?5E<%!DzE@`L12Cen49S^IsvPRVqD*6kjD3YJQ;A0VmZw=|aSs<{5e#^Q#I#h&!H!usC(Eo^2> zwqEjsMj#WhFr0Vxos^XG_KiZ6;wX$aEs)W2Bq(!vmb^*=uXdW;F}6&%Il4hScaETj z%0yAjDSi$rPIZ*DGDnb0Z=&c%{1Uf^uUHtRFLsUq=gG|z?qx3tMVeeEIRc{jPzJnD zO0n5IU-Cu|hFHdqBO)-NTrHdts7BWxUB3#&drqDFYNcg4z> zdO+$Opl^~0TaMOr;QGN0gykyshky3}yx0MV!rUu>Z-^8FGY?a&Jd}8|wF3lUl5}Fs z{6;5M%V(lUH~DDImYA|j{DT9&Fg-M1BkDBPQ853A*VZxQ0^8hNju<(%>1p&k^6^z| z=^t{dGrKY#Gx}ji_+j(ZibGa`{OplPXLrRb2g+~#PF6)w=f4CCzDp^6#)A|j{PjLRqEf~=(PMBN%Dg+ny%&oUifK-Y@xE1{$CI&+z?QjDSS$YrTr1BJj znuQ%-0@WQ-9QaUOoyu$6c(H{#RO$+fUl62JXjA|*=%b|2E zH{@0wL_?1y?pKR3Tm$u-z=W+KFnx- ze2nyZQwM*WaRGoXdq5`KL^VT@eSt=@>^(y|ptCP>_ik6IP}u<}i}F9|5H{Hu0DCLy z+FjbMO}JFjp8BgDVW|6%OCrsaA7Pl8I;a#@^c-T)o1Q&+ zeizGo?+4TeNrx|qO!ex@Tc-SK4X;M$n&HV6P{QX_@3zgW>c^j4`j3?KFIW_+bf)XQiUM^dmVTjop}`4Q()7ibCK zT)Z!|ZA*ZCz+yA54uu7+cYr^~%Jc_2K4x+Osn38H|Nk0~PT8BwJde_pNUB`q|)F4_5zlswMX zA|q4s?Y95T^BTOiUd_hH<4*4fv}#FwT^81T|ac>Zfv! zeXEH`j9QC*hsTEw0k5`PL+<`qdMg}6e?~6-+4h}5SchjiNCOSkW}^{Grtd;i9+29l1_zrG?p%&rOV zh_NO0e2-Jj zMCdVD4U*vrCKar3LU3u+k#wy#p&82!N46G;rcbLH!TvY~$H(1VlBZlP^o1v;8^1Y{ zCnOWWW}3NM{w5FmyScULXI(=C*25XnZd1m*lue{m*fb1`$(3`DfVV3J2NN zaPghV)ZsTb8)Vl3#pa=|rf{AU%W9bAT9>p}G-5mbdxxo8%_xVHuU1qfE#viQGli-= zCwG2!X&jAam(LQ(#3=|1*f1YA#&v+K*(y*AD}iM4WOx3x;6H+b?FU(~RZoC83fB<623%L7fT<5@#@5nReo9Fofwz#uasp}bQrJEB?c`taASEWsd>N#YF&Fhw>5$XRV0*@wocGa?)C|4oq>=(y^Fv>Lvu(6H<0V=FBQGeYeOGo0!wXl(2x<5VFr1s!HWE#(@P^HX`nt0kS)$q z1`-pSmYiS%vcWzg)*Uz?1I1YY5)I&U#bv^nEmUnz6@dYwUOVuo1_&9S-2o^*Qi%C2 zwEXWVl>)^GaT#owsTmd!in5#$EY(Hi8WCZ*3}v>+>Y=!y>9fs<4X_*$1dA&rY6Q+o z$$7(7nbl=9gikYQ2=)K!Q^5Yy{C5ORZUiM&Y=o3)Bst$^1cH^HHi&C^+sfE$D-ItJ zUOD@GKyY*Uf&`SJAw%$2B|{jZibFj~(BSfw|oz^sx}uqtL_O^KaKatp1ROh-KKceM+NAleia0&bP;wzc2 zje!dyS8qA@$&Pb6_tZ%=Qf-*Z&Q~hmmlm~%9jZBV-4W-GxKdJHq4W#v@Q#7~e*ZGku5_EKfctgyy_36ec)K9_?Oa;cK%vPt%C{OPv?<8rSjT21bkGtyPP=EE$Qcu zR;8=;f_H0lZp@qX^?rV$X*#+N6nuU=@x0ys^1=Rixdg=dQ*v@xovJGAXWh@}5xRx^ zp366rw_`LKhWduG-a}65Y&EA;T+|7anODBE_LGNBNj@$ME7JDf-jju_{t+t*p(_eR zd)zB2)QTDh&7D$+gFam!j(37IiU6z5aORI_0?yj~w<27R=tModktFhFl3Bxr9 z*LT5Pv8GGmEu+if-f&nu>QS`0sr=@kIqWiZhBk54|5KSeF{}Hgu9&oLnVTRetS{e1 zX?>8X)gis>Ve~ihoizT?c166^#-16&-ft?xG!x%2L-2GA0wmus2J~bK%0iT}`+mCb z>^M1QyOP5akqZk1--g->QNi9kRfHuVIWSrK(N)KdCMe&?1!}+h=NsA82#{c12Dlk2 z*h8B^!&cju3-^l_`Gr%gMNtUDo+wqZ3Z%%gXf=c6_VZy-{P+{~hh-*pEp6Y(eRh## zK56Ac!tx5S;IEWW{iRheys*ii9(ZB(kDJkqT*WokD8;n|FUmXPKeQF(t~)Eo3Kz1= zy9yWdi@-a}oN5B;S~GDat#g&w`=871LkRiYlIVL2Rj(1Gakm-x7DvRbhN#qH??diN zkC}{C%*31j)EVzye+fL{I3QZ7bs@I6bBWjF7SUIGzePt0LNs*93$j9Z*`pg>aC)MfBWkqg_Nf0-h@rT}Gl ziJykSfPxv0Egvq|Ep$mucs>|tbL(Y^b+Viie(dcm+1dVmwa;+nwKDe^t@z~;)K-KS z1P_9OuH<3IZO_^Be%C>^WgN5K;!-n0enx)hCjGe)lo<<+42fUigAfcu11b&lr=qZz&3};VRC1URk zaG1hOFjR;_8EGI!(QaGVpLl5etmmVi@E*+dF;gP7f)o)lZQ0jg&W@Bk{9KJ`4n}f2 zp95%YryD}m1zv~^cUV=T2{hgQ(DE$2dBcpJ(|?OFel@pB`;;3oM~H+edDe+ir1EW; zbt;$6x250oUHg4IBRf^Cq#aG>=ZPA$2l`u;BbpY+2Dn1&4Xb(!dEvqI=jMp%HI9xc z$RxwqFc2>o*1^Evh^Wt~v1P@ZT-Me&gBn6Ro<1IsMSShVX*gFpK#F`#R@_4%TuxNH zGm^2U``T_73*08e&!91!E{q3WoqjFnuRMvk-)D|qYItdue`p#|BxRgRvixSJFNa%- z!Ks|%%FB}d>T4QaUk-x*G&0lrSD?<429cLz@sFvvy39Xf-Q_A+BHYZMCUD=9)m*sC z_8aE%msZihx;3D-vG_2YQ+XJp3|OEa-GRv-$FJt1b(eJ`K|z0|SPqyoAUs&0Ha6&1 zv0Tn@@rlts;#aLf@~Ema(r)1NdkwIZIWWsWzCmpnsHc_4qK0z(dU<3%XdM|ZncmKN zcdG=-xK99GLLsOAYmtTVmpoT0t>Kg`54NxZFgM+&BM#`y-x;ob44@uv}1 zfx=az7e9SF-<;-E4qhic@8D)1qUA zxrcOSbbzWNUifgJgRuv2|9*O>>@0e>gU?kSH$FbV=0m%A>CNRbq;%)C(dAn3l2=~Igx2d%bWNkjfG@b!PQlHmlbzGA^?eZh88buwP^B1l z^Vp`^Ak1le7q{xV*M10f0rP~{e63ZR)38!LBl$)oj_n+GhuWgVgKWxFAI7aQ>wc23 z;;KiBuJW;9vrsPT$EEEe3}G#Mr`z=@@rCDv%Vx9?-KYXk&0}-M-J^^Hf0q%G8v}Bu zgZ2A?iFO$^BF+42Jn4siohlb`xN0-NmBT>fs4z2ayei!#AM-fnIve z_R?tZQ6Ai+p!i5h=)D?ZbgwvR@ISJO(GA-p3?bhjuK6-tA=2P)35ITl1)|9hA^$=j zLKcqM49n>|l`H8!l{2ppqZ@1Oz@wGv`^cPxeulz`d!NL-8(64?Gl72F|9k>n%F)aYI<$jE%U2 zZX8Ewp%R47it18WhviQkBpIr%#jmdYI&Sp529Yhz+^%nKP-6-TOo2B*j&Fe|x7b~W zd(W<>PIulc-V#JBLb6iJjWscC^`hfh>h#LGF`vCRc|OM2A85&EA#+ek5vA!Z~wox56 zYeUIcnSc_>MPJV1biwy)W$0lBfr5^wyTLQdKe~qxH1wVeR3EcV#1O=@PsX;15dM94 z3o$el6yXKUl0qQh67JDbu4_Ugv(kHGo2I33Hg^JXKQX*fx+(2tE%zAvm_uI^SRD9V zZG<&-hdA|u%%q2r#Sc@I#|s zEZq==xoaBvWb7oq2!Y52o;#~0HEVRH7{mK&4)Gc;*InrJ?j|Ej)tLeY)D{B~hQlZa zV?+T9L?S?<2>_eH8^rj(UZXTR@7w1~-c@JLF(8-!Z1$CL9axK9Bp>stq!t+=|J97A z8)iJM^P=-eemFL0_G!j@#e2;pc+pZbRYm8qQA9QnN2L;Y7gG@RYLX~&#N@qu5}Ego z$eQ(l_&<76?k@NUx(n8NGMRF#l>bCJTuOzC#4l;q-c&C(pD?=klpvakQw+z>MUf_+ zYey{YLvfHvpvn+xad6ujlJ@aotK4ionIp3HY$FbI)<&E_*&GKgrQtQC&2wl-r=k7mtfHYqric=i=4M z%IiQ+)5+5>wbDZ>A1`wHtOvV{TG+8Neg(Xqd_QUEpA^)&dCi$5ic|-Oa%_f%&P;2=_$oa(LDbBpI7;yhX;#>pV0~;YeCF48)EKD<#R8?z6OX zk-~k-?V%P*0^M@p13P0LMuAqpAVNCpQp;GXI1c}qlzg6L`iOF=@LbJ`7ZfK4-P#uh zPg?-LHT&4#XQr3_7UAF55uWM;6)Q(wWIXk>l2nU#or!X#+R+KD?h(a(&ECo0slnT6 zM{-hDhyD61&^;dR&!<||%|wOU@LL!WEr?Q=I2OhkFa4+C!5@qXur zsB&Lwk9vR;{JBc#z4$3^d#DDwKKtbFZmt%Mf8E~DoYVnx_WBWWICV@4(WAu8~4T z7)5cpsc+ZDlV2p%1$DgW30durAwSUix?UupJgxY(olb)Yj6{tXkHL(@^eah)L)rcO z^npM0Eob~&eLrcgOt*7y*;BsQx0GDkeYpd2#!%Z?T$s94WIvb4tC5ZO(_hZW#XDQcfNeEAv9;28A zk?mP(1IfFaKPa>P#N8SP=&g{?$f6GF0~(GNF>mMf-OgdsiLDU)boW#`ouyKG;(_sk zKjsLc$t0}{Z`GE#C=Py{o&W_O)+NCLf#{IvV+QyMEr_x+LMY{gHaR_i-rpWr2#uKj zwWa^huo4@S&~fIq9LMuw>HGbs5A=5tmC$R$?x#iWca_$0PM6k!&UD-_WzOvS_%hAG zKl}M@{xGa_Kgz$*)jxr5@9j!0`fd5u3Gk70p#JUC*C1To`9ik?DiY1S(B4YoXJWWU zWo{QSch(2^H+{1-K&L;8sg(UrW!-&KbnZ$rUmZTt)wU&&a9kJSge+|u?djiwwZ8|E zr?p8$oz)7i!as0AIrvF(5Vr_yMDM=2oRByU%FgoHvxgFcFg2lDaS-sC5{FubtIiVg z>P1n#7(Yax?m`|mg$_}mfArTegP>ajg&K~FTx_{$b-X+%7o%%AN5!>l_xvBM|?Gc_cs^)r?ir1u6g7YSK58U7&7lL(+ z<6##;vcj-6(pGvR!)SP)#=_Uf7E(;t@aQ*ro>e8XPm6lLJFEo$NGO<@`Q)nJ6CY(pHR&POWn>gSfWxtHY!G+ z<@Ry0M<2rrzttH+6GqWp;aPh!!bHS?)hjF}kq?`oNzzkj6#2#94jIh>dZH zg95vF7Y70K*AHK@k(B~HC5cai+z*PX0_X7$!axqhuu=^$OoS2gJrd-QTIQ*9@BP1; zM)th4E@HqidOTiI$Xh7LMFG}~34sX!2V7;TXFh*GCnL0av%RKHV2@fxct9=#9OMQ* zqhrlrQ6IWe+0SzHK$ahZf@qsS``@r^iZ_o3_Oq&_Bwkc14H+yjpn5u!LWT^qC3cFZ z-*a=&a{hg3Wh80DzQIJ4AB+rT*!+zxz`{nA_@>JcdW!62t1f1S%R-E72+#6uQx+H$ zQyOZ(j8xwYg*tc=2pD52u&6DvZXJ_Hs?(!l+@PZ}&g2+i+{8FUs!!rUhHcep8B*5(#1lhDtqS8uR8i zaC?t5{rk@S*Dah^=BaQm|9=b}G~^q2c(%8R9M%kg3ASK?T?C>@tjk6rLIphd(fMKW zhLoB<-DIQQhHeA4XG?JG;AOh=o{ z&U`Z{KW5mE@A3WKM0yc|lqHO38}|#LQ7II`xVO{ML&&-SoRk#K<%I$*tEgtQIKW^~ zqy2#0C}c}&3ug)CO2mT#l@hQTn=cU-(6OO^91t5X;`()p5)05YKBpUJ(9y%};Q106 z%uh_88~>1~>^@ZF?&i9s6P%LSagmv#TQDQ7C+E&H$hR)Ug}X=MJlZG}7uh65YJI;c zG>8HL2vZ&kY6fNq;DB0ff9f)|^+<1f0T1&1KikB#5<;C(D0&H_W)*xlnSd2UxnT>5 z7OS0dj1>e;^3{?KIj7I1&2cop;FveoFG)_C8~gTh9Q1`MGY$CIr2NAK%F9GG<^OHRm<$(~rXkj(xgK(WhhMZF3idzv~{G$79VZ=xx{vO>5vZDCU$68lh z`81e(&(g`QtSU*Y8b;9A?|%DL>HFK~b1UMskj791YbD)33-qiydum@P^htkfug14z z(LljtS$3 z=JH1&zOzQ&n|v-Jpys_bG@SDAg-$Z#P{7M^U4vG~ z>fl|9u01@-OyQU1p|R8lzk>nK%AghDrxE?$X%(Zwc%KvGL-z4a@?Y^QJMPtrg6!q^ zmFwP@xD0=xJ5_PYV)}4Zj=|2ST<0EXfpg0lGWCt5vPe`J9LDaENL$N&f)7Ww@#jB2 zCoc)3-{aPj5SMLdU_gdiaa+>C=z3FQk%&$fAg=RrF*1w=>E+>NcM8O>GE#5cbta&u;>M!NwjDq3u|*yVUx;Qj zBQ&Ph+Bfx4V#$9cO_Y5-+xbh?c0<-ZDX!udA;nLgi-gSMOG5IWJa^tUMig_{=%@xc zihWCp%mN+HKanTSq_ASrLhp}Ld{9ki) z6fBC}b3&TGx*LX@Sl$li*#D`asW?(W%n$aSnDN%&M2{|*!Dlw1mWAi!*A`xsyhVQC zE6181lov9d(nJdV!(-@C18)~}O!`16$^US^h}=JlYs>B1%r;)bYh9^4Zn=tK_yi*kWgDF2ul&hh65?)1I6_M2Tf^7y^~46XyzY5 zOaH5Jm6e>lCPT-T*Zm!+(15MOfHE$O=aL{_@B^O;_^_|Ti2{M0Abf{P(!{i%2DZ4n zxH}M{RXOro+OF~)MOU?l!3z|oX_-9sC5p6ny_dJfh)UQnQK=kq=@o!&gh-sST5 z>${hV@2x+*Vxyq`nKnIzc`Mf(8O~s`Axpq5Pam7HC#u>!tNm+>&kLoP8H)1dsTN)` z3A;$G{X6?|nR-jD`Yi!A`%2j^215@-p_p*q!*<=?SyM`@$^@)#CNgJ7gt^&1PD zgc+q6v~N0lnLEglpWn$!^cJ7<+Z@92uPFjNUwgIrR=V%6RAbaX-Sc+h69Hu+bxmGX zR{P#?>HE#1LUhPM5OXNVOCC0h8dsTc&y>aA8JIED_$)R23;83s*YTeS6W|pKr6{kz zpT4;(Rz7aw3hmeJSCE4pVnd>R8BaYD0~md4Jimp=Zz?>)c4cIMyP|;F z2%x@mMi}6Z@J$O0x=}wW1?(>wYK2DQK%t+QEa-V*Xx@Newf3Fgz@&V=T!cb5K}g8VB#bq*wMtI-xMmwf8tGB5I+Hp>1C zQx~AewIztkq+%n}#kZ_nNPq29Dc_R#9A3X#0n(?-Cik6HZ=q)EpO|Uu5_E zI6dnflBaiMmI!fQ_3?m)V+5VJOwHonh^zlu2)q_@yQfR>7r?Q=3wk1yUTl#>FGY0X zQR8gSJCv{?r=>4$hp@^335)=p;=)k;!9;tE8Yp`J$_Jd@{6C>ri=<4UUg-}fWJs_J z4>_0{KEz*~L8E`P$EGYm+~RvH0pP)5fX}EAAv`Fc{q0a8LSFP_VQb!@(P9g{D)>CQ z1s-^MvWEtloUQM zBW@!mLoujjkWYUioPoOSPY!zRPjXNS5D~=#(NMNdC<&l__5{H{OuyPsQC{jGrFup_ z`66v)@(Z_vtP^qb4(Y<7hgUARAexwNFz;vKZul$2gQz8>ODCm##CE901fo&QIUVt9 zyl?d(v$9sy8z{p+Zc7Rf_)|S-Ivzxkk|GqNHVY*)lOmuUbQx+&K@K)F*(O^!m{yS> zb8IMxPXX4A;lSi>3#?^}c@uPn0Cb`6e?a|x*D%l@ZYYbw7pb{1T~Bbnb@vg++Kx2a z8Xih(Ia2G`ha^v7lAo$rtyrys7_DYl$aR&NQOLAmEs;rbrW?wI+}fb2j48sGYI{b? zrZWUstYS!Wot@p^MN!_*;heqb;ONXb8mw!!vh)5-bLcp7Jhh33X>$6E(rmwoqcw-o zX5mHnJ4Z*cuj&CbzYkQ<-8@|Y=3ke9rm9}L4Ivm4_C{0zcKQ@7fPoEEkS~oxvG(Iq zQ*}S!A=}n}ydm)E86km@K*0@IzY3U$9(aK0f!KxHpX&en0ZNLV@BhE1H7CJDlRmVeYaI3XKP_r0Z#6Yl3(tNlGg(Ilb$H~ay@g3y?T+imP$JVd8nK49ab%z z#v6E@qlhdwO5+Xt5yHZq>mh5q)ZsgBf9VyJdKH7f*Qf@9Azi3Gd)Za@X6orUAvdLqUV`FbZ_Y zbDGjPE1Kl=pQwI8HX?|BXAmuDv9ivoc@Y6 zT83&2Wlf_zmTQlPGTn;?EczE&)K{vfOc-AE?*i-yuHqqX^_~}d5uXf!nP`cV)~o*8 zbn7x_UUwUM3XOsVlCROQI3hl_AMctq<1wlf*Vca4o0tKyW30TF$-Ph^QSjbnJ0lJhB`D8m?wM(`_q1wRNi^yReAu+Ng+a7e@5a zMNT|2onkyP!KG}BO*1ZAsx~95$?Ih<+JFw$1IPE=H&!T_L=(#2KDPJcJ+U$CHhvEpoL@})Z`9%x`4Xg>1^=XR--3{zX2&Fe1X zZzpzqrNj5@CkpW=g|KI|btnp6twbwdeCi^7E){pxCCEOkKP50^!w?PkJ!aMxUBZa` zt}lvo8^Ia&w^v)Vi%lA`bzt8kZ3dzOGnS!Tfx#Im)`i(u{ZNZ&ck=E}kOH;+(sryy z%d}uveW;r5I*0F2$cZ`X(4JimE1EefVS!wK1fPCgI1G+n0&@IOI~n zG5P5E{%6aW{_;Tkzc25zD}F&cxaDpau%=%lP9s~t{smS1^9P5K4$u8H#}jlsjehqm zHF3Ncus?~CCi;T+iGGn+U17Q!T{E3eGnO}M)kdkjFoNb3x+C*mHEt-eNQS$<(&tKA zxAc6py6Gp~`c#lL$86oo#thv)bS#t#EIHwlDTkpA$?&DfV+@ZOYLeruX8QD!T-lzFR zqCxXiFtbN6$XHcnFyv)(rit&R0_+Di6L@5m^3nvmOQmM-3@$T2vu=-@thzdxE;&Q<6 zGSOMaW&dWwna}mdiuRlA-W!t~o<#AlxIH_&WbyXyp21pgFu z76@u!X;+-arRLLTiNQ&jCq8RkhamU2nGo3Tzqx5)n7A6mOsYDg6~RD;fpEYuJ_bm5 z1PBuk1`^Ad-aij|1UN-W0Dx1`8bHHd1E`if%o7FjeL_bfs8jYa>huE-QYQ&&`w89p zqzD5J9#6#uGyJasDIsf)KUQg~z!1p3BY~CbWPs95io#SAPV3_K$P5e?0MStuij+n zV7t~bo;Ea)Kf-7VFelu&3WNaT9E{B9Eiq-FuaRQ=YvhUpOI6Jb)iDQPsoI@ukTT{# z`eK5z96Y|HA74`h*2OF|!0+Ht=1~4VEm8*NNz7(a9MVXx&?$9CfrO%kgPf7&r>h&} zpd^yW^1(I7yFZC8^pkUlRKw^77C*_Z_*{TRUuN#7eAj>NqfDWn%g>yKEKSt)0i4XT z@8G#-8=u8`^_`Y$zIv}B;k;gEo;4Nt#`xZC6x)U z=~RG5S_)$Vgtg^n>dA_y#00GP%?Ky~CgH!Uu8yqKMjw*?Ww_33&*R2vg#O!n^`!=! zUb`(f4gW>}hL5nJ*ZE=4PX5$QA5@r`58Q#qT&_{KOt*~lm2h=!`PQDFcA09Ks;P4t zTdTfW22(m5%LQ349xJV%y(0EkS9+wYm1?{IJR8PCtghmVQ4W;`=>=CGWu1NrXO%Ly z9vPT5+app}k;g1=@1V6&O_|;oXptc13fOiralXJ7nrg(( z13c0qRai)x{L0Z>CPe)jGo;QrP7{;&ie5A5Sto^(v)ZvzlSq+RzN|i-`=3ec|DM6%4rn9^2JKulS!KKMUc@N#=2!~%jf;?J2X)#qu29}4`#Lq!t>oSS&nC8g zFI7Lj44f`giotl_17|zxv4}Jt9`bJa5gf!0nVYx(#KFvgmTBTS*%5~H$`FFfS~?U)+>6T=uX9df8NK$IHj!;K?kTd66^1^QWkpPgUiDs?uY9-&c>jraNdHM3!&iM;gbj!hc)V99rTqU&JRcGYQ)JS zXsv%|p#PqZfO;%%OcceuSLq*^CW<7kqqH{amWaY^`)=6SijNeIy`o4H4&~R2ls8(1 zW5m5mWt8QECnu&TzR(?D0J5{v$OVjMXQk7euwsGOm$--h2^3!?DzO9BBWVk~QO1>P zvpn+35nEJc7&)DaLnt&G5oY()Fjn2ppZMR^AEq9$exyK%zbWhY&A)@LWvRXoXLuS8 z{A#Doy6nf$^rGs2fZMzQ`77Jf3~^DRt;AVzha3u)VHLT%mZxL8F3%(5{2y~s8sbjIYxe9=$n1_wc% zEiJyDQ0w^W_DEK^Duy257K#dy()y%&G}dH4lJ45is@KV^^r-v4VC%cTer1x8X<>w= zoZ#UC5e*Y~U13nXCK*q1p8*-1AI3CSK~7ypfykO?Gmn)DlGur1n~AL0(m0gTA*4-d zCXEbIiphb0*Vn7Q>3v9)P8nt;CCrW3ZL~u(#|uGGJGlEU<1(yRdeKTyk3~qSW8q)t z!C{7dBN#GyI&C{y`_g$Q=pr=_ae!0!$NZGg=8Ml)C4%Z{ivfC(#98z|MC`awzka6` z5CSlF4FX-Ocr#n2mkE7xo_Vx>^n%)(KV#WVkh3}1IPo(|*%;_TT?x8zc`G8kAbtwKcK+yv|jt)*R`;$(h_>ncP@83Z1 zqIS7s^I}BAszliENOHs%fQ5D-!0Xvns=W-aR=Iq@Vpg5vjKP zywcZj(REFL{SR89H`xWecjIT&DvQ!!8&)SO#~-e{CXtLqZ|rh$T#u?hiBsu=5WY`e z@$+(Y>z5aa8TI&APfqzco=aY#Po5GNZLNLF_!Q!ys|hbt^QA{8M;v#Rfv=u!ys3x+ z_g^B09Z*QF_8IZ|V(&hh5laB@3yUu!o}pu;LKimWh5hP(?>GiDM-i2hv~m z_m=5zMX8yvMX9|9uz;SjTHiI@CFi-`vi@(P9%^r_x?QymbUFh`N62)yy|BVGoU!TAg z#@8sarMeNwPoz=blYop!N`~rqd2MUTde>3Rh_vh$d{HH;}|KAptZ~mDVBl-XAD&ixgc!1 z%cbayaSn`s4*Ye(E(#_~2U_2<`xCa?63-2SAV1TVlUUTiPt^2%KT$HsKo&d!-Rme* zN>z8<7r_2m8MLgGcbl%VTPRq80V1HXL2*!&00C&Lfg`OoW*?hB-k7QL zHRV*F#?eV*#xaK@utNPj$zkHH*u(i|LK~LoG<^7oovVc>uY_%@M{oucA;aWy>ueI^gJpAAm}nk=w_ViDhw_rk*#eb~MzSH`9u^)`Q7$3~#T<(4G>p;F6OVw*|W^S@FX z&0-JROWuQzua-`JNtPVO*TTZSIGt+;OJ{Xo?DHaW(6-@iu+*U;|6wf%N8y#Ao@bS{Rm=BEX73u3 zL8b$G`Jc`^B0lfWf3}qk=~ERIA?BF5WKC7R5;|o0HhK{e+&>D>Uii?ma6s1cx5-3_ z+tY$+!!F>LaE)ejNzRSURz8*#&A6#T%2q9w8%b%LZw=t{ar>?noeP!ttwA^~&opdX zR?#6YVzr0$X~HoELk?EOoyr8e>9KV!nawO5=q{)huXn5hT^KIlTTR5HT{M5MjrdyL z!7`5rx{xdHP#2zm9oOAaV&$fGed@|P|Jq5dyFkqrF@P%x`@$?f{9azyk|TuCuJf+) zNcmwfDD(dGZSb?(r~ozPUfsllm)Vz)!PH4wu=v(X4CAb8WRAVbWm*{+} z2A3#{@-GOjbVSU25?;|+7Ftm%cq&wbqinn4&PBv@hj)Thd72bH-F>&6kPwjLIviaL zL?!<+QszU#i?b#Xl7+chII!l|JT|NhxL`Y{QKAJv8a(RBVCom4eFG3BBq2`?x{NjJ zRj`?2>KjFaR+6oLxg-Hpm;fpvWrR2g&XgB(oFF2gmx1<;BctdEOhCvnIVoCbuKo?V z;U(^joo{% zjKB}?^?Dt6=$XzpQTOpUt2vpWef(3+Qh$1+@#L17cj+4Vr$&kEvC2MVi3iYMJCCF- zsLhhUC(0^chHhM#?onj9j6M49PRC+Pi>9^VhzaqfWJxLfCiq)16sR|53A#-R8C=7m zCfj^89&E*sT<~}m9&HTpxtF}k?f1_2M(3$5NR25AYnIpVBBKM8))+^8w;d!5+TnFE5V3Iln8 z0!2mr!rE8c!5FvHb?#C@Y#G{j+&75FkHUz@mbtTAM4h*{B#V|3l^@z_Mu4~%`LXRI zjaJ+Vk;#&aXCz2xbi*v%0B(-YqPClUxB1l~IVcKN6{R$s3eA#*Sz!+ActSj6B@gVK zC{a=h8+|;CA_po*+JwW(roUnUy;@*E^+;=cYg^hDxVh$0yAoUHAhVRKYTpl)iHIGRt}|$kE8! zxh%9wSM=|4mwv;`;JuZ1;kjqV<4n@n%6u)uy8iBeoq88!Q~a8xVa@gF$&OmI_>@&& zW0F##KnI^6nilG*ckJU$tQN<%t%|e;ZUlHBKE@ij2e!s(y*1!+FzH~uv$i^~I&Y#{ zytQev^?(-q-e7g@$2A&Nu*7v}?+2{?WA?|G2}LIP92a{3H5u*;l)fx`P{0TxZFk+? z7oHz_ctBL}3lk>Zz4uhVi@645Y|orNE85z5M-A*?{Xk06S+y;1c>OS4(oj-Hxvc7T z5N~A!nr=o(GXJHg)SD*nd<5j7ssQc`PiWeps#1p|u86hskp>u+$5R@oo;9etJ>rP- z|2&l=YsEQtwcr5)(lTUB*dUb#LZI-*bx5VF!8es4&Hu7yzUR$u4=7czxp~1=OH37# zfoQ-lu7dkl=Iul3DU;)9!EWCOo?}cxXz)A&*h*4@Bbz~oCDLDL-nlL?7Wp-@XvC?} z`9{t`^9zF(5w{6_{nrS62M`}Gu8uC8#gR?!QPA>yLT|!+er2Pn#=PL)V*W~@BxCqk zi#%>3ecxqre1QCZ6U! z64$pW)pC?|7a8HN9Ce1bDnH<0*VVIM1>-KCM2~ zR2#{f`F*nG`br3S3jIxe@CzpXoa~-d^4xzcVO`c{l3MutsqrrO@otStCB^S;q(GX= zsj*(tA=zP4#~si)0`03JKLDNMY9!vLbh^2^_*UV+r>d!Yl>a*UP4vr=w%0^}wihk> z&!pnEe-5$77qUJZ_4%(3P@47BgAiOM9wnNCVnZJghF&>OS8t=ud{{ZMy-~QOd|SW! zj<0Y=&OlO_fAqH(iZ?~>+lY#u-(XYa~h^F+}E9v&#ilWvBE#%9{ryE{%rHP&K(5toa|m zuCYWpZ?RegN;^Y?a9AJ0H8-0NlKcHUDZLJGOk!_la7^53+XF)GZ$GH#Z`0kPO+3S` zEa~PN6Jo3ga~ia^YF;r(5^o*L4TXQzA3sXv|}hk4@Il;3bRFjgRO{wd4uihGnt_| z%FC!4E9-r8l>WK!jJnp8~{Dy-Ta83mZ_PcN;g>dL+grHs- z(+yw3yxJ& zPFSbAwrp((OcaOzm5zG&=#n&1aYbc8{>ZKYUEH?{!!Ib;US}0wIGp@ZBz>{;>hDyT1DA}(+3((eH=Q%JTTw|WCj z>r`s3jI1z0Y^pzDV%Pu8gOTXz`rqWQGWmHjvyHaYveYkGaYM|EsUGNZU*tNrEm=H3 zY<&u|>W}g4M-CXbFNGL~c)dkWZRu2PW9xZI65quLz@DR=DcgGgGv)e)ctT zjQ%r$gYFyZY|_;l!y~?R_%V3OsCk`tuiMscJMIkF_);@!Vn@ny{W>w(wT_Cd4((uU z>pb*na*-0^s3_HLU#vJE!P%LmkI@O`gl20Zs+8+V!>q7WRzk<8iBBDslS{0D$OY&9 zoqS(Fi;;C@;LC~IKFQ??jG}vwI@FT>@}U($Z_vVQWPv@>wdB}XRPa-;Dn?{q87lA%`R=9$4?EEZW~CUAG?L#MCxdF0gPK@ zs3Xk>`WbGIGN4quV=xSxMh@0(tK5E%Mj*W&3XTnBBs;=cu!t|{_}`P+Hp7b{OTwjp zKKw;KC%Gvmy(R`yY*Z{$p+Pd3?Pb|7sXRyq+E%2Qhhq$P$lpWYMy3m zYCji|q!8X}Q)Baz%Jk!F?;GsAYwU&V$#bEQ}wE~Ngcu&CCg|1l=Kn-V?%*^1_pMdAAT)9 zdpTrwkg^J1r3DrODTk_$Qq3dL^R%W4hbixSZZ{q+lJCn!0(CRc3R9thvam6bN)Iy> zU5(2U*E{*zrWLA3;)pw-Y;R241 z4IGu?fpq7F|dM^Syi27_OP9DM;UBdV%BVC_w~=aC8PlJ z&pb!QKyy6R5}T(Bu&$uHrTo87j(tJ1uJFeP?BEFPb2_i}FgI^1>|ZiFm1x$K0r-n5 zIKQX+#L@FPFX`M_KfC$jA)SbbqxWrms_NciShL4NYQ?E$?ViOGM;E~VSDCdJ;8ysJmrj?F>=>E2e`;3X%(f10x#7KRt~evrVjy&%I4CAq&1*f z_0SSMo0$3LpLi;l`|+;}H1y*Y#!Cl(Qd{;OssGSg$ z@KrOSUmHHR1EDEcwv|zi*iff`9zv+D!M9-vM?^vKgx~%V;K?C-&ki$QcI_P#A`iM@pOt;fvNFpz!tol^$Hb& z`h%6y+*XcQPDk#OfgrdN<(WMBpX59J>*eXnNsdcJ^85o=r|c9jjsYU{t5Zfg8KU7D zAzZ}WCJ0Dg7!mv9%k=RuCSntzNW*Jc{BV5FQZXQ@oXpAxl6?C+nV<@P31k-TtaXP3(1>S!4323~KV@{D2< zGQ~by5kc0;7?kG701vTIhKJSClMk^qjZ2$UkCeAl|0H)(oYr7kqZD$y1nW-f%udz6@&G8$He09bjNd%JvfMVWHL$!>Iw-At*t%XlV`%GD z5cweda;-g8+@X;@b}cCQ)Sht~pgTBF1!d9=j~OVR3NS)(Wy1c@d7xvW59s>a9o`4? zaDd6j0CT=o21qi-4wZqCAmk}kfO+-l#TSl@i!Xpi9$;?R{|=RRUOGKZnA9H~z_QQpV!>jia0;SmeRHliDqG8jxIWvn7kc{e6=57=uQWxE(sG_TXxDN9)s#z8^Rf%WHXj}Q|v#elh>;5D2 zrk9x|ry2jH{TF<8Q@GI_BNGK}gGENPvB{{|ZPoU)p`s#3V|8ANa+5Q1(}8%GiO;!X zR1?i*?4Suddu~kMK2+5tuBpoe;-`wZvo||LAb3WvlnT~DkV_TGiX0+<`c+`7EwOld zX^3~HR*Q#QSIP4jF1UlCF@^hsW-r;>c&-wqn4w~LvD~sHqlmm^q0YPh zL~7$#64!}*^|e6Cjk%sx6#zK+fvm2Tp@Q5?FZB$PS(IlY`eKn%!~PM_BZbIKu44gQ zixwvQ@7FJ!^{ z^E(k%4&9DykkWAf4&?=^4Q%3Eg309Bm)5|KEsO6;jKrSYk;wci%989H6FqZZ>9SvL zV3+_1KEE+r6n|~wS`Rr8n6Ea}2;_+rO-)e}oznb{#AkB14afEX$r3{B(BCPrDefd; zFvSQey83$KmBgDf z2=T}=d|h1v5OFw$_i>iR!a&>a%Jlfcsn=H@qK?h`#zZ|YxUH_7^Hty+?kS%;Af?weHv=OBcqmv{V@fmjjcfpsLKqNVP)GuIOem40-e##j6^Sn+R0947 zcVc!Lr3GNB)5)Q(qWVs%#4E|Fw8gl^vQ>Hgn}uI*A?@;oH}t*|f#Ch90AiYlfR~$8 zMs&x5rZ*I4{+0J8*#lXMf3izm?EaA2EKzqZIyUP$kc3qqXlPu(xz`$ev|fjPuAPzj zd`Oq|(nmbTnh_cC(vb6z9*97a?^)s7a6#Q`3zz zeHVl!nd_105Qh+y5vZY7h=^)Y2pvD??bZD5Rm9XkLMn5I%`nHlbyOs z*!Jf-|1-Rpf~#Yz+uuv6mA&sY5bB#NBmrV}OQ=eWr zc@Awb|3Sb5Zr{)b(c>qIQ;}*&N_FkBUdF^4H~Od;*{j$X@yd}68o27RZ3a%aM6E9b zZ^`-AJtbQFcSOK|>N7|nhP5W!G^mm_%|iAUKju{FYbCLd?-F@06Fu6uQU|Tvc`*$V z$(Wl1h9V>@yk%+dqGf5MoMR9wkKnR2A&+Km`ysU-&$-Q!i%cJ@#gFGY=h;_xR#AL( z@6Fw3SK6>}VeMGBgqE10de3&}}rN4IK50a2dsBDgnMlwh89$!+{vXexV2=1vkASs z-x+p&m3CL#sajy2C0<)YzabL&1gSrIPrKR)VH236O$r2sNfj@2GL#57GFX62ig0@4 z6Wxz4H6|74I0h^V*h&Q0c&Y?`Dy*`sHmWQ=^0FjShpw#8z&Hk3P>!MrCt?nsWDFWn zNEgWR@M8~X)<^AK{Nw%kcaAcKFXtadp33$yStQ^}Don6c0``Z0qtB61SJDVrRX0uR zuJ^9&KW|>^e12%IG<|Gvx!ASx=^}dsHcP=FN)xB8Y<3woNBjQmYh4Wvx zJJW)W)eBB7z0ZF$eT1i6loqdFJN|LG>m(b|@%I<|F{``E>3X+%F}^qO=-zN5B_sTh z`gwJrbG|7=vcnO;YA1kv$B9=NI1P@D{Yy@@dO&u%jR>+Lyjo{P#ju^!1dIf*=9Z)YzWgHKO;W2AdE$HGPR zG}ZE3%gbDv7Rjlz_{Mj!Rn-@ee|RgFmyc>WmzUQr42nj;^e(!XMQ2pC1oq|qd&Fal z>T%_Dj05|$cY0qUm$mSxl=6K+51n;JD8)sQ-m6DpouQDw|3!gUoD8@4trK2}&Z#i3 z3aMsui`;7JIqjp{8$Ee14&Z8j0IufugsX|OuNba0SIf>C{ntMaoc#7*Aglpoujao_ zy3)o@V|D*VE@pCvWc5`ephi`)$y-*HmXU>L(AD*0b%VE?&t!Q}#L~+_2f$l?Mj@YI z79+2hMVMbduiqDqIL>b&f35FrFT0jCOk8#!C*;5L9?yuHn!t| zlO;x>*s63JtMkAK)LEPDZYf8d?!SKa?W+%Td2Nt4x5||r&@XupM?5+!zF5h(NZtHb z;aIr$&C|ead-b!erDCDZg~d6G5=04U0Bjq=rwXrPmm<72Y2TWXmff}eeVPXlY{da^ zwveL{nj!^2cqh9ol*M)CM`53YqlRCt0%Uj?- zr{>91EA{MsFCx8eQ#aE76l%$NDk*A8*uAk6`7Xz& zDuYS*7sCofsD}**1}rNOERq0DtXPhvC}3R5ql?Ty!J!M*0cSgdufJ=eF{B)L@tkhH zrB2KXX^cqwLF3MI`UM#R=B40ilnO^I5#|s?O{|PVP4s_H*ryh(&lvm3o)K6xY2Zq9 zu^$F8!mHceQK2j65p;CJK!to9piLo9wcCkhWJG6-Ev^JvC#d2pV#s3jz|-ka>0`M` zK_^k+bQaq9eOOrGEys3B_+I1)_;T@9~m@z3`J#t&R92GJDeq66~7s{WIA0sor?;F4PE%vK{!J! zB^i}Wphq`B-}Y1G6;RQ@0^aB+Dx+Oz{GUsnXlY(+q|o8Ia_t8=Af5Uf(}d9-#uI@I z{CLro`A`v62tiO4d?4G32wF~n4^mUbSH)M*!RR5)pi3l-B#h)_mBE(BkHn!vFGj-e zL!nl}H#+nJos1+i#Ey#o>vHWK&roGF(&?V=r5N57$iw&bwXw$!&p0~zN_<4Dr~BH? zJ_2axZoq3f4CvLT=twXqx-yIoNDT;uVjvL`fgS~Sx$Y<)A3yl)iZKWO(75OHf77`t zO+=$MW%qna{sU(Lo&fpkr+yh@j4p*(16lRABitpHrekGP&WeaxuHLS^nayX5He9S+ z8aP~Qi#B>+nFI5fM@UCxJ$}{m1<54Cxi7JjxD|tDw1!OLTkJye7&43GiWT zJiGN`o5FTXE_QHjQFHhJW_g0=0_{WcpnXk@kWmU-roq;Ey*N^45dXNnent~<3pQ*F z2cT`lhHdgG^9f@U@~^bCCJyRy>2a~gkJvX?nw0~`0OMApYnMIk&p#~(3~khzKbx04 z7JPifxY`^(Z#2I2Vb4rT8-=58HI6`nxA<;&b+`h?<0tHugpAH-L;g|a-4}jJW|ENm z=x=$DLx=5Cp_wu;GgK8%a;Tp}3}b(VQ$O>!mR!6*3U-bt78ZH6Dm&uP5Ax`kCo?Qo z>B-!Gq!WrqmPTZM8z-<$K|gdS7WJW z<@pc&-#aYl-+-kyUb((jXwPXB&IIWkoOY6|Zs{3x@DFm)!U7F2pnjjp{!>~<9fuE3 zfAltIT424_+N*h4`Oc96>h#aU?-8svH$WW!MYy8_;GhaUkAk{cM*q9?C15N;hJVc0 zml6w_6Mxr>2LguUtKYE%ktFJ->k?;{Cl>d_`FhbJvBcMjAt+2Sq8G7aLZkIulWkBdJ#wMS3)Eh~i)Eq7!Q6V;Fr=V=S65$Yo-dj7!|BJszA zJg$I2jf+4FkgK7=u-5=`H7bBy4Oyj!S`CwS28EWQN9Rg83=e0GC6Fc1-D%`kL@e^L zjL&;SNpuwi+Vw?2S;8(fhzhY}Vzm1~3ZHg+%?!Bb9TP>*?k81zvinvod?n$`AL(?} z{~FL8)Xe`qs(>K|Oit-^@x{O>W|IK}GZ(t4Za6rWt{@1;5G#fi?mMoMOn1nJ9^Qfk zdPgRVr-?t|!i4Tz44QhGK{x(bcy9|G&8>;S4BugsdFoqbA8U^KvO}vq5fF%^VXOds zr796Ldm5xtE+7LmIm#+*gM^>bbi|QWP5}s33@QX9`oOB}QhlTA^BK3Ych|G)PSsZ; zPSr6dUWmd^6HgO4HBctZI(tvX9KA&fuI(mH#+dz+9be6_`TicD2KL5IXAgioHLmym zr01;Jo^D*3e(hx9_NVn@ZH@OB<+k11hnwOF(bf5>A<@~=JRgOhEJrQVsqL@-ycJ(D zF0xXmCLO`JD1)3pLOF1 z&~2!{;4g?c7m+@r52c_R7H3yZq%1=Hmr%#KBeP4)r2A_e&oC3u;E!<{_$$^Td>MhS zL^{DDyf)TOZHYLIkV{4gHzj!ajqh2(y7I`UHY%DRugu<(l!Ixk)IlG_Q^p93P<6Z= zl%X>QbgQ?m2-isyC(m43y@Ik8Jw2bI0{DK=91m9qS{p0M&Gs;`E1#b7{8RX7kW~h3 zjh#mfYlgaCezU^i=&A${vsgxLzW>dRb2)})nWU`8^)3-IQc;D^6y?rFl8@vCS5|>^ zQAFiUUzbx?>%g-E;Lld54te4BiCbV>@&V&uUbwkBx^W}*nP7m~^!)jgc=IHFRn>Ksz`mZA}l7>n}7~zk(LaiMW_7%@A1MV$3kTadOsWk+d6CKWMQ4A zC-=*<(DzWiMkianBAj8Dj@SNz68a5kp(94a}X|MVW z;_P)20$uBYWek-HNpbVZbim(V)4dvWeObo`L|{q`+uGU^{s3JhZmA~sb*-*(c<=Tswg6j zE_0V0g@UcwY&+M$dF_lUZ=Jf|jnlb$T+E1>3Z7GKLa@5P+KNt8ePw;(6CRq=5C;;t%Z^fy|Mq33N?R0Tds)DCM#IuZ{Y zT>(-+fs#J$QBEDJM!kU(kyxQdw-9j zqhJ_Q%A@btHwtzA?{yg*w236jX8+0=H4ALAmKn9POJn=WXpu!~BVHQ35`CKwId=+LLjy&tH!tyo5aHFd4(zs-cLJrGfZh2^mD zoGl`vMuHz^z6Hlx`@L3>!$y1>Uz*>gb_%PxEh+%QR~i~|1Qi;l7^v2FIatLWWLWPU zh=bTMaTdL^NISpb>c{EFA^t4&YUkWMo-&@YSwOJG8usC6#Jhijef&kpro4~hZO1z1 zhk$tY_Kr`3`BNP}G#`$?+;u4%`A%)bQ#DWV82L(E=7tgBV^Tg{Jq5qu3W!jVfC%uG zB?T)I&Q8kV13Axh!<3N_-Zcan%N z4E)M>O6aLjfF%@Q2qi>PQ$<%r2eia)(hU4W!f?WHPF6^wH2Q@20znT7vzV;!#c(oy z!J9_HaMuD&^bf8~Am`%9$(I@Uul(nkBQw6)e^p?m10X#D^fkw-NNF4iSwIa0ItWM^ zhHtDZt1Sztyy(r9kyh#W>G*)kYYmcZsS-iH??+KW7bbNqlB#elLW4%S9L*x2FUwvR z{a~XNcb|4MxRy?lM%#Q+yJ+vkGw>?k4Wgw&X{BL-2r8$DY9nYPXbL*lU8EU!iKk(w zVVtbAiEr^FYXW(AX!90^&>Y!l-K!KNukt$v(MDr-H4QE)PFBo16x-)}A4@`#bhfDH zXX#hrwo-U17Pg=`pS}4BIoKc^!i#=r5YKix&mogN_77-)uk@@X;>R3C)z)@HdTNG9HB*|F`;Sc~-^V7Z+$fFG9Y9 z6>r&bN<6Cic@}B!_StZ2cVzBEpA59FFeTJJMCYS51ZW&`DipWARHR5mo0tU6MaQFy z1(yYP9=0C39(v!fC6fB-K=3%!wOD%cB?3oEU2*m&a0n@GhwyaL->`pI!&jqF`nr55E9S~SW;rmD8GmKlF<-2oPH^Ic=~etTDrcu^-Hm| zHt;KW?oK|wz4ZG`oBsJ~!8`x?=F*$RLo!0kH=*yPpnkc4H9(F4?Z8Jg4T0y39~f5d+Og#5HUi?s_`Qr#S??pVT$%#!ak2PJPWZ)^zfBw+ ze#tFd{r;u_M(N#RJe(w^>i(A1$cy?{d&NG&_E&Q>k;ln5p>jb;?k`GPk@H5$?nFk) zn7_;6=A_HSe9@WoD1+Ftc0PpMG^B5RGYI-@_s_}+HIi`c+GKMsv_;!NgsInsL*LdI z>-uML`A_1NXz% zT|~jDgKCQ!`Qx3(L8zA6Y7JT1&I}APw7Qpd0@DRSp*LMw!nI12$%AGko}caDe$u9%6ts z!vUX@^y}`9emyxX6JhOU%roPTKx43SJ0Rf1Hsx400<5Z#a#R~pOkNh&K9;`u=~=l% z4}`yqGt^z}hi4{8S!2H(y*T^q`d?lcr@o{6MuWv4Nm^lAG#oFrZ2OLh-6Gh^%-AR9{dW2`IB|5@TMnnQGJBMuZr z!Mn>pU}BNRm~p8p5Owx>O7pTchZk6lYG6H@JV|iun49Y57mbm~Iv5|Mva1w>}x z2CG*11V!?L4!GC2tA3T*2D@#Fd2DAsQA`$xozT^8-I0vL!#J&|7al9-5;xfk2L*u> zXa+oEFwFVwIbMRXD9UBYwZ2SCnR)21+7qO2=kv*)KD$G)_S^1xy^bJlK!Z@PkAzU; zf{suh4?+MqHW6NYltGk6L|{Y-twKfMVns;h;Xnwqu|nYaaxMIJ@s9HINyUUyAZ3W? zn+?~y){la>4_lYFQ&Ab;zL!v#SB{(ng*2Q89fl5;R(uR4@t8W8x631?f?suU_x5hen!HUpBpDhvt;{#sIunw- zAf9F*f<&w717z04#GO8*C#NTq zJ}g=j&|w&Zl;ahh8ab~h1CnF8j-JtffwFf@zOu;e8oH9nC0p2zwl5}I8f1=C}40J&V4F!tVv_~m5y3Scvz?sMjRTeM7*_FlMyTNT?tB{&p$0BcszHC2xa~SxpphulKT9>muYURAbo~R z7llR0kD!eBFTv~|Moc$M%mq&;PA85phJ|yov4R;<7*R}hb-`K0u}J=0LHqj>O4x3P z&um(nwqw^QB^Xhc#Ph)xji1y0Z3NBVQx}4hS$IMJd|Tf3BH)!MrT;Ol{>RSZVC7}u zB?hc3Q+*pARcyeJDh13eo}j+tYV)K%X^nI+0H^j+OP#MCeg4wwsf<@)1pGBK(Y%Kq zMbsiv8f0l0Hi8Nt0(2N56^dM+9{l27A?ZRFYr+DcaR1K(o^Dc}U~*RUg>O`PtQhTk zhDo}Ki&IY4R<`*d2lt++1F&BU?M)s#~-vyIG^`E}3ENHVIxuCe{;+g3a z0-^_y&jZZ%=@jJ&6av%lW_j=hUzEyK$y9a2%cCh0L*m!t3reD5u%5Rxk`<$r5a8Z2 zfY*Fy!W7wxm?+kEKFNVvI`%@$K?Je;HOYJD22YgdDF`6$h0iS&*EiKJDOxzM2@$BI zZJq?oXH|Bc1^ICbykTG{4%Z$0s2gKpFGxH4&d5aYc!b5J*vNzxV_{l|@m<)5#=ZHa zYH2yI7?KyUubPo?%ok_&YX^Mtzkjd5_01=dDEeVCl|tv1bS=tm^+Tgmr@v0HzwRHC zwyQ4l#v_Z)n>^`FqfG|U66Ow=lvHK;qQJIfXy|Gc@fvJ5!-85Q3DiF!U@J+~i# z+hp1rscj#<=3mfk&_fb5FeZ9>p`@4 z_-m2MEE)btRvG9b5FrW_|LLjH|BJA*%*@QpcFfGo%oJ11%nY&HF*7qe zjyZ-lGjq(2G3LDXH#7g8_tt&)u9nW$In|}=qmpV#yVMdfnHo~|7bJcW%S!-!ulZK^ z*J6w9x#%?ZyG!ZZ_(EQRJ0Bj4)K;C1%^Ps!rB|MYvM1}rxa!Z&<@_ZJjjRdaTeH=c!QOYF_&b}t z0tDtwMvD<&ziXqgTa8l- z%n}KqfiI<|m8d^t9x+q_P4^){3;uS%g9L9&$ShfHOfa@WtonR}Izea-Z#n^bt|BvE zL^Pe0a%DOeSfdmfLgNBma(W`i1z5cK#D>Mh^%0up=twf0kpNF*_@E4yB*cKBYpCSc z^86jNBYfJ0hParLL1aXF%%ZeRNwtx_*xj`>g2u3%V=W|MQ7kKkFympcP@k>*N2tas zDD?D?;S`ix3~4`nSsT6#EU3Qih^3+v$=}X)m`4ra^;L)WR9?BIJm$Q86YUI5aybLu1>8Awt1_!ZZ>E5pVtRiAl>r{8_ z;P$VVP|Ym2{MF<)pgk+5LaF!>Q{#I;<2bHbzLJ!zryqrl11iz>5_f6x0^nce!*kG3 z6Ceam_~uZ;>HH>z{fJ0KZY1glp!{a4*+NL}7$!rqEp%7DqFKcY-ncKTQ%ZnX+Mc_$ zn4X=$Bgnt)-lDhc(4hq%V@I%19TYgIg)K-3SqwNbIWkkZ5V4Q~ItH|rB8U(*GF7q$ zYUt3}key9vw4Q3P&>&SZJUlq#z8TOtQXvJ)C}?C-uKn{pX#F?h6cD}4H58!1+?mp

    D~5kEJUwlj9{OeQkAl zedyzn%&@*>+&8#80b=$23k{M(SUnLreji2>qnJ2v%uX^(Lux<3iXCJLepG$iA63it zKTekv-hvm`j$*fHKE{ZkYJaUS+OwEEAbrvM8`MYG3Z>Fm(Nz~v6#$V#ux%BdrXTg+ zQSOB78;T-T#6@HtWhlT88vQOE&hgfh!Uq^4O;JE257nz3vy;pC`gLFyxTw{uRP!%?h5B78?B?hDs|fh=C!H*wNs*9Q{! zo>}vs*H3cht-526Jn=>I&jiaCEz++&I*-ADhef1|hAbA1<1IPE_ug0cSJ(zyhI-k5 z@D%%1>$lrGx@nYC+0j7r@!*7@<*aqYQ$aAILN<2JkjeB=6Lmq%hk*4lWe7n|%hx=) zq%r#`xMVlELL&wUl|nZpKu#lnm=9)7U8EeHD&3#&DQgF-ge7}KBAbYc4`3HbmXaHy z8ntNJyt(bh5p3Fn{iA#LGgjxS@i7Hkv}Ke+R{QmLo)dO4I}TG*%w|AW1mZg4bp(8W z1U))*oK5By2NTx9J2 z{}#G)ip-@UMHO78aq}vWEMj}MVw|n%FdZdaY*t*!P9mah)qLHJ6IS*{O$!M4UrS7f zyb6LHfm1GU>;-b^P?Nc!KOrULR^ERHKu8tX@c!#T0>|PKFQ*zO1QzlYipp0I^o|h} zv-d$oMWw5*uBr~IEeLHDC8i%eI!8G>?N@`xfE+aQC~vm>b7C;a zME+FMP&1^Ok%6ZQqRfXuR5-0N5)y(ulCKLv!}h-%o-TQrQDdR1ktpjkf_+=)InbVCZ2jwR(Y3M-}MGuXo;k>-&364PG4Nm;fy zB(5ODcOtipLVh6k@|K)vcEzCOnCcXf;bd*u|8c zG!SExC8{Fe4ZYTlHH|g>q=r6;gWZn>`gD}QwJD)7txuS&dEh;a#K%Ds_=G^NN4Ie67My3Ur2dl2vDK`dko6}8vA>O9mXUdT?i1(f zWzvot6bQZjWd!QXw4WF2Z|1a6ue~dqzbr$dM$=B~3S0QzALBE^PWzm9p8XQKM*aH; zf7jPM^%iy?e>*K=@nov)eTSrCeMSF8L-O@OEP_ z88Cl~yw7U$Kw4QTs%;X`^8Hyh;C}b{wDtK^@_tbX(YvBBu(t5sH6Y;Oi=M-le89bX zzqbje19#b#3l2JK;8XjIfJLjg24XXZ))+3rI0Dy?!V)d^o zN%s?NRG59iMYhe~+5Unqf9Z#)9~$|t&I6+jel?wAvqv;CIRLKuDf?T3dY&K$Z9pG) zHE=s;@yG>ee|uWTReve}Z+KY03<5v6+Q%gQQc@{yf$E@yjKa;W>tx?Bw_w^?+23CV zBLg6?Bwg)agIN`oQ)12}G^pWf({*xmt7sh7w}=}|U{2&}<6B!Pa#PYFSoSP+Q);f2 zKUCQ#t@-P=&tUZzBQj4A?>&v+5k}fJYCIHvMt ziiX_x?eq~GpcfR*wgdWOtRFLkiCX=q9mdmEIR8(*IKZIFlRw`J$K-GOOc{ZHLu zUwR(XB!_e~4E~-scIlh<=BypAtz~rS7xm<=ObfiYf$3ZG-L!wTt!qTT z2KkmA)Es@1Ls_+$wB{Z?K>UcdzPcOb=gkPA%;WFMY1?G5>YjM_pk%|R{*D6-1l*7|ApK7HDa{hiR#yLNETd?dh(m_=qxLr|i$Sx@uWZ z_10QPy|!A$@DtT${CxelKDP#HVH3W_uKd%8EWdnrXG8y&(tjw#+L8M!BI~y2w|gG0 z@zm|WgfEE`&Q1uOlUtr{-s5v8;obiE4aYBg-)xW8p2<#q<0C`lByEsnX7#Ac%;MLX zn8>X@wDM}EV{J~Gm^eSU;SlWXnN&szOtR&#PB`I&AMdYwn_eFiZ+`05G(vyggI?G< z^))#*L=I%wVwJCh5p}x4Wzz}Urntft)M<8FZqk}~8K$iZXVlKa^EE$=nP`l))v0cb zmE4V8T-m8^?ANgfV;#-YO-yiOvtI095keUm;ghw1dJkusM-va=E~=Nh8<5jq8r-k@ zG-4DN-;IOs&CGGBZ@D3v;)eQZL>LSIkLo{)4&{EG^vI!$nks72#P)pfl*xGk2}xGa z=TJYNjbm0?6(-fjNk)P?xFGK=UnNQGo{HVrpF54)YlkaWPwwZegYM@^lkVr!bMB6{ znHE9Mpa}Ng(I>iq7To;afYDtjJ7$zGi} z)E)cv3zhZd?#fP-MHnf_H$EPizq`mfQX@N}y&so-9p%;R4+-gO}i!VUF%Tp!sE z2mR#m0+P%8K2mXEq08By9j~7ePIuj&Ca@L;_iuFQ3vPMoE22h)iSV=fOvHOvurPV* z>q3i!eWBeOby&uRjXSAuzZ|9-o@XdD@gBJQ{4{)soV0TJ4}|TK-*R6IjQwLlnzRBf zt81p#WXXy$Nu|ZXPuGFwrJqr_6|f-L{o@`Ub*jS9+9K6Rt6qT(BfzZ=3&iD z4dYEe7$zX9nGkE5{D0`-=vwKSW>|%2WkNg8b4D5@f;nL}k~pQckvL)YkZ5(Oa&4W! z$>So_EY$zt7_IvPh82}jYT$Olz@(sKrq_j_aIK?j6~inUp_RFEs`-&zi@h-U(Au;? z8X#a;`}+Wcg5xnmO_S%^9J}Xm58b?$X=xp7u53s~7mjYF!^gF?^~@!8%rdBXJh4BL z?WX_#+HxhCm{lYuj%I{aBzER-bwgTP&2#vo*+@V~q&9Uwfvy>MpsA#)WVJZldK5x6 zlUr0(0|PI~9b*kuG;u6^Hz~IEAUTgIcMAjjLz1=Q0%J|n5Tvw|Ikk%r$bnP0a?r-d zVJ5uMV4k5B7@wDi(P~Z;V4D#b)%K^1%}Nnhk`-6ZHCN~h=Aa!<7;5B0YmdVLjRRG) z-D=ei5z0U?27`7Dj^Obnr--kA;NRSyuGdHgy5Qt`k8GQ~AN&o>&7U5fZquc5WA!(PPkqe1%K1(8?ecc_mSv|b z7y#)E-%-Kz5-bR{Vf14Ymgp+QyQ8IhixO}Tdq9&zol=rE+1iWGf<2_~Z+~Cet3vF5 zI#qrda9Sw8s!AoBl+eL6d1~1~py+iCkSpl$ zS)L%^W?+I8=vvv$`NL4{1C-M=aYlFC`>_J-&NPB10#&T$R5L}YWyOI9RwDbq1BL$s zhMLL??-7z0CVc;bv&6N&)QUI87!ZK6cmWJ#8pjh!~7q*mFS0r9!9yyL;_>*IK!d* zklLaGEd~tW6_d3F;oudyaSNqh{L_^Uxigw8a#G5*+Cpdb4dWQo&mT3j`5pT|3DAwd zt_d1;82GsJ_eUVL@pLz$td1I-A*0YiCK$rImvfs0y60@mr<>?^9q19^{-gU6xt+z@ zrd@bE|4;Jb16|OTB+q*Bt1qe&#XqJm=V}F3_8H<6nkX%bhhqPNH8yL06PitOh@v%& zwwPosFm8`RF-}|ru77}m4yHo=zjTnfmc#aFJjA+==zqZz2l>@<@vF9E97e>A0Wz~Z z76V=3w*A4w7Im!lYWovQ^QSPmNp#`SJB)b;#jE2$@T?;RTEk4%8dtsV=L1$x#*kTu zg6M``$g9+rzmmU6c)@Gpv#Xik;U7^ zWM?I{kD_6>VaCwAV0H9*a`xvl;@$J=MoWB9puckpK!bMYC<$F?Gw9n^p0dkkKMA;C zq177pRvZS$>wCxBI{zcoZ(3TRT>#}*==AH`iI-m{L5iLI9FP0Ux6R5se&a<09rUsz zHn3Srv%eSn{}kX$Tt)tau!?u2i9;3AECAi+%`GXSpYX=rK3wg_xJ}UAH1JmJ# zmZAMKb0chY9q^E_3;f?jrMpzm(|7s^S=5o0_QG|fpvZf@NJ7nltEae zD|SaF+0as@iiQ@lS`oYvbsHUoJ>ApuCUGK#Z$^^Q7M0%RywLHb%D*JgL6TOPIv1%W z#UeC;2-9)=?b`E+YP|?)>K+P7xiN5L2W!AHN8;YBy241ecEW7gp zB*AGU;e9Zq_@K9W@~SI|WqSO)E!Q-L5Xm-aeb#i}rQy*I$CoTUBWt(pRV$h-@rS#y z<{yea>;=%)MM@FvL3iR8cWrt{USu6I>mfTNP6`9UYba2EYz=%*XWN_?ik%WFW!C*P zlP;@}IG-P1Zyv6*ds2i3F%-44YFxPK5AnHkEf=4^4FPP@-A?eWRDGoJs}U02G|=Wl zsI~-hhYVmde9mStTwuiZfTos0h&8MIv7(JK2)jH@@Er+`5Y9MyKY$d7c(%7N?UGl* z2%fL-r;nHm*R&P;DypOT1&N~K#O3y4ShCsAkjWhVV&hPd^q5E`ifsQVNxkV~Ls%_x z#WH{czZSVp8H2bxTZdkf3>HZfQ>Y0uVmQekrUEq3%%-Y?3z1+>7n{XOynZbwYDu7_ z{ol^j$gB$g2qTodLX|4Ef~nK2G@ApNQywLWtEiL%QEB4Ar%=o8E^)qcc2?oW}zF9$FJNB@g-$-q7VD5@-t+}Z++EHlc_jg;3Pvx ze@n^eou_-yCrP=@Gi9@)Sdkm*c!uYx3k*%D4jQiuw2L=9=Lz*vHyq-zr6vJzD8%50ee z`Y_~pak$KlXz{}n`Hej+w&S3?r0pHY`=I!P6?#1z_Bt9pbtJr!8NT<9!d4$i+86*v40585s zE5C?N+{$!DxNm#djSlxSkgaHs0Kw0O7F8EScxFHHU0v0mZRim{m8!yzE`O6z$Sbe% zeUd++SC>~wNy%`Zbhfg&U@cRY4_Lr3V7lzFH}~`vGCE&~RTXTdSWvEoYdr&P`|%k{ z;z9tT(2F=kwL4L)!bpZ}p^nm(`CI^E(5p4ltXqGu!Kl`3rEZB0$^H?l6jUYu9i-G@ zPK`aG9wH>9DLwjFIetmIUP`0$LT?bcD*UJgZ%6I$!ua8SK^1(sCI>bl&Zu^ZQY%GX zm_bL?f)SC<)0Ui{b-T?X`Zw}+l4|mnK2}e5B~ee%^aVE!$F<>&6LwwHLa9eEsw~HD*nL{Nwbwe0NKJvd<={ys1H-yFSMKpQ{8`} zi(4ulDK^KF6w5@Ac?JO=h+L|*(vZp_F;br(~Owqvhlwd+{hv;7MM57W6&~G{LO>~$l;^^X80*jqsSgRsF5Cy3}6r5 zL{CiyNU|SmLonsH^^Kpl{g6raAjtvCta4OvNS}e)adh`5H=6|vW26NWS#0mCT({c` zE=F4rxm#X#_(i^4Gk$uM*$a4>*^3cl{}G}>C=fRj3c$()&tsyC;rRWYYQ^72u3`1d zm^0TJ!bC@9KxSu7Dl1nhhm!nT-bc#jbqu71WOE*E{OgDMl_^VI}QKi&`COB=B*9 zIBGfNFfU19=&yUW4FLMHMDj4DRR|$Y08p9FS@*70Xt%>`mK<@!Z7@{j4QW48>&VMK zu_#VXAO<8XUvcGYr;hU_agJJ*9?gsi-zT)A zv3$x~J)4*BJmN|z9VgNAO9bach~WrNXjWQ2g(^)@ue5lCCb-Eq%yvK#z9}|rGNwGY zR$32)++aFls5H>ahbr}BI#y+yQl>)@qJs)gXt})05&yD`e;VATC32S5q94QYzS9K0 z`FW#RJAYmxd+}Ge%dQssbKaxkb2WuCa-eYUti=@?uQkuUbq}z^4VlxqE!v9cNj+TB zBo_Waft~&AB0T@V(rNAWVITJAw^vrq4p1lHZ#{DM z6Kk>#sP)2SnRsEo?>}AtI@GEmrP-61Muya^bHw?tNQ1>?{4kY(#_1fM=Qb~k&zq2Q z4Szg;e{hONFmay>{W*ZmwpBf-QXUh6&uoN2A?poMyxV0-VefQ zi8{mW+(uVMov(kae$v+4SUM*j=Wd@k`^!%%dItI{Fx_;d-1=A6dTU${tAosAZK(M+ zeBQIPO54Lb>O{+>SA1AP%)IC6Pr?i`n``Gsl+c4m&EUoBsvDbs8fUn3g?~)X!Ur3x zoQ7oNbz<1f5a&}M2v3(5{p7;&Q&l=FnkyL1mm;_$%7d^>&)BNb2!FcEaE^8 zyimaUMslMOT=@=T`H3>v1G|sA{-#7{@)_)IC*zeFbBE?M@a(Zm?CwbKFM#CeG-&=? z*-d-=Gyz$lgE4ozb5(>f1C~}9E`n92Jn~7Z4s=D?JVT2;(5OX(N&4n4+0+E7i(3C( zyL6_juj!n+U_lbmVi72gyZO+kcRTE&h3qF*3;Vb!k1r_~nrDm2-tzmAT@PErs>mRm zv@hd6xpPV%%b&lU4p%s!yom18E>23Yyrj%7t}S%Im-7JxmU&g`#nq{xjFIjp0M=l5CNU%P5)NDx*=hEPr;^>#KubaW5NT4O*O<-RuUdv=IVbG`wzEQ^v?r2Zy62%ts;TO`Kw5=+rWpiZGl(i z*UPBfJA;v5myCf|_MdrNvYM}gSZ-`Eq5opJ@t?hyM^E7Y5!H%X^75Lk!{~!&0ZW4s z)UaB5ezc!t>E&UfupKqq{X<;9b9ak~{-dRQi5An802;4yaxi#VTk3W#*7m&sxyxN6 zp6K&DlXcIs@~inAn`D9WR`$0?=am_79O1;@X?+w>q8CIQyB^9%Rb-j2$JrBjCcXUs0XGF1>?QpIUlqhE9AAA^NV;G8 z-iO0X8pknANv?bC2lv5k_TAk%Xx2+ZG?fWOek5hEr;AKx$Ey!T<${c|l1pK6n{P|n zZi|@#i;^={&+1H9!6O3Y3MG@>LG++H((!o&30u_w*)nm6o zdy*QD7)<8m8rSHy(mlqILH{)DEZS?2HRV`Tki_Jzo3YWl+^VM)JNr{q{-PkNL00hR zeBOm#f%!}ATbeQ7+?y4fL_2hi=opvvw~->9 zPYKLyjFQrSTa73E?w!HOu?nEuzABeC8+q#FwZ`KXJM~bl(^iEnJ}#A&tjz(-i2N>W zXK%iKKD6G%eToqQKTe&}H=!|F*Q>_{5*0Z(5I{7`^}e%TT&?xTI6syntAKqY?-KKj zpqr`zm&qq_GGOy-S#KcUfgj|I#6=oJn3I+amT*g*hf$?dUi%FWk~wn_ zSg~n5m0(!0&D(z|OzD(wZpe{_SA)jWU6jUSZLXTSpPFP!zalaW8>-SDh3;*X{7+h* z9al59b4en$b3lC(JJYv3vi>$Z)P#=Kqw3s0KDJEm#hpStoVI@yT@U^sjMDLP;!iY z2$ZyrwjxdZ(P{qGR?r)3woQ(HU@VL=3ZB-Zj)W$6auZ>$Wu82@#OD*_&txK+c#G_L zD%9QA2>3m|0Cz#Q#M?s|ad71{l8>A*BHVIWl?Kq1-$h5Re6duD6sDum# zG_0arZ+iuYe=iX0A?_!4-SinaKdIkr z8wXE|%Jbu^tuxCs!236F)GE|PM7zVc55oC-Q}920cG+#5WD#*e-dg!Ukf5_`qTyXWyBbnFe@9g8c7}0GSok)aauwdy{nuJ&-8vOyILt#{C z-<#9Y&8!<}^2E;E`{0NOyFOT;!s>sL*#D*RzQiIMeUOMVTDn#zEu|L6za2)gwNGPB z1nU~qbzaxpKnEXN_o4sm9Kx>ulco#}&cK=50HdvIt!t(?3h!VF%E71N!)er3OcMJK zvP#kkWb24i?1=kdg1cq^cUo;+g$GZI5~ZkIgVlMeiap(hG(qrQgS+Wq7#WEbjZlM@ zt{f7oBeph%2Z)z7__&DahkvM!m?SG868V2@MkZDdKFj z==dm<5I|$dKjrd&(}*FXWVR3(6NV{4tm~T3!G}jlJs%ufN_#UDSVmi2GbBi&7=+-j zgNplMpsjA8s<)vQ&{+GC|A&p~n?8?$jx&~QUpK0?XF$Tl1*9`_2ldWTFaW|?M`ho* z(_Qf)zX1}5RqtB7j&6;pN#iFEDOkgWxs_O(!VB1H-3z2;Hyv8?g&_yoaC-<6H|rl|k@B9$P&u;-ZY<;^s2^8wo)M zkOyn3gd)49;BuKqFy}S`x3v7Rh8&gYz)PfGXY`3NcFcs34g8DTa?=w)a+T%9El`BC z8@8Eh>${O`PrzBSPdY!oOn!K8Lvq7k9E^uoiAd6_+SW{&AdI@mE z1YfINF;x&VN6G$q6Ej(@+pOC_R=3Dr-vVc;wMkVuBVv)>k?VLjl9@^~Mnb}hfl6~w zVjz>AO7l%ZVpoS=%CaOP5m!TR#W^G5Li^vKyW$)XexWT30!Lhwd+kga6;btdWLZt# zX~>FPPS0kc;BkIQ-qEue=U7dn_M3EEHw| zN4vA%YT@QN#z)E-UX>rYYU0VAMazsos|wUYa}{cR)Cxf@xTiuD#J?MB>RuN~d{nuA z8yoht=0Ugjy2=h@)V=SzCl8jlIwrs4K0!{~PAFi6qU78aGJiNjR7S{SgaS0{631-CxVrbCgia$QQ3$|jH5E;L zrn2u3B;p3mPg2vA6mf%NGVp+g+Z-8SVb1H+Ya7P^o^iw+s>@Mj$y0P{VvLoh%CbZt zajgTUZx!bfuquT3iRr|>ZSY*EJv2PD!FuqiwqXv-aooh)i?YGS<9SuOQ;O^hofprv zmxP|3TMtVoAXcUhAsw@rO$ALjwviKc=T4)I$u=E$3ciGa9UI?=g^ofvhzOgP^X>E9 zh?(E zTlPur3$7xCNRzITmM4Chq)kk+GA_<| zrBRH(x%B5Oie;1A%{nDCV`+FWuA1)XH09ktlGuuGhg8NeA@+Csz1mL;yB)JU%JVu} z|I6mhbnxl$?Uq$1?%x!p9cR{LNz}$g?dI0YWxvC3Cke_Oo;UOcwTS0<;SnVbs|_x# z|G@fz(#}JjXXJ&^3DSD^9DbX3Ddz__l`PQz4^ib2z!qPc$YP_+mnqPdn@xb-MzT*v zJkskacE*mPpX4^<7aq1miPu8XUvLixQ!B$}D;z3*hK{74WIE$#NQxA;^u-EVqH344yTvYMbF;g0@XNRs?NNMAk4k!Ef1bScPKV zq|=1jm4dgPf}Okp}s`i3Szcji5#=!kFDW0}dff1C6wq zF7^Xxtj%|VD+XYBu$^BgacXOo0T5rR8Nw8RtMs3^D2{bxg+xq@^cj-XGyp3N;~57nls*Wm@|f>8+fsM znDmrMOJ$0w)=`6vD+@ywiLH_rHi=4D1%;1a<_L1e5-iK_jc9SyWefo_4uh* zIp)W9hZSip^wyQ~N^#)?jnYunIqJMe63)K4?FTe6T?m%J^EhjVCTL`uI(XoFH9<88 zg!Zq2^_wayuzdx`bkr90_&ga-zdwRM#)oJ4lR&_=x-YnYN1|czv!8quKdi955?|rc z2B!TN#KM>7Qs&~#=iZArtl|K;7IxQFCl%TSeQ1eVX!3;`shPCEK9?YTqajuN-<+Kn z)sE8L@~cm7EUARW>v~Haub(1X#Ftftm=hANh%4!#4q<024{??))x{Sfxjm5U&XWzFx$q-nlL-kQ>L&Yn#)usMOaj3{ zG$jgy)t&8Y?KE(msd=UQB8!JYnfvSkBgI2%AO{PhO^b%qeu!gaKofj*d_Ju#hm5Ai z+D<_iC^2A&wj3#p#~V>C#>rYb}iI^BOM5hmx&mErP7PJSnS0esrrOpHa@G%cYWkKGt?lH zUD)sLBzw|PGpH27jC-G5!L;H9HYP0b5lY#y2O4sDJ+qeR-&oknHgS(ITYb? zs*!N>@uxoV(NsFMy0E|aGQJ*SKpiucY;DKoAc(WCj5jIC$3%s^CcBJ)TyvPf4 zq(Lus5NrLK7$9KEd zKMiiPAW}edT2$b(6&w*?XGb}ece0Q4Z(Ug`ww}_|R;%S7NBQJUgplkW@F``61{Y)P zKtcjwC~KRB2=C-hKIr!^r5de3sg9{-EF*%avnlRfB%*9tc(H7m*uH!@w8d@6UuSfz zjxu_FgBz{fdxB%qIeU0*sLagCe4ed2x{wB6*d9k_oC>ml&!{5J*JtRhAi04Q3*#Y7 zBGPvtP*rvX6#@Q5oZJkwP2V}J7F+|VCeB0HIOxdgPu|^r7E?<8&*gJq@Ct@6G?T4O zY|E2-hKTT=E0a~T5dIDLnK-BF?sajbY#X~i z`?a1#O7>@axgC{CYam4vUPhgI!zYn2@Zcg7j)%oOG$HP*={^O`N=Gz&8;kjfS_WKx z2w#wp=zC93Y$F|dmJa_2wq~LmbcThY_#vK->JvCw;f8aWTzhBEa!Cn{HO&vnif_Zz zE0a(dj%%F#)-=hc^ONvAz+4a@t>Y_(x^-S4(e!t0c)_HZ8afMx$u%(jSrEa+e@qc& zSv@Mu3q42L{W*n}_}}2FBI6YaZ)x-O>pjgWki4Wa`9CB!^#_Ulxq(a@MzFf8=RN=S zy4hJ##&Y$h$`Zi6lNB~G&mZ4xAN)IB)FI|qtG25t4`$gl2RO>4HN>#b-Hqu$9Qdv2 zz}KCg5;ISs{W2BHjrc`;-eT2}gqZ0Lc4qi?nSMN;eWlQZRrv;X9C)5G>i!Wl>J?l2 z(1eti1M&%~RMRxs&~H-lMoPbx>rtH9-Zsio^gDd5?b7-8r`MJ-=NC-K9R!r~o(6h& zh>o<%XAPM2wE9In7Q0wQunLs!oz&)rHkmTZxWQl_)El9%mXXXKbW~4G_Oi|2{BIC~ z^&nocJhQ?B3H%n50?%~nbl#$GtG4mW0lUxJcLkZR%)WuQdcV^q#riUoSvSYFQ-6(q zkNC<}f2ydX2rt$r(0dvM)bX2Bj8Ikcq`!mQuLi#Z98?E#2QG4R1FN@pjPE^GU!SwU zj@?+HE9eAp19Mfu8K8_-HXu2LJuAR4KY`y#6oB0!p1*$22b;uv(ATr^+gAjArMIOF z3_H{%^c37kJ5 z7tecxkiY;#VDpb57rmYD%jMeusoNr{@!u!FjWnzqL9LwuZ-{jGbb`Ev&+^ZRKLnk>jVW;h zHAU)E5U;r1cYCE-KI}oNJ(pHp?>Wo&%RO~{N1xxg>(Aw@&kn|nokt0&05JVA zC9v89nqX~r%paIVi-$vg8REbuNn*31;f-DXRdE1wGaz-aNI2PjPh2^+o@jn9-<2QxcT;ewHx=n zqRXttw@*5bvjcjb^fbq8|ADW&veZld# zXY!t_A~;W^jaqMNyWnYR>8fF9zQKs9vlbm!Que(uOB_GZiGJNuP%YFr410CU*i~ zvj!phTj|nim^SnBiF|cH=l#%C+uhdaoX3Wi0nd`#wZYVLNAzAhQ}j}`R=wB^qKX7U zSGjZWm|FD@a}aA9-@FtfcQ#Z4a9KhYI(x`%b)M=nZPqTeTQSrT?yQqXQTHl3w5@ho=bA9evU#?@-%dJf|-i+q^LjNqcAly`;BqI-o25e3nE4N(O`rCA zu)Z;SD1)I@;*o@;%45JvRehHUwm*D^aD-T4YFrQklibzxlLMq`O|HC*!mdJbh!W1} z;c{T^{aJfsnJo)-62ZdHW1hJlovz=1`YhSk7DGe-^w5X0P;Yv}kTeQ<{~L zH76s4tbwlLtU5IBN_fqc7ycUfBWav>{4dLqon_FYMYdLP;M;RYpfE|&ucV~<`Tbw} zOQ#cDaLw^ylR-?V0&s6VJsGM&@2|f8UwpR_c$F_AIW9)UQTEBa_sRb3leI_tdP{yi ziDbJ)Hp5xWGGQqfMTz=CuqB}RS=#vA!}e5xG7lFL^52m-?->KMRhtki4$LdvTZR9S z%spiwRX!+jReZD>v^ji7UIrUe&)z%4C7357{D})#BH@QSBXkXSQejR=Eaz108?aJn z_lTf%gT~8$T_{fi!{CcoaS)=#QDtn2#`8>G(7qrUArxM;d8|tA8$(-Eto;iahn(1M z5Zv7i? z>eF4OUqz!s%}2P)AS)?Oy&V}$PSg)5LPZl19yM|UydWLR+7l9^Fn6!OU3B!LlBgh7 zq6@;FL$+p3%*l}C2au(NmnJTJeXJlvBmGRdSL`h7UlIrE#*|Px?I8m|&Tw4)y|XiZ zL^+{zF_u9+so3g)=PIErRIb59C;pt4fD#ZB<-3_wT=P{n*Km$^paMbzw!O8cpXYQC2e~RpnFS5Tyrii&fGqhb)i`kz?w^` z|77ut;`Gg(zfx=a0+&^8Yn$kXh-6MhH+5Wbnb zWt`g^*0%&l9o_uj0NgHlE-3t)L^nqy9NR2|0O0bgtHaMjYhPy^l|aL0^6n%DbwT>$ zll$wlw~Oa7LdD3V+XtG}1kAXoB;VX0$PdOr@KEA+Sz>5XABoGb@!xdB4ZMuh0rQ{d z@h8*w^#rc85Kd;;Mo5maz7%Mjhumz{Bqs_n#S;73+KnI5lB!ht%E;`BO6j{-Rl%T0rQPDkdKVPC3 zj8kgL+mh9#x|0{@nZ~Bbt2YhCPEXP;_c=%y(2I%!4s>NQ38RMN9+dRi^o6h_<^ven0HDcUW08}#}r>Ma}Q`t9~IE_BRH zlM<}4l%B4?1%p*g?xL%P>0f)pL``!I)uUCw%KdF%{|sCOGq&&g3+G2X`H3Lj-uvSm zojoy4F5l|mb=F~a)}cw~(J#UdCSnz8!pW#pZP;lw<)Cdt=E|Sgg6p|NQ!-O-D2&dQ zt)@UGM4@>fh*^*g5%VXTSh%J_R^jf-qJ)1rsqs829n2R=^ptwLJ%mJ`SpIdghc?)19e2Dr+)yvbFU)cmKH-FHZ z-!{?)eukTJYD3Dgm~O142f)C&E!l&GY7!CA`ypHqSC4EwSJw3dG}B#xuv!}0HL4p1n} zkP2XqQ-&F-^wq1FMgK7=w;!wh_*e3fWl%VGr*zo_PZW7quLvbKEf329 zH9{9_o#YEj+Ie2d8)&CAj4IXlyy0DA!R5*r&sn3U&p~?9V3^3=1OoeAn~# zlI2O}cqQ^)EarnRiq1ZB+>=7z_e&ZOJ^NASp}Tc(9e=Xgnnha%?|wUVgBJ-j%qyVl ztSf3g4hKu^TganzyjC`N9WK)-=oQ59-go_+Z>rmHCbPCu z6EH5(1)sQOXF_MzS7w*3)nRs9fEAsL#WZxH-dgkRV4y~(Xyr5@ z0~&?_4FS17Atdou&thr>F%Xeq@VplwQFy`$TMG>a=Nj>&2Y;V@M~EhrEAv!z!gQnp zO?>hWy#>bMj948YBAIeDF!|F4D@CY81@E*FqYpI1pLkLanVq&E5)IIO?#e(bRpyfq zDAR~Qi>xfaWkidroKHc8E0+G&h%mW809FQ&0#p1|z}#a;>1dY=XHv(wOkMKF+Ji&LKK4`2*6+dE+s9WpEPtOXA@EA!9Yq{(ZulOVE|e_ylkWs%29U~Z!;i`)#;)|SGu5pYO8PRV9V6nV+)s!7jBZrrXFg!+L z3;%N`UqqN$I z49S4x5B!`PU%I(q*9^S~T(QuTwJCshbMtI>1o)E=18=vv3-bKd380yZ%FHEpURD;u zUvj^NFFyLqV4j%@T{yZI=I#y+Hvx4`re$lX`2V5n8^9xLnnq)7Y?~X~Ha6zQcCxXx z@y5x<$xdwBwr$%s@9g`%_x}IY^Hfz=RiEye>F1p4Io(y&7VYJd)35%2pp#ensCXn6 zo%Wx4jv&jqV&Q1% z;>qIeuC%RBiOvc<6s3_F0sFYf)SF?yH5^}W++n08buQq+sa!zuGIWlr=RNkN1XM7u z1F9Rz!z=0z$67Ft@Rd4`kHSw|WX{1JzF6V4m82MN6I*#xW-EkkvGR$;e)9bRcb$&n zWSldkO>2H=Vh#JoOpWY|yYbM=^W(1fn@hX*M?a@)Cjc<%-T6t`pWF!CE?d1noxCmZ z_7nJcseHT}hTm$tF}TwBxG>yu0}@00mqfuamtcS zGfv9NrYB+TC`9*x^luxm~#uXg4 zi6K2m7)tW3x8X7ZR785JCT8wUYN^}D31ZOh3RK)2>V5DH0cx-nSc<7qrxWM~62^|C z&F;uqJ(XFKaR^K$BvYrA%$$G!We1%W;Z`SYH%NW$KkPQmM0|=>*DJN&zV}tCy{$y( zLtme+VK8D8zS78w%1paZD&Z=JUnEl_tRGFkqvmsm&t!-p5^0d|$kct(^ve%F2<9)* zY+q32uicO!oco5S#oD=bihYxEYt_%8%xgZ!qOp6F-4n7}eMjYvopJ4K;h9FSEoFXJ zJm4ENh=u~^Q@J9Vh<-Y#(e2G{) zxzM2MJJ+Dv0^-|wvRuA(-8ok0o|@l!y}mZ%G1qqii=4l1cf2=)WaCIJ`XzC$H|EL6 z8psqWz4 zeNZvGJI86%@9BJbi0tIwwV!NyJArrusx~+ORFCN=>(5_)Hk!YQSPwit_S3KUer-I0 z&Y4@wy47 zSn@zZY*vZnZPSwmfz*G;rVnKf-G~P=zE4z3j!A#NVK(vMw8rjEF~o7%ZGF^c`qAa2-SLA$ zW_B^>F|!y`S=JN56J_O|l@L5 zpBXwghUM?e+omeKf)wlNZisOS5oob?-M7*Eszh=D8-n7~O zsmO-`R;Z~R|5LGVE#Uf5ezN$tdVkaVOovd{SFQ8F_;9nt`=9pD4cubag%z6uoVowG zdCKhpud9b256!2HN;UR?*7ui(X5a%V-sy93QE2oCyzm`Zc?hieP~U$gI&!LAe0r=b z)ED%<84f%pI<*@-OoChCD<3zSl67bJC%__N^gQtGLD=_|xYH+glVs=;dCv{zW;bCH z(G6=42!oXYtvasltZr|wSnCCu>mIQ3Fc~N?vpOg#K%Nl!FWn$xnjBEwz8(9PjV5Lu z#(=C#k|rO3RhP^~H0R3QiE*52%#*Ltbh;Q*2{70ULt4$$;P|3EjqhlvJNPHTga^M|R$>ZZV? zqCoQdapeVl$OHa1(aoPt2vf)(gVWjY^{g>3jTQNF(T!2y;L2tsU)sgmZ}Zcfd()h2 z$}IWs?Y!*mxolT-Ie;iAt9-2)Z8n`4=%!j{aa-p@+)CoUcTIL0&1h{=XfeIu@xt$- zcRIOXI85Spa|bw&uDLvO`D-7{9qUfeJ6${oa0^QsYA}dF_w||Qu?wHHJK<1G>B=b9qIBL!^oM!{U>{f}n9pln7A%h*b5E+rlnBN$Fxn z)Qb$n+MS4SIP7nFG+OgwCTzn-!UvBQe=L6td7C)yek>+xS)0Hay6|Q^n|SQG_|K1S z{bvODpYs0#yo|PcWnJh$s&5-Dk{L$i5!#qIuo?Ej<@_H5iUf^dfbG{+&UAb zucD=1LtYpjSF*1Ll3lx-oxXfN(}97rSoDA=a{cw~I9ag?6dX*c(Ml>JunU2$3owTD zgAnfK2J&Y1tv%dddwVUBCEi`b6q|Pe!t{vdiVV+YiVTS6$a&I@?rNO}QJZk}kcjRb{R zCsU<31MHKORT%4o4EQCIta+qjy?%95`j3Ou9y{1`qEHWV@fB~ z77tv$TbjTfYZwlKzxfAP^!*ToVkudM&{&4NeRzT2Y=~H4i1;>h>TldQ~I<`hf_%No;aVktDOQk-S> z4hKBo(3q&1H+0Gj41qY(AegN}Co=0kZz3eO(FRI{MDYR4xW#B$B56V`#79WJ$IWEN z?%g5BJ)(GE0atE*%SV!u!O~Dk#Ki^uM$45t29Z+cVUACbkKD^cBjY~qlal{e+(Q?0 zoKaFrMB>WY94e9f|3TFtX({nu__utVBX95oNphn*3-%5ZTs3!eAo49!-)~10B3D)1T=JxBt^(C%;sgg&8nM_u#VnN)=~%IN zbz}qTGE01eQ+q=iqL6!c>;mQq3Jf+l2RG3$B?jOclW)qXZ^{4*swb)?wm#Nz1d% zowXBjhkRw*-knQ(+YQvPU;{}im!k$)lKMucZ#yp?|H9#5ekj;_6C2s>Z9WCnZ~KzT zgDg;n!q#UG0ogBfj|{T4%UJ@C`r6LP?XN2X?CFkQuMhA*7!F(F!oD#tR<@^8(PNWw z^so7q+m(b?@**=9wr~skjNhE^`yV|^bGrWkR!>^#WQMbuYO zTx_jeuAJ<}`l_;X(fP)A92f|oqMs%m-=tcw5@=^mkeb>eusofSinYX=m8l{t_jJvh zPmHcxFDA2%aLYUI6JrRU`@Ya$`_rC$sw=u~poFaa!zGXXCxPX;x#aixGb!ujbeKqC z``^>c5;%#rzmMmmSPF8K271^=QpzjL7L;A{*lXyOjKy2s7pfZF&Dt!kyO_lCF0b$< z7-o6keh9)bAq{^17DF~Vy$F<3_9qUv#5dBMqJbrYi`&Bq##VPFOmhAY$Wxq~U!CZvN!m*cm3@?)U&`WPs(;f1$ zRc9~2zvZZB*@E_k}pGU}c zQi9hKwR0vDaN@G|&cVkz?fkUq7;V1(+0c3&_{TX$y9JtUohagQCrUM~+wT`NR8xRC21iGXU5YwIpJ ziN$V?+Yp3F5F(p5fT>?0h@*8bTBhwWG6ureBX}7(9Z9Lh^1};yqQ-% zO(Q>fYl%KK9h>0%8zMhJ2kE^6dsktHj@p(~MB@`e*~ld^*QoN(HS+M;(P;+p#7E*} z;v6GY^7ycGq&)J(;%=CJ0S)MQT+o4($ccOA|Kgp|HfEl@IH%G(g_btzhC) z`mp6=)}H-|6Cb@%mnxG`qx$pyk%o9|px=#dsU}u7B}u?9W3o`t)iifwv%cB zgcnjoMYWEMl#9HF*0;kI33et4x}fB5if&NkHCi>z;fZWS_{Ey~%45mmwrcw@`({iO zG9&cegK*?GU(ZC6&D#F`X=*a0du7vhMU}Vt zS&Y>caYbCFF*#L5sdkAEA9{(GB4Mlq=<{KST_JY!QHlQ0Ea9<~Fv+wdtC62W(JFij zD~kXRD;tFiEBk^P#s-yjT*-_V#nP*gKX#>p!}_K=056!x+^b-EqIcSt(Y)Ny{kXN| zhN$=GNc)!U4N&6(IxC~eSf;mL;IDlV#Tkk(z{5C)hKZ zT4V8#uCAA>%lYzry*W^bDpkR8>vMONj5PnZC=yMd@!*;(M+j}H-&Snoz&}|Cu}775 z`cPKppt0{1D3y*mqA55`(KGUlIu;&AV)ntBePn(|o^hgMzu6(>Nm{{|jq;udi_Vwu zwG$guCfnr6F)of1B$_t6YMpzY*XB{S-$^pOB{3?l2jg(|C@KeAh`dYY(ZxqkO=C6? z{fxl{($0i5CWC5XS+ssS`R1x7)!=^iuXITghSlVy--GGwHhPTascnkX^Tt6VTEUcl zw;5~DM$wDqR|gldH6SMrVCKv$iKyaghshX!QL-4UP{&=Jml;%cI6Z6SDnI--;-*$Sd=MJ{SJ zmjdv<`n1R`(}qFZMhNhVcz(m_P3?o*lty=f*3+}L&}NQ|bR z!B5ZN=HQN2Q9fSUgQu(3{bR#(d`j>QiEqSSE$zzvcV#)7&o#Bawq?$ql9}uM&1q*! z^(j~)Gi_`liO*e;w49&^oJ&FRmvBrpyU1lS%ot66qe~R%P-5+$u$4_FwZy_a{^4FR zu_~u}>b%LRYawh27b8zrhLr1x%s?(h+Rbz_?OG9-)gqw%UK}s-!DSPPOtvBx3p`L&C;HHc4sm^zjdPV1p2ep+tM&k|jSl?^IuBfp zOV$SaswcX|qurrCInQ7Oag(Ab_GB$D8M zB9UKAS3m(b_gV~q{HIrUVqi{fAZ2hQMIkMbxxJ9(q0~2b%~5|`QxQinnz^4JHEmEYt%1qb1>b$JEZxq*%q}1n(cJsVs74ouLQDD88Kmq&^)N$yFw^8o)>hNruq`Pz&O~hCmJt$ zLMZ>x)lZ@%PlCN&*+r@W>{ldbKQ3W-GWIzfogCH}zj<1nb(_7!%kLU2> z?He=|Dm!SmP~Xo&Uup-l z8us;IOHpL5AVE*oAY6IT*nV<9vUHYfmzaG-ZVcCy(kzMvtyUt{(kge1tzOA2ZH1ck z+xf|viu)Q}!vKyt?KzeAeZQP zuK5F4Ek0~4Or*Wwet99oYeij6K2@MNAKWkM@~^n~GLUv5r~Wl+{X)jLv2|wYyaFMZ ze^+J@gN&0Ur+QY;^6W;#Q}L#0W5OhVrh4p9IHKRkfzmQDI{!cpnU>&)%dad??l4cSRKz4KU0RkDN;+aC{oJ{h?PLxEs`^!cb66GgTjpFv=_56*k%YUpPhjF zZV1lX$7@B(Ve_t8nK3`0oLi+j5yV_O5ma3@u)1?it3U<1XuChiW9Y`DKF~Ci$b8{u z8ab+mvwNU1Ft5>?rUhIOUz#5q!A`E7pL17?a?BU9OYVJg&>4=KoVQQT(vsyVt9=X$ zlw9-ZYnPOookJPPxF6dimvHP3!ZUt=QJ^*%X4;mHIHRECvwR6fj=K!^HE$)zIptT7?@zxl1d8?WI9G^7BlhbXo)qM-1dFG zOn}5}6G0a5%!#ZhlG0FpqAfYVfZh8g7K%hMH`ph>eGLmYH?jc>#|kCr^7=tA5Gk9; zY^-HE_N0M*3aL6UKIn;;xE#L!bpu>fH|$L!C}9xBp_y;-$A80--8_X4!k*90i<3<` z+<|=-{oZ!x4E~3S44q<^#<3C?H%FPh4M3Etyf;V z3Ks6|1fj*)YH=mAn?_sD8vPZV6OuJNIp@l{)Wj5ZpT#0gvv@A@E(hT`&pdB~QrI+u ztYeU*jI6eVr0`z#7xW;G%IKewqb#G~R7_#Ovj-So201*hphk(?Wug!Nv}03nY!c^J zmmOA9q}fxCHeK8zG^i1x{1hIb=U7%j1Sad`f&7U$orQ+F;qZrzqupEJJ;l<8Mxks& z|KrWm_tk@YW~U#TALyFzX$+2fmjX?GwEyc2M&{?HQ#ZUh5{@Sg80*`MI8?-iW>k)>H0 znT(UBJZZ-h5kRz|!3`nqR-hHhu8q$BiFWJS^44r+Fo8SR`I!=LTR=n} z{BY`k(TRC&joJCIvqLv60%wTAb=P+Mr{Yuw3el*U^5sz&a zmV{cX5#ZqVll1UWWu}ISLf51sR?mPnyxlCmuJTp7=iE>JrVxd?b2HT0t}IWg7p^?mM#MFfse3tTiZjZS>=UByR!zv> zIhKK<6pXJM@j@~mo>p?i&NIC(VdcOY?vGdhR-~r8d>AKkT)P2pn!ReNx5}$sK4X(~aGBqAl z@-0Pg=4!F`$*KT%GXX%ZUf++9S7*Y0nODYhGerjrvg!!UEmoFeV-So zdQ$>Ag=g>l;oqVtZaA9+Ure^_>EfJ|rCMaE*C~G6?M=zY(ejDQ-=Q~e&TXLJ%u#s2 zL>t|{_3wMi&t6q=drpHWo9B3^ns>Fcf zd1mlpqL@Q-5^y&AVv==XYI_mPu|xhrbZSL{{M9D|L$QTbR>*QZCp3xo3jtkSV=Vom z(P+ItzHKw6qG$T9)oA_7%%yF#SNiV8K~rP?<|@yHZSzBej+b^tApK%@(y76yOI0ve z-u@Y)r?;=i>(kry>2vC16z;*>&BrqqV|n`(<$a$IK9T~RmEzonD-t)3C*M{x?0Ht=ta8iV;faOqxTU_ z+h#NEishvLut&7zAu||pjG2^G9y8eYGJ)Sf(m_LJWMw;1SHaIfm=w)UGNHitb3ZJZ z6a`M|fGn^74S~?}1rQ2m7HJn!L03W-Rhr2PHngVERSV^GrwxQ~=Wr09@`QDV42bzp z#Gt^B<4*A4^CU;?nPLf}l!5Xzm+4=&7RUxDy>`29L$6L>mUA~XX5MbNZhQSJ?6)-j z1GW1t{}<$Rh(KpHQo={G{SF0RT;YoV6_zuY1Oj5@$-{6KqN@8j~ayizHNSxl0aBc(>5=kRc~FHPH`5 z`7UlQ(8Dc=j6k&iQ`qChh3|tDB|44i7FzF`awA2WG03t*qbA~x!vzOO*`-#fj}^Tgtp% zt0*KpFHruodN0O{eiWzDV*KkEv7q%xp}<5@i0@@xaK72W}12Op|G?t1Vj3O;Cn*5+EVBW6a@Y6Lfowp z@Lt$b%@{If0siV|ec(+2E)PZb66*vm$h3ggIZ+*C7VR+Vo6htnZEtAz`@MAR@cKspLr7+S?uLDTG zp;F}`E*Sc~#>%-t#K0>)F<$_irZZ3`e zAVN)tf36eA#PUOSr4q_P6}907M0`fu1Hk`NDZR1|2dAefiIzxAlxb!@@xf3C8wXC{ z+n1@6AhSCu$%0E{_bOsrX%OOg%9tAv@sMAG?l8FvyR*a~;^);@9}1<|mZwyGhAiH6 zP~Gwtc@SR!jv`FTKZ74!a;$RX`v!}@p|U;%o2^}&+fbU>keJ&jm^W;~NQ?_Xij50# zIno@|vBK>X`IxPEgBxl}t#E)FQiE)K4K$!$a}=)$h+}Z#1gAdvU?sdXJ4=}4izmfi zK=Be`eMmPuGaX-|O21|^IIt8-dpCW0w~rw@q-B)n6W|AoQkjp>u;EMO4L1=nk8cLZ zbE5%IwPmlCPr;HBc4t_mRX0N~G{}wqX0O0;BgnNwT}Z?qI;9VECSZje`Pid;t5vPwtP+ zME!f^&`4LsXxH`~@WY^&>&~ps9gJo`jA9NY~E-;#}NInB>Pii=K zj_Iu&&^f97^h%c;(mCmfgAIERY%Ly_4eS=uaPAtY`iHLcR!7KQD>OZ)uEag0m4!2z5`Y}x(d>+_58p~`(i zNB&QUQ!@buv2}%pU~f_?aVI)W&9eG68ig0j1b+XPgc+G@CW zuET9-2ci4SY+B6L?7qSY6J`c77ugTttzTUy2zLeqPhM4sZ~QP{h@?0PT%5EvAPA~t zhbl-~k(OK9(Q4dCyohSj*;+1&m!+0lj03{pdE^^&apHsrj}ae9Sgcm67`(f>$)4$c zDnKz?o_!g5tvQh(FQ#gR#Z9AA#e%_8)wse{U3|u^D@=S%PTn0=hvA48tT^=Z=I2*J zVD84lLFv{eLh1Hm4nsaVg^p1NF^_@Wdc#53x z@>t9ZC}B;UF|pAsMWUSIc|^8w>4f}_B>?f=+yHF-cDAT}MHSWru*cjDuupE3J$Cq+ z`|@^wy?7djzPH#)^Jx;hOdKJs9;i8t z5ASFm>lMg%2crQza!jnxXfOM6B2vmaj@XbMmAp*+XHdOVY!xOf9K3@Y7fxJvN&?{% z;*tUSe)0(!2e$YubDTedL{G7xHqy})bIMS1^pccjoB#YTwH8o_U~qMzZGQ|!E(1t( zL`~5DLp&A9q;6w!#K4fK$mtnUQ^jun1xKc#G@S@b5%*Or1wkTc+2G%z?*M~_6yT1y z#BGv0(R=s@oa2Pg`+?hl4B_y05){v+tqyEg;-W$r#AeUudYY1P-FY=^^aiEU9|1Qu z*_4#Sf>aOW*HTuv8Ky)RRFn`q+*iKq<`sX061MB*e~Rf}5`9nzeAmy~l|3pv0jClL z7`b5xD}Fik#bHq;VysYr{oqLdDBSR57BCJga=kH_r6P$t4?&|`2^m=uI}^Px(q(tG zw{oOr)@ULP93&>EV;VKdiglpEU^CiJ5ODIQTwQp2 z%$V#&hP1YSvTfQ`?@_fc;m8I5)C%e+xYa0-iHS?_$I}HLn1e1w67+vxm-_*!CHFH~ zXdCdMITK=xZ7{m`?lUVVIJRFOG^~ytBu&suPc^OJ;M}-r>WRFrb|{CG)2dE38+Zgv zn{E7}Se~DwU_WkwSAt_J%ARXmWLAeTAK8%oAb^41QT_v`q&x!WgoNpPt3O_9;65;{ z#3X(pPWro~siq{QB|&PQ%fIq}1oB}Q^5J)>_}4h{<5rRj6#!%B$LVMQRXO!b|Ut9-?^pNxRx_XxJDvrN1S0p!v7~&&hgC@d5{lVk`J4b7yTEg zj+>GX_Y-X~d?>nHhn7SLs_MRFpX?y1B^wmAKzzJWTpcn72>Km&SZhtVVK4-c2Woa` zJNmkeI@oCI!#}$7=$^iSnw+kH1Gj|AtqEgoXWjc6C_wroTts3V`F?Z5>UAso}?ZIWfX=Dc@S7qw6yCM*TtThl@=1 zCnPPFs1E>rlfFLeanP$4AN3fTw|OW$=c5a)`t}N~y~1=;goku;&M0Hzt7!invpcu% z6*`L8@=ihM<)Jg`MLV{wG;0YNKg(pjHhD2>4DkMIjhIouuM?QWMVI?PgJh%5s*1l$ z-Jc$6cRU%Y_W0V8ATaxG@9-007vU2ZEW1zrC)s&rGA|fvoyJsOIs~F?`o#U)-Ra=n z{mXW((+~qmE1CLyz{3>I(2(1{&omV4jjl&yJ_c=uVL3QzTLD%=_dUIkScaRW&92i zqE1-qp)!Iu6RW$@!Vbhiq1QnTo^C*is$PRPQ#Hz_&0-$_<4B1bRo1|c&^7d?Rx|XT z5qo3-!69)HoQ_?h>!vg_k3H!&t)*}D%3Kr9wG)3emTtt@0s$VNfTy91Y_3z>I+Ni* zac$amIy6{0dpJ1Dxbl4D=z>Yp?6>D$-1H#2waFzp222udin-?rFY}wLkWyzww-dT( z@8x+=S6e}9yYi4ozp!z$@|gu~cC-Uh2 z$^1DWYM+{7FS&kw(GNm%#q1`hbGS7wRtU>H!H{PbgW9TJJ3<8va+R;wA3^bwn_X## zB+8NP-SN-Mep#`tOk>Pj)FsfBOk1c(s^(6c#TQ99`!X5j;`ZYiNN8KCDqBWbzy6_<(0NGJKfe?4K6D2)a`2KX<;~+n8t8KsH1fq}WX<;zM@Pvf!vQ8M%Gsz%EfIEZ3U@wgX{NLJ|+ zIPS|g6IK9ttKI~hm_6=b1t_yTFBzCPVYQaS2xOd;ql;<^F({FvKe56liYwizYgu*c zw4z~=Esy{m`6Mzgr8aeiM>=neN4Y1rR7zfT_w68Ng*(-b_B%1cx6wqnv>I`b$OsW< z)!&i~BNLeU+UhgnG_*1~)C$^|d^;wqB^m0%UP{){Tvj7$=xiQK`bTwUE~~8R1c1H< zb~+dh&f+dpAK0E&<*mX-1-%Z>=X-s&D?L3y%O5H@d(_Akt~CqUT!BYLRz_bE=d@Kj z2pn8S8()o4EIX!Grqrt&^(z5&iudj>x7Qx+6jP3}SIJfVLMYV0;}=_Afoh_`zHNWD3OGECIRwr? ztZg>_yQ{nRyE?sMS{D6rW$O6D>I#T8ocA}GIu{IS&$~A(zwAq!f~VBjJez{A*_-0O zBYlBo*Nh#)cWhR@9|(v&W)6tuW($ZN`bKP$14PY#kri07(kRYJG%m^I>7^sW-H*7f zjUo>}GL_nr!{o(^6H6pS7<7>0iy z3)tuwqEf3_;d``@jCqTu?1wO&A{ain!k?*0BK?sh9P_i0&QMh-*~7d%AH2W5KU_vs z|LrWOnF=Dgj&<)Iuku5pBzpcksa82fJfvQrqC8-ZEQmOukdzHAc3y>7pwZ?1Jar}y}lmABDi>*R& zR#z`Z-O1$6Bxt%z{B(Zt76~&F+4Vqwf-!g@t=6=feeU}D`CR6{DH|TmM)8-n%;;hvGSUY2eM zQl|cvn4gnWd@+qw>f8ga##c(jC!o#JV-} zywE2=_aA543*;Z}CEr(9_WjF`?-f!;WLmQyXcw|6q|spL?b3uSQs*E< zzEn^me{W$Am?Eu$4N6M*mZeK=4<|OT5UGS8gt_6d5R#;hrmN1ZC|q|6lYoL)bZlTU z?g$;IdXm(_Ni@6j<bXYQzC>}IEiY~Lte*eaV*RO)tX_>vW{w6 zgpGnQ1XjZ>4GyU{sPx;nfKbD`o(|aEIUQO+>RS<0!+KhQKc+po#kGVLk#uaF>rdt; zM+5i@eC$A4=z*<~fPY2gZw>cz91D%ZCR&o9QKSo2ihv`^x)n;31+KQJnh4~mTC}si z`v?RfEDx4^D(oOhTBx6c;02vVQ&LRz+{3|y{xVL2K0 zH@WZeoe-)(%ppB8T;NHs$(UN+BkrixIyU+a43>utLcv-IpMpS*^IV%CzmuRHlrPgA zBAKAu!v<9<;yCFRyQvD5(H-QE*+T*|4yWIW=why%POo3ywb*`H^1}!aFC~l8S{%=7 zoeg-hLl+H3>y^guI@WwY$@L0K;wMLG<=tydR4Am^IwzKWIK4hTpRE1hi|m1)@!%2c zd?(E7HI#74gnpy$(DTV2FV;S&<8*l_hE*(g;QKl>xi-7V=JR$XH zj{-Q*4fq!kB*$}FgoPrLulbrmR)7sthjY)K74r{c*%l*Fb3=&EE0sFR65v}(RX@51 zq-XU{JYIF*^d$q17{3ElEMH<}LvN5}g9f^-o?Hx_$hsTjEV$iKV|cUMt;PTZv(xSv zgV6$r^3n2w?Dk+pOcpgMg}o7jD=74Yd^hvB5V<5;#WM_@U$=~%7hzHPJwk)p%{5T9 zrqAXTG9r$kZu@0fp&On)sQNis%vmnp6hHfIbf#$SIvC*ZBm~?om{s&UsQZi>=(>J# zX+&l7DQd}idP}JcLazT}gsV;o=M5D0feQSDmpDlEfelRcHg9;V(FW@b@QMtP!-5ti zIhsJjeHLEKTzbJCMoEob71vSe2A>FDh<;?VcaTQ#8E#3?4Uknly64~MIR;A{wq zln${>O%j3w<+*_mDN5bs=1eJ`hmY)sj~p2jP3`dyn#DVU+il!LFdKzuGnK%Fgs0qW zG-ghK-Yn0(1%dMENlC1Rtn&`$P!9@?mE;2i2E-ud&BZ1c6~6+s&n;rRE`4UI`;mNmScu4-@Sv-7y0cySr9W$1nfc7ed29j^K&8^l$OG3U_ zYr|rdE6BG6bJB%oE1>R!Y+3|)eD{kIe88x8zrz9#_msUAeERgf&Lc9>u407#s9n>h zFuo*J4-yKjrV67c9eSEAca+2TXPk=Zw*6cVP{Ci55kjE)$$gq_JA3a}EZIk%(7uxM zrn}a25*k(W?7l+_6hcD_i5^1|_XN5c8jYC39P#tGBUX0{wyK+cyTJui1 zkx;+P_Q(35JU2w-ynT<Cvg%C$WRw zHa(2w4P`?f?RQw88dtR=%RU?s30zpAg)Q~HeLX#WdU)~h@metU&@HtmSwiA+p?yoc zF(!D|loV9|WEWQPEt3>nAS-LWnr|tekq`60&ROld2=dPtJY}R#D;eN=Me5w>Y(RP2 z_vG^ zq=??pG!3Ap!J~ks!TbGExsY>Y_yxbl@Tcq9gefVX>UVq5+gpvoLODTL8E-7IRwE2a zjoqw>NxfSb{93>suZPmI%gu0B&Sx=}wxTX2`ckol96D<^goYNap%G zUj=zL%LeLSnLS$F6413jQ!!siIjZt{t$6~qy7Bc2pD){XSdSVFZ3|zeqCejtvq15r zQU#^NZHP@jq%f)jep7YGY^q4V!147vXH^5&o(WrndRI^pti4+c6rcjp^m9%Vy0`8W zHmpyi4H5I1B$ZRhXyEPm5%PpKgTPo~djwtdt+Hs2Ip+NlUda7QB9^igZXQ3wfWoD5 z*wPABEs|duI7~|_YxTLAd^O{BikZU^&#ahB@q>!_*F|1_>ASa^YY+a;Hop&wT3b+- z;+p*c0&<-KI-`|b`)cm%e(s32nY%fLlUdjqcq+N8A=rtM-*P4-OTFCTHBZu8`>(yc z^b}Wws$mL<0=({O0CV-Yx5ThDkrw0n5+swTwUKvs`IjD|7m zt!Mis^%6V|C)r6!E0;2!Pf7z7j*-09d16lRkNxGN)uWP$SL*@7+^r0-ODlx2{mTa| zYU$xp?eLUC7dCc{OpjkR4nl+r9AYnKYp{Y2c{!w>>CPPaHoNiZky|nvV&`;E`=O5D znxdn2UB3alpeHF+7?x^?ri?8oLow-Q{*0d2fM}aOQ7NQCDa|L*qDL5J7@2CAR~7m_ zI#DM=G~1n`stAD4&5p}LV@GxFGSx_G|u!RnoB8HC5Z*Kt9V z!~yWG`|G>CBS;~@xoiP`*lIkM?ud%u%Zv2jPv4p9EP(T0(Q8xvGhKYxh!U^U9y$tH zpGq{PB7sD15|{?*4tF*%{(mm|7!uE~`vaif__mTkJ70ETd@7up4Qz5^0vQTpWGM*F zJYwtfx2|!I5|t*~ZKUZC7>mKgk)Nbao&nvBXs%R+lU5 zy5<1)smE|lcqrJP#6!dZSZtokf7%?svnPe@h8Ao1io8!g3V-JRk?)4|lrMAQb3e?C zjt!_v;}g>SMo3&%_?ebqWcD(`Kf}rrJNooTG)6Fn<5tlQ?o_{;RS@BY$}keY31GerYl&Loiit$l)i7 zRUcRaY;~832pv-@@)tSEf5nyv$e}O6!+SatkG&A+ij^!ZO?KfBS&t@n6E1?K^Ab2V z&~-T8g`Hkk0Ugm$60yVJk;Dm-l^;c<=G9^>wpqtVf&@oh56@bYYeJ)qvbaN{g8X=d>Oo_PJ8rFhRTlTo&Hh}`1%b#!?1LFUJy zWfS_RL#uBA2HRTDH#)8K()q6A&Rw?++c#{3ozjr*zKZznI>E9a7pSpjhyKdYn`6O! zN50Wy#ZkLjz**~QN;XZeL&I`($?IAqu8Uee4s{D0n7D>8-IxBj-=A4aZHY)5_*BZN zg;Aw4S8W*_b#+s>A8biVds;7ZToW_%%4tW@6;r&J)RX)p=yLj0`w;L~onwliFfsz4 zoIR-)5`XX%QeF`5@A>pz?O|Lb2>B$WCdxa%>58KU^5KELD1$X?eF{&RW60rK z_4&M~412r9LcjLhenw8(5cR=p9TXfGcY-y(MxQcFj?z7<^-|4AOHXf^gE^ zm-9d0($qg!us)&x)aqYBBM<7@HRTyadRbd=HrBhtT6o?f0jr;4&#LuP>dVC$MbMmV z7jcGBYB#Y}Y6m8Y3s)a56X4=Y}&r5&wcXxMpDDF_)-HK~*DHOTW_xpak_rK4Rti5-3CN}2~=A4ye4h*{qQ6!{G*9GGi zLD>VGv*-vd=Eu#gn4$0NkFttRowv0%)|{`?&{z$LC!>d$HT(-G$k;ED$q6WJstJoX zr}5GOkqwB->~7!)ho$)@vR}RfSCV~|fdM$*?gkM;udu9_g2ZZcmCH2{5n8PKm4PL? z&~z7>K?Ak)e?_QxCJHjNB~NjfqYUb9Jc*b=40+v1yw=2b@Tb_OGII{<4yj78U%3@v zRs!4;sDX!M7S}5KPXtG|5b5kkP;C4W3LK=1rDl-UMa|$Xyc+>tHbvkG6>1`;XIN+% ze9#i5ihs?F0ANleg<4tRx|xR5hA+Uf>BM)HA26IZd@dy^toEwYWsA3&FxK#69e87L zlMU~iz>z^B?l&kHnk~tsnvnk!PlC}y$uSz-N3Q0CALZwo>1@<|w&R zJyF{m?s-7As-;6HAbapTIkseVMfqSR4W!9bdyJj6cGA~L0tm|G?6>f7e0%LL-)^&e zBKmcolN4ZXOp`=;)=Vd}Y~e1IxRWQcZ1J3FvYNED|Bz+cB81aqK@^Ua23YocFudS1 zb2AbMGonz*b0RFN7=1raKi|4kB+Myu>Kc5w$au^hjY^^0y1W)%m4+lJofZ)*ODc6__bM&Us(6?-?lgI{Ccj}B#$sfY4`_dcr zG5T4$1YTjMEN%vsHqkpaW?}jW5QTQ|?KI7t$unKN!)ENywowVfo80!3LSh*yp@u|%q&4}^%Nm?0>FO<0iys<9v!uA3wlFz|3;M+@0o zWEv8nBnt)z0sykY8sthYG*nuncr&DvQhfGI>DEdzekn+a z4Xnqu$|$Hn^z2o^Rz5N|i0GR^@#R^j&L3*AwVeDb;1WfhW9%*DfuGGujHGNZ(9}8Z z>YaTQAXx70_EpfRZ@J1ypux#hfiyW&Zn&_;b8EJ!dos$QHnAV>;tB6OmnGl&E&{f6CPNVa1x!4Vx((<5R1K-PGc^xo>c&<_=#{nX_7gr zg%+aB0yB8%HFkXoi9s`jU$7CO5171}ZJdK6V@O1;`nni|^O>el;4qAhOg}Y(r_Fg023!IjM!u1@slaXn8S zD8!N=7v-cE9}UxCF(7n=4wM&?+0#LtgYn@(N6M4yYwRb&J48rf@!SWMWUK>4pkTQr zk*AIzZD3*G@OL>_q1@f7rFa#=NmS|gCAmg8zD%yOvI7BHq3FrOW;@K^Gs@_MH_ z_xM8tN9M@cD~Too9eKUL<{EcV<{DE_{}pvm=Wcfq3lEF%B_<36L{KMV;aEoL$%t-( z-#lSY$USa^E=zlH;;AZ^iFf6?b4$PAwi;!wRckWA{kjfY z^zM(KBYQ@L5%-Zp0y?~8_+0}vbUxh!Xay7~1%{f)+fT}tUKm}(psT{l*e!XLwsMQp zyzgL^4PMpx>mL*vL^oTiE8LXJ6|FJz$4ae4nc~Jo`|G&0Y73wKY-4))r5Y^z3y>>2 zMG!8l6beyPyOf~vdiSZQTscccy?lyJw*nL+{3m3f0>0mgH3JJbH1}gsej7@?*ZTSr zr|nn>Z^Sn))rze32spFQ%TQ~*2W=x1Na>L;(#`w<48iy&D4}!vb^AGj{%fZ0wETP< zdpQ*_2$m#HO8oM3HfxFBHJ3m*Vlg^T!g>TXDf4D9xz}(AO)IIM{hS_j;-**JV^P1y zVXSexzmnG5_NF+>38dhA?-FV6MC@W)pp;ceLw-~?%V2W~9ds(?A2?fYgFw3(#`<5L z(5+6DeNNL@ft?TK_7J#xX~!Y*lsTRcRKI#j2N?~tin}4}etrPI)fx4L?Dl$m-Oi2% z215F`s1O?5V&~{}j7sr+{P7k&4CYKLl0k=erI4iZe>=;;s4O}9lD&xW@{^L*kq`fS zvkeQaP7&}BXd7?k)j_+kFPJg@yg;YMJ4Rr}U||CCxY#9EWG}=3QZRc}O1*i6wgzu* zNMhrj9DTaN_V)#eNUwH(d!UYvf?V7Ga#2G;PTn&QSD&Oge=l1P^RD#$M?jhR$K_vK zp(noy1~v9dD?dKLjlYwKuI&uoya^KR{7dA4O7lS-JqDEqN_ZxTodH$U6SjZ%-9Sbx zW7=Utj(nsHWt*{gv()L@Nt<;4uv|Da>xvr3FMQX0aBN^Iv^``(#UCjx3Ifj_Xo;96 zkAR1=bP(|+^5T!qkzZ;>Udg8XLle>)({N`^Qi$C#Ka}5hi%Ha{=;z&BcHvEqqcHE0 zSI3!j(o6iiK|x)&@6r<}#&rikCHDi*F3OofVowzJ1A3C1Ab~q#&&aA)GNrFeI0y8X zlR*N9PDtZTUcspMCov|3P`?Qy@`a%)a9p|@vZ&Qz>E+8&R8y6Sib;rS}qGr^PD{U3El6#}>t;>HXSi-4vsLo~l8q6h| zNyjLl_2)fV$0&!sqo18mEIdyv=-cw%nA}}FcE*i&nBD~3>*J8V+voCkBpuy>1XO(V zCP=_QWo&f|Q2Mj^I`sZl=rs@q^9&nMj+GLIg+9Vro~((tXHRXccTE9nsXWU(mu}(s)mCG=s`Ph1b?nb{ZXBv| zLp*!CeYFg7QogBdJr#=D<0oBSzz2_e<`0<;W9`G|X677J^cainEUO{wYW;MRCiut2 zdprzq`-wGc?#IrX78Uv6HMZ;o9GTYj3z4B7p!qBV+((SJH;5LApLQ{>BIBWIIwJ0% zEliUq%Xnjmv<)b5KBno+7Z?`c5Ie@am>cH+-#x=)y_ zy2+7FyZRIyh2O$S-C`OM%8zLn$i_*j=1zKC8r+gP9p;>Ov)h87 z74ry)&}HI$d5(i|y}wpo{s{9dko(|X_>lPzNjLtC0C+U-2sTSZd|?)uSOf?*k3>|x-$vI5;zOI9YtL~wN3HADg1qo3hrG`V=yFNDo933F zy{r?_m`82N2+}^6g_+8h%?9<((kEiLI!dY$5-jp&B?cw)m9$!@H3EJ={<@!9_1f8C z-Y4K-N}!R?WF8~2x2*=0t`5dLf>Ei*#>1h)4t^PO^r|o8lRs|Jm+$hvEs!QypltDrJsOn>&yI-88cM zi^)~Ns?&vU>QPsnbE(kmQL`9)pV0{6Zxx5gvM7u|-Ae;)xYFO3k`YFcSD$B%s)i*8 zlSUwCqFBZ;izb+yRUVVoA*r~RjmWuEzZC3s#T;sv|3|!rQ0e5Gl<)|IGvEp`8#tH0 zF2wA@FmuRW)uT58`(*Km34dVij*z|xREb#3-d28_ZtL|eC?K>$CqORPR_L&Vd$7Nj z9L#rjW+U)ZN&uW~CL?Y0HI_Q57|6p~-hnR`-VBQ3x?W|&=r4Ak6S$}xCl2_YB zk>c6bWgH=qD~7`o)QL!1orj$$pL@60@X838ugi%6FMo%L==~>ec_hdpSR|5wOYcMO zUd~d>_N{`u9d|;eKOq=qPQj-OYkIf*L>747_@M@&TQ3!(6SMtMOqQ>2`>&6>dpK4u zg4@YFg>x>=^vnoIQlsL6<<ZztUUMK8Umu9O^0GG}Vy|7V(&@x4(2h)(f-64Fh z0ST3_dq{$TB;MI16Q@Ku#>CME;EV<)n}bLb^4mMC)Q3jgYQ<$}a@LEOCwIoXzSWjm+YpjGO~f zVu+$rPXPkY(#qujkL@5nl>QD3E-U*3^%J)6V$ zUfJ7EJl$8Vg`=mwaSMM)%AN!jNxKrd4fY~QudqK>`@N9`?!9(r&qu|TFi-?_GQH~3 zL1DAPY-*O?NR%JnD~RopNAU66*E0>LGvx4!Ph_R`#9lS&UOwqw7#lW2o0w_sw8$k_2%0C2 zo@+${Qd+H(W>f$A`B#`AN)1-+2q@ znBKD4fZf4Mz|~uDGLPTm+y09epP^#z-uTmaHqKY7mT=r0QIRH%dyie|N_pPnw@K3G zh!B`G3M|v_?+(VyTDT7lk=j2wOby!kOJa+QKK3E z?urOsMp#!X(h$)e5ti)>Q@@-cbM`QX{Z2%daV@;h$qs<;mK{tgRZfMJW%o;MHN;4c zW-t8_J%8cIYy#3?GAAFqRX|<%XZ9w%<(D0BqJ%+jC=mwLZ{*@lhu~|9368qU!RY`Z zo^tAjs$Z!Rq*>8M8<^u4d!17wB&A&N2Y?V>V`e1bY&QH*(y^76!axr#OpdWx;73ut zDJC3~l*JY@vIj?A`e-CfSAfzz@+K0!2mlyre2~c@N&6%w#xd*8IeUW@kMh8)Cc=Aj ztN?yWx9gPz`o?I`a;e83bnkR~5P$-6SneM%@GYI)9Eb&LJ9elv8-BB(KE89&Ud3 zowZ7hrEb)0xhAKpl;!8+Mh&pJa@@WB$Zkp{l_)RinnIkL-EUwqx{Lhw=q=^$la$uz zosvki<&wK!UoSJ#%ezfWr7af?bP*&#$Oj2EjWeJYe%(bOum(3HGO&<%{h7L2Rp&WE z)_Kn1c;Yc0mgJxP*8(K%DN4uazDC&KJ`s$8DAgQBO;I)N zyBDKA^5ssUA3!7i^q~Nx#^r;%{%cwDJXmfA03D#(~e z3e#}ebqr{W{^?t6j-ngUAHCL%gn!b1Nj>?yyC0bIISAG=Uwk1)9rssGMy&1-dH@7x zB{r$>lN1~&`cr>^+E(yyQJV#5u&Wjys&&}lNKb{`;6MUn*@ymodZscVymHG_ zPEw?Fkpyr^wsN~;F$ZP6^(4eTOYQ5L<6_=M?BUKgVfz6;n~P4QBoe)w5x6heKaJn| z$O8qQ7uao~)+&kiE76EF4u5E@tyP9^G3lqc;#OCT-`yhQer>eZkg|dFj!XTtC%vGT z6-k5m2e%_YZ}KtPe2})l6%{ctDx*0u>I=NYb`7?l-=Bk;Qiq+7;)ti07ulpNul}pm9`4hqJ#*W$^Yv|4AjX!8tH8%sA9@tEBH*yG zb#es{Fb054TdPvKhr&Is{<%&nBl2mDPK}*Wqf`UTNBN09@Yv#g`FE7y zh>B6x{Z?}(W1{!9Dh6FTkwE!4-}av6vke*+UKh+5A{I{{c$WOsLF{iBn*lP!PTlHv zFB$P?>>2TVoEc~n3N*!qps4-t$N`cZ^lFRJPbWYsgD52KJuZS9z8Zc*^EPJ6ppc}td|8y4Plv<30*&?-yG^s53b}N&o;@U@V6psta9GkR zzU(_4@+}r_iD>R#A?J^#Hs^dSVnNSb55Pww)>lo+ihv1c2SfYOl{#F09hEt!MgYE| z7t`4@Roc%3`h19f-eJ8Whxa_T*L{ zG{@0WN7JyZ*Y)W4uzevgw|Lz++(57y(=ljpSv>uH>sI8psS*b6Mkm;ntFknZW9+pJ za0It`cyWMbV8ZQn>6iZsOPt(yEXEY4dbVvn`BQvXHQa`8c5&eKsc+((jSSIZTY6w(~XVifwBmsmselU%ePyvo*ustF>(&3n87V?UVrLtR3=Uc!?Xr> z>ML(>KBI&w%RTFCQTM1>fhx)9ZKWm`z7OL3z9TeofS)GnF%GjKBUxq3*QiiE1S%^q z>_&)@k;>A!B%AgQL1w;wrv{&9rD;b~_1zoMtvlp&3ECmpazvIot5z^wdePClkEgf& zrqBJKqCc0QfVjGJbLlqNH-5-swUOVu>P4Gb#)-CG?jF=xU96b&k3=Z>BGS#h8U4}A z74~4V$+SYCPczU@zcSM!mov}6Fp(yrX$y&xqiKH@a~qV78YTFB0zMJP<{lL0`h{99 zH5|rf=hp>K3btFrC>ZF4iFm+niS?-sE@X$)cwQ7TcxzL~&(G2b-{!#De%n1^b%<> z*EcycX1OcIzHxt6plNos0Td`0CBfU#W&x?SOR_3P#1?cc_j9!DEN!^@&skt9!?}r# z*<--1VeqV)1p3I5LrX}e%9@`Ciq?h$aOl!=yAz>~kNZLup$BRE8E0~Dvg@sDGw|;= zFWP7G=Tar6R6@RI(Qa;4P;5?NUUF-IUmnd7{-KFmaANi`zbU$1^{YyR@ahW6A7w1J zD~5?K#+>YjhIDr9?<4xm#s-Uv^luZ)rm?oHr=e8=X2ln4mVU|rnE=y7w8~XXDyCnL zyc1r9y|Yg-ebW}K@kbPWcORKo^OxD59s~F8NDOeP+{bQ3uwRvJQv;9WHZUD4tI_9* zT-So7YIHvZZcjw9EYkUx)P0?t?$Ev$ZB7(!kDA5SfB2LsG3-Ss7*&=9Bk?$(V8fYVGka-0 zQOM7jMxK}BLNZr=db~qi-x5`a7M#-k(o{ps$;}((V2k6S!Q9Wk3H{9&moGvYA0yCf zdz!3S3UNfLdg}-Ir0oG7j7lxvdB50gbC|XMksvCF*yS6m03vqLU(IE8uc`*l9I>+L(Qrx27f7S^(+iXv2Gu*#f$(f-bAScn6CaF3q! zfHsWBH={;!Sd>;Cl+=o-rnP|H82H~C>8st5V-^E&ib~6N5RO{xsysaM9CiAZtzJKt zpdD?*`USzK7R?IdjZ)2ZB%h!;W8S<@j@E5mQ2XaaVApIfG6%Yr<^wR(4#LHI2iQnL zV!ple)uZC7nc?kY|9$|SmeO6a=KhM^%R)EFU?{@PsfYV>!xE+^#vDzN<2i|)^AAeL zlBHEEF&3hTEA@%~C6~gw-h6)|5R;~(vZ7H`nEogwmyl8cP?sHOG}Grg{qY@^3hlQi z45Y)+2tj7egyBu@x8D!~XOl+Xw$D`}a_B4x;`!VnjI17Y*cgarj6$`>h(+Z0qry_n z*C%4dn5LGYEzw5n@VsCvqv+#UtrJG|Q_XXU`-_Ew#{8i;?tZj>E68|?t$yAx zhQELzVb3%TtE-k+`Hp<7=Pgk9_ zv*QN*5)x#C_s)ZOU94YEhQ*1zZqN|ai0*ELPj^_v zDBT0n*uG-d)2$MU2^*((^O%JJe(WUqoOXU5e;ZHW>Pwt^u5d`@ z4s+*2OoO#}?jqeyr=(q{rd;>U^FgjWLnd<=O3hq61F1x3yf|Y@*^Mpy8LVO!cNddl zAbcM++(TqYkYYNVhkP$QJf^(|BPvMoHrLJr!{9bRO`Tj~m&`#EPFaPM_r**^vOtqZ zgs`54UtBVQ?4v?$uwqFqai0Xh*6Oi#6x@%LYQp0k=fq=5$^^@YraeteUQdK1X2hEv z(pRXBj)w<6W^zkn7CZ(U9Qv-mrQ>DP*BiUim7mjfeIqIa(^)M%vDc*U-LZ;i)RpU2 zZ%!Em6R+z@mH5!NRxOZz`{{m6hc-&Xuvtx}KQL?!B_!nBa5PN%A^ME_FB{=Mv>SCdVy=uVInU zs8B~PTXfw@QE~PcZ!hM03W^*oHe49^tP12>0K($_zon4ud+ zbTbWe#_PmRZaf zV}WCRUAZGN&YMRsO0CHbG?kZEin2bnQehTkMi@yH>DR`f!;^;)R2R~GOXv((TZ8Sbkx zPUC~R>TaTXmMdEoQp28ZUmw5c_uHUi!NW1lr*Tf?QB<|K_ixS+hHP*0wQ4bBIRs^b z+LjtQtiUO5-EotK+cLrgLzA94B%b4@D2NbUQG3eS?P`gyU&f@Zodp|uA~gE zF=wOPy>~~YoYg9SdHgh9gsn$1I&B?PS&vln;UNIAQD80vP>T#l%8Q>>dk%?EP(3t7K0Bx-zIH4x6rMv zWl7-_)7+!$Eql54b5Z~Q7;wEaY;E|Z`I8F{V$|MF$ z4^fv%^m5AK>yy_%%wZ?teCH4G7*E}-bf@{y@%PRnE-MH+OfLBIxag4$L5x)!thH2= zSCtuN?n-qk_36#~t)7BpIRl}*bVM627ly+U+7srN))k2j6z9SL_yxdo2&J_=4oz~Q zttEW3%^Xb``~xK{{iWNCNMKFz=jgXoh<&4_uYH_2bZjy3HReXY&AZ8LCqVm3itOv| zC(guHDLgZsN>3ebQkiz^f|+>1Pdb)| z?YBv+jPgaZ%ttVJTzp2TFZT>^xh&gX3PuQt6#X0oA6UhmlfK^k zjTLnpy}vIrV!~fD>Tw8$e9Ee#6!Wg5hpi9x7;Z7^71<+Pgt;2|#8w5X!5T&payhsG zZAq?5vSq+VrN&xMz3LQai}4Fh-cr60R~h@i$yk^#5aG=O(~>Z_`1(+}@46-ZqC+ro zI0K}|D)l(|K`mg|)zFr&1`Qox<=U2z>eYf^3`4VpNoe8$15sb_xxx%3V$*P67K5eu zr?Q+{;I?~QmUwZMzbO`yrYSWmDnubNU@?#pe=ebrWtxwO0K*o?$XB*UV8BY-rObi2 z7Gp+;$V%>2Z`zEvL&llFo7d@m*&@7AP~e_f((M#6LO+C zah}33M+ww_k+A|Go1H50)(D7>wU@wtG(^cC z!3;W`q0|)8nz9)@AwpHev=BtYqJx&8k^66E3j7a=3OaxaL#3dynY!IUMx2Np&h}U* zrP`}q*M-4m0{uI;!6&$vjM-5@61*=H$3My``@vJkVZcaX+wv3}3Vn0X(@+OqAMHwH z&%Q^t0!+8W6#fAYZKco93Vn?_XC-D|Z|dy{S;O4ctBC2Ipj$!Z1l&ej4@ur_Tf)|4~$&7408 ze#(t&fp0^HgD1j)Pn;xw)`gXh^A93I8WMC!sIO?=Y)5PUd*BBYD!af)y?3E-zcW%3 zh?m9QNE2yP+m3pbeD-c@aK_T zI+x~;V&aqADKE*av8)nC}gt3Hkwg$KVq1_{xqLw+|HzJV+NK!x#!Ru$N!Nn;c*OcZNl7I$330Fz@2fd# zN~(FKsDYMxE3IGi)T4yMEY*VEtWHY>^Sz;+E=rDF@L86ZXY2yk*kV-oyG>#RuC(DS zRgs&Fj&1^!-(!vugQiPhp(MEGB}x<&7TwZJq(>sb182K*3y31tithY1iGyg7O(_V#Ea2YywOj%Ry^;WuLXafM%NuqaX< z`p^r0KhVeh055+^I{!hMjh{zFd)E6`6B!?Jq#s-nRZvSfW}!78TFMPZT2cKuF>&sB zl4&yV3+LFPDVWKy{Q>ioqXU@pFxXKfykm%9n_i|0-$CkTJsGdXic+wrG1wghzjhnv z1U{QqQ<95(Q;VWh5cRrlF#3QfDbv(-3Z%StrX4&UIV2vrx~PJD0;7g_BD+OItE&-l zE`+Xij`fjIxo3ZHFm;AC&qZac--0YcXztbuTL z_ljVz*heVXa?Li(QZNbXrvX}0dH+S~hVMqJG`J+*WNzS=}h{cb^mR>)5}vGEq{?Sh#O>Q8Z6!U+UTwB3sa;U$5nkq+5!G@yC4=7C1{9JkwQUO)*NenM_f; zdl##o;WlevlQF)d^$M`Y;MLXLQeP0aR|u)SPwnDbGp5g<{5Fgju9pdm4iF`sPy>rLtRrPgVHu|!lU(chI!CPU2flMk8z#he-(PU$mle;$ z%*#q?(bW?>an+P(C$na4m~U&C%bSL0#idoN$}xbvZb==?-C8I*QPF}Ucr$AijfR|B zG!4fTR*a4pd+5YQ7#P@yK)LnJ%`OwrB|Z29;IB0LZXiDD2=Qmpbu36>PCPvYjl)({DW8OV<14^bg@SufgkMs<6IHN| z$n`%l{W)LTPV^iNNEs|rDRn~P8dpbYmdY5PTLtm2ObN{x4Co7i?pU0HTS=!kxxmBU zw#lct1{s&9DW|o|TMLW}g56?IDu4bacvo_6FEH*4b~gfHp1S}b`c+8P$;!)VtU#Rm zLA$gJ%2WM`qf#C5%RoDjw8O*;+-=KmT0ctzazlHk^Xb|v0ecp3q z{-I_+nlE7UJyWD+lMX?&_)`Zrx}nC^G5mwl^ui3rnMg+`fg|Og1$d|IxZ^wKTvI=+ zD@U|ah{r9WuzGpL2Yc3`b4h17Lvh6>gRaoeJPE>uPOKACDqSfZyh1G0U@+s(JtG|3 zDdt^hSAwK7-&dxieM|6#EB)FP!*^FzJ4RCHxmuHJ8%kV$Eee1X!JY?d0d@IoN-VZ% zbX|B^Vu6RI}FRF8)rIw)^U1rN!Mpe-Q%QcqFzD%pb9)-v4%)>Be!N~B(bpEM zkdXAdyWnI@ZV7m=qxw4tGb;Xfp4G;fiz3<l$(z;K# zSTNn6eyx@{BrWE4c>_r(JRv=oet`&90kK^=e+gFGGg-?oW-cs626IwM*JTlVRZxdN z-3yf})}pW3KYYM8*fMo~UN<|#PrAT$;Bns^Ln$28DP_u^sy9<-CN({6k1E!2KS#42 zcw1M-a(EEvPs&<|F6zbOL43^#)Gm|Ps|1{SXIsg+#y|^w&sI3z_EyQ5F*;)?-he(c z2HGga%?&P6DFB#{9p*Y5f;yX8gQg0yF?)<`Ne|S5kKmdr#*+zmKEZTQz#C|Y?Rv}xSMm2W)>#g858;-`-t!9YwH9~9Wx8}HL~ zy3+lp`6Y7T=v9f0WcV~~IyrG~+6=uIA4^{Co)HLHM*bqIst6xTSQo@Udd*+`vX_i6 zZ#C3q5>}`L`SiNGNbO^;;^W7$9T{;?T64De=#}ew)^w>jpI_aIfofU!beY`WqLmWG z`21Lv1e8LjwLYVH4jiB-!2&}S4sA5jQL@HYs@RCRT?_V~ZX4WWMz0QwF5-JQ-Hl#u z?nex}vJSpv`B)}}ako1pIcH_BAFR@7aJeZsfnH=Zw-BuBBHPu3wrYy&RTb1=>mUKv zmLqLThQt+xxGF|z9%hSC6s&iOk7&5KDjH;w6%ZyuXv?PKfzn;%#1l~*RInB@x2~QN zq2h_GQ z#wZA~nF{+WK!V|a5vLCdl}Neneu~uc!)lG3jMVZ)YK`?&)QE$sjrAPVh)rsZ(euen zU(%DD^{U!ymNu8I?QOW)S~53ur|fEuV0C?!Z#SOOX5!AZVvP|FA{>5D0I=j#Cj%x| zWYO^v1PoOrO|`iV>;B?Sj`RTq<76&HTefur#g_`mEcFBhMeO!N^#m(LY|mqLe8g;P zGZV|dp%A4n*%pM`|KMw~Ep^K@E&bYZ<+S8K_~joA{0H;?!EXBJZyia#k7NKW>_?k` zAIklW7Etm>8$ZL55N~YN+@t~<2OqD8E#)7P?1eg!PaQRj?$*mabe}{4)krlA;A`|* zIbak3pOQi5N6XM3*C`iQU?J!*px|OR3oq{EbE?6=YP(5!({B6 z1S;{%i{}fsv;CB<`VkbbG`uuVWlNxK z)I!v~p7hS*Llh>mwLgTbzZl692@A8ftsLJS-Z?QenH1C=guWH7MZ7W8cz;&US}4@X zX7O#Bct$+d65D;1qa)6RFDBF*D54Rt6iY}*$v+qE=%mKN*MaXS<^0qPrN1D$l6;h# zns1|9;z@myscB^Z@&v~pNubSN9H3E(b(>L#tCU!GV7Tc0z_Wqx_-Z=Y0=2~hfYA%O zgaOd}gHr#Xxn58$J^mmwsKDq`jm0K-Lzq^pNNI99fonQ+17Q$@cWaKSlOpj^@h|-lKD-`GGi%wAWqaaYe^Vzb!9UVpXJEmW|^{ zdy^~MWs#a`&}D%$GhOK0WNAq3a9<nw7?9wc*VytDyvUH1#_$?tS!JPvvIs?3PLx z`+;{Ir5b6qP~-%EUfgT_%U;~8{li||rSszFKiY&f?+(b-GC>z1Llp zeAxJ&eCR5@^e%(UeQ)W(wpV@Fhgy^eeGRbA^_Kq(_pvW+F2AN-e$}3?tM>~lmKm}> zf2^!<_^#?QYi+TrqxUE2To*?RzOddQ<|eZPKO_y4*_A-}qleK~?qk?H3Tf8=W}AZJ z-muFZ|L7*0<<3+Qw3l&NR8IWD zaO{s$0PLX)`|b)tg^S8(jr*w7%HqNa23gK%-X6Ur^J&#b$E?qL4;6Xpy6Slgg`U|s zQ^(ClsAbT9c$ilwtjKXHga)#KP|SV7I7al)5&6*Ca;g-T;HmTJaJm79XF>2zZwYYg zmuU;W0A0}fez=!}4iPzWbUtDDslI@ODl^CZw9L*T_A9d*O$%0l3rb;EhehS6kV zl&#pm@i2#KSH{cPEXyx2qPsZA-ZB40Ot!zs0r-n*oaoJ;$KY*ir3575Yd)%OB+y09 zKdc2*TxMPjQ`KTzA{TVtqlUqTe^!IOmI)}?4@tc`qCg-U8#)vurs%(fv4L=g#(qjH zeW|bc9vU$?>r7X7)e}vShXY0UzeKv&)46-VaAmguszRmHfeUX-%0|mrfr6ac^8h9S z7YjT~l8{3cwDg#B%P*z0p}f|vb>gy#Pd6Xk@BYuyI*i%pxA&j5(2hH5c~+K2+G|Cp zUCo?otJam;CM$9D6Y_24oLRQdSiZc(TsX3GGXq2sbnX8^H)_Aj|{)=a{E`TEKnIEQeV)Qy% ziqZ{Ee322ybejLtQWjLIvtvyTU9cF-zGTRp^m^23w~!83zR_v^l5VgTj@0<0QoSB$ zMp68+*B$(i^Sts+TkF^8NKfkKv{lTf$M%bOebADtxey7?|aRa zhABtcnh#Y)&kAZWig2hQb$q9j1|(THqs>|XK$GP+Oi&){a|2U z`nCp-8TzgTUrvMchHEx1*#R)t3}W+1fp5YX8j#Ikb4?00kL5~g)X@y`Ncb<*E})N5 z&}?8+R8=j9)?~1AvQBc{Kht(^jdis+rvohJ)O^`m<68!aUuD*9vmZ%Q6%+itH9#K~pS z>=tc7r;?a^-nUC3_$^-y$~`KnaoOnnBs?8j_B}-ts@x$s!Le4v+-#^i#h@_S{*5H{ zPcgELo*49bTyq-BcP!pt-*0YL<%{OJ<)p2=hI=Qr%+nOot%#(B%j=J>2 z5x>v@F3q@B`ql2=x5sWD^OfeE%lOysf3#bN99J^tR>62~FCjlNp(YhXhXU{->B?#s zGV%3MGS)}b?Di{KZ`3zDD(wW8xO1%um0DuT{z;Zy#n{Xn(EQn4kIlnu3$2K#7r5{r zCJbxYaJIOWF{85TDnhdQ0BtF&9D-h8;(%s;ae5t{&dP}f!m0ljd4;O{$FNrj3GTUv zy;K&HnweaxR+(vqwp^sE>Oy(4`W5y$l^g{>>jE!E)gcSQ-GA*AMut`9hgG(QRZhaH-js9~ z`j7pn_<%+=`#|W{h@pF7_0Tpqeqnu4ytvTZj{!|skvUt|Q1hTFzTTG+B!Ol%EuyXp zsnfm@Ymx#_N%A8Y#i;h+1rz(-=5%i!<|7)A83i);UGC7u>|YM4V=4`|R3<=oXh%`% zLZzQ8EHmhymD$!xQnd$^CWDsanwpi3D@ZA(D2yayWJLqfV4BuUP3PPA98{@?spMr_ zg0L_-sfX_sWQTY7^bVW%uJV5~+94`3zRPWVTZrtPZ{2M^^KXw6vud9iu+k82R~r0_ zGykB{&_6l|dmC2?hiHi2>b}(3ug`s$d@jLPS!GMc;zpWDvr}DVo00;`ArOWp4ulpI z*BjH(+v5E-tH^Ef6cGtS7sl=5xsl3Jw#e-86d5}U>zzety0QqcpEC9KXQs}oELp8k=%~<*ykn>waOcZ(*}&>S zTqxcsy`CaSI6~wBeNyRj^pC$qnv>7_5EjX{9o}rk(rl%Uev*{PWKHJ$>&l%6)pl|e z19aZu0@;_{nTicgS0JSWR zRV|{KAg}2EALvP3G^DfjX$U3D0C(E)V^VWBGVx$*dujep^<2Ad!gP>t`3$gDA8Xb_qjO-^NFNDpK$BIdy=jxkFA7q=BbtKJ`15Hp zJ^*W2!<%VirF2KW3V?G^Ryhs>t7sjC>1s!i5xrCc3dzi-<>FQHa?%{PQT?wF zwYg9M8Xp3+q<_Leh(gH*dp^yTj)GrP=If6ynR0E16=M#XNjudvy?+zW;{N3_=X##9 zzRg-X_*C`Z-I=fdc6i>(BZje#YDq~}4u{fcNMtWRe74JAqR35@98zmczJI;Tk;kaO zVdVO$UO`-Dp0MW@mCO`k$g0|iRqJ7eEuSSLYhPa+k$eCnuOr(K9RYG4$`_l`GwD$e zCsKoQr7|QW&O|(Zl{>=n*-iF|eb(V0qsk&Vj7=CTYzFx!S8kLbN-5cjB_}IL?*B2hN2>Jk&cZeCcY9Smb!wi6wA>x~gKU zJk;{&V&n8Wk!Y6|dr?dw3a|;P`z>?y7tS>bN(_RPE=5JFE15t>;+dYMbD>g|%v?W#-$iDVL8gRV(%A%?gI3dA7;lIxB7OKHPR}`*$mcSWZ^X?Kz#%K zJ_7Z3xU=|MBg%PA1Un0JEF|)o3OT^jHGMP4%k0;25blbmzi^GHh)m z^We=IYnEo0t?4)@Y4b7{za%m2T=2SDs{WzTIMPx~c}`I?^HZpEP}OD;;B^fJ)u%@D ze?Ossp^dV1tPF=Ki~N6ul$USP|6%W~!|M8(M$x@-ciB+f-Jww2X>r;D#kz4RZiV9R zuEnKDp~cWQ!&}C#Jtf-7!#x4xkR1_z!j4rbcJ_ z!UGio14i~S_$JCR+Dg@$G38S}ibZ{N1)+=fMSVO4p-m1&;qXe;T%1*Zf+`~PO$`8T z{zA_;HL+UTaq*U)-f!pph2?)CV3+t*Eih2!xR^1lO6R`0#SquKU-I8>{>RdgKJ5?h zZb^L@L{WN4Ke6o39hR2yPOFUXy?H#61IA#Vwtp7n0>BpJMYDdjEwn0Q}?-KZgYe`w!VE1jR~9gdWk8 z2Btp${ESC-O`_D7zm9TM7n8Cam)S&e2{a1~yaV*>E?yv@*e4j#0L2b8VIR<9 z#(aR*Wf~Uvxu+p3sZ@OTYdrps{^!}MimfT&`w;l$4S{rYthC}cBULI!f!hB0Mxeid zp?h2+F;092(!ZFBPk>Q;LNy8fIX|pw@NR7f$|#=K!>Ei0^-`-)YP1kKSnx#9DLc6M?_0Dqeg8u8m*5``!n@zGl5QgUz~1 zKn43=lmP}Z^CZUh|IyOGu)TK$XsT%fny38)5XK6i{Q&4hAT!=F6ewMD2>`wyi$H1< zDE`TU;5)#cDStBaEP?By%`g^YVCVo%4fH)Nz-5+$3&2gr4+E{es#_e7SCBDZgS}1o1RwU<6FswcjXPdz=qC{o-`?wM|Kiw961i zkj4os3i;pyDEXAJ^pv67GtA~@k|bO?N_O>okAlo?mi&jG%YlAoCB|C0>2bw>1?2vxQ`lUKJUPFO)R{m;dIF07L? zPSCY`4sZ9(O}r^&CuEMBB6u&*XAbs?%=W|^5!2-Y6!q*W8rQu;nQ>C_=`Et&7ZdTc z2HKTAjJ5d02X$(K8>Z#=g62%(0jC#!-~vRK*iP@sL7@bLwip_(4(ChLv*$yK$cEPq z;P>9|VPV|4qn$Xv7@a|S0;v(w=w18`+jf7_w^By_U_qrT4G~nngu4>JM0p3+Lxi@O zZ?vz^wBvGkd33NvpHh>3bitCO-P_M-X4bcyg|_`hE!DzmbH;~p;q?R6jB~7B3a5(S zU>9f|d9R)Z`^^0=vq|~p0>W5heB*q&OOfGeH~h7o*A#)zl%#97G9B@xDGEfE0I|Ip zdJBP>4HO5tfn-4od=T4ATZ_#Up_YgaR7*7ew{~a7kz~J}ULpu_+7BZxfAj4du?j0@ z_^e0$p@Cx+d1L1_;h-==Q}!AgCxV^<1r5641+ga_tkQlxiPQMgs7NTzi5IBES>!s>%y&8BbkI04q#GK@rCmA8sx zQIL?>P9*`>mJ4dzvQV?fz1>6aru*%K)gtx9`b~S*<|2%zAQwVdbBms+@Wm#1LG8ls zC2r_P@ocPgPcKh5;Z{X3LI8uI$_>{R=Bw-iJgP02fM8@TN{P3OQak1WbxtpIXu0Z& z3~b+buNH;2Z?>VzOVWOC_?R1);HZPXJ;Hchzan>X%_89O-9Js)F&-5=bA zY4Htyb@gtap5OOQQ0Yz|j$W@2D&Ai5daBLt6VGQ$5bj*vUTv&Rc6Hk$l-ZJIv|iFy!2GdoaxZl6PG*k4bFd z@VIt6%D6<5%018SLJvqVvldWyfcH`4@}954K{0=_uH(+d=Yr&o15VBbaPXR<^RBl|6$s9 zEbJSGjH1cIp_?H_=?g7qf+H z@Y9OXkct-JzZlO3zBcc?qbZ-cf`Reao-O*yu?#AA2qPa3hepQn1ylyY;m}@Zi%=WQ z(s^1cA#YmDcy%)i9Gu1INC$aq6c(#CQKNlo_@1 z^GYj2ctvkn=~;}W_^Qlf^L%tvUd7l~IstGS^3i+-`G5=060MA~txdy%#v0pP(57St)5Z4%)q80g&YQJ(h_noDxDVXl*`HA6d-&r?0TOXw{FB$!M(X~G z$aN=v_gZAOXE6AlZ=P-HuB?T%o+q?;(y)EC`HQ2V1rXre;EC)I?{{X}j>$M8ykhYt zy}j2Sftldu6r4%L=LRAvbHyc7Kf2o-yeFF07vJGRA{!y{uZVNL%|tZ>zO27SMO(cVmDp*N)RA!g$+haFw5w{iMLa!OF!RWe zR5X>f)XNCzRfsxDRGP8v-CZfi-aPe2?eA;cu+1MiSUvu6Mr>`$B2yJqXrK10I(7&KKO7169@a)-ehvoJcVz z(*6Q7goF>rEdk#it|G2OKHqTd62~!=>aV{{gLY1*U&zMJ-g$TyK&x8(xdQ^iJoF86 zMuQ}N8>KiW%pM`uA?SQA%z?m4%OczJ(B}MS5wGW1 zj@)}|FS60z>uv;(?4LDY1zje@QJ0;mWvy=2!205;| z6q?b1jIluwTG2vg)M)1s(EwII2st_3v9hIQ+_^YxV&legvsgiR6^q`ZwLZ3^v+V#J zhv;1`0fT@!`BhCb-X9o+cp<50;6VNLP5^wBH9i~j5=3=i9#x@I9-^A}dxgMJplF`?2PEppOMX5qTx@w2^`PJ<@ z#|`sv0^VY;r1z>39FmgEpUBZj##udx2G0Fa33PK63pzQZ1&h6=12Oc&foQ*ivS@HY z@kZ9!h#+QDaABhh?{~#W_p$wR=f}HC`$}Ay9#TpAT$i8K;lIg~!_~8P!|9iG8Xj*? z?P4aO8qLG?rdWq0{|1VnSemDAzV5m@}^*dX*sU>@}TqfY@QM+fZO& z#Vl}Eo6;@`5xiOGp*E=u3K+v<(mx0ye{T2iG>~yV^l^UUxr-bs`YbZ6dWC7Z9Y$CGkDW%YO5N-(D6x&*mv>!!h-MaU#9S@Z1Yje3Cq2UX=> ztluS!21}BrI`jHO(}7Uo_Vwhh&kVr^-Ke|J@pi{DIsI3fQyio$zR4H_iVBE9|v`#{Pb(q2Ji0T zez0h}cZljmdWmrdt&F6~EDyuYOKBFHnkHPfP-WM6pWlX(Yv&&ve_Uy8b#L! zJ->X>@YV=l-ZN!OY(aUOJxSEdUj8DwtFkb%G-0*CIosLYk6{ctSP@~_`axc&rS_pb;eR_44rLg8ybTb&=PO1uF~YI z6x_9kx(H46Uz^QyS4V8funb=4!eN|5tcBTnOK`6HmTr^I_LWj3J1{{A_FvMKw-YIA zqN&r}IO(>j}A!t6>F9^iY$j^wtvDRe?9bkU!P--i=J z4p16Aq|QT|m1{=}Da{2#gtcBK7_wLGG5(UdN?OD-d`BXvs{X;zBjS#@A3h=9EV6P< z6;GE`5KEJ&8vh-d33klrHwvTBoaHW&5yyAjX4`oI*0iY-K#@?|FDn-^>x*B0h1)e3n-nPoOhRNy%Zh3N#AM$YE%Z zm~Q(T;lcZ2(28$*YN#eIXNRdm`#R8ot{zY3jVjM^M9J5Gh1ZmYc-<}XGeHr=(&LuK z+o@J`XAR$9X;Yd8bgK57&@Gr8e=&@hx?)=G>5s1t|DhA-+mV5 z_3QQ&yzVVkt-h(R25jc(R$CMOL-BE(zG`Lk(yCwL1XggS+~rRxoScS?IKK8n*ZRc( zpNYnn&h{%snd8mYJj`=DjWr}jz5B7lCn-ZGZ?f6&r9T&v>Y3musixsc53+7u-*Vf~ZbLl{CYP(GBM&MT~{(0YloB>5~67_)w!qca-*b#%Gh5|9h zP#EW=q-|HjmfzAC3O?sWgmZJe0Zir$GT8+uv`kTZb9kxJs_#VeZ&(zU4x!s$-D$s_ zsQcCmJS4c#1t?5h8tMZsUQu2ax5rEOACCn~4CH*6{Nbub@b(~=j^Un5dTs2{hW1Uc zK2y+Lvr1LC`Bo~?OKE2dh8hnO5qWIxc?A=UEftcK9J=WCA3|LJ`6Kk%pdBe2eyVk!C=Ts|lGrT1j#x`-( zeknX1@uBb3K`UdHq;ep;yTiTdgI`jZ>6L}MW?nBPFVChbe(t7Jlri^A9{(<@hNBg> zarM-oyQn4SEM(rGjB}0GDXhIGxYwT*;RQ9BTMfig8;vh;QH=h18e-}9_VkSbI%3)ZvRsSo_w+8G#=jaCziVa8h74HdKoDqb)sa}6GQhl2e@i_&`OpE@5$4szfzZdef(2>cX< zcD_6>8kBW&YzzWdCbwRU2rtI+sSp;R`zg(@X*n<3Px?7w3XsyMZOMItklcgYdXd~K z9&i;v++W4O$N%2zIRqd5v;}H3GGlMk@qyVwaU{v3D>mx>*sl;Sn$)!XQmxz4gq;af?982S_3KDgZqJRI}<}?f}c_ z{C5Z{_dLSdotF*D;Ey{oB7rV${7%X8!Shf;0)QlyZMwdcfNm?KVok25Zs>XtP|@NP(Bt689)K?`GW^bjZFK#C zaYGTGf$z3f8Aq_ZL0)GCIJL$^b+HN@0kkggD`(T(WNp4#27thkYzf1V8z`ZM@z|yRcL;z!z|I8-|J(z80Yqp( zDadIG(0hhmh8+Zc5!g|}0M`RjC25@%_w0#QN%E zA7s5vTbr+!1Hl{JEA_p^1;Nuf%qsVdif#)h82e7emImbfam&u*;s(uh~`lNvx#>- z%u>(}r4+fu1*cTzkJyClp|*eI?*Cys0pvp6ryW)X6GR{eWAbSc=vjbxfFxh=Gyz&! z4OFlZua7dO?Ja=(5*V=Igff74ekw)5`(5vi9~J@u`vBjli}WD(BW$Z%8_(0OYq3Gw zxn08C0ob+;7)6jhAX4=VWjJssmL5J5BR~y0kn>Mr?Iu0|`)t#E22xpnbb_ANkBEMPo~z0c18yp)|sfP~kt$f6)f z{h@6KAVhcvs3;nPfE189JV55qC)=rlfyI26Ba}bSSsx=Wf(fmz6Y@vy;uK-LzbAmo zIHkAGk5O{?W&^qbewcC%Yp2U#LtG@00eGrT03Zt{u=_3o80%j`h?{Q94M;#U@qxu@ z=u%b=`s@jAkM}CB1p1}t&r?)92@?Jp>cQHz|1`CgyV1-C*7NUNf{WR(EDE6EM7sq#HtxgI z$Ix~Jo}A!zzPSby8@1O89=5@4oG_iAN3iRn+9csbq*e{#L_Rzr(|P}6(EKZRcZym6 zSKs}gsqcU}!KH!3)&WuusJktIEl~dKHvde`=OppRuKQGuKoY5ejltP3ln>ge46*qR z2qtgXT0XiNpvaiJ3t8wq|LD2504seh19+r6+1_adU^yP?+Rnl}0DrbwOUi5OKf0@7 zg3f3Z;K1@+EAMpRPG1i1KCp_4|0A=&1^_^u0%%f`D(vi2SP5+*$Exj;4jv(=4 zw4^Vu_KjG#6uoKlVn;~jXI`LqzRfa!p2Qw(x*qfGk!&J z+lR}DPNDpb$NL_`Ri_TT@w*x!I%tMij7(3y&0^A^LFbtV-ZebRpu{!&T6kVopXgzt zspLAh#cs8$IvYp-Yn!)5B6+f}B!jSdbvZ|zlDE4~>(gDG`{hlu!{7yf7no`QE*3?5 zn#0DOubp`$!Yf{axo`k8|7`gl0S+JAL2u`hTGr$w)FGL?$K76vF&tsj(F?*gTHYV3QYrF6McE%ejN7@LXhV$3(S+z5-Z&>JP!#3Apq#Z+(*QvA^|ZnBZN zkA1#pq%pSb*!g)vB!k5~ci)XniumNnjHpZ;;PnTia14z1>PLWF~nW@RXu&J|7H^nngrtYOGxj4pjDv97% zGG!Y$OB5%kBQf*6_|#}{h`&BjJjd-m2Yc-*PCJ?!lD^YkS49Mhy7|C50;; z)Sh99jhvY~cz~ZceU-5^D0a6TUdr7_2$~`%wEN7a+UltnNuKEnyFb&reZ9>w7+qn8 zeSddbec9;b*gNgpqWu+<)VjUj%Vi&eGXD1f@m?35z&D)L9&3Uhe=kCxxy$$X}1Q!6ue>#9k7x44q)tH4Le-+inj zPiJAjokV{pqp2ZjiOFSXFmfdOkYpLj?K_!KG`HGSXi+1qV%3AiZ*9}kJGxc;WZANI zJ`D4-L;irbk%=`r{m1l+(klI9ac6iPlqwb10rgz5J29oPcPlu!9+;-Stf{-?bWibE!Te8R8@NmXNTeyYzaDHCTKz2*8 zJOQOk@Wk_K(ZcOeO341Ms~VQfjjE8V{iYPD>!j)JfK>a9-_KS)l>6U_12>{Ay}<8D z`Ul34FGO9v1ZnzM)<)?hk7W26v*{%F){(#;#6U27+548gcXo4jHescFaPomcoASr&{`c$;1%D%= zvefNJ=W)wL;8oB->cK4FYW%hO8vvs_UD$V^h)CS!^sobBW`FF#*6-@dB-0K2l(4hC z5ouTBot&nki?OQZ&*NnyRm+$vdPEHjrA)f-+i>C zcE^aIhEjVF;K|;xFpQ{uP)X~kps@MwLSfV60%cHiV8H%w!t635iSS$gFZt0LfTfsm z;}_nU1&S+gKvRl@p5k8*ABKI!oEyI&XBKF#)kA!aV&Ag81SUeb6n{2qIcBu_MUlGi ztTsaEf0C|6kv?Smk-xfW@%wxuODxnS_(07{w&p(3*_&x_^T)094OZtpB*PFeYj6ez zL6+yrPk?_(LEkYG8^T|xz<6wxm3rh$B73INpv}|hkI^Bo6Qes4OQ`O`V$*Hg1bY#* z;yQl4IY}P*^5AM-+83RQN!VZD2#QtS1sNSvArkh>27%XR?if9O!1jH4*DtoQ-X$qS z7tdS^I-Px6vIx^~pax$o2;!WV~H|P+#+st(8ZTymtwv|8U@gC@R%!E7bMLq8=3; ztMvQEBP`W{DJ&K2Efzo;@OPe2}&=>Dq+t_{K3#3~nCr}W*BXkN(l5sMC1dE~UqU#S1qHkjA z@a~MOqLYX^iT#@^{x_Ed$i>w|qx5#7LP*PT=`(E8XHUg|*v_VIeYilXH**99H9PVw zfJv?@B7y-lmXroi)z*@HijHg^W9*Z@+PVWK#w z{2rQVa36q2lsSP%I&jetNHT6FQIB6k+gy>4<+76A5!Ms`endj!58qz;3!DGKbN~wd zCPe|@>wDZCY&}uRESIfvfY=pvM?!}FV+PM)Rt&^bOa9NQoh|ahU6sAF2ew!Rqb~YE z-~w*f4}TzRMt$+`jVu%@OD6FyU3&UaZHzU$NnLczBMTSXnI^wMWj!7ZauI; z8_`D7NS8OanagF6Rx*Lt4)!uZr#H8eYkxSnfPsJzjUQb#Pg#ZceWQ_p!Z3F0y z*plZB9m!GhQiw7}jhm<2a5e+J%uEt464}Gkn^Sf1>{?Oey2YKXI=~+*AHpmi;7|V$ z_Q3n*>VDIuWs%>^@?=Nym3nCz?~vizQqcnQ@zbs6V_j2AuKf%s{>iYEKU3B!U z+G_8%ww;4t2KJsB&(V$RA}Vh_R`wkp)l{B@|JnOsZNCo;2mwfWg;?1G_*CzHNcd~1 z2M@x&vR$KK!`#UV@v9Tcf~CVaGm|b3*W@@uL6FgFZ|h@*KwRNrsY{_jAh$9m#%i{x z*NYc^gAe}>&4Ulk%-(Jqpz9LQ(eSi^pxckqC{nU&;*(O5bnAfw`bRJAAM=^&9~X%|u(Jdg1`Z0@ zfAt}XODY|+v-iW7l(19w|5Ud3-6uqy)f3OUXF}RIf4t88{xuO%o;+5Wfe9~}8YW#5 zZzvcBzVf@6_$U(IrGj`S6_(~et!R>0?LJ}voGmAmkq}LD7JgywNssf)*ACDdK^QH^ ztAO_VuM2cXNeI@2&ZQiVn zSU7W)E_v-DDB$?bA`AyC{|kkT3NY83ycR>A*c2;NV@L_$^KxO!`pt8c(-i=^s z4ZjoWBkSosGZKoUPdit{G8?r50a7x!iAVlEhuyw^ez#5}!v04~*=KzhSs@6b(kO`3 zj&DcB(+JK}Nt8IZlJmlEFHf*D36ExTQ$4Loy}=Yb`Gb-T`n7Smas?s#KX@Tw=U$o9ZN1M`k84f|Snr_oksF)`=3gUIea zs0CHq>2maX|NQbseEdz-*UNg(o3Tb}RNKb1mKBj@>zNtRg9`>*4p$Ul8sL;_SCh_y zO3+{2QVXCa;~`%haVtWgg4F+|DEy6;=9V<_m&nj)8x)^LPxk=qGn|eKGvzTH?vm zRd~FXfZTuG0Dc<@E^Hh{BG&*uTcEn5*~Pw6cO@Q(9l}ny$0{r z*51}RTVG-koT%Gw2Gr^c_j^i|`%iQ*8 z$KB&_a*KIqGE=_|2HB{~s0E`@!fc5P_`u)ila1iKEenIK&O0FiPrb81?ltKXWjsT5 zdBJs()M-4WTZq8Npc6)ZRok~MQc!>lcOpvNuMy)sz{pqlK3l=2b#0;z3(N@9gOu$1 z2ENxU68iDIUKKjm0nC?pk)kok7Vc|d+>x*JpBU~`?uVuVp29O{;MBq?1YHiV1rcxt?_dbtb z8b?rvOKE3~2odQ-($A4~kX>E;oK4|QET(}y;=u^(BC8pk-gyFQ4wT&1I>n&*vtZX^N24Y8QzEx(V8k?F7ot67} ztVcX9WKF|>QlI$Py~)@whWDa+UsBYdtdy)a;sf8_flG=)l|T#jXm5Md0EN=(mVNgF z6TWTthAn}9ZehNS6P}AJiya!fJS8^DsVvH(c10z>EK9Qq22muzfa-^O;iy22)(FvS zCjS+!f^EOzG1ntzM8}s`N*pjja~Mb>eGw3u4FjT>`AMYFF=SQ!I#s7uRR%&UdrUp< zo+i@5_t&C%(KE*S1Gk0RUK?6XD(UGRM!|+O}^S zA&6>r)f=(<*PK(r2(rv+i}YmlifRcaY$Pue98z zTR*kCH)QXBEF~cLy{8A+xA3@sCxt3(!@=Z^Bf}vOK9j*ENi^eaa3f`q4i%@3*0ZrU zA!EY1&dnnJDnx`?-S>MnY2--SHiC+Y3F;wRsG2t z7$>ogSoBSi7uY+`JR=pPW&%@a;$BN!=c9)ua|PH?{tDUYQImfiX~3j#J(AMlKyvp6 zav@LG&_CAHm1H?DH8VR;<7bZhQKuMpJfb?$posf!Vs~0AwTD3Y<*8QaAyLDMMz!xz z886(zd&duDuh&q|ew|0mLyk^Ji(g?c^;$6Ir{gX`&G!sHcK)yL^#lSac zRq#o>FKpMe&7G(k=BL#x^L9IQgT7TMJRDL z!}_PP!PeqUUc{8#RpAK+@1m&6m&eL$#A$_E!Rfjd${989JuQEtT{$b8-6zA%Y7kmM`jR(YyN&x|XaMYKWBN3MV2B zwA(9VOd4ZY3WVqyOqbNi&^ns}xW=0g6Ag?86*H|doGmQuE5j$X<0>uEs8ZAP`EL8C z_E{S)r_^G9`)gQtk;sOy`mGGOKjwzX_##_)9>aU3h25MZ9CpXnh=H+;}k~#nt zmLU!vuNv4$>U%HvYZ?;K-%4^yyS~*wyP{sgExCu$lyV%b^TgIx*HWDi31s62y6f2J z_k6{Uh|{^r0tz4Z0*#|UqoP4xkZdYb|1hHCcsBZh#4uDiz^_XSXx+%r%h=Zcc%E5Xs~i{fZo!k`_@J7MX0jjey4#6gN&zu z*ta~*M1cT$RVF7Y6ajt4no#Sxfoy(&amH^E3SDH&FZExBWY6C5!=_OeXodcaazL;R zS0ARZ5wjH%kuR@k8)1+%cYI+(SKXkE6j6ceJ24*_}nN%_B?IK!H|4nx#1@TCd=gy<~{}0(FWfkoIgntH6Ur3UuZ#(KXLK~$%N&sZVZl_;Mbl8(xGO&y_2+K0djX??1rV^#-dk$ z{c~(3`9FciGEZsa01@NFoKdFMu1-|Gd>G0-sba~UMk?{%5pMy_=Bw?29FS|9h}>IR z1!R~O+oCD{$(WY6pO#>?MPzyig;L%CHJ z(}sp?KPyI@b~BwR3C;f&YT3p7hScYY5c~gzkO)|5JK~R@II|%UrB%sT;XN8!3P>{R z=WwOSCMc_ndUJA~=DpcGtljf+)|lR%u3Dk#VoO?)ozXx-ZAr`8)(wR7@;!YHgL9$* z^Pvtm$<8leu1NnB=r;@uY?VX0-GP(zZo^gDUfcAc?%x6%Y!e!=n^si+KjcriS3?trysS z3V@pfG1KU+TtPn`LNX$nl1oWp4gG~mWG=h9Nl-{~8(0~Z#sD)&2t7JjnB;;-jOxm^ zt{W6GOARK}r;DtEP++_9Zy9(?9sDi~1LKqjt+OG>b-`hjA$_I5CICu&LyF;exW$sC z#*qA4>BcBQMdzPAG?$G}srqvr>P$jH$i;?XFJhb32nx|8Mvx)zXxot_fe1&gk;*)o z)EfFrg|ExCMGNDgKup%6TfsQI35NFwa#Qajj~a)t2jNtX1|#>e%6$xLjR-2PvT zOluZ}FmqV#NI{io(S@(G=Qk3p{iT`-ixl;&WaXyR)KjDL%I%HFCH$onBk)NPFVUk{ ztt<~@<=Xi5)TQLw$n?_0uEvIl%Yu@Gv2o-u*$b#>pfHX8R5S)Kh}#&^{K_gA?CjJu z28^;hfh-Y{jt)X5Xgp6!=scOY=Fo6&Mwpb*5S}r2rEfl44pe`0DOC8IK)xUP-s6D4 z%6&_Jpo_7;k2if2TZdR1t{%QV#cIfp5ETMF93X|v9?XGt;-4f5L2~H`ql7C{>JmF) zB0Ga1%?{?nBEVb?=!8~8y9t6|u3{&J09cU_4B)b)HUORl44OzTRD2Wz9o*OmzIU}M zVIao`JYqwTAfwAjXmH`CAK?&SdMfE*V8P0>l0HD`wM?-OE|318D{Uyn>T65pKvcYW zxMjGnvujAveSxTiypnYYM6-Lq1sUoghTbetv4Y+-0EaL}JAQE-*BJUM(gyqQZ&2#c zpO1L?OD&>lbV}B3kxF=;Nua{&M`n$>zz6$4qt9RA!nS9Hq?`PXHtvNW_)-qao1np! z8rZdqjbRZ01P>Ybh=JIxIXRp~Rt4>BU+&jM?+?-@A6Ar)LofPCBYm~ks{E4lJn2_$ z>o3Z({qivQoPpJ&mEbJKsiHN;D7JIwkIy@m<7Z&DNF0`=*0)lhPL{tgyT+q?5|AxN zwunV^B@)`BZBUL(77pgyIeJOw_MlA^ium#DO;ALf@ycn!;_XsXN2u}0oIEW}L7Hwd3vHkpAIs+=jat!2WKXL8L~WO`d`#vw*vi^*pc9 zdo|GdgV~qQC$Ic%0>69>8oew=JU>jC-HJh7%n{c({E>}WAkt*e<+E(|+lo9eapZp2 z*}YX*qtJA;%5+BASE)Zj%~3MyZdK5ZqSlUHL%M1+EPh`BfPQ8u{))RM(8 zxA$w?7r0=S6HDO{od%IsrDQl|7D9rhEKopfuR|} zO~P$KM<0EtDHHy-219c|{wS#lVQg*yXS!T_Cts#I{ ztg%8sdA7i;m2Vr_Ep;dZgnUmQu$krYJKX;t$SQr`L4+F3lAphnXv_1-q@kZ*f2DXYTS^0iW)TlV@))wN!InRS;~h#(dzwo_%TQvQ z&voR1mVM9mrer5mKAu+zpfhhdc~j_GPE>xODq*mwxt2r=!Uxy3E5(s3olI@b07r^S{iDXhE+7 zesg?3L8!jHd2*W0ehC*~nnqw2{ExMfU8M4_wefgX?*U#W_XZVBrd=4)#mNtmAlzF? zDFo@24&gXUfDLSTZNUTGLGmgqc2#i5{sFTS2yag!m{lP{Z!Ovola&|Gp-Ac1Rt)Im ztiEb!$!PGti&^{~9c$q3m>0x&OzXZ9#__eR9)rdYl0Jk;3@r!!>6JeCI`EAGJ{3PH zR3`D$gfw1@5W$hKL(1?_5Lm)}BS_HzKS}BTF)Ly_pae5ZEgze<^s^w?1#ks3$G4B8 zjxOvvdVX=2;S>kZPQXhvmph8?{f3tm*6GUk%O=y~ps@m2-xnU%y2*FRiK9$Ir_3ep zLymtB#oi)J&Il_-Yz+*RIWxuT$XZTr-2~+yyCPs-V4l*sY?ke`RRiEi`Ti&)-{*eI!nZG`8Q=0_-u6|S3crbKKR18u?q2r zBiR?ny6g%5mLzl4zqcfa0#X$^7K0Tg6)}F27~J`#!-~jk9_E_X??WM5fwUe8RP>iJF8Eeaql`tx%LS|+|3fzhKk~AC zJ~$9e@LfN?w$@B_QPt3)cGzu}>*z>fEpesScr8V@!7dj@2l19bE)xUVmND1@cSP+2 zr)^+-o4$kXh$!ELx;$Ka+<^!lJITIcDU|-OYe9A<>KGC`i9Dh_97jeI(uffx2!;-% zocQmHfJl5D9LkiFQht(Mx9jq>WfsmcZ4z2c-kK!e_jyE8Nzpiu62$*&Sa2PO6jF=I z2;^AKc+3idmC>GBNOS$=(s(=)t1aYS=g?6fKs2KRGMcXJ-W0LL>C^{%Fz`4kBQTTU zh2o?C4h~9n{YwPZ;GmdGgCXGbz^A^Nmoz>NG{$c;3y-$m4M~tE=XaW>;rj}vz>fI75Jj5_4s2Y8UWSWjV(S*eD zMX(XY<>0~;9Lu=z$Lr@3UV^z^3L8R!!cQpUUV(dw=g-hRI>ED)eP5xcb%IZHf>(5c z`G1zZ1y}8p=MxcMwt)-bxp>w^o!s2@OI(6gIviA9oCeRZd`(-N7f!DcR>#&>4PGvA z?J!+1gzqDh^cS`}lF&S1yKu=^M}EKC`+67(Cgm>`;xB#YZvVC`w~XNBIl=GG$x^F= zORyK1=sW~$ze=`8>+n*L(wQucW8+Z*Hkw5U%wa(>{0N6X%^TEhK!d=ntxzr3JIsAYUHQ>4xeRmiHy5}?6n~+|R%T`f&I1bt~^Ra?RDT!d9b>o%U z=&bK7_=b??P;$;23=6ZnSi*ZWIf#(y(%xsv8j$@~+vhxx5TT87lgN=#0A6}F1!K=8 zS5axo6(=$r>c}b>!K2vZ6afs4L%>U)rf5PL8B5If5ksx?zFWH(Dd%0gkw$UMuc65p z*A43Vc%-d&PThFVn1&73pJK8lm{{#DAu^=!Vv;tu2%}k6B)y3JE|_Je_k%g(m>)Ue z(xw0c$Q=3=N$FjCtKy8aG+TX;6xo5bYf_#R-hs7qkTZsyLXW7lXQnH~x)dH{{9zT6 zrRXncHNkj~QiM`0o<;59tnFgqw|LKVZTm`tk^!>Jk7~}7`N=UaDSFps+eN}Ef9c;P zFoiUd`_I&WI~q%UK&8s4RmP<_hLhX*GjFw;{26G&{USjM&&JyI0yz1`e@+MGqbo+S z6rTFWZLJRb|vQE zg>A|N1?KSiZIei#;D=RsSPS$v2U}8&x>IQ@ddV{{?*8nHFv)09rp8NlaZXxWxjxu6 zDl4Vt9_IP}j<>Rpu3?bC;#0o-_f=;M2^y|;Y?=;ds$HJwR35SquCMfO5(Xz%PkC|> z28U!ti)clHqdm&P6>D_g%pB;qhYDuj5>$^+>0`wz z^iO)d1JA_a1W{+GjBUx@HB<2Pq@i#q%oF~4TqVAguz(jXMDufjK8FEB|nLEK}tNHh7YUQ}=(VNWr%G0WHs%jOoNj{3F?>54uH zYDqhqX{15|^_wMKsSm8>g6c)Q$pZxiuR5jW;3dutz<9Q8RXZ!zRFAWBzk~5wZK7l5 zMj-H*dVfXqjwr`IIR^p|i_ry9sp!L@1oIF_#7Gmiu4D`0UR`dQN#(u(Vu&uK%Z#dA z{f!eWkF+8Hk;(Ru*YT^fL{|gXJ$=(m%)u~TyPiRkEHc-3QXWW!D(+&A+Jq}ip;8>v zsPE>QdFCR|jq=V$uX4RsGxw^!@WYQgKYbI(Jy$;1+ zhoi1H$cnoAJ#Hj3vW>b1j>PbC^{V@6s3E$1@MKHY;RF>3eO!()hHnkUUMb*#a|YBf z$gi_HB>3dUx!KNIABmA>$+lD#7nbn!qNZvyRhiHL0URrd!>-{ayy>E-HKp!gsu zT|asbP`~)U)GyQVN%occH6!*<{GIsz#`61{c*)OLZIbHFF<-VBqqmPEws)Vm_nx=Y zXa|{0lN(X~vtcg5ei8FeO<&$*ZJV`m?i2l=?KdRn0D$M55_A5xc^+l#vWu^{SkaUB zvM~r?E8mjdP$NzurSmMKQc&JzYTS0ZJF)DvYE|6!Er=(ltYjWxrh115xuRsAZnk;{ zwgrydNzIKi+QgEsd1~xkPmlosc^BI(c;>50=4JWk2>J~)vEw6b>4ZjeG0e|Q6^LYskK(R6#bWR6-M*RLh>gnS_}P^`K`A;#Jqlra$OU0%!Y z@@JYoKG5(`G-8Q6Wc2f9C_t|Jt}ywps-&bIWZD}*a(ANl#&rR;ynhX z@%#ENwK!N)v2%w~GT+rH}}9>8OABF74a22DoruzC~s z^2a`n+V{gp;rAy6Quw^VW*zxS5dih(BYpoZN@95h@d_S=)4j_rlH@CGd`8M7uMbe6 zZYHC8V}zy@i-E}+pB{maKxJ@gMn(a#HE$A?*a{1=6$uA?6(0`Suec*7w)3tcMGFgt zD2Xf)O=}w-FesAfH;mFCFxB}#WOaXPz(?r}$znBdYQ!CjMgq@VpS6|P z34z%Wr|=AqfZrbz4UaJ2BZmdJjG9ol=S4JPkOv#RA3|gO%Tod&M48=DL_^cvF);TK z%;MA2F)-Ousa(ZN`zYFZNjYE%1Il3kUS3LyT=6Es{x=2)O&@_L!iv-;3keXqHr?00 zHujbA%}Kr@xJpW7Xn~}67(+kgUPl`+7_Ugq86H4wo@}9@U(xvR2E7_+0QqaU2n-7R z&YoSwxV?%R82Ejg6AkEX-@cN+rO4OEawi8qHxehZ;au;P`E8FN1{QP*h#Qla*N!OB zA}J~1!#^g{&>%zm4Sf1b{;*%kp8)uk;R$@NQ-XvNr8rI!35yhmG&c_T%lxSi9uHw~NfyYPwS&bDsQ zGG+;3?r_M;S2;z#NwdQFPgp0F6DefZG^h29M+g|Jse zSP-l9gk=ZpPZ8Duuac-Bqlur2jgA8irpQp>#2rZv*kH~2RwHsN_!!^}E$T(c1JhgZ z@%hQlb9q{`4J&2~`iA?YLMCZEco6NQrcn*NA94eGcBXp5QPcSFwd`?i4~>>ko5g)U zW%sy*uCN}FJlZz-2F4Ua5AU2|PZD;ttjYp+=*CpW!1i>}xx3{(_XDl^r88Q@QLOhj@-%#3ZLx~^ANIpxg6Ruio_mgBsN?x(?oRZKbu(Ea(g}OXtp=PiT527>ztMxF z?yrThttFrE!-2l^dU@=3rYqVJMI{ksf!UP_QPcJ+>4I`g#K#G!pb~lR zMd@@hTx6{wKqYbqb9Hv%#j+5!>jM9j+`Gf%Yn(g5C^6jE1^3W}`;UgGorW|#YOQan z*BA%mIZv|0?}|28q#hylawR71x(Gcx@w>`Wx6&=h8Wj^IclD>)n+ii5xft%58u@YU zqjy{h6|BKr>x-e7%96o=nsF#(Tb{J)-k>6gu>vQi+ zw})nsfd~vE2WgccCQ=$jg1W2Z;w({1tA?G^Pe@l9Sr}fhA0buuV`aM`dd!`Wi&^m! zDaPFVI-I$*{Myx9?o{iL$zyhRD)2c)rMfLd1M|iHq{g$#^JTf=cC33DGS2~|gB zfQ8luts`Q97(YV8lPJ4p^N(SAH!5o##D?}3oNw}?Y3Z4Lw&XNEz(sDw`%3_0jxs1i zgNuvrk`6?%P{v+`L|OrFsbE}}_Yh_HNF%C!o8CWVMq(U~RR(VAP`g1^oE8H;*h7|x zC9FZDV{;B6E#}Z2Oom9PhiMqyQL&)Y9iPsg779aGsm+$4m+YjTjiramGbAzlh&`{x z+r@ysL%}&Vc*aOX$fe@o)>4j0@gA<0*QU1PvHp=>8;XYZ0#Oq^MEfZohsuN<%?}sw8xria-)59zo zDGKNtTF9+;`$)Sp@orsR78hv6Bn{qPhB-6j|6^jX{& zCc&3+c`>mzJ<$Ki zTq0xCiTbfthU7=|2jq0+e_s<Nh{X95ojCcXDitq(;qobuzt6*#fh?#8fBILiVAhw9Xy&m%?r&z%0Do z9$V-}tO;Jp5n0ecFF!z3%&UBpj|>?PSjzvHg?*^7)Y*@UKXV`{cd^m`5e$FgP@dg! zteN44w2Bb`g5mmp*xrdNpRk}%aYg~~um_NZt6J@3H|N2Hq|7GMU}ZEG{N!eU{egoa zxDCLkeE9PW%vv3Tj4EQL=x+89Dtox-?{y)PnsCq^EuAJ+^bXLW1l3t5)L^nZzIGWo zM36bYD>yfXQDPH93nL0U`r5b-ef-YhKO7a$lA@a;$X zsUd1Y?Ku13Z$hN@k&(P?j+ZAp@)36?Q>SYd*e!e!==`po3bY^CxC#dL|G0q=3(APB zRb05p@TTBE*1v^%jg0__GTh=?3^#u+c7$t_De$ARs4kHZUn1`*%!XG$CU^}}H`-~L z2)P%MGsKvMWxk5iNQ0CKY-66{(DAM5tj?Q<>Zn{ks4*kxS`j5cg(J+f>>7RQAg1U`!h~Q_mr2^Rp;gv-Q zAVR3AL?+G6j->Zu2MFAR>kJ(plMk6u<$d|~uv#%M&tXr-;MZrXr1Ku;b9Gy>oV^2h zJN>%^R^w^bLp}YyQnO+;8b`fq`|svjLiWoueW6`0a}Ixd{qcmV$@N{2B!RUr9XIM; zk6mT%pVMTgv#Y3WncHdqn0<3o>M(G+S?4gsw9q^T$prH|wqyhzk_%Uq18J9zo{&qV zf4PR6YnPm4pC0f5`&v)ZVx6Cl^Uirgg|}~#)1_hFc=#|3;Z$o zcZHN(dOig9ptWp#fXnFB4je^vPZ@}i96zJXHL!(AC@SdtrV0b4-(yI&@y^Ko$rR+; z1*(fZTEaLej#=zKC=fB%JKRlDuZ7+qDT9GX9jO#RK1W1@sKQ_c@qEB_#|J@uW<0Q! z<2!28cDLwbB;%jwUY+kk`N#ySJ5V=r`hT+x=pu9PA8gD7{)n-q6Ku@{c4q?HGl5Aq zr<08|TocDpP#>1pm7$4<&kI`lzST_ef@xP$cf?JVHC{IXJNV@r>b$ zYKtWE{W(x$>%2MuClF#7gNDERoOPw+_QNQFL@l3WnvlOGd2MVO`Oiy#=bu}<|q` zu;9iJuhc8vxt%cXjUaLsqTdCCHzFWkk~)|D;^UIh@^atXZkGnZCeYAS4^B!u@n^?1 zEyIZw=;0RCdt%WLNSBkToSXga&=Fq!Ew+rV(c~&YPm#D#J&X$!9$eDU;*Ud-S8a-A zG}i5i5C}AJt0rN_2=!SjCnarm_9fdL zv26RpF0y#mWBj977Mcco6^d{(xE?_A#WB4y7fvX>>8C{k@tvM{pRHX*v!w9+vY_Ow zgHP!3ek_KS5$fyFd~7Sum=`HdxaMq^Q7VqP=4=ybi!3SKc=i9<(H)DCAf(-Xg*B4f z1Xqyu^G`!hBM_fdnJc`qz5m30|BdavlECulcoT1)2LKMj>?;0GI0zgaMZZm}!K(MU zZBjCeh<7UI7hS1GpyRiD>Ef8Lob8^#$uInKI;5v?+eWC* z2z(R3*Ix!C!PkgCdV8-AhkH{9^<}sb^vb2vPYAg|`q15jFyuK}jEnM&pR$akgyfp3 z=biNel$gD9kP=6!l(6J2l>qn$IDcn<;UAxmGe#y29@y47sh=88+}4@xCs1O4%zWd_ z``_h1JUbVYEpfIHUD{7bgS>46XZDY-E_G^ZpR&z!Rxj^ky zs78Zl;O5LGZ9Z|B9ILULRD;l0y0zbtWpvmVErK;dyXREmvxJ^wJRF`$ZHr>#cb32? z$(Qa^YaJhB&(HVG>Aw(Qc2;>`{HFJS30uWefVw z`NuSqkc{?T`iSJ|Ft5~spcBSk+}#h95i5<@(}E$5wiSS^_mr5JL!Z=W_7LqYSC8Y& zMYlHNKzBPjwz`hA{I0jxW;ZY<&E(&ye|S5Y;ue4fR-_x}GOs9CukK1324+g){Rq&b zf9L*5yt;qu!2&KF-9>nB9({_xg-y`%Q?xS1o#KiWXIe*O+34ojNO-c2-|aq(2>|}z zF9D@pFV68n0q$FhljS1S zAwi+sd1`80$kL+Jh8p!1MdvdApV)u2Jl~7)cUZu5Zj_e7j*&`oZ0&JWd4LWJo1GbM zlD%<^{-vmR-okS@v{)LL4R4g^2`(v_x8TtYE4KPOVUXNtfZ0zu6}4PM|B3){C7o}@ zov-{aul$|mQnu6BUUB1pv?x0$b&9SbIeVv!<->QpTjc+vwfR91ngO7WN9LbqOH3O* zeXkRP(EBg)*EwY(#!8q^t$GZ7_{*6%az^zy z1sH@ZK_w1U@L@>SH)88r?`3T$*ZxZbw0~8GbB|(8YZ(xwC7QAGcgG?d?&_)Ce`eWg z$mjk}qoUP~CegJw3=9!l;>_EWYk?Zf1nx$)sA`Ln3+|=JVA=uKRS_ihf(q*!Y=kPT zi#ab2DaEoJB#_y|WUe4`MY9r4k*D-L%@SLGq21_(*^N^V{M=9ka2_PwKi=QvU+-@M z5CZ3WHgJI*3DA0>nLL|+y}yjV-e2*n_eUE44G;pcc)BUgf+e(oBa$g4et-a>FOCP< zV(hd8J}LtpmcueaBUIvRe8_^6quxL(&&We}&?)2_6Ki}zKX~zCG$*TTo@nj}2-L(# zCt`RW5z4k?Nq2kAr;v4L6$x&6)} zR06nwr>=FOdUNa%7-J_aq3dglMMz&O)a4AdzQ;>Ai&jc;7P9Yp*HwO6rdO zvOe`!);Ev^Z@%&NFZB!lOZ|BN%7OS|AREb7)2$K?3c|-rs8^)hAX7mF*VzFM7&&LX z_RW}Vz$4m80Nl`+9~~fuKM^IgJprvy+0fYn2I%t&S%Ko8#Sg^rD+wWmt z;bJrxpm}*l0Ev8)XE09_6jXqID^no$lOm|0PWUhN18%AgP`?;}`gz_;0Mrk`hZZS|!IhF{V%kqi<|~a0jDXsCr%6!Y;bs;j1jpJE)q1Pr&ml+{T0MoRLHl78!eQN z9k#Zd_N@Vjl+Yr&LDM>yoI_5uvlQdcX zduDmbt&YDo`Lmms{AOvfl@~5K8#p%AQq~;A^OTp2gn!7(E!Hg)kgQ(~4wR-lyVgo3588 zA|s?^>8`sg=K%pmS!tNKK3#W%UqilON6SCbMB80@S3gRH*D4uOv#2djrs(I{8wvG& z^2d@8GE?r|^vS)ykFR&}u#ESA#gbpaUr)HYXNhs)uX)ek)d(AygWv}*VTmHc8Chu2 zkjhYu7RG)~A23^|>a3p*Y55SNC0c{^rkVMWE$Vp{$D zj^1uyvhw;f8Tr5jomP@3j$h3Qr^#V3p*iovd(*K>6S^K28A;hHvu1PA?q|YE3aU*+ zkis*Xw@(VSKh+s^t1{!))gd)sJbyRxU6@!w?9>IfFx)o~QA#HO|ENA}JLBdj@8ar5FPy>=^CGknH*_G%wf>!=- zJ~F7IM$w4%+&hS8=7KZGN3MbpmE{@4Sb#&Cc8LCVfNd`k_0Z@1_?x;wx`PCYPxY&0 zRA9+R7!N_mC8)_1)$V#YcbgdMa7f6g&)FMFJM-bvOX5cE@JubSd-0bsalVy&Om2HH zJvor|`L%4#SKnQ!v_ug>jL;B$@G=;z5+I{rC4C%lYX86Z2LzG-KPmo!7pp|o@L=Rp zVPUZN3gt#}(4oR*!CV`dm9&IduNtscZt&iEyD-THyy&y&`Wu8 z8Fwg{%?=6u9vIE~WUIHY>EeHBf3E~^wSbFkt!s?$I=tXKb{Z0e4LZV1&}OICvRR;O zFaCmLDWgES$5TQE>*V&K3qmAd+npuy74 z{~0PC`29S>o!R>uDhBL79`6k={@gL8gmGHxeMkt&c9C-Kv{Pxp4x{pjn0Z&$nI5F@ z&vxKIUEnn)PP6VCD(r1E60QTgT*?IgYoz!!Sqwyqfn+iCvbLTpwkF?C5hWbt>knXR zhZC0hr41leOzW!!R*M}M-;mnyKCx|HpKIwSJI~l|@Cnv%NngA3K}9-jJV8@hGaO%A zt2rr(YUu8D;z)s->5&VMf@BC=7B{A?>TrKhOmZ7eo9|TuB;L5 zQ4!%vfkdv1gMs>?#Y!IgTIvQpF7fkqJ;#YVAs#&~`sOPGo#d%A2 znhEh8tav?W)M$PfiK`MmBUM`NT!&zX$U))sZM?vFu^IGz8#{X#{JDkso3H_NN+Z$~ zEUh|+!wp6@m~TAFx`=C@ORhCD5I+U82Zho69dcH~k)L)P3Ec3$Ko~cE3{i~edBJ^H z&VReizaAi!TuXV9LI&=nnqI1Hih)Wx&5E~`fu&o?LJd>^UFz&QeCkN&H|tARE&WIQGj zLD>f!_^dp|EyEW#sq(VAhes!FZ8eJ)e%}v>8ou!yXFJO^SN}hn2ri~Azo_{D7MVMr zllDZ(Ao+t2T44b(Zaun7Jnk3WI`Ppe6Qs9$nawhYdiGn3ioCs|M}zA4Ar7*EMh2m# zhLON_gXrY=mXgQ3?bC8I*@pX3Z-M(`JA~*Ufo#2Tw1^|Ce*k}D?P1_h>A^c&!RSyX zhOBkT%=lXG@qjD3?%ofq>wygOxo5I3W=gkjs@`z8`gOo&?pM+8%dGy87G&Hbq67_; zfJB(D*n;3iqO&1Bw-WrTc!Kn(du309Xx^9~SD}AH%%DY#C@uhlhqN}f5dR|t?1=r2 zC#7Mt>Owoevx}2vtDVP8A%w=YVS^X#oEWn+-$+ZYPUmN9nvsrNoxWV1uAF1Uebbu^ z9FlDpU|~US9&9iyoB(ajSWTs?B5U*=(~vOpgI}=2u?F)}t`Kf5x)Esc)=$yXA_rr|VDsS(hJCi?t#vizk#n z>-^~NE#jR~vXm*!%W{*G8czHwK8VDtk`1{NkSQMxI)0oYIcw|v9eFGEVF`J@==rgc z!H(~ko7aYdC;7l|pHR7!AUenp?wI(I*2kKIo<3jjJjWJ|DX`(%5vGJ0j&7Oz7oICO z__7*XNP426TkjjEr63vW%O+* ztj>L-LJDs#O6Qc8nrmX8N-&OjYI>hiP=Q&Q*`&gnXCI#B6EpPkzF4+k6liN-OT%tS?eROrIk-|f=aTR6# zPVcP3`aRguiS7HNGh2xi-jo6)-r=PAw>}gRJP1c;2zVOY7%r^g&(s-7$McL@Qc3F7mY(6n#RX=W8n{Dkie|SLhc2fVow%_C=W;Y`c#s!SnplMDrSR z?J}XoYU-;WGCH0Iz+>u$pqPPP;r#iAspu(1PG<7QeaPbEFq`4c?()acW*l3K=J$I0 zGlOB8xq2fi1WoO4ic`Hl+XUAi@bJ}AXSCN-0x8oWFTI0RE@;d72IEo$-5#B_-&Ar* zV>_!hSzAR*@niPHxhp*X0)VB|U+RlnN!h1lL;B-zsE~<2jHt?Q5ciF!#x}`fbLI{( zN$_@LmCTcu+Sd;iZo3*AymdC>m#YusO&u`4W9)SuUuV>%UsYige)FbGcAHVVvuIV- zHY`VBi2Fu2+OM&Z`ySFm!RaE4cfANed36~C(Mg7==+<;;=87BdQ)F`oB)xVMGhdBA zjVeS6c1%gr-8UK1)L1rhAICjE7d#j zJgogi<+dC=pa3%Hqq-Oo6pXjHDc5~B7;9##wh7uX%O3qO^h2aaa5YuE15>t#fg+>6 z+@uT%5CFeE;)wC%mgwnYtXPY&eR=a5!@V-i_8?;%k6IJQkRp~$LBLPxd zE^Dunnj@K%^PITck^j_@zl`~|&0OBOS@b`f$&!frK<#C+E-`hBx59rCzW*EmqzyK+ zx`_id`ESD{f{Whd?EGaS#ztsp%|`Jb@!vfJ1FRA3Znchvq^GHPaciCEuSv_Kpol9V z&mYwOl^BllD>i>g``6|5ThJTlFNzW>$Bh3Ne>0VTjX&gwY{2TY-s9VvKZ^cwk;v3r z*2YnE>{k^F5M+A?L-) z_z7bWE<<>~3gkC>?x=(1 zO>5+|1%i-Y0&geEAs0G7$wUYzvrhLgKwYjaM{+n~c?JW*%@03MfIi$t0qn~JY^JPKdeuP#)5pmW! zF@7^co_l{~jNip7W{Do)I^jZ1ik;j-VDE72M*q4_(lx+DLMob+Fc9>N5fXo z$cL-S%pHqe+KL>Dik{#);|{u=~M}t zS=Ha(HyK?PZd80(?nQ6l3E7BQLX&0WdIyJI36k+*k49O7$#U@@#v9@H=N&`MY}81Y z*pPh)#@AFT4MVp{Xr+%G-#3XAj*l)l4||lQg^FVfb(_??IeNG#G7&a+&2QWtFQ?>L zi!r8|+Uw``JCmL+e8O=19hTTzinpv^Jn$Oa zbL#As;(mu6!NWMj1x>fG@nhx0diqvHyqnesk!2;O=a_3_ew}ZBSoMAHLKK7WXG?0_@cqL`WqP#NjVfEM0Xq*9 zxXjG-5qD<4mJ8uVfOqN{SKdB;r*=-Fe;#9fm6i;zZeyq>+t@x2qIOq+$eDwt>g}^x za={(i!*g^0<;>9zEtEk#`}%(`G*UiEQfRk)Rc-DRBFa(TP}Ok7nCU)r-GOCCR^$Xk zL;WPwrZF#(Cjsqf@EMoBB

    Fdf^RWp$|MUKG#BiJkaG9x^qVRRQ4iZm0xhD=+h#2 z&P4gA_)f)JxRh!5x8)yZhpM~pm+q;B^9>Wt=}bs=`P!DeeAp)XTlj304bJ%ys%{!lNWhXNoc+%@`wv59pm5;*H~wGYCQI?|opwu;sO>u(eDFqVJ-;); zO^!m`g`a(F{hkyniP{=&Y-n!wZx-schvns`r}YS!5f=&GQOuW_>D@wEKc(P5NsZ{Y+yT zIbY_JP?E)yMAiY8b#uPV6@t(-t+t%_qwl*>nxUdLbD#k0`!0XXQo4p&;I6c`SkMw^ zQOSz9d2@I4{`A+U<6oa_=RV0Fz3;nuV=XvXm$!{YmB9H794~`1%2#PE1l-LCs;2Zo z;+qwhfx;C6igX$n*cq$XpsF$L8$52tR$cl4oj>TU3+xp7^tLT4d~Qr7YbNJ2ByH`f zSc+yi#ZcuCeCmyVr2CQl(KG5|P8g(>%OP^X=zFw4cdxe$8|WvO(Z8~G9Gk?m;op;P zn+HHQ^pHa?%%TS!e~#?#Q{NMHkCh<*_JOkQBFU?cK><6mImG>KFyxf`M2&>9$T8|- zDm-HBis-kK63_xk6TdfYpc@?Un8B(Z6U?==JpXKbc->G<*Q^+>oY_%7yrg&YdN~g8-V}_+a9^76>DTGz9RrV{{u21%b^{fNaA^YT{dUCEo+mVU z;;l^}gy0A4_uTp2*Pxxf#z2)`!>AQ#Xnq-J4_5aF30J79X98}d-0LU}wI(rsMO&s$ z**f@56=9-4nTteAA)r55F}gh8*%;Pb?DT3E@c;dT26K3;|6vL3Maj~+3}5#8BWP0Z zXi&4=Fx|J0ZQ#lW`tpf1s3+YAoVS+OX*<-@vXpMT-orM0)n7#(rAv0@8MeJhD`|1+ z&F&FKB`k6%__<+%mmaCS_%aG#+T87|oa!^R3<A zJs@(0Gjc#S zEpq{qmFaxqZ}c{#B>hNRaWllER{Pf&s3=uRkqX61V(|x2z9~V8xlNk(TbX?N9gze4 zsm(HSCV#{!yAA6--hds4vf`x?C?vCHV4bd#CWK4F-cAC}GHN3w!5SS8s!PVcGh_abXSvY-_N&SNNzx%luIKGi>Ny<(gu z&U4f!I*rR^<1r+$e7=MDCabqcx^vgx2pie<8FagAAVy1Dswd0r%p5BHSEYXSP;#;g znL$&_vtWk2QnPbjT&}l6n_}x?brS+DEEmNt^58CeM@X2c=R~F z&?8#15jptF{WRzKMnHFh=*G8j&sOj|G3xK7x#7D^h_BKNPR+KfsI*>`Cd^Me_s*MQ z&MSrvU07N9Gh8AHt^)6buC@;D&1GhfgoR_6?qro(rrTsAL%xLal)sknnThGB_yTF= z4?P6YA9=)8P%LS`Y#>X%%V8j!bqqh$fD`S6zZtoxA!h3V@lb<<4y)r9KNn`PCb&=u9A?N!xEiV4*RE-LA_NKi>|kBAkC z+5s0GYoE=F_Gx8msN*O#p=6RO73vcOOLPd3N|;lkoCV%<$$hce6N4mpUV2bt91caH z6my@Aij1`SF?r1=YVCbZDzvG;)NMIr<>GAMl-Jdzk0zk@wYrMVB2uHoD;2ssmoyVa z_AV7>$6t8PIUD=8<;zn3Dw-^N1dnaDJv^^fQ(%9hCxKT)!^%H;LzsNbh<@h-hjzJ+(p#7te}vpw7yv&p@3V?WAFj zw?tUdt{iH4L7fzes_jWeFUx;shZ+?Ovxsl(0@-|Fp za4>3KXR}@9B&(VN>ccAVHCYA@K|;-pz5zZy!)CO_4wHk@L_xN25OpNb-8vX`)qBDg zc~hhFWDfiy;s?|sL=Y#a6I_8@Af1oapV9IcK#rU#ir-nL54$ygKt+BDmt+<^=`0y_ z6Y9*ywanW5SV~u8@meZ?t&dKxMaQn6XmF$dZs~5ApMbJZ0$PMtyl^JWnNXGs6X}hG z3~|yt<)k>}my87rX$I^++`THZB~;!r68(}YhFU6?H??l&xkFz)XAUH%E3FIH)D(~u zYD>dPd+vsP;SOf8CvSpwR_A>n4pe-CwhaR%3fx-@%&_7xDyEi{h93P}; z7%rWYNw17!a@PB&cgRM;v1Bai{SLmqrkk~Fv&Lw0TUaEgeZ4F_rGHSab!A_}91f57 z6Mv+B+i6v-{jCN$9v6jwQ0|sS8ijVpEum_Z_Y4m$$+)Y2koi*k2nBHj}Q?Vq5gLT;l`koHA=4r(xDUUy{u-60M$wQyH; zP|b6xPhdlLZ~wy{@wUZ7?R+KlJ2ups!n^|51Izt&a|PRX&6FEv?GBi_6LeRry8K}8 zd{U~lLuYN|LlUeATyd}aGl7BJI?#XsJD{<)rjY|z>c220ika|7vCe!xw&Mo{4xQ?V zpRAZ~tOhw;b#n)tlaSTakA@LV&HF=kGv@|=pRX~RNa7><*5(GeNxcr81hE!5VsEd6 zdd;Wpl}Ng8+HgoNIB{oIBI?bWpQsLd5cERLfIJ0NdePiM|F@2sI!eD*BIqXl^S=N{z>hSxRW`ke+_j{qq z(+#YX(gO=7luSe$YpY;=g`PS2n$H_H)S6Sir9%1p44tfB^FvwWPiQuf)PPS=!F!!B z6JG+Bzl!VC?munc*T?&QR^%(H7dj;AOTp(lIU@;J6R+q2xD>9D#5D|;TBblj8D=S6?|+3VLWLF+)J&yUz=??1&?R*(vP+JE7Q zIu-h4b(blzH2x+F7(K}sU1v)4SYo=}AZALFxQf#RQC=6qt=@G5%lRAy`8snzmXQLP zo*Ceky|vH*L6ArHN-rNBiD!5(p$HQOS@umAyE$?_`C13^CMrU`k?VZwAPpuAyWN~( zzRXOjb(;W}#J4MwwiZ4skG#}jQ}HHU%fEB(Fm3SsRvz)x9%irC_-XbeP1)(orEK(g zMiEvmcvgi3#oK)+1uLZTE2N*poZ(Jx*Sqg#t*$Bg1-R5^Ps-^~5VNKV3g~bo6|5)h ztF4~Nip!U>I|_~nv4NHRA)Ra{{DI|Ois%|dgD7ihGbkD0O9v2x=^BEKqN~XNYxAI; z6rQ>YQK}Q%-E(ErFSXBU{Q7g9l|wFQ#i9_JNZNE4Fd47luy!-Ivio<9CC&Sm29}p2 z`zv@rJK67<+((ew3wT70wfoX}*?|Nlb#U}cC8^-(8t1g8;-_Rc1SOO|#>3ng(lk`* zbHZ^)7}W{FAZg`&)Zmtnx_Z2q^$#zC&xeADO5L#6g~p+C#MZNtK3L$$vz zk7}M4Qw^6cZRorDE*Vk{#UCVF?h|V_E>5J}#)T_RA82L;|9o!W%l~lq^yx4!Ky~Fx z$=jjk{m$SAFLw1j`BWOU{}|qUH$4fezwOqNBeJnJWwNU(iTm1ApT_lTs!vDfOG(MU z>E6=Ebk&#T*lZ8TRr#ge{D(#a58v#d$7%fW>|yA{4nHo&%L){ zm=h7;L|Q{t+_ox*=4fyg<1&+W&Qj4OWrKPUlw9nnvPkEkt#fJMt>mwsB_p$;au9TP z7ktSw2JVv3Nb^rIq-2l_7-l&j8oW5)nK)PQzw$v`+r~@Rw9)sL_pe{>V9`r7R2ARo z*OO(yliyKA{Ii2M*L;5TeMZXPY49u8liapfMaYv0FOyrUp*m^F3vi34w7Wr;v7}+Y zfv!;QWa-70l)KxlsJ+pKCGTrMWg=fB8$(hr80G#8sQ|6tsl zz$uyQzKB9kZC?o_2iT^QecS0@xeV##+aUY!_JwT%!n{)^i0h+}Kky2+kml?;lj4)U zD^#|CJ5=YiaH`kLpE63l2oXKZMV`D(q}9l*`S_(@RG9ji=dl01u>H-JqVVb@l~ar8 z5tSQk$xhxD+4Us#p8|sovKghP5Wds^<+4k(!w)Yie5p(7YYwDsnKwz<+GK4Me~a~@ zx8GX8lYjy0)i~Ms_~lhR_V|Whe(K~C14Fg^H{3=3>BHKp*cld$q#P?r4@oVWv!3K-g#)a+)!P!9^FH<3R#KDW+buj~qTDh4zo!x5tde zHVB{IqH_n}z6{q^L1&_snh#!3v3a-%#dt;gY}tnB4t+0P<{cVSX^v;-l}(jQE1Q}V z8=meBzN{6{XLMGb$Pc5Yy{do0e80op$f%LB)3}{#$mp?)mwv0UI?~n3dBvdQLl2j( zayi7pTwQP}WFIjIPBvVmIKwhHVOQ|4Z~9<>lQ-5kr`qN59CM_)>dSQY$&ek9=0?st zM)`p1vG?7MA!V%`--EjKvO|vIbr`d_6yG!E_l6E_|5!-@8kGTXphEFSsvzLw4 zmw>HY(ZCu~M`75jrv{KW-xkSKj2A#A&?hRdOR#@(on$DrV0&ch+}K%WD}>!Uv^RBPy5`K2 z{V}Rn1_F$oyQA=s6%9Qz4qnZ*N`Q_4+BI*P!{uZ|CnuwTgL)cqxVYi|U2j5r^FRp{ zT``6lF{hnBd>C{HahcF$*1MFiE3pL_{LzO}Rf^8fcWPR=s{rhW=2`I^Wty=vO-;m1 z^wUit%oY{SJ=&{@b9(MhwWW=?dR`@K=`cYCz2I$iFma>UW^5t-W6HULhgLCj z-mQA+7^TA319ir-oqp)|+n!4{Z-CmB(TK%dM_NvK;?Qdk&F{?Z=Pw#t^2%37Lck8w z@eXIq8X3MV6>WKKjd8|mE598~J+>4)go@|#Z>dV#JKZ@6G8E$TVoc8P@R^lijEwDK z1OmIDB0c28;CJ{mHlJ7X55EcYkCV}AKwixa7PPsWc|vL0ghk<;zJ5eA+Pk~R2n z2HyzVWKKb#?QYUCtmORLPETS@w$x9qEIPZc)sO5$metN2LlZ~$y*C4;bt4FKjBVTs zqdWucN{<*M_CpO>2ermMHYo-N`Uw-L5_Jn0N*VNlDTc99oE!rI(!_F*DyalI?qtIv zDNeQX4T_?%4T=3G^d$9w58Y!o!414mOLgZzDgE?bY!L~8U;g^4FN46gUxErD&1UTZOIsBAoue&&pWj^| z7uyW~XyJ+fbdFoUx0R2BM7AsJ#0Yfhf*gbB2K^Z=-0J(0BYch4+b?*TQ%bUNGJH#Z zZ>dB_A?tnb!K=JRN&tV|3cP+{n5Ug^(~Cknw9)^Sbk8SF;TO}G#8yQ#sAhDinz(G` zd@LoV_G|Ma=-JNLF8^~GPRt%YlSI%~tH|F?R z+mEC7J0GC-a89-#aPjM6szPNT8NPUFzXqNUT2a95EVSM$wB0{;`e^?CtHk*)CuPk(UJ~`#T2PrD^!* zaosc3eKc3s9$U6s@6Mh1CXQHg2!+8U0&VH5k@ZvCn=4AATPf6?H+DW7zwC}S116_7 zAFt2t0Uc-90_2i$sXp0Q(igU#@R<0IOhp$T5-{tO{rPK;Hcy$f8B^G?CtWg%qpoG& zs|F|pPoVRpQqJ(UF>_?EA!hLI-nK%^ZXznl5cpMwBGXpheHs$U?1_{Xei5A^8#Q#3 zmv3o_aNBnYdkFqAU@LAsIzn3x4tVKMX4d4VTEAfQX{_6a5N)?B&wvb2 z4GaQ$>A)kWU3^{{QKNC}(@us50$*5mR@@gDua%_Y;ip*MKgX}cuxB=$N=UI!3tWAW z*K)i6)nMK@azl&G>UK-j{Pj|4C*`I}-oJ}KS3prsh$we7L|Td;qlM#4jV3d@21VJ8 z9z#*M)%9))OIX^wi26s|l2%K2Zjv9*;XvdNw3iQkCwWYdeJqDL#BDqH8!e*Y;kPM! zo9A@WZ<6^xekFAJVMd))x1ODyUBjE?j6AjKGtS9^8XNibfkROi>n7RtjpmoxWs?+Q zk8gt^@kMG`20T_;Y&XDzj~2sj=@f#EZK;t3sdp1<_^r0sE?~zans1(UMWLD&cv+IFr4`0CFl{xKW~DvnP?$vsHQW|Wt9P4F2|`I<-oyk;Xvyw;}jZS&8adj=N`v4vQ2IrioG?=8M0QwZ%hPoCV+EbzZ?s`tVll6ihICVrht8|D`xigB?m zYOhIxvrVGgHOel(!DRYg$Xt)3N=qOjozZZ9K_uXxEcILZhrUtNDisSLn-WLUeDoe7 zvkXCLuMf{^xlLa+c-xTE8afzPwp$~%Ff^D{Q{(N{l_xECBv?U4`*Xs$R zm4gNQso@09B0NG9QH}Vf^`d^ow+>wKjVbZVs0kDTw>wTwYSXu`P-&EnhQ6^fQ_Y$> z*B(H!s#Yg2@eVXo-@T#6!xC8_M(s~a2y5~7;?|8Q=roVml_`%7&%tJ)iR(NNIXhWL zXLd9YSem7#(^Zm6?P(pLfB(QBOKTk-x>K{9o5>crMS(32 z0avZ3Xm~@FIh|hbG&CxGUe`0PA5+T?IMs?ZtRr4IH{4qH<*WpK_?f?G!a26VSe@7M zNTt!dz73Q5#rbB8xoVS7yE4@2VaaPPndD2W+_%*oVVkLrlaZhNU@(1#ryLbBiF*uo zV?TseUwM$FFu=};x-3>oTCLOat86I4IWr+Z^vSC@J&|qCKd$%3wws#H z!OOG{Wr-7=1iZ&-a7CX1AD)V*yKZJmapu*ggUws0hv)CKD zNWCh}cB{*%&EntC7xQDV0^}Z^;$#LI#>3x$u2ux=J)kxV$tWvIRVAs5fnJ2)MPD?^ ze+%sKa3D0>YgPixVZid8b;2>G?G&AtQ;1AVAL)ukhTfU0vo3ru@jth+>8Wq1U`@BA z-{$PR*kEV7fbYvdSEPS*@#OQlB{N00CMn*0%s7t)CYW%juQZ z&%ev*-@ZJ*H)=`!O;_bc*OXa`ztq=J;nPqH{`AeCK(DRCA>|1JS(hIp*N z;P`WU7S1JHD(2`q;JRB7%{jcMb|C~BJZ0w;x#?*IXmKx@CBz#L;mksbFI(jKZQ|_` z_j8IK(~P_osO~vGg`BHce0Arb=WBK9~U3bhK*JIi|BzO=U86DwMOx}14FzJ(YN(0dX}#5kRxkS;Jx=T$W6 zAn=qud4m`cnmXuKU209|&Aj=db9cv{vVVyjDxt!tXIM)d;rUK70~F);*%}w(zm50d z%9~K#;CwW3`^vZ-Tdp!fYMz+>CDhdd_q6f&=U5^9pC%LfZxqX@Bs=>h#ug_X6CO-R z&F^jfF8;b&na|y)N5?;(g~I)_fQGRL_0!>^c;L-F_~n~yGJ~=G-L#yc#^3V>_##AH zCVkwCc15@{#X>%v!tIi0olSgAjvjLg2z4*d3`}AnK&jen0>9DpWs&?NMqu+t(c`x^ z{HkT>DJ6aK>*-iyEwuBt6~l}N+s4}Zg-9l&7bo|ABJC^TAsvyg0m({d)RE`K3zaVA zuK{SP=P(qHd}#u zY60tY+9sHgRi9o+w&38^%UuxkE)lDEL?v`TwroovtnMAm{#Ui#*5m<^6~fjul@)FL zqPEO7^`)M`LvaR+&wYoc>wDa@F{?a{M9#Ua%WtU?@mikV2aPo_=&pEr?9&)gYN>+H z#&mYWu|?N=YZ9ksf`&9vD-s#0p? zfjpY#tr6Ln&rx|GbpUi&;#s+DryHkLMu521{{Ea=CfScTbMHu*;On%*Zop57SG&L3zAiZms9VRBT8j<#k}QTJ zraJ4(ytP8C)16u35c^;SAu(}*x%2vr=riyJX3WD<=_|I{Rx0j2>Mnj+ulr03WZ=Fy zBpl{dV5K%iE?!}Jci=N5zbbh0Xf-61>T&2n-p=`qsOPB3%NcQ;{gT=GVqsw_EIVe> zYW!hs@tGwTK5ht>{w3`|cHuJT=jz5e!Dv!QlP&yy-JQ0`Bt#W{#;66 z0>J0efeboWiUbs#4IT#8js8F_ax7akiM_2!H4jh*8k*#wTdw2qm%$!o1r(kyTu|ea6j>8h2O&>?@JWZ*|bIK%6m`@IklKW%H?hN(6K8>~tY^FZe zUQv(vIG-r++aJKHuw_L>d_SWttLYEi?s6fe)<3ErJXJ2E>^RT-E>B%R+uB%? z@F$37S^92VJ#I;vZPl-ZUQcegdc<}2yV^Vb0c{+zu3-JRFw$2$BGi0i%7wgQyaifj zh#P4ev)Wk?|Cw*CX6IvGU2#O0zI;5h(8||5XL5yrYH`}OyF9<~^aLS0vjcW();GGh+!)Y&wG#8o-KXWAVB#*1{?Qv zb^4Ljus&VFg3D&KWH4CQ6=xMlyM(3^z=Yf8(A(KGtg(y~)B8U326EU^tumH1*H$$Z zz`_(+5B|zv4YGN+J@w`JY>CV=Y`RCJ?QQELc@ObdgFEGl7UD6U7Gig=X$y}?lTwP0 zob7B0iFzcLx3D&q#5;Li&h#cr9;d7Uy50@%y?vgPi7yPLLt*|_?=_ABH2M=4w2lq1 z7+3Lr_pRICU7uY)TtR=f$q21QRd-BnnzOy>C^?sdev1fwH%CI3O^#h16J}9&_|Xxs zJvPyUr7pMxUp}?vOP>v4KT~*BB^4WP=z>=u{nd)6om)C51#b1bH{AU7S%Lm1X;slJ zvTtBdE5x9E(~j@_s*IOQWxdko24g%ffP6>rIKzY+|I;#crbB}QJhI-!-ox(#WXDxT1jjtI z4^7H}@uTYk{K;Kj?&m~QCm)k-bk4U>VkKndllb({1C|Tl+_<|wMA`6QZ>OtSz1enz z`br0*MZ>Vm7N)3#RI+2uq`O(=g1_WjS*pSm(82I~Q7tzmQY|*cQrZgk?j{Ok&WDNu zY1e?pTh~kcG_@4%4JmUNmGbfDi1Igkp3X*Df<9iJ@Zf5+-tZ%Pm;9o~A@-gVSrzl$ zvvB!?B9pwj9g}!H$L3CWn2%9D`dSV_`dUswk9dW^6ZZW&L9Izu=QOQJ=;jUV!QRw_ z8Q>#$LSoY*(W1COq3ruPj9Nk}qSJ#Ur1OqEy3D{75gtz*6(#Att)jS^~@uzH9+VB@s_$w zxnB|viTMOSz0TlQ!WPKLrt##FQ*z~!Q%b8zOBYK|pH5FVRPL8s3A++D;~}F>cqLUO zCK*B55@{(FA#?IC;N?Qr0Y4e^{a#OJkXrJ%ArzBo5)hm;F8`pFd>o*b-<%b{Z*6LH zE0(I8An@U*Ba{^>0REfhpLg-EzWIaD=DNz#r_4rez^+$~d{ytbpL2Ws4`fkVTig-X zu6Ry6LzR|BB&@hd;0n7lbYgx)qBz`r))^YTmaTOASoLMrm4l#%q3&MFmAN{p_GWH2jI zr*G3QimqF+a_Qt+#$+f(m9!FQrLow=%`Eb1!bh<|36Nme@`+r*q(P*!kmW@VzPXej z-H?+)Z06yQzXtDkX;6QJ z%#)y#N&PD4X!W5=vS=mEjSZXKGN%P0b;V}gR2le5(-Vm_x!V`n(Rr>{M`cZ)0`r;h zsl;S%%2#o>_fZCE2FCJSy$2K7dxVgLaM{_#i6r+=WR>4P!s;gs3=_#A8hE;F$ngWS zAj5U{Sj!Ka{5$pE5JQimyh8ftFFU;?xUn!aY1A()n(9?NzxOzs%A#3XQdinkFhg0G1ureJghOH{2T1#vV^DeS zC}b909117nouL&DSA)C9@nb{rOa7Brk(wpEZpIz8r%+hIRhA&$D(hWDjEUaW;W@~Oxc zN(jID0_kcMNBL>F^J|26N6F@08gwL2u>_yIKzym z)Po$mf(K(Pic4Kax}m@XxPm#RqPgKlC&Q;;6ueu+aMmJs>;{nLQxFT@4W>Ec!=MCH zXLm#4`GbQn$FP(({z^V9b5C z@l>?BFf2k`m{-b{wN7lZ5GXEWuwx{8h-p{RJUPKk1Zr-?(8=g18wJZ0hkaP5lF?Nb z3zi9?v6I4}WTMUPrWB8B$PL0w#8%pf0Z8k>6RAyOvEX0caG{eyNQBpZ-)$~$lQJ

    Wv%1-n-0 z>=YyiEjhoVcM`k*LANoZh*8lJGWknCB5rWF=k???UBH4Z2>J9Dz9WhN=a#EKGgrGkQ#nWbXiVdUw>P>}(o zYshkR?3pnruP~MVFyf*dmyKw6c5t6b6f>Mj!m}%abd#$lxaJOGM#3EmPqd11&kbnS ziF1YfE)wp$Xn1Xu|71=~`=_89jnp*vfxo*gnDTWI!zHGSj3iQSHn4LgbCWN0%m`qe z($f_zIR!knm&q6qTdqhTP6=*6FDXVEk4N%>J(QgOCY1K2_ec>|Deg$c@UuX z&SDY6OoCG`us0`qz?Gd9pQKv0w~rzAtTHEIvtuwUCDheNUCI2!a#-t&3)Kdm&gT%> zZu<5xnQ8Ufdde7v-=^*I6q@rBuVN&x?{z6WADJ-A{f$_Yf*Z6Fi%h6C%#UOpM`AIN z2_KDP`Ekxti-OdZ#C4RQ?EKNT&=9{=bqXnonUGzd9yw-AYx-6_iMY3)0n(i%K{2Ov z**Z9lUt-@E74H=lsoYS+9cEC1^C1**C8g>kaLRzXZFX)7Vb=k%>tGoTe$!NzO0YLh zFRT`7Ew*;8%6bY@H0lyHM5pH*aovv^TAJ3wNZj{QH{B_ZD6mp;*k7^H6= z0Rv57q$jb?R&k2t`ZRl+eVUn0U8=zr%A<#_)r*v1R}NtJ*MN|iBm>rCX{t?@E<--! zq_DoXv1b=!hJ0YSi1nAaT%A{Nx|-=l6K@-dg>t1FZ99gGa)Jt2=a-~tnG5#2ns(ze zuqJ2RUNOLzW5qz18`6jgc6|?2nj{kI=8X=)%oxx45S#(;v25{|<;mB{gP24oPsWIG zA(%v=G!s=p|IQ{&_~JtR0zuKIQDq+(iu{&D)Nva-ovcT~O8~2?z;528 z5Z8Jj#@xgy*9vvC;Kqou(#99S2BX>^Caq&x?m-1tFy>-I8k|+TYqRJ@bw1RY#)UR; z0^u5<92LiCu~IT77*g|jQxdQa?uLprY5Q_#u(Y zbahvj;%3dZXJn}|*zZo)q|ar6W>l=jgkfni7xzoZD9JYL5ctERA;h&^5#vOTZo^Aa ze7Q%w8;9nEUy`5{u*!f#864(ZKoPB^8Pi|LAY}+lpkSqw!NiY;+XrLB-~$^V2l6L5 zd+(epw1jShQx)Ut1&-a562=t|&e{9SY{R&}%~|j<_`l345Qf1#NcSQuCgtp`FdZJ{ zEUwZQD~$iftn9y7#Z%6zY5qGSz>-f-vXyQXGlIM6D;Vx3DQYeN+)e4r@Hqujj!L6T znpkK^6u&(^q(KDOjgt*1e}`j7UFB{|%>tiK8dOrkc&i6udV|C6`jv-dr*(!*1#F>C+XiA@ zWkV=_6oz>OI*S~u%nCYSs8@zGXac*#ivY(6bQ=*l0BaT;yU-#Ue3P1ifloP*Y4h2< z;4+h!)R+SC$up^v*KuZQ)_`?rgl289-x!)n4^<3U&+QXCK6(z-rgs&^x8xv?HxVoSE0-(|l~>J+8hwEoI^%t`eh3<5MVLr5Z-1!A1Q=QkpC@s{S2AY>Grv+-;I zaTKt-D+%uBM#mNkR3<8!*1yy4A8*WVH(uqc4}i|Dv*u(d`MiVt`p~0KugNfp1;siy z10`MW;k6tS@$gAAiX*JQ+70_Zl5lrbV-tBIC_ z9;)SWczt@hQdUZ-H;=PekAZX><%Kx=Iv*!0gIsNsKsnKp*XcYqzcutOqJv!@66Qh- z0msjWWMo+}>d(&y<7B%%ER$6vsABwLxirOF2Iw~A)G%xyA+E8i-Kp@G)Nm-l0fS^` zq{7WzAELMSYan^x+SZCyU^tZl<;9Sm0iDc25hNGFl z!N&|$rQIL!b24O23mE?3uY{*;tt;W5Z6F>MV8^t(iTrfG*(y$rf~RaX(mO%H$5o)#o9I6w(D?x z6WEEm#y#7X7BD8Z+%&XsC)-`t+!DlsWp~KL>^BUz(QVA)V5kSQF@%)pJ_dczqgXx# ztOwwsL}CKgl|(3(HN|4)Qg}lpSxuxwJI*J8fXO`DavO}ZRSL{S@)XZAKfwT<7 zNdm+lOSeo|Zl)URlkJ6milwA29E@qq{ujDi{Sp>3;(JvX^g&)cSQ(f+Fe27#Q`dsW zi)B}@z1o=`+;CMAbcK&|2F?c%s{y-PRmGOO#5=n}BwaNyP|)e&GnqJrXC?*;iK$r3 zt!7HS8PgbJ1%G;pPDTIIAYoz%yk;$QT0@DdsuyRsb}f(Udf;+}dV-HUN=*q0TeTOi zJj8k+`M%~}qdd*Sy*;-7(56Ft~9c=sE4wuh1+zo zuAeY_h{$w196C9CJ&?HGTH`OXh;D{sZ*btiVbbDQcxFe5UV4Jz^Mv2$iRI4|9ARIc zh+-dk^B?M^E7@eFo^(I)bZeRWp=CuSbcd9F$k=LCZnw(6-#$M(XYw*_cxr38Z+u!f z+jhT;h2FT{wulccGL6Y}_W3EGRB)bp#-3Vrx}0AMTEO2ln@%)|A_h`%9-eRHi^sB3_n-gbjz^4;zKV6R67& zV$Z4>usx(`+7Z?goNMk(rh4}V|Kx}jFnFaer1;LyLiW|{E6!JSubf}O6t8^6*hoc} z_VrfPAE_lnpSW)`VxRV#phI`PPs_I%yiX43Sq3lLSsbyi`8#dG>QX7|nm^@Z2h#h0 z(x>Up5+_3wd2QFA97J9yLTG{W@u=AAQt7oJ@fpIwIY9{`HztB)kfT(K&?q7!bl!D_WBS`E+sH;B((#raS8 zLQ)Mco&ReptjcP#4>v-6F}JQ9v*wbjsf%rHaU?Zg&Psxs?=zXGrASu#9HxjRp=u4~Op8AcvQZ5gwW@K;G(%@g z#VuyDIV>hpYE4J9*sE~mO2x4(Cc6JqB)6DofR-28iq5B023JN`ioAD3F)E+sqFNDi z2l|yyywT~Pe0hx0MfGM0Gr;^E9FWY%S4Z6W3Wf0tW$>Gcm(}s774U0B@oUtRq5gTJ znLfUm=Dr-FTr?f@d;SZEBk~v7!}~^O=A9bcF0ILpccX842PPq}sv;Q|?Gw{x2$EEu zhSQRbcZRvyOkl}b1W8Rlv=XJUkPtEE&+SRd5PU<$Niy((Ot;s=nN<^gCP;&!0s?G7 zF5P{2uVAfru5S|=W+VR&7sq>nuP4H5-&AUV=J!-JLSI`b88Fh7iu>0VW(vL*d%_KP z5PA>8GnlXNt1`|RKxI``j+M#ABeST>jl^J8MU&k}WE=ab1=I+Ywu;UJ`#$pfCP|{3 zNefWW-gKTxm#|Y-V9E}tOG&qBIZD&yZ+&K=Xo1Ysq!Mu^LkaU9hVu5KWhZQH@9#&^ly z7&4x_^&@D8dJ;o~IPrR<(m$r%qkN6;9*7d2J^d)E+8?)H9qzlYr|t#9@P>5Ei;t`x4c>KNc0-j!r>@$zoEcWo_y_2Cu0U%20l zQXYiIq^xvQlYVLS%2bt}{>kA~@P9_AMwlSiBlWV&uFnrf%4dyh`W`4oM>fGO$6WJz zfPy>JHd>bX2c)$)(_?SZ2SXiOc*)v@Yq$sX{?C9N>MAnTb!($qZGOdAz-a4r8~=j~w?L~- z#1nnw>$j1wOD7RX6nx}Q6qs)qcM$#{{pxbhr}9RJ2B(*iPr4NfjTiS9p3TE|g5ee-r^cQf(WvX}BG`w9E-%jPxV=3dPHrx|udlp+F5>a%ZX-;?wlB9I@{hsS zr*_gdKC!x>wTKhJigvL4ruysnx-5HatBIiLCsEIuMoDrXZ=UvhZ?DEjSAI}y0jEFM zTW_uE7ayT;$5>?jO-9!yfXk#*d<&8|G!}Wdy*+m0t$6O)gg`W3{L% zEL?zySU%ayy;=ZQ~mqLK0Eqve;9!yGET&ef{mj-ige5{lqm^pWjdVy+yL5}_~2 znEmhjvHN+VMxbs4JBt zXNlcNza?l>Hhr06&v0>mJCNhy))Zb>5vZ;6;CA1lb#WZW>)lQ$D9HGYtE!OE#q9y+ zee>W5?RNMOigsdSQ*V3a235kC{w4TkHNv6ZW^X-xH-Q)fA}=+-hIaI@v8#SAgp0>{)C)A)j%moF4 zb62S}8#3J^C=VfmOeb?!UCp1&mP$i8E%Yt{8pdpI(FyS)BqFFI<_K**t=hM2Ced1E`!{D;jWMg_S2!gP54@_VZBE8D5J z&oxwnU5WMOCyUC-|pr&@Y!nA7F~@|1L((>SS@Kn~vaUIrz`&oDJvWM-uKN z%dru253{8j^O@WIQ?gImwHa~U`eWTF7UHI%_aI1-dw-&{xnxJ@}E}J zL)h2MsQ%0^LbJ#yU$-`P3hm5BOvW_!0v`_kA^y@QzgHlTLfPr-!Rhd)L z2XO=ecxG~1hww6DXL;adynPM3D4(D4C2%uV{5tj4{31m-+=lDa?UU}K`03NT7QprQ zU%WqTUPZh*;4?--elhk6d^~l(&3!FL>QwZV><`}EHr$;1JSa21U%B@E~Z0uMOYkzF>U;5djdtrI^;deG#Q-vTr0Lk{$@>zu-JI z&4$X!5iC5?Ype*Z#h>OgLpr>>`5svhs=vQ%D{N$_^lHwlD*#ca3o^W^nNx{gNpWM` zv*m7U(Q|S-dRV(_N@dO&QXb;F0~(flUw=Zl%|g#6B>>Q2gk#8Il#wrr)r6bkO|+FO zE|)X`EJ;h>abj{})KOWA&L>pf!fGO8OOIOx(haSPmRw3AEcf~kwDSez3kslT_V-RL zo&xEst02QG`uLge_oB?O2Un)Rg2=n`hKb06p-Y$x;Qs!G%%Tgz$R3HTwzV6`5WDsW zX}rij(lEbeSxoNY#ezZQRZMN*Kb^5ELO$}{c7+Hdyi& z?ZsE9!+QmAm+LuUZ|v?zFkj%=7Izr+m;s*~+UCH2B)PqEf}5)uwh>TV5_=3t*N-8~ zZz+~_aVx*S2p@>~6qU)MX^4z@z@YMyG;5Ug<{4a^!v7-*BmA*4{Sku^e>lyyJ|}f` zS+P2$FqPev6*@iUd4u?aV9Jf?-E`6@_IE!Xwws0Wk-lO~a7Y)b>}h)b zfTsT$&E z#2O$eAGzYGhSLc>?jD_6TkhNX!={O(Vsc&}^B$a?Imb=m?ZOB<`chk5kFMJby4sHk zzn|w=ie?P%jrz04ki7;A*x;#j{H~(=waJi_v$i4VyVw`Tip#-%4Oa=;>PgjRaQc-(wU=bjnv($T3h zhld;QX3TyQ%?un9&Cs3^%>+Y6+Uvw8ET({i^F;=f2Ut*?st#Tydzg(PvW>JS#G`dc!&9 zAMv!(xjR%g_QXvR!#jXqcU2AkE{x~WFXHHHYvkkj<+yxw^)@}2X#*G8--P) zyQ7Q8<_F)%yMwYcn0A8T_VwoaCIxajMzlG{sJDq+L1Eav)zknTIlM`&jJnz7-W7%= z<)hHWM?13%7qd>HB|E|^O@=iOFU^pZ@V%J?^t>Kk3BwrT_hx~z*N7!p;!cR%?>|VU z^Dt37s-}-J=E~pTLd>RCK!JzrbL&U@zEd3VxsN>0fAagJs>kXbCm=(RGPVKL+eaY6 z>TxmX_oaGcskbY|;6b27_CEw=uee71SN&>7akbI41tXMeAl4sKXSR1wOJ}E>myQi! z0dIK6rTsjk&GvMAPaZgxBD!mT+#ErlWvE>`%Y~h)5InSC5~j@2+?tbuxTZ7`cT%`B zH?8x#bFQ3@nyg?_sTPpm5beEE&zsMZ~q zo7qfH1un48hGab>+2=za!l8&b;$h5Z#KR2<#6wmo#KRxbi2vAHg8vQnRmZPXe1t~b zAbcl&rVyk_L_}ATBWdJRV`eR@5q=x5+Eu6BAtMwefnbf_+0y;pRS&b#1P6`lBs%rR zYL$u2k6UrN0Clv!+wiPDR>0Sd``(;-SK{f_qVWorJpcMURsy&Z97HNoAA-=Sy*P() z?A~dm(6Eo zFNL!^@P-S2&xw1;+9i9sb0+QI!H=6ub!R*z+~w+&tP#4<*D z+3`*PrBH$hU!g}H+dol*Jn5ajoDoMqVrfw+JvV8n0(_lggg*pV8G`;Sf!ylgtDod5 z54hOa4i`1WPc!ERdi4YeJRVGcU*=TJMZy)jR&SvDL*d1((mrk01wd}!Omej$@r?%o z9Y1P3I3VDEft1YwF%1RE&+BdEB?Z(urQXsUgYI_ojL>hxaJ00%s%&coq4hbF?5tS1 zlr${(x;?>;1kxe&Pc3P}2KC$t!_Z4-vS4SCnpUjrqx5%HCw`_L)M87#WQ(gPRW3E1 zQDVGgFf{7lW;8F9{!lTEztMTM_kdC?7(4b~6zERy8e0)>18GG&IsMWheT_FO+L5HNjaCaW*FykZT)(AE2>M* zRQi%#)GgCW7vb!hz!u8+t3&0lh%9b`EuiH$&DJz6WV_e))(Q)f7+kMynkVJdn~tRm z${l}8%c%1>=9Peftc4MdbMekjlu|&6#hLhAB_cC*^%zN~TwPr$x_B9NUEQ*#CM_qY z+Jgi0nVFg5k`h&Q^^)>(HF$!pzEz$Fp+>@ZEW>ZS5 zk51hBXWBVF;K(O0Li8BKh5*9jlc=uzH425X6CTJc7m3b#Pn)`H)d|ijp`AaMgwFa1 zhPtam_y4o%>EQRRNsq&~DI1PEaHQk(ZL)?V1dji(A&%$z}qeED0>8G?uCU6p=fN(gbIe`wY?o^KD$+n68RmJ5ZtlDc{y=CCoe5XlR@|J61nr5?V>l~LA6jlwAj(HX(bBITr!;5#qv22&y4gKhL{mw)pBP zVn57=l10xYVe72GJk}W1+jD=t_5VnlY3-zu#0H3AIfQS;g|+TFdQ=<0)OSqj=6FxztCTOP}ZobyF~igt6yhN;k4~J`ZM$BUWUs5*$BH0hkpPH zDr@Xiw`lc z^oW9V5RX*R41I_dqNkA}S>(*?KeGTpO2*xI->?RoUK zXH*;|7L`9DYN|hdFD-cznw{|>JvBuBuxn@e&c3UXhCP<-RF3}^O-KR)twHbEn7V9a<#oS#X z0&`otUDxmlWZfOWn2ga7_9yqn^0oM%Bc_IS>Jh7Li-R@zawp}sz9#>Xv8>2P#^MLp z)Ge*%E+Zv0p|jPfcaM<`tyh1Q)!pW-7Nn$$FaB>ZgC5|aTgIwe)=+L7)|A3>VBX_M zxhAaOp$3h19IR>|UNfeKA46yEr?)pVqG3ambK29Gy{_pUSQrCE}X}G(2IIL=D_&vY<7hU6(Lso#HuJQUj z#_XilZ`RjlQl*(9a9%Y;BW9x%w3_{{Rh-N{ROAA;gwH*6g2#f3E;_}`V!hBe+DwLw zF?dlq=)VADFsCl;%NlEl*ANYiF=xIWt`NkwaTt49Y7`J{h^U=d27p*{U`F&hbjd!rD= z991jH*}c;m!gXU>+lga1j92=umKn{#`veb z(hN0}q86D2JT>0Egcm)w0x!DX=h*vusraMA)t{~XjAjsgd;Mwo0lve3zx#g}`wFP4 znzn5v4}E9^>5%Sj4j|p#jYx`g3Wx4c8YyY%ZlpV1?MpqTqU6q+KlDqj!&mwKq&$VuF+YiO2cimNJtgy` z21>a_bS7uZ8;WXLrL`}$tl=<)OHSm1A8l5o=;1h3>%khpyGP%xqD8)Ch0G?U7Rr|j z8J@r~jn0pq*Tw6GWgLEP{#FpO^-P$TC6><*V-&?4{YSt#Op4sy4?%I#bRa)J0WUE7 z@Xip(=hJtndCzLFhC6tB@>e2c#bLD5iMc>fS>GkF5#)^ROif?Pnqi2Ex zCmd%@zc8=9QLeLi=56q+tB~L&Qo>@6rH@=k~zonDCQFAhun`&p;xY%O~W)Jiz zHRK#JXJ@=$kKi99ifd@GJEo4^}2bt7yeZlm+`+i9^2Oi%gGi-P~S;y2(l3my{q5HnMp zPE!7M;#{SXL*?K10ROciOh)_Hbh%BXOn`Mck`ZR~3;e&jZvVzoq@tUL``2*SIu^kV z!sz>hNYm{jTmiT8xkj&^(PakLBg0Ckt8fGFJNLT>DpE=#8rkqN5ti*Mht-u$$$h5! zdVk{bGcvCcY{0&PpUc=^?krB(98G~VGnq+zT6Vi)ss`yXKHh%Sh?A4j?I`vUR(<^7 zehcaoCc$&er1>E^xIuAL`n^T^0w=A??z;Fq($TZg3-%Dq;g?PK%1^l5u)sq$S7 z*gw5a`fvK~n{vp1IGsWVgxZ@nGYgZc26Z)-IZUNGHgYz>i>gas=4iZd7HwlphpuQX zBUjirj3ejuwni@<>4!p|DLKu`NOGltay;32LX{=!?1J|=2ZwU_qjLCX!}w=Y_-FM$ zbG&vLC75os{Os_3-pI=tbt#+hRG)o3F4Vu1@=)pS`~sPhzSOLHzw4*FN)A<3l>ODMlSI2R{R% zfun>%jYY?&oHDdWj_%JG=M&^F_A&)OWVXWsXBj5e`|7F-(~zwK$J=LCT(a-dtZuRh zOx~uKB<*bzTDLn@Z4QMt#u{n}gWQE5^O;gCTHC)W-M!ZFk>wrS``)9XnHdIbIDNJ7 zcvBr*@crTAYo4{cC2@=NmH@(O3K{ z{oDIDce~g3+Z(&*=awt8sq@Q$Ay?=1v&SMVO29|idnhHzgx=Uk+_&8H+_*Oxd{v|+ zE_+ee#nt87U^$0Ai@La$Y9gS|VH-kD68X6O^F!IgSk&*v3lX=+?Z-B(FCuBMRhM3V ztMI-tCtlFi`lgY!^Gm%MpS|+ci{_5{x-`4v=+oQF^Y+u@&GIF%ic6+Iv04NC(_c!e z1s*6x-&=yF-mXCQI337cQtQF0`)oN2dPjxK>q{yIdyQY3$eg8I=69l7kn`IM+6@&T z!J^hm`k@XPu}$x?cozGbqt@&p_sfCT%}8Pvd&_=VDvBNN3XGT3-uZpx4O3f?5kkVe zU8M8eq+7VcIrIv@6q3Bl$njB{Xe%f@l^egNp3@R|?LodtWnRX+5JoqS~|@LwLo4PEhaM@cKXmUi%m59E)J zko$+Ate&n1-0Yr!BnC(XB8yfa9)@8Vh>4^{AM-WaulFtyqO9R2v3ToD%y3-Muu$++ z13IHZULTs23;D0;ZdCtLq(yKYHR}5OWqA`9MW`5*3;_vB0|L-VLmTi9peQH{kRvN7 z%K-$^1P79Tc+mO`k2wVa1CX#6Q$~5hWE2dnBt3vdOoZ?y2_rC^0vIkF2WN@z8cOyH z-lF647ZzHSqnAEn`huL~+G5}(V=3ocRQrnGUtwV>8Vbk&RJ4#Or#+#jgkf5r&K;ar6PcWTpI4GiKlB(XU$xIcH*xUHwMAEVU&n-*viqDXiJH( z#=J>n8GK#i_N9%p{|)&!3}Siy>{O@UmIat9Yx!V>rm~S#cp72d(l{m9 z0CS3)ETu=*24h~L@slB|KTSW5r;)PDc{muf!5Xx?T?Vz6-zC2%II+Gs=m*S({#XyDa3kL2g;L;^7aqTXiPDt%m( z4M`NohT$zY|sb^ zeRimNXPSXvN1A~TB^Wp!IF_M1%^;Mg8SLOnzH$I*nGbTWwn1y1$MvXYfJB8@6@a+x zC(4Qf7%{IeM{Qn;t{a&k1lS9+cs%Ps-3mO^2z()b!YY~E0f(VCqX;%Zz!sFpv}En* zqAEHi$$zUz#&#zj^(6#}d>MO#aK$jG1g4`QxIRuO4 zJfc>hBNJ}}h58aaS{Z0#;fc|rDZ@M^$$Ca+f=%q5jbTj=9HcsFI%fjyK#N#)l*5bm zv@_lkE3V-!hd3UKbZ5b;Khg5~iDV~MW;Ga|-)bQIJDSuHn$)+5cYABTP#}AD87NAM zIV^B3>Xn5S1zXXJy_5SJZE18c`$&J|5Cjuotsx!~hM*&{ut!`Ikc|To{IyudtXz!C3?0LT&_oMSP!Yd&aw|Ot_L+~ z1TItTXkxlXWa&xHF_j=vcG^3JFRurFu8QKyxmZt+VTF?>Rt7{cZ817I6 z987vLMX?XSi%hpJX%9A+Q7FgVlTXRj2T>reL_SEdV4xG=zrb08(_+R;XOfRf;u!LW ze#^ zlqz)mh8#Ld;1!XGTpTSHa`0+D6N&}zAHjS#T{@9efDaQerJe>xjijFxp$5H{zY#Yw zV8RV%`9&(px-wLoRkom5xbLk<&l$#rJ(Z7o8^qqPkRbL+MI*RluXE(t&0bX+9)Xqd ziV}*3zoS|+kG3b0pgZ48GkNrGCPZHyflcFgB3tIz=+~3O>BJQKyQHBX7$1-b4K3T1 zkq`1_O;K&7EeFm!m9OP8=kMV2g8a-z@f}*dGX%`&c2Ld_8pWE9CAGGffYTGn#$zyx5D07Ownrf#~E8YKVPG)oQ6 zjY{leE)*);d?kJ_M=2*^HE(P??L8uss0tQM>|v&bSFsr=$W}kd20y z>w+zBlniaV_t#qS6f3=i{TkgVI458-6 zkSifgOeRSrAWfvjkVD0go2s9(pw92>P1|GGnWTt06c8#KYWSdUe$~)_=@>V%L9yQ_ zxrg!y+^Q82p0W4v{&LpPwCVU|$ZR^H4}sQ*bpt8g6xBn zjoyF4zm-i{L$MgdAB;4jwIr0y9{fSR? z<)OdBK$w)i;h0^XEO{2)7u2TeI3NVvjAw5*Pb??Uo~#FS>(23t!pynnOEt0bxL)hG zMUM1kr1S;rh*vXwuFU_qR@{23SSPV(V{+9TjchjkXKwM6=6_eceBFIl2Fw@OKTRd* z8r==#s%i1N=H)REH%+#^C}=}b@Ig$!JrcP1{9#X^W6CYN=$KNiec5dFrq6fw#hotE zovs)7U2ogWzeCo@Ca=79a#nZOru0{>E0MlK?RmVbQucga{F2=sl`yd>*fZ9<<15*&V+lvC-#BD$;l zS&iV6C7#2RXtFP6HqAXw(L9*R1n{d8H>wp`@};O()kmvWr>i$5%zC|1^?~=IdFk0X zi5)GpHicd0)v2H~XSZGU>cFPnA*D>if`1~;nj~4axsAptELz=ZR^ECd%Dn--?6_(1 zSC-#VzYFqCw4qelT_N$!rN6%06#x6>xczX#PGSocTf147-TEnV_f%KnStzPc*c1kq`HiZ>m57^ zooxJ}uYX9dr`3@ZI%P+R(T})d>f8$y!O2{>I$wXO)K48%fR4tZ@CYA>E`xImPx4mP z^6UX728X)(#@GL-E`tfzwZ6PW+6{X++_`?TMEc4$0MA1|r+Lw#-a|XbYfn_pS&7*y z>}VH}X+lUWo4TohwMOEKDkO2|C?K`B=`Kt2XXWW_^Dy90Ubv-{O1pjh4x}Ut2wl_R z&VZ)h?C{dfwX}a@?~h|PeW6sT9oJ`hkZD>;5o1vNA-pGCkX!UOP6Vz;$@1d>Cd1j> zvrQBpPyN3<@Y6mW&tgXAM4ZheNt)GvKa0hkxG`3hV2{@a7Lv$6U30AkqhcJ{e_z0K z;-^ST{5?h?V0Mp6=QaH&K4WJ6Wsy+4pu1?>**H6aDg~*!qyGG8+k<{tDQ;(TA#s_} zL=-Q3>PB7WgT|_1N+**sKJ&+96tBZi9%jr3E-)!9Tb&ufO(u}7(8h`a@)V*cuX$4f zvYe7Q`}9zd5ZVAI2R`s~YD=Tf?dBDB!(6_;!oO1bAOc)N%(6yhHcZ5gWz)_-n`XYp z?dz&YmDwl&3Jn)PF%2kmq#i{-U}Sj2KEwkZ=cBFL>QGt~s?PawSV$W%TL0VVD9$)Q z*AB~$&+Mv4La#JgyBJrbaN#!7qxHxAx%2Iti?Nn(9zMUX-_&OwWg@jcJlXdV-?Tf^*U?>o4$ z57;||F{NL3z>Cw+rWg;y>A+IAuR}{V+r!e80GbJ&mqEscy z^^U^p3>B1Ys?D6a{1cy#Lcg^o3r}M#^L*eO`dO~(-~NH}cAjn~Q|_)3p^v)^|wg52CaY$UMs4-Afqq@{K}U)?*`N1rxccNpyxWJb6|j`hfp z)#BSL$F(-m;6`12$9muXGz{tP>nS{dSZD*y(iax?eSBk^e&R{5lu7FF_jZx4&FeEy zp?hAEM;FVMgxdyu;CzXsS!%Yh)}O)QRE4j&>$KeRaz^2?C6CS=I$B4}1#3ianhPF%wGH^-$NuidiQ}!Kwd0NL=(4Fi@>CL~wEvyf9_&{Z&BBr+VH*4o+TR2)=vam6l}T_3NN}}yv*!?z`VOwY_@Kpg-T<7)sH<-gU41y3%Cr1fr#@Xd->T2ERv6 z##|oa*PxI8n*Y6l_HMt6Nj_yJNxzHZ8nZ~7e&%m)K_A~iLeV>|cKfc${UN)$)yQU+ zu~mx(z3fXDOP`w?N1^8a8CgR^QV)&ln@pU-wBIuRQmt~6Yd{~>`js#16AnR3FEgnU z3riAHz{Mdw2M#g3`fBcbsS5>mH}zE>XQ>N#TDM=yA~Cj{Gf7{TSaglDftx|BZW4rg ztD0&=dPZ1kIzD#_7Cn5Yr8z8pM&&Hnc`Ix9gXLK^hW=+AZ94)9?^VvU&MD++)>Fd6 z-SbUXDf=bga#gh`{F7Df`iG$L)}gdT!L5RHxYW}X^<}k1QlF&sFjyhsL-ZwlOE^fj=l1Ta<^8{Ow%(~;VAD(A z%A6oE((E@oi2MQjLIDB@^}OD?oH|Z|j)L#?d1rd=LtT7?e+cCX$ht_8D=+(ioE5Z; z4qIJP%%!QRfhhp<94s#l9hx;RFjBdHD=ha%bFO}8V~3j&pnbCwhX&4mGt~bqX7|n5wX~&?#E_(SgQ^O9 zf7Gr~QQ^5(%bDbg)d)j5g`o#--n6uomDhJZwfl5JYOEt1iZw;@CuhJqwlU8KLjCcC zu)mq*#^)e!HHE63u-sqTz0}EI3_+Hv1)-XOD!v3E1>I6f;a}P7NdvOCbul2(DG=vV zz=P-q7fqN{|0OfBEsD8tyauj|3+MHsI=GfL_w3QY+Z+gh|bL#>p zJ~e-4b*%g+P0EP1^F?(vmHdvS@RZH%(tU~e)mKIOB-#9W3pch-kJeW7vWy91A=~9) z`8m0SH<1RCGF)5!blB9>$ssh%vbAg&iYZUsU6i1uYu%eOG2@j68LE4SExR;{_$dKW zyRCwItRv@0!anc}H3+R9r&LiUODwKq9G%eNXOXk>@k#&p?LRHHn6 zyCrk3Tw6~(`HU>;!uwgs>7mpTX1+)h+CDd~7QUH%$*bFJnyYArDXTo}nnuM2IzF48 z7P-VhHR3Zl$(>t4Ow6)Aydv)0A6ys!)Au*s2z~)9**t9H4eZX})WKAb?}^kw1Nw0> zygf_ecNe*z$&JR;RZR0dJVzvp=C{MVX^N*CnwNK}P@9HaoKw#P*hQNG|orqhycjH_{@It+3`>Xr7A znlzlR6X%Y*92^i|Kbpk;DdpD1+JBdt;kzOC(U>AmG){w>^^@!J4>-zC>Y zUO(3%WNfH!@zfpt1CLyynTRh+NA7WZOwuNtiPn(_y=i8R7*+NNd4%ZmAXO}8Sg2~< z78|$DPHB8jV%Ob`r-#TuWjnB|LPV{49=-?IYLS^a%F=FRR3`E>u=^%+!hwz}s4{ET zTkV7l(b&HDG6XYw_-=9WPDjo))$#tAzS)%}^TTL};)w5kVzAY+p`nO?Tf&DG+5Q`u zUorVpnf~^@r?_%2EIacv4Bq_>%RwG#bd8;wF!5SX8PiVsoX6N@kH+v?1DqPjpnxkr0)~9V~qHrE_L$ANQN)= z1wZ5#lGh1_05|a-W3L&;jI80;k1gbkrSDs9adChkdM5kzPqB{AU{19%+DrC9GxK=8 zaX%6&N9zW^W9co6K_6iDZRNP6PX@K$=(&kOwR-u-#7IKhlbCqOPp_)rUjmsR7W#4W z?R56#+ThxTvyYF!$|NwsUw^t00Y9XLGJ}ub4J~ImuCIFE)QT3fwX)m}yi#8U`0~D} zz~M7sIW0C3&G4E0qHKMMB9X05u(UnCn8O!Nft=S_yA?Z^Lol@7U@Cws&5DqXF|R6s z70EmI!S>a&fk59g=NA2y)lK)UwTJhO56ABXUmATNHhO%~%4gHzQ2*(1Dlzb7NWwpM z{TRmL3Abl%By8_pq0B~zZ;^$KGC6ua-rQXN^0?#h@`QEDXjFHSc2QJq$xk#rKG*oR z{N{A=G-@?(7hNf7*5Np5RSDn2(){eLyxzg>pPeWdjXy#x93D=#CkSuHcGMUN zF*r@`beFyvhmtRIQ^tLApE|s%lIVi}dBct!`)X2NG{c0W;}cS^e5|HhKipRdvU7Rn zenMXJ!`L_1jbvZT((SilL>S6oL_|siODOaWe@4D!=cAbY6&&+@=={p4FM#K)??Ga< zS!u-qx+&3~raBlvI{SMigL&0x%(rS@b`OS8R`Vz{gG)A;u9)z0JR5oLJc8ahCMuQ8 zN$}FD7>Ql3s?SIvmM??Rn(OnsN90#v5!JZ`_V@ubhx1}zQD6OZH&hrxO5~(I6E{Oz z3|f7XZKv=bXRgK!WDPCEIbMFBx(|)mx^lKBn&Wyv^yiu3OBaf#M=?OQjGmS{I|3I2s z0-TCH#A99fDS0t&fRj7hdNwWO*gx9MAvRW47`-<$G1}~<>3rQ8`oSKtQ#!GR{BSPT z)mB=7JC~SfG8*k|h#);Cuu@kL%imUMuj-Y{{KuvP8H3AQW-rwwp|2)4PJXff zPUxP$MaXBWi<=hspTL((WY&h7LBF)oFk>`R3DM)-(d{;6$qc9IfN|IN88Qqdy#Q}d zGE&n1A=sM}(IZ49mcEcmk(Ham#G&Y zj*-*U*Sj{oJ-Nt0k&a5%!EC2LiM=4T3oxh_hiZ(5%|<%1&(W0UXo2Ug2w@?`>af)$GJ`og`~sV zp7RrlWRHz_Rfqn#J!f`kATXme5ys?t{rc>BH26w)SpbG;#Op9LOXa*wh@xsLkGStm z`?>$AU#AdseJcQoDRoSX3@VbYq)kR4XRT{g6>a~VY|VUA-lH&iClP=7!HK9>M8v^u zWeDqD_rp%+042{lLTHH)dWtG>6;8Ee9drpx;N>iq032|+e~)(|QzQGc_zP_N`>0%= z4Ltu523-f?N307>NC`HtdGLD>S6c+(YEiEnG*zvfp_MM%M)sAg6tI1&1wB#zd968e z7PZ>5dQ{z1xbaCUKkNX?fS?Y4G3N-M|3vFTZ{rrXbEMr4!QwbuUACF6c;8%bbR`yL;vkfz#F}oJ$~Bpw&p%^+I`yZ zxaR)DU2?&&TQQJb4|kV5kR1ijx&y+*JHansgN|L=C9%&6h%&^<_zF`IG<%ZR7ddfW z6aB4LKMgtGvk*4oM=eFXK9Z!o`dgig_p%6Hs?xaOBz*sE&qd9;XVuRLll)h5?F5#d zRdA6{Vh3cA3z72Eu1qy6B&EQHRl)`bw5584BR$xwQvbV|ztqaBgoO@hQ}qaYdaxIz{wiwQ5l*s%O@Sn9y&=_~Rb8L;pUf~(R zqVP}jhts;}_o_>DaB!tkAZHXg;;2+WUn@&wU-BnGaE=PAX(iU8&04-FX0)K&ZQ*EF zG>@dfpt#o0s~UG4aVsG+0=smj{ozM;mq02N&7C;t= z2hgf>mf>hGiQ&)_Y9TZ3kRcyoX(4m2Kl{fL&{#U~R5|*EsPcw+R(;P4Eo?cX!8PU4yFdc` zIj~5}t9ab7DA`?!e;ALaHEn;7hN5OJcRww3+*D0}Eq!VhoZUln!7X7`Df2K=-~W=i z0{m@c69(n^QaW>mF}zdrHz=+xF=vG`z8(@9<5bTK1Qx}ma(et5RBFz%P^+3blmeL~ z+Y2=mDz(E5oAU#+Y0Qt-y_?%J(4OlW&n{HTHWZh;8=1&7C`$Lan+K<$ls3Uj0{xyB zc?tudWtFBpXYt+C$*{?|Nyx6~E30{WAv zvRb(V+)8HdeQecP3UdTBZP^!~+Apo6)?&2Z*$!Pa;UOu}Z_BF(D6{4JYG!wF*ddeq z&V(<>cU|}sB4fLyKP$eLHZ?b4i!aNM2w^LKQPg^Xik{ug?ur$nZ2CeVMx3@X@-yPM z-rYDqP?*IpW6{8Fc27Yvi0KO@Ui+k65w?rt|FC-MWcv*s>)S1@A%B zE)~^$f${uvNW>5i;?TZ>qQ9}T)(8sg+reylVzEE$_{0Y(eLIc(w%gIVHd3XvYBp;Y zE*V7R6Jet+lvMl^H}-)NulTp4Vs|9ATQRXd&n+b>=U}J(#*!7B+xixWg;D3hn%x~R zJM{z?IvR*6lR<1p#KNa!WmTp$JZ#zGJhcswOs%u$OL9kw&lFP9>Cy!Qm{_kSi{1xz zhuJpC(>hY5v17}o_veoUV!c{Wwy`U$<(|?ds>O@`6%a*>`te?wbpQkYBdQVWz{$Tm zY|~jQQnUa+{$Ud7Cs`Qa1kM0eOZs#1;1u`~>=%}Y-%Wy*H8F^+2rMruvV%>wWD@1s zhXn^FWr9;)=|BcpsXkSsECWvH{|zo%+q4GPL&%Ry3Jy|tF1t^SdyH{MGXoU&r8 zj_fvN5~iHb!_SJ=lqf;_J*|uebMROGzDyu{>u$KJRTUE*+pyp&UIKw-hQp97D#uuT z5H*kqySHH`GqOZU1eRQtabYH!*hFarmTkF6blJm6oYd5xZsKY30@;lwYd$G&$r0_z zrKSYa=vfc4o>R*yzOZaF-=*ssh9+iFFyDVmH8w4VV3go`8kdg4+60kkGyM9dn~gYw zEL5SnM`7*yM!oJ;(La-j;kF6Wtg)3`emJ6pq2OH6T4QGnmQ_nR#o*M z#@0aPKv`&{4<0a=xzh_YFUxCX>hu@OA(N2^gTi6MBhesZ$>UHZ(@L|d#2V+2b#(W@ zW8>q?>wAw+zk-BCU^G2S&^n%qX=Q<^nT2-oH4L3nh&gBkR=l*EA)~^rKt%6l!ZdVQ)voNKNYexpe7e~*I5r3qZYo_|1amS3h@OfdH6-srwYOS=_!fQ z+@~b4fqD{jy`&g6cKGRkIY1F1YgVA;JcYHPeM$lc`6(9xKoZ*63?*N14>S;f;<&_u zm9T>M@_9~C18r@1hN;_OsN)AJC1V*8WdMo)A3)Iztqvp~#R}$?BUooN`FD@l9-&GF zj85s3jWrnp;TdA5k)MoS3(@{t4W3mBY4GLmzW7dFmWl58;2gSNAg(V$49w6@aRKH^ zD|xc*_u8kNcj5uYR+xW!Y#BY{de_Ka^nwo#(zZW3&^z!t5IP7u0*xh*zvMn0u0e+LL_Jq%p~M`K zLPux?Pii=(i32A!W#@{O^=ow>GqGtNJXIev^8ev%81{&M%VhF>_WVQL+;h15`E>;F z$(x85PfEjQVl0)Ed7jt&cuf||FrJdY4|5Ix`;iQ(cq7D z@&8!&N$uF`6uSReT>tpxy5}}{Rv@Hdg|GW!l)TJM_Nf|>?SJls;`VzM0M(#sg^p3% zzr+n07bkbw?Omxw+Hx- ze4_vRBNY6p2mh7Re?9=}B3ryK9qK;Ce?Z7I^5Omef+|oY$EkF7!NDZts6v10;qD&; z@;@0c0sYB4&HgWxGY+Osecq&Rz-bo5g;u1FsV z^roB^Qu5`D&n4qVvbhnM1+(=Enn%tL5;&!@SqgvIk`f~tM(#+E0ps8`1&>U?qR@vA zm6_?g5^q%P6C@e*H5~BwTFkOBH%B|pyG+gV1N~wTg@m?Ogaptz;)Q@s4w;b2I~Vj& zAvMrV#3)fwSASdBe_uZjB_!QIJ|fV1*Vh1LVB^jCaaIR|tqposhYYY_9o8Qv#Ma)i zuA{)#R{mJF7QBMb{AS9G1K7+LWH?hmU!vGKAy ziDl%rN$>x1a-ZH@vA7IRb-PFQscu)MmZZT2UxEKZ?~#8ZUhuz=u}&t^Bjy_(aF2s{ zLx+B*rXMpqfD$_wQK@QMg(Ib!=1GnmjoW9Rc1+%hpo<(1N|3}Fr`vjE8VT4Cdmwau z;f7##RI19A8TCPp;I@GEmy*d&X6Lv_Q1%C8@!vSXk94ThoV~T@Y-OKg91p!CZMMzy z1xPpkMC)sCWp;_81)7gK63~1YG@e?OY{FBkQl)-sRe<`CZ2!EoQ>g#8rQ#h%m9R+f z#SL58Sd62OZ{+^DnSLhe#_c`9>~>#+Fbj~BRsYPkPi4a?SMOmZn|)4{<5#;#qW5M? zbwnFmD}{P~*<~e<9kS-1jkGKH=Xt<*f(ZxWAe4;uX_6euPN+3RbznJ&uqL@!ECP+N zW-jZ0IGH@Z@Tt(@&>|HK5e8)wf7ZoQHPP;|Svb<0xYYD+Mj6 z;#^x^G?2cWRJ#OD!?0aj7pb@f_~tW~y2pd*Tk691BQbtiEPAeiAfNg7loAB6j2w`_ z)9y1034%0624Lx6xe;S29Pr_H0tsyuqFGE6Njr6^K-^lkWsR@Chc#HvQMa|4hq9aDylyQH!zRAWmkZ7JpA9=zoFY0!9f8 zm%;ir78WLhbvng_`UjGl!AKEYUwl)l8d5Yh;ensutNtW+<&6=n_W{Zqb%6(EhV5Wg zq#4zEb3bFIeI7SF(>u1%io`mi0MJ9{r71YuXf6YW;up$dwrHTQN_(Rk(yipfmLhfG z>g~v9S>=t3G=YSyUKc$O$a)FS#UT5Z){S~D^1m3>;4?gQ#0t-oC@|V=Un_Ln(e?rv zlRvuDfE?0SnZO`Xcpm3sj{RzB7GS$14&Z$*)M zhrz|60P7d-qL)};o2dW`V5PcaD6sIknfXcFp49Y3^>_3W5SJ6 zg3_hHyfI|&NW=LLGc&TQACU=z4Vj)}4dywUhQwSvZ$t%0v1kRg37!d}y1%hpCu66g z<8!f9q-u~r=VmJA8%*f9+d3lze5;r)34*MKRfEI|9n&PqAW^e!&l#!8+D8i1F-Sy<#a3wIM&XW@+Z^Gx^fuBA%|AQvQgI z^gYq-;B+q8E4Rlo zDkaT@k@wLU!)66oiS~)d1pmk?tUz{#c+=IsF03oJv@l<@$$u@A3q}22Jd{IK6wYk) zUh!*LL{7R|$W)%@Xp#6H4D6Cb^Hs}plT&1U;!Q2-FQmhD z7!LC+P^&f3ttEnW7!cVgcZv9K*3&O#K2JI--sLLd@DsQ5xAQdLrovp%VodhBo9O#lT}%z$m?!gBmgxhfUL27Pq?XuS zEV=Q%86l5DjH-erzgq5zIW(zG4FUJuf{LL%t}Km^z4iz5mrD#%JtRL*o`xjX6o*6*JVbCkk zpO8gFKmw=-zaaj2))c?{oY&9Z!2Q|6^fWr~UqYStx-@US;O;Ok$hDzjl`9C?pMKz` zVA5`bd}p9GcbwYkoAk0nf`^+$EYRN87ivX3fipp%5@r<&vIAc>=l?6p1s5gC1a6UE z#X9$pL?G^Lv&`*qO*gl8BYzk+# zfeS3liJ*R--RpuxLpF6yV+~9O*cb5m`EegIB7(>BKmU9NvryyoQj`ohpcx$l;1_=w z=?~waJ!y8)FhrePU134j#h;ge;8EM${QCi%MpJk170-Ka!<@fy8mUjS%AZSWaCHIV zcQ!zDfe(J$R@m0VH-bNH#8*)L><}n^9luKkplw`*XGa&i?$1gch864{lZ8~TtLr2T!CwP1^SjI>h|KfJN8Z+^)m

    =GE)R9L4hutPY}Bvo(?7)eFTM#VZ56%xmgN*6)Mol?|>)k)WTFWpyWfROm7N4dg}zp^Bnk! z2I86grpZkRt4A#N??l(6kdQ^(jWf+Kw43RBN}vlWbe6bN_OfhWvs_ifQx@Oi=OruP z)C6D+(jYGgAz5I7^82pC`|1gy}ZME?kNag(xg|R-BVaCFOV_HkRscIjfB1a3pi&|1TdRY)wLC^~cgG>{3M^9}nI`5xj~B(X zqgZ$vw8c0?DyOS@h3}t*xA%3D0ZF&Q$5^5eeo^mrpl-#3^^?di4v2@m6?^o+fgvzd zC5?-m7@(!Effqh<5dUwjjHU1}!uDb8;EJ-m716BGJm2^)MdaFA;6E7O(V}pf+3fJk zA_L7P11~RI;3akd&eH1EIIlQ2`TmxSAgYsj2<&Payfa+a7#jKsl2l)+ATihQj?f^( z2n~)1Uy%!At!5moD21^GcL7$^!C0dL4$f?um;whx+BplD571NCe&A)X#~6q0xC}|! zLSJhY5O?Pp1CMfGtPP)oM

    XIHDBLDj&FA!~(@;>M}4*diMJk7?5~sm@FjR#TWBm z2dXUBwP6A~p&AJ!tk&%~9eHP{vqiw1j_&ZQV8ib`Q)6C?-J>RC5aPK+R*LsbQ~q|+ zlz@kZXAZ|Dt9eUQ8F5YG7OYr`v1Wb;R&2*u3%pkr0keO^y0b*`sSa$@fvhrSJ0y9)lJTRsUXHH(c4A`T9N?I2)3-30t+w`PKq0_3}0z=GHlDbGZ`S2 zmoZ!j>?OdV&DFfbwEmWV8>$Rj=j{jL4=3B9g^q8V&f)~RMH;AqSJ+$?#C}HaY-ZaG zer1Kd5xHEkd-MKh|BN@T5M+FS*T7OEe~PQPc(_cywrTtI@o&8Iv==f3>|osb;a`~*4$rHM~W@0i{}sg3`G8{2 zGD(A{8HH80FsQEij9!gK;=}OK=2xoa6SIU-wcO{1bY7B#KTnx3Qgt%OlU+1uC5!Gkmb3a#Z5W#1ePw0K=FTChpV%?|GSRcp_3xPLEF!rQ=8g4S zy88h{ISzm=>TAV7&jpn3mBPIAP|S;li;jqYVtKJy+o?g@iGW%?#>{ zK_4%zfsxx$$9BDHuA3UFgK~tOB&z!^vjJ{W`7}(93@stO!CWj!WO?r zxiv)I4%-%IOeVio^T}iTp6@AVmalM;)cXy6))L-sW~!IqDKdg9XT=wP;;Bx~3Nv^C z%?dLv0s07w;X!>qcr(qdL3)B}_SKFnx045A?+=Kp|A#nnwW7C_UEd(?;25&9j^5fs z>=_~O3-3WO4W()&r+xh%S|T~9KX(0*NV?A7{Qrw)R(sxy(dgv=z)dkv3Dh$GES(Sh zne__zxRqpnCozAVfHQ^Lj>OS;*plcj5v>nwofQ?uTg)P7=x&hCp(KWs5b2WcZU$)u5u_xgk!FULlI{>e5R@KJ z=@L*nq(joX$N&4j-y7e(-@W&F)~xkgd!N{6ogHiKv(FjF@rC?nGR68Y%vaDsN+?Lt z_fw8V7&OLwf;;LvTH6-uv%QQ%7^G5*U_AS^_XFc&3=JNi+ZV%cdDZV^EWJY8H!%Xn z@z2XLB53Ll#?|KFsnr7hJr(|`OM;3kf)7OZJa7L8JE}h=!}pEGxUUeJlP{zBHSf)% zFS(e+HF8~>l!HAw|-ofM01RCLAXKD&J8EkS|olD_j; zH4ZKTa;_pHL1pFN?eKexDe z8)qC)ojuo6(LQP6W^1tEEZPjM`8c~E+R)+t=*7a9>-N`I0df}?FHcVKf9}%E{Er#a z*90!1+E)BLmj6y1xo2YVb1|wZ>p%jGXYkz6V7k>cE31fO&&08ev zpB+BmUOk)|TB`*aqPe|_+Un@=UW*0k7c~PtTp^mJh(@16<)Mlq76m654=txaqW`Vd zGc=K|vBb2%L+tHU(y1Z#noLbA(cBMiQo(Wg8Or>@_!#=#?;@?V^eIZXaP`Qym_uar zYk7Z!8dDOh{fs;+zjoul`et?B+NpQdXlWE6Q+AypK~G+K?!5C{uW+-vWlKA;tmA~I zpR&**CckVl1TpC(*ap>q054-u8z+Qujm7)e7R&yOGK6hn-j=9X!ohfE)Ju-Hy$3bl z8P!9}73lXUFJ=~O6mg~&)OBtxh6*+|VbK7XTmwz6Xu_tsEn!xNseE#ypPq60HmhHd z9U+4=+HDD!3SzwNnp#EvnvXryogWBjoY4d&Hu3VH+zYsum$x2teE7__clbZRqk3;D zzIA?!FV<~&ANzC>(G?&2JpXXg&ghEce!)Lnx6^h%mvNGG**C;YGhqwcS16XHvl0Q8Acg@;(Al6M6lPo2)&6-B&Q-sA zqz}V4&}Lhx`In8=ltKZhT^daX9W{or^80(O3w73laz(RMQ8Mt zX{E^8iUfH=#auxNrYL%LW;H(Ld`!Xjj8XK+qYzeKV6J177#&PrA4*;)u8mjY)65tB zS5g8M%ZLaM#`Ph@ieL981zIQqCxN_Vi7Fb|+Md~P<~Fk0s*<@2as6inR3~q1QAhqm z-fajzY(D&3VJEV+CQ}IBeQz7$3INsA_W(4PPEY}S7;c)@gap&guZ~evXfrQ3%=qUz z3N^!AdHSgYot?FN;Krtd0zJqX6F3w$F)*~3Uf}`J8C=!herb}C_xRQ%*I4k}3hrgGLUZfPBb8azD6v=6vs_J{_?(Eq( z@|P5r)mkoUZfv2Z=QtQK6>sgq0Np{_a8+Gj-qv(8Ae&x}Y*vYhEbtI;XOQ3=&*tnSRl>firq1?z2p^8!)_~8F#!qdMr(Fd&G z{!+B{bZ??|BLB0~O*cO*&=I&2f_wG$=l9Sv#-$B{6Z`_NhsDgEHSScEF#_bqomL+o z@q6;SP~pP}Ug8&YB0FoWh2UaTBC)^({ysNo6j9Ao<-^ObpbmMH)C_Tf>YDwDG$Wf` zNJ8_`vz#y6AF95B;?`3>i^CA~vz~m!kAfmo!qmv^aPtCi<&*dz3)E0ikOs{1%?fN& z6Z-UU=hmO}wpJf$@BN^iuI3IUFVC?P?;JCW=XJ{;Zmfr-bXY6AV|vdLz!<eBAg<`QF8Hz2Q&u~+(;le9|bbDtIr$G9K*5iA)^+zWgQZm_X>o3`nO`_BD5}0Yne2~CdHS=u zl!lYjNKU@1&0y7kCg2vwNMQU;6&66aiJ-L#g3rBznc!hb8p$&vTfjUtnb7*^@h zv{fB!klbh`3n#0vCj}+q$svNEJ7b4~65?`(sdSo!=$UM-Nq4?!d`XZJ$m4B&v&g6a zy^%Dhg@^NPt?2Z*#T#TUrXHCnJhLqg|54N=NmT4p8b=t$X`w3BMaIX*XsyGa>6KS# zC9;h&?T|BrP6(4ySknrObj>7QXbKh83yk3Q8Nl_uU`-KA(JXc?o_8 z7ux5z;;JuXls5RV#D^=q30?~ho2(Iakcux=c%T%VH6j4rsL}LigJc;E%x~ia8wulc z=r@>{u;H;;9Iz5=aqK6pMFq)5rC*EBChClDRQJtx3U!VRG+Mq7WY&?+eGn` zEmDZO)1;KO)1}j{6ezi7#|<|0Lp(e!oth4*z`{bS7d%(W;4t)FzP{rL)&R_Xi72J=DcG_Jwfi(`cYE%C?9QExB#^08 zgG!(^3Us1QbX3=cbbqwL{r)DIP{}fe)|WgT8flqORhJv+_*|7Bv9Iu@+!sdOUILj= zHChQYd;!;OA}2LS99@V>ssIL#lYSQ!M~VK1OTTC!WZI076QLHi8L z$=+#-sU$VZIwFsvIGhGjM*W9OAZ0-zrZmGH)WaP=^zOvY-~PCMpZ`C^gF7EDx%u^C zUmjM!ExpD02LSwUR1-qBd}e5+Q?qJ$9>XRID#5S(1`M2bj-ucYK8W~IzVzTWt5yxq z@M|XYS!!M!Av3Z^Z#lj^*3SE$FKr|vZkUtAzhQ<@?b6c~GrR+L+KhAlL*$ZS@Zi;ZSAB^*G8dynuC<#fA^6-nY?47bHP-H#XZ8_aW?|2! znU0zerl^aDO@oVnZXc0nV4sRIt1imcig!5lvy#&GmPhr5RL#2pv*fY-h#r=Pa~s|L z(>RB6Ck;L&5j8KPkeT$Ow?SVX-(;%Dds){2QNy<{Rfm&ht-r4e;pTn|*u)pwulK^J zGnVc|Xvtm0*9{Spy9Ab4mD`onq8r_Ax-}d0E%<)W{owaO!E{aD;=qsadjop^|7+N+ zntrF?GvfW@C}S`N@IrB6CtYl?PNc=#Pj|tn@otVdJ*E0jeC zj1aSj?PtWLJfmsR83p6SLaN?Mgh~d1_jHMnm$(i%A&3{>)nxb&`LZ~%Ksfum3lTE( znal+N9QNyDQk~4)gqh2*u~qg$kq1nTXV|4fn#u~=>fx$7AmHYbu?J4patesTNpwLJ zuBys;Xn+~-?H1VPIoK_vP;9_k$O#5hpS9Iq3DF1hEob|~L$ACQwTA{w?g_%2#`6(S z-EsKWh&i_{fh+e_$vp6n#GHH4a@IIysv${k{30!Rc>m(N?6j4E$XhKD_!$*%3O-EgC9Zv_ z%-LM;@;Y-A1+Sq=tE@qbqv%25Ut-X#EcVAqq;0WnKIEK~(e% z4+KcA-6$9?(X;MZY;e1gx@3j&!X2TiYv*K7qMlfa(gJILr$q@W6sK86(`dXQ#w8~7YFj0?^7kK}( zSFrGvVAnpqi^>p-dXt;%bN)AhuGaEVy6+zzeZ!Qnmlj&C&XUu7 zo^nwsGJ-j^_<%lIFJr(L?3*c+dH^B}QAdFVCi$X%mk6R%h$o$_UIy|h)^qCTceGy_ zrpYDxwt3J-k1eHs*D9p1E|(=Q<@1!wBs#WtvnS1ON27d6iJTm73q(!35Od6d4@yiqpKHO&b77v_w?o(UWHozRtQ(Fgr zldCogCg;>M+#{A1!S9)`x~V6)LoD@PjGH7f>b4q0lRe*1|7ilz0oUE=9H$Ii>#-_y zW^f3Q8Sz&pHOOqPdNUFJGL0oYJnYeAa*XT}yjAf7xa%S>t7U3xC2BBh*lQ^HGh@cI zra(1*9!ZT4<^ud-rnhZuts*|VSgU0@VlkB&L72kUt(bI)WS`a~U}G`O(Ab~LL{1WK z#c`eT=b4Nc6n?eDorxAYcNQ~EJQqk04lCjFBvuB-Ob0?8cx zba5Ym`_Z^vpnTsVMhX~ZVq!A-6{s7H$BlD>Z@DSU!+$yGWtf#x=}=zQ2?-6yKrR8jYfe>{b!>#>gGEY#DRTPbX#4()mPF~@ra-fiNElkrrl4}|J3bQ zj=G=Q{=2w~Ci>XjSka#3U6gy;98mBrazWWrIairMc~@DqkbC6iQyJfjQKc!)Av5N~ z$*>3Pm(cI0*b5NwF1yJ0!LSA8AMMUMdoj@dX+CT!&Zs#)2EeoAF!NYF2o!>nzNYd) z)D#UVGWhjJ$0(utqk1SdMgds+;qyX0b>qo)c5mRFENn^W=+x{oQ3xg^g*4`C!pV>U zx3t(j-e-tSbemy1#CttKjCI@VP+q*g+xbY3{*+nGdisFzjy29;n$j4SuF*s=Ue{FD zsxjdz;3_02E=Imu;*=9&9bYyNLD9)=$q?;vNosj2{UK^qs_tQN6f*%V-WNxsKYD<+ zJ4b(uL0fwtG03*psaFrQ*)ZKrT)G=E!y^!t@w+?9Ajb4Yr~ zn~;c*))4j1vOG>BvIN;iAq6;D*$Qu0p%%|;Vo11?aXdfg5iES(toJ;dlBGy|V%L(M zbG$aN`cSzv{`ewaN!4pR&g-tBKULdEA&m?bC!ymz zhUIZ7WZQgLcQ`qxEF}eWboeLbf|5cA_4p^Pk;_Q!3!la+I(rRE&z4a=e)O&+6{;>W zEZoog6gf*glD(!r@sub0#naMerIGU)$o)+m#Z{r+a$I`EC~bAsD?1I(ws%=cVr2uK zZQ*M^|GZoC9aFJG+g< zu{I8nEKnC(9(Qp(gMGv-9Ia=+t#sVFkx7H8B77i^ij+n#k??b92r)B zT_Jv1OI-xUW?aYL?W7V$TrtIJC!aBN0eF)cKru!X4 zQp{iwi4N`U+phK^k4;3JfubQ0#LNJSF`*zibL{5Ofh;20A%4?dAV;h$eZvP75weUZ zC`(Q97CkZy!BGTvOcQhoZIae{!h(nKp8CnaYo)r~Qlmm;%a|^Lu*F;c0r89tHk-GL zhbG`=A)y!^QOROG(y&czTRgj}9DV?|Kvh6gvjfxi-*yQaayR=72p^@NsUem8GRP}H!zr0@9-eEhhnvOt}KK=;ECP-ML$JH zx66L(FhvKk>%QM8MTfa-KXE9tE`%~cXu=F3PHqRhNt!by$%F8_->M1_=krbIlaC(j=_@;XCh&-!3WYqAn z_?#^naM(0#!Zq@DI8YO$>^Hp&z+_-savwRmgH?p6f>-eveA<9rFAm{K^Qa+M@eg}! z>daqXsc}LLW>*TtHC%vW9VhbT(d{@7p3irtWT<(pdT%}R@lJGpHVph!UZ-r0%-dVM zmriADldC;^<%b6YW^>{J?s)B=yDM3K_aHgFf`g!*1Gt|8g5M&jo&xvsEduW^apR9j zJ)*Hcq=BTVop9FG23eNZ+l6Q*dOZ~L)3f`gGfdt4V^V>>M=e9`mYATUz}XVAu)pw? zU@}B-XIL!**&re4cy@0e+z%M_~kA`H;6N-<#6cPCx& zQmynyRD$FdUufQ65;>~(kRy7p1XeSGxCLHa9Df$xFtDTl+~34}=wrTWhm^E1l)EGN zYEnVB2f0MJ_v^OgbG-2+U#F}gFH`gKaXypFrqK*;;i@8 zxIR%2((J7XGaP|ysE;P-`F%6S3bsRP=NIDgSXc?T!}g)vGGNl1m&Qzi1eka_htU+q zm<^5-U^nsg&eZpBN-*j_s@}6FSdE#vYaM5$zcUx`6L42vHjpD%p2*GMtmeOEaz*## zoJ&ZT+BSHaJV@ig5R;Ie_$DzTu{9Aq3Ih|(u}1=H*h%kB4VC#0Wqc8YBZK}47OSC0 z0t}x*D(2jgx2n?2l zYZ7j)fRY67r-3#j1SPkp5Hl(<$gJPS(UC7!=B8LG7FJiu|;4v1qY$@%3Vrm97+}~;gdVxD{`yRkKZ}VZGW?8v)Ll8Llt5tu|-ex+OF8yqt zNto^QqMq5)PvmJd@~4&sHY!(aV%oiSsK*R$IX*91HfPA z45c=^11=!5geeU~cCAs=kN#4>qg0xLjQu}Rw(yYv>||o^A!5rwjvqvZ_#aV^SxoVJ zfJj?6@?gxz;;IsE5L?$qTUDBM!<*X(P>7q14L+l%5SA6fa65f$#^}NazEL^u!;JZe zU92aZ{oeoP_Xvjq-QvVHWwswmva?LZ*O# zQ1L{87YPLg_uAj2k(1K}`^p@6R(2%ik$P#rV3T3r%)eF*(HK*>N=3^qi8<%S78g^L zPbZ;YD#jIe`h{Ma?xdjc^{cSum#;!*s9%Ebd?w}hwOK_l zNiuIo4F!K%mA3I@tQAX`qE&VwC=@YOFsrY^N#roC@4-d!Z$!-6O|UH%`TIGpQ_^lH zTlbe{qpp2F(|YH3%nN?WE}0jdY#m{X*4tHW;iM9&3#%?Te_pOzm{F{hd)AG3>{^!yB}w6NPJz`6dm=>-?R`BFi$8moy{jJ zeb?BpnpkFP+5})`d27qNtDpGUCGT1qjU&ru`BZl0@6R`zG87uHlb614oG4ZPS(&P< z;N<>-!HC_--Hn7K|K#K}*em~JwTF#~+WJaeGW$`O`7>Sf1Vy8tLpp`T1`_YdN_#DL z_6JXPa7SdvU{4fGB4>Q7lyS9brpZgU3De&<$>C}I+@;=5b^UcWWrsG^S!e!92#no1dy@Sh-GOo+6&PYq|klWx*@F>ATH zia};lg$SFmt7_d$id`efAZ|AKR7vrqTw(4XP|1u@f0Bhap=?Xt51`Bw>9Q)(pTTaa(j=zAtWCE{n_Ybw8qJqx-<$>uwFmezDE~8TEk2!0 z(dCVfwbK4VR)Hge?u|}}u8GcvZbCXbB-;Ramt3yHsl#sAs8gs>6D@NT6o?YXeJ}1G1aTuevEWUGYFq+P*3p`e^Ovr&T(e|rl1zHIR z%2k88KzzDTXaex_S>wt*|6Jh^U^##Ms_G*y; zOV{)EnWKQljSHvI)A8cna`lDDK;cH_>R_QZ|0-hTkAwdL%ZG$*YHZ|Vc9PGf zO>X6mVUCbY6$Un{TsbA5k3){j)i(_@$EvS}9I{JR(^yS;R4CZ=hVH9SDATQ})Jd1M zu=n38?M3PLcU0=SN7^4QV2wtZP$}QVW2L|#!Mz<79{j0G+Qyo(mOsIWN|~oYv0lUt zD-mAC!zjyI>?9aP6jeHt#w>r|Ee@8Vh3jfOZ<0x#{{Xhd(a0}x39l~L9;}Pjv#?Z} zp0pz`ZEKIOB_t@iZoGhmz*oWT!n7S8Lo(K^Rx-s~xb+ zE8r=^dch)(_5z87?aG1iSS!7)VyACH;ttF|9>ERJ;Uz3|yXKPT8E* zb|2Wrtn^Y0zT!z^h%xsz85*38ZpcXQC3pO2VqsW_@BG{%QKVw!s*~O{?G^MTSfj=> z$QNMTK8aZJ6Bi4ulQt+I&eHY`5>zF8thjM=nB)G3J4KlH3XX0#q|h(Mj@*vSRkdUK z@g~VA@>eMu1#_bD0ZwAy-6!ECO=+(2H>l+D=ldTiGxH|Mn=wYcl_q%-T&Q=ZqssQ9 z-*>2pXy5MrJ>5v3x@of4eZhrG?Vx#f!*S6ht_zg3*MP53Ke>(699^z(FdU)}N*d_&YY z0hu_~(PDC{RIk`l_#!)Il4+!bJ#LbVj;7-rKtZH@d)nb?P_J^{JLSBu%W^UMY9*g3V;X1aA{D9E$V!LWZ!svlo{;~N zcB-%bfT@!ifG!o0#bQJzgkF9N;c#R-$e!?A@xi%&Nct`P-qkxXKb?$S3c0Y?!P&Z5sNH=2CpZ1PI*ZDVS4u#0ilKf*^o~DN`wdOVtcSr`4b$b21Upd** z)R2RR5H}=PTWjQ|aK&kTWFj*8)`^xv2>N-~iB3WY&iK}`lAMj{zK!MUOibazpSN}O zgD9cX5gEJw#9L;yiT)#{o!i#&_mD-(A=md97GH=r>FCB^Cl_>idkEoV(^}8<6K`hI zqEZH)dgzruB#wiRjqA|}mX?1Pg<0$x?wcp{k5~U_WlS!&?Dm#{7%mV#2D~GBSAJZO zMsTz|R}`jgQGj7fu_BBCg}Dyhp2@&$5DxHp3YM&Oa9)NWUv3)38jD$I#m^(m6H*Hn zbbMtf@UPNFaO)ne%gqDs^IYhN=2}B<>9H*ZzHpf+ET=*&1RC=);|Yj$a`L)J_12SL z-_|#gO)UFzZca{F$kh;N*o|I_Q@No3M5au3=q_f=$=MsIzIE;pwJ!NdODzgI(&&AE zGE}!+$FK+KoQfp~8!$8(f^&9+;Bc3G5e#G>h&M_U%Og4NLEcO~5rq+yD4^M{1`Xs> z3u5YNOu)tR*nRl=x@s2g`p8hcyn=P&*6|~c8kuoFs)ccnj2QMjxzy`;xDir8bj4eQ zTPG{TCl6G4eH>c~9AOd>*lR6YYZRnL!6j?kP2@!S6W%`A*OqHfWGHa1oDy&a$j{NG zfDO#j68Mw{8+{^q>GNYE)_*X)`vcRvJ8^ZY4J2MGKeVh2W9A8HB#v%48kgk&XTQi> zhv+7>SIzbhMk*e+pMHibTJs-I{?LBwL&i%V9;5XAhqlqyPV|^%V@S2~RijUH%`O#x zu`77aWWwpDHXC9!LxDEh#0Y9w9Zl;`$EI0((ta!o^!s|eH2PsjS}iW##0UHyqIF<> zkPu4@F|>a7mU!pGPTfwqPV>%UD}BcK_odz!@AjBn#0$@tvesXO~c%#UgeZnWo_z7PFag02Qw?&!S9LK2s~MS!FfTf7zZNW*57=6 zv8Q*`=tQ*anAQd19OW#yolc8!##ja{?nv1hYF{uzB7DLUitK7%`vy-%7r?zx^s_af z=)1e!dJ$(VFAcNaM|S-{yzdtDi*Y!i_`J=NGi@qa-|Pe91MwPjelQNc-lII(Ex~j; z*HnJPr(~xg1O>e7{8u51TZEz(U%qeSiob+n)-_)7P_l&4ete}7&!o!U%U_&qTQb@Y zrQKYn67cfCD;F2*TG#1fnF8I)aR_*L(+axu=B0bHMYSD_SDl;UWE+GAN`=a7Rc`8u zs{Y#FC$ISy`_wvkCsVNT%2yf$I-JZ3=YFX!I)xn$N}SAiu_ay4 zQf%rA$TI1QrnWh{VM?4L5~{V++R+@Zxy;T870MptSCN-?3F{dGk2JW0nWv2o?b|lv zIt)`rR08Xrc^wXAA;j=@zOs51L_RUYEH08+_)bRRP$*KvtheM-BnBe;?fmwqV;Fmt zsKk@^(@|SP8fNa#`fTduC^G5lrnVEhVG(ylBKgPZ zVl>KUVFT!NNoHS|=FsU5EE2>AteVO!Q9M;T zNv;8l2eAoFWlqbr;t+c^16Iv(Z|g12UVa59%rJi$Ew&FhbvflO@Jwi z&GR?}PAa7U%)az)GL-w_N=2-(F})c@OyuC$@2Lxlz`JU4IyGnRL*y{-}J7g+Q-IXD(Lg_hOV%8^G~#qI!lfHMm$v=`SRKQKz#^PzO}v@ zig^GgOeHJ-F~ac|KfWg;^ww8?OjZ`6T@&$l1ie_qEL(YTM9{inA{3MiA#%P6L7Jf7 z>#ylh?SVHA*(XAsGY2JlDqI8QX1A3hG8pKb0-u(geSMv#6wwpSMx3*hU+(O@$12a< zhG8Qse@Q)tO}y_M#3BFb1>zT9%pPrXyfm|9ZwE^P*5@ZjSf9K%7KoKE62;@B+e|}n z&p5Uu`VL-Mc3iKd=lT+-`| zy{PxDHtv~IvyhXf|Aeg?+ve;iRiu8CQSZ5DGW)exjfVXhSUm4Kw67(Kr5%cVUHMmH zTPW!Fx8d9J$Xxfx+^qYj-S;(godVrr+0{*L+XUvR7VZ?hb|qm1bk=UPx?bZ?$m5BZ z;NS6wyYm7pg8lDgmd+G=Gik%Fi;59p1Obo})&)M8Scd@9=#CDnC7S};wuq8iwJ{@z z(_K;meRq<-4*K4JCMWbvNRT(ci`@nx$v z?4EkU>Gut4Cp5ywziuz=dxe&LpY=4|AT%KEDd}TMZ$?k0Mz_|XrKVw{T zq9U*kHgtaj49bl;70M4^7-zOK@&sT6Gw}qxOapA|p&{i{B#XHCDs?uk;2VwjX?^xy zyozMow27!RzhjU~auKe%ZLdvF`iGM3 z)@_rveAPV6U#V1WLHoV#7ps%4y`xVyoBX$Q$aJb%xlQ~+@|FSet9#4KZrZD~E#p3# z^Pj>|$&;)a7RmRXtN&R3AC=L2-+51kH#{tp{|L|VBz}{NtdisZ7fO||##*|;>D^7# z<0jsrehbwMTAPe*g*O zR0Tfw|Di1BJnEX-Se-XUaVZnPdvZ)Teu)q}Wnwj|hs{7_T}fXzMQP2-m$)*I{XKbL z2`@~$M3PyCDty3}5(NijsFakRONN2bf*tbd*sE>`B7d(_q9o-0;{vv%_b1)BK+ zPVts$=hFagc$L6TGZ)pUe$OB|K4oEftk-lXv$_4;C0fraJHN<-;Mz0nSTH_;B=>Iq z;_}!FI+~uRsZe-nLYaghxj;r10>@jcQ&Z8LpgCuK?qa_G&l3_j!`6pz=%MW@c!!8V z@bfnE5`O0Fu-eQ1YacuC$Tl^Tp?vPrXl1pROZ0(3%USKf&?|knzzPA>gnyrWrJybo z>4#ypaPbvq9P%@gDxdRllj;@+g-P?y?oR)dd%A^QYG=cD);54d_cNGSy;*L zdFuyp?S*6SXs`UQ8E-y?J!+V+76jg**@0jo{pWCbxy}7_zqYzMolPM-(aTa6@l(C$h)Ibwb zk)vjGA5r5gV0~=Ywb>umxGhqAd)P{R5%0ej2bExltBlm~h^unK3qyvJYN>6@fT@o2 zng@R#9!+@K3AYJ=@cm{Q^w18!HWtBtKfA_P-1_)w*XBmp_$YSy8&U%Xr^+0SNKkV$ zWyP7`-GYUrA;yx1TaLgNI~fH-6Hf_Lw^KKz{0)bprgl}%L!^2A|m~{>qT$WrFu(wuD(h7?w#w5dH}dv0w-Kyq)m=HOju~z~IZx{}MhNeR_Mi z%LNrCm(;~~1WzZVhu|&EFP(aj?}d#}F9n|Z`dS|!fue?wkK&fUp)}CstH`l1y8oUC zM2a#N8|b#hw+eYsuMahW*NLQO1i&jBj)PWZvU7Jfl`FsTxd|6f>`Z>3)^!doZR{MI zv2t?dZxn%>&>$<0M^iI%tL84BuB^V{7JXpea<(xrqzRrqz5~rr`61z*%IJGw8CtZc zf{AZrlX9yQr-(a+=zm8A74dhIz9*~%x>rGC?C#mMSWY-2C9xy!p3;QG8MA(58XO`t zb_?wDIFw7vWT>0FOjucsxGVa=uBDUdVTZcs@vM*`5JP53%&G2`E=kZ-KKKBo+6r#* z0lMy4NU49PUb_{SC4Z{>-?scu@nYN))g1TG{+gZfv9YzYKWtMteiZGNMBewh{@)+r z!XI#RyCN@*pF7%8jHe<*VA|#_e_%F%KSir60}p35{}LHuP7+|P#f?1oDOX)ij4s@z z9v}NQXuDt_f1p4h6y+8~tqFM+Fxxbde0E%g34S{I)-sN_zuej%+VU9>`kdbz!4znF zG?&1uOfy2h@iJxUJopG(NH;=yV^ZJ_HdymdN-SO4WAF5l)=Y&6tmjV!3)c5_uxU^b zgIR6=V3GP1K5_57f36?~9w^|w&b*rYshrNkkJrY-#cfzYEq@KEI9k5 z=6bs*y3fzUIU0>>wr}p}6}*E6$o*IFx%7)G;^YgD<_Dm#p{&j4EHG}UvPbR%8z)PT zFSk=>hH2$*7ERp6w3jXw9+aWiavJjZg4JW~Y_O!&wXNwg3b@=luf@NFcqd-#I=lPf1dT!)Y@y3yA7djpz>b$iS(}mqaS#kCLC?z<^%a(Y>g> zH!R7fRThUhLj!;2<5?6UW~vLnY~~(I*w)qUcfXC}>v8pm zx-G>#p|Ome1sOfnm5(lGqSI!nv6eIs9tKf&pp~p#J__RlNea@9pm2qVQ>c*?Zq3W^sM3?PG@6xtMz`OsbZWuNh0K zHl6!!#}S*Ibu76^)5|$B7 zw=ie74pw)4oUv^G5H|(#e0um+k%~pUcF+hbpAv_(V^aDOY2LQB+gGubI<=+jB@AcX zOf%6*59|!Fewr+k%tbxZP|bnR$|sMV?uY0ap}(oY(XdXvhzZs)T8X#MBQt2hq+b7| zxV;go=^w*my%*(PTLcQv)3n^l-fj-59fQ<{-PZeDe%y`6$BY zz|qO`@TYHN>3#RP^GWA2EM440zD`-SO3mz>EJy>J-^LN0SoNuJ`YK&(Ekud%ur4m> zO@=**jyy?U)STuEH&w5uU#VN*!`(^bky71w^6e8u& zv`QZ9yEq-5)%y~s)c-E{kTTVFH=w-+d>?bfntY8*gVy$v#jf25q!CNIt3)YcO-*80 z_VMo@638WL7P#B+z0wol=>uUskb-~7x;kj@fdg0(xbpdBh*;W#Os3WP1KXduLQ&k4 z*KGuhbw2IMCC$81-}}Gx==`{&l11=4i(-B^CsI%Rkuou*2isk|lcT(XBRV+R+H*S zVA&j~40~b~Xs$*>Dyx~O>M#Dn^m#>&1CS<2SWDX!(D;t@iSVoE~2b;*~DE+lxZ z#2|Muc_*-d%-M3xc+y`9m6**_ewP113#5Jv$p;dHMh&QNg)oA!8dX2KKSp*$D;Vz6G^^g z9}CESo7#`(h);(CQET=jp9fiU(pCN?!!z@f++VasH}AE5QeA!vRR_eb7+$2l4Cwxy zmK^?D{3&+|q~y1Ggxl}mo~ivEJ70c1{?Yts@b~3m^^2=tmbxEUeqg?8Vvw8(+keHb(`-6W@!}`2BrXz%_r43#p}U;?#`aPyjk1ZP7wfqm zE~r4ZVUnV#-U-^3*^33ewvjv&I%9=EFO1ar-CpBrm`;a`8Z<37Kn3o(asu^B$Y@&Q zVna92J=Z8)soG}s?e0pyPWF=@J>Nig_+-!=bSH0%_5!&KX~rc;B}Tu7y;-~MM*G0mqZfaxR#UhWt zhZ;TPjbw2kTuZv=d9-Jz?ceW3*EN;VQ-Y~|UIk_|Xi0L3I7Habx_o~Zuy&x!grswMU4xvr_^#GkL zvNnI2o@se5ce$NmHTl5vMUv?Yc=F*P3H_%+Z;Q@QxJgM{5GGVXX4fa&kUky$9@Ea6u)uHp1SnIc{DQor9*}X?f$>_e@WR19wv@j~csro4l<5n*$9 zK}EN14uM?3qeA{tq%jvN5+ zs3IPzQWVa2ZtMY1`FRKx`K|_*F(A!)gk+O zsG;QNd{3i4a^Fp|_rd{_wDj`#_99E_?_9cy!h_$*p_!m}j-kyuLXE7Z%czl60aYVR zmwz+$MzOb~EUuFe7YPj)$=`)4RzKz~y4s2kUraXYZMt`_OuV1y#hwTlk$<4}^z8n2aEoDz6^`%Sy%Qc;+p}-PDMthavwy1b9V!^?zqSvl zs*__iA6Mi8zNg~vd6i}7A7XoD#(wOX!~_cEZSdfE1+!3hhWT?b@#BCqy6CnhIy zdLT-Z|92)XK&r^!t4{3JfSa&`QQ@$o4W<_LG^z8_>Gb#c8}#kWWu zs9)Wa!=5}onq{@71zTOXCh>!Nl-^69#ee-_OiiHyS_~_D()IRV=^j!>KV9moWpTyh zN%G=H#?A*L0+Nmj%6<=Zb7lx%QbEK^|6d@rH-A2EQ^16)QGg(%t7Jo24n=WowAd zu-(vh(HPKj(JayWhsQb}Ej+oWL*^=wQwEFX_fj3#B8IG#molpj7}_epB}XXH6me2( zPcCj1IZXnrI$p(x6`N9KZb?MN$?0QEM5KmeL4k$_-gjZNLhmg0`%mhhW3};6gh7at z1qJw`;9X+%Ns*jcE$-NA-(v$Fev;C`ao90Er&tFs#suIVfp@su(a%*cR%BU<4o(Kc z6;)S$rsKw?49xOTQgf!KGw*n`Bv?hi9bAzO;rzjyj*DF!*=oki3jcdXpz#Da#y|5m zl4%@&<18{T0EsQt-Q$F3eRZ#-;S^&z4ZgwVaAdlrs5-pfJQ0`D)v{17rh~I)rlO$s zJ!`836w{NO9LfoIE{O!M+{-Aa;jFqkh{Fe_OXR2)R?NnjVs||tn?ya{VuW5;Mb*X} zT9FTOLJdst{ugO)9Tru$HjJy3N*N#^F<=k^5=xhdq`=S$NOyO~fJjP+bPj?rv~Z^utOqhyY)%4vS{qk`uq{@v`3 z4LehWfl~_D7eh12RwUwv4; zXUO}?wFH~dF3 zzzcWBX$#@w1V{{{a1gP4@;)Um=Xkihg}|5O=sWDeKM5FbSf)`hZ}{Kw(^|%p^R(aO z;`B%?5AyG6OXVSwSB}zb%Y7olvN_Y2$YcHQiHMbXQ^1NcF|(;G=<=)j55Lcde8HP5 zdwB4oX^?sc&RyREO9C=WT1A>y(JS{Xb#h8+-a=w!*@A~bCU^T7j-`{DRfJd}c))7? zZE|3>zT<~CEyacRNDg9t`xg?EwBTq`RLHB7`+X+Je{utl^!4$ZHus`IYUXw;mxVVB zy5&gM+Xu-DRT3dn+ZDh%_!$#mp?+DLt9!+#DOBg7ly<8-(g8^wMU8NuKkq~~kWn0@ z9gIA`BU<;YMLNN`gH?~E`Y_J%--$;XhatDw3hRnSlZq`sCL2^DIkiJSM6N}&hV=Ay z7F%xTcNX{d8QqU5cwC=f`cL%2u0XP1L-A8!bva*CTI0dac7&aoowtw|a&CqiF}Qf{ zdfNFPS*T9sN(VI?8g**viT^+B%EDU6#(ND{b=?p}N}bBG0u9%R-eRp8b=G=IlMhAP z`7Wz3XxIvcKYsKmDLK?PSZQhP4G4@QAY>(dq(OI`G3{Pba-eVUwdL*3kETB>qP5(Z zzo8B-3VcF+4TFYk*5j>G?83LSYHsS*+)QuSe1^F1m-zZ`5zzdd1`{3tc2w!jLB7AJ zdQxK~eG2(1rS?n-r}2l?L`>dDuBOV;|<;A zbp(amB*$){-n=Hfrrh^{?03h()gO0X2VppWx*p9CN8}ns*U?wx#oy|ItBC)W4ZC4S zSJ&jRerfEIUN{&t;ZY_C)>!M=6xK{*DmePDN<$aj!ojahk2umlHn9UJN@^u}g;GBvOe%$_qn6GWBb@FjqyIdVS8A#ho5t`z2E4H(3Ld<)^ zYcG7Aj?k;CsDXjAl$1R_K7^g^H^e+WyjI-Tsn5&IWw%gqkor!RVA4$q`OSIFG@$~g zzm%)Urwe75AeHh40awv|@dP#*6#c?hsRj9jk8r0GQ>?p3G1!+!_7`kVx#52u@- z4xsk3HzKv&Zix`7DJ9@L{oP5|P)@M7-6G_*KSm!tnGkr_Eq#7ZxEA5z2tU$yp(`8=nD8hOB-L1J*%bbmMo{n{%Eqk#uPFk) zEz(_Q&^(`T4U>oc8TNNeYe4X$D9tze=feEcbTr9PM9Yb1!q@}!7zA@@hlm#wgGyc!}N!Uc|(WSvNx3TAk=oGbq&mioD zkssXm5`zST>hxwfpnHF>9F$23fo9m_*&!hfSH^gEp8Ydb@Q==(Z0te2FODzZv6z{E`))4)LQ@7X`9&06LvIhtm9EHQ&o$|NA4Ngmyh!N+)5jJ4 zk&f=w-~mzpOkBCqfzL8Yn6_A2+6eD7{?}A>D}cNB6@FM% zhh)#2k*b={RLrxF5`=^rk-ruWrgqP*+^#Bxu#Y_tBhwqQnD~yDgVN`RtB7sp{uSAn zPhk&$&Zzbw=&CRC>iFZ7I{LtZeeVdF~p1Pnz_MqITl7EAK zRhEDYEEwXUvJ>SXSgLA)wG~d|-*5eRxiQC;M)_mI;|-PEf;Tg{Oqa80?A@P6f*?7( z@4YTGIeX2SB#8?&V*CZ1AS1N`*~oS2~#u^;#*@grCeM zBY(dUY3|YIFSB{uRS%%cORZuT*u;ip+BjvmNL9>nzplv;M)7PlS&s zR(_Bd9IwEU>&(qC4!;p~l|#dJbByzcTQ=ImRiy|4mRa6YAtuSW*N{5ZQX7T*onFO` zs?6EqZ8ht;yy+@H2Q!=lRB2&0LXvY8Ix{S@IlwU^yfzS~!J!!xEN-g8x2i{Pz zAu%`{;n{)OK6Dhr_z46J5f`-+s}3#JFYn2$-tss8n*`l7V;}M^Z&t21HS{X!0!OrRTk29suc=d(Lx=1V-CxT2UE^8nreV33Et#) zgssccN?+e{AP2bRf3bs3FmvsO2NnvK$i6cW0*DH=_6v@^NPsVs6%Al3`mxSH(1mw; z*yAnWtFIktR97_|35uTq*<`R6)#!E6?c>2ewq` z#QGNw1bey>#bH6*>?Gq6xc2Sv?hwNYdAdX|t1!xsm1Y@>*&2eVnR{QYfI&Mw2f=m- z_*XSh9Tu~)2XYnvIS!&%*(9Z6@fs?}E=~Os!&ctZ_FH%skG)#yTZ4+Cuck=(tcYu_ zWUZQ4z-HOuVrhnHH~MQNzOci? zZ#hIl6OSHD1-Rz3KPx8KQPYa*4rEwd#lqZ1jkHBHa!kJ8Fimum|Nrafu6g?c0jaP2Iw( zHm2=h<|&bAppO4$6IW1p&)|Fh&MjC?C#XB>WR7Q z@59anW6@V#9FQwi_30XAw=UG^w$SxjC6*ylkNCYPIxlzKmD--8Cqtl>0`IpX@UE_@ z^K%*y2#NIZp6~2(@uo3c9~(k&M;dkepoh6d(;r-2blHiCrbDg{`t$~e&v#S{*Ab%V zgN>s#vCCM;cC+^I^YFy`P@6Wtp(=w6vpLS;avREZO%4Lj$v*$|6UPj*Mw<1Fu@R!5 z4-&Y`M@wR3b#Np$i;>oWW%TzIO{@g=q2{<{~I98 zA}!@bMCWU#?0-;WLl6-ok9IS*sPpiZ`=sdkrM*%FKg;AmshHr#uwAP2x{;#bu{4v< z+alZMNBS`JfV-3&B0Ah5PLr$thBT+nJ#WAT_@16XU#JF%YXdXg&$L0#AB?B=eRY^Bsu|@HcUB2s<2rCwVVg-D7G&u>N@Nz=;G`4Y;B*xR z24}`o#jvV~J8dzUHb#$Ef11xFE?$q>IT3(bQZWB(3~8MBpXda7Q_+= z$rGYAz3o9s0yLfWH*G51$YilcUoN2upKynGA)GP4IbDQ*bM^p0EApGuL4;n=AG(lzfk^Xjvf zIEA>fzb+XgWtvm<%JiCCLRWRIi8WoF_D$_UAs3#6ztHDCaGud~s!|-R=VZ`*Xr)2B znGNNE=Ke$M)!bToOvC7};00RD<&@D6jIkJc2LJrF(#X#NLee@$;GKn$A?Y8c_eKPGME!@u&}j*y{-qa9Hg zmwm`LQdk()P4E(ngE{39<@a5(%Nae~N*H?l@jYHV-k-rUE4c`5zuozt?{{x%P2Z|0 z!811?9LB?Wotr{(v#$Tv@p%B=`T;@p;ccb7N5A(Q8B^Nae0g8BU=Mc^NDYjPEw-)k zIsO}j_qy>0P`L80rFHDLP)^^+Au!UHCZYnCd~+l(Tz+!Vl zHdAZ((M15dD**uk-V$t_4T+(r5LjTnck<%%AuP%>GYWeJ)P{F>H=ItJ76-V;;6BK^ zr-x@^O^}O|zM-|r4rCOf33GrJr9(bo<|B~Wd*zte?A5i^JNpm z9L@e=yhL`L{h(4jH(1`g1R<`(nnobr1=t$CGcn(*hAY66@D6>6vo4@Qx4v^e>mwsr z4BG`YE=@g}_{A<*ff2);A0H){*9qux75_*hgOws@=VcGPx_IC*mWDq%v%(%pn{|V7 zHN5c8X#3uh4BbTEJX@AP%YB;C4)|T}PRk>0b|6?sJ9CWQM5w1q^mj8s zqw>z5)01orWwqMD%;mg&k6W==3LSB>#iTeLbsQ9gKyu;H)u#$qbLz$7w$ zd>4EobhRLr{*~Co==P4p%um5s9ew8db|u%6<<n2R}OGuZpJdSIcp(6p=CJ>j$XAtbAP9Ie1@5EE& zS&;nbL`5?B)cQHTXVn9o6OAW8^-}&TPU?x~e{SLLZz1 zdU3B7i*mU*J38F!T0xxk!K?e=Q$PlM?&Wo=|K#gdd$sF$v9NMj-?!qH(6{$80ip9( zMzvi5>J*KVUOC?YzaM}$`Cv~^qM)awzF%)buu;1S98G#n=e`$zP#qaNhQqF0=Jk{n zIz+QC@ogvqs`~b96A%kPIXaR}SG%4U3nz#5TPto;eS5_ThzM`X0mD=G{F~o0fq*tY zi*!!*XJ^SfhE5wPaV8cEb5BhrEBRRS8#R@82Er`uG}N@wndaKB1r)P7uIDH(V2=7a ziO)QHs%+zW-Z$ljT`RyI&0sIqu?=SsTQoF*!wL<3kA*Y&q|E4v`L^i+yuJF+fbW5G(XX|05T?#fjl+j)0jE#HXJ%j~>xY!%>t!h#fW1M){wg#d!ds zI1WB7l*2=$ zzYT9#3MHv^x$=CwyS}n}7om3ET~K0?S|Dk^Mt&=SDXaPtPI+AZexysHo?7sQBu|#y z95tcb*AGgbO@X}Jj~XnBXZg4p%dhI}jO9%)ToB!f{fApk(OgMH%lX62^D8CM4g!4K zPh6ud+}-p^O%$7#B3HAGCs#4eW_&8=8d)DCXTOb~%X^h%o@=J5@2Pb?k#u2G`3E_s z`AQ>#aj)|}FN{DZW#=PF&lsmZn zb+g}>l=0`4)t{cU^mJht>Om|>qnQRH<#hg8&^t@OTihbkmNR(h?vsJH+_^H`!KBZe z&folBQX!y4n>L`8UL=RMGmCLIxQ1gydX?U^1*&HewnLj%<>oxQIAY-?{Xc@TlQXX^ zt;YtE-4O`acR*Jk_9J9+|8%i?eQo}yJ1Ff5AIqWytXm=MY>34%=1-$jm}1Q7;Rmz4 z^=(yB=OVJT1ojb8(#3rnWdh-?#hYiB)_NY8<3RE4(}+R}{L(qTGTmv-HKDILbJGHB zwIxm;9Szn>mRp-6%}apjLL(o6Xor{k`Q_Bp4md)-uB9zEqZ{UyKK>WwwUhvn?3fj_`sEmi*%ozTQ#?F=XkVu775h%$h>aZF$@R!dPXZfh|tn5!wPdyz_v8Q&acL_N zXuus%XYtu@#WF3&2Mz=~Alw^xfZY&3JMW5KMtTGX8&6<2OAujTl_~ zbgw?H)37?@GJY|ZNITXRB&lJp0ID$G)e4pJulYY){Y%hT*-9q)#nCVtc z1SMkje5BPeUI^tl?4q063jB;#{o)_0o@RTLPOR>L)fEV3OzGd+({*{@K?=~a7Yi9TxxWJ>A zQug&)M;C~!CZeHgpA_ATLjhrtAQ)=Df*8EDKOO`#aewT~kw37q!6Qs;5~^`>_&WBv z)>_|~QoQ*J2UtQgvw9solJzM)sO;U4v&ZA!2O{$;7R>LD(-UAn*hUET(u3}yulA5g zyRGx-&Mp&g8q6HD>l}UYOQHgMHHGNv;+Wb$*ZhoynVT0!wUJ`Uh&3Sn;;knRB@DsT zQ(a31j=#%~8pz9Yt= z0%1On_!l$=-ae6U=+d*j@AtQr$8y~AbdNs_{C3U$1f0YQ1QKcG?Hw5vb#NdmN^<{n zBrzh&i2dR$>*Gmm(cyj}L~OoJOd2U9+y?uaUpV7O~69+c+_K|D+?ix?bP;N&V<%wd7WaF5V zGMLjc6S81g!CCcLPRnjonu3-FxmEwI`5N&GA<@mbghiqih zAdH4vCp}X1S-NGjGlr|{eWTX6y+y8Rm*UmXOxYO(B(#M@bPZmfpOu!2Vtlp>qab?l zwdoNMR@C*l3-0yaBVD=sbC(mH3V$+MFvngP9Zx`B43+@X_2l)b?$!DB;Sf&24Akz+ z=Jt(o=7+S8tyoa1zbo6guJ#A=*LBL3P%kWN)6|<5alEp@>?%UrQ4GtPK5-_iPmeU5 z8dKi(6bx&`UhM-X;EN@|mf&B146STjB37DVVjVSWhsUV>|2`-tH2Js)h|g!Ky&8ps8@FKT5j$_^n4xCmnq^uY>XSgb*{258oY z5ODMX_VDa{54{D)PVI?dFQUM3pHS=-^h&^X_nRFSb20>>-+?Z`0@r9@(5)yTHA7p* zW$Q3SN8S%i0x162eC4~Oeq8zbw)Y@I@}xmu5YP5RW9JO%JZ={2S*zs9l^*Vv=-DTj|<{!X_X)NP-+ zY_D#9ZS1~xg2$h(z12_wh(UW%*W;piFho4*dv1P*uGx^G)v{O3Htg}e(?Siq0b*XcM2-jUhv0{LQ&Q9E;R z^ap2W_3k0KkCrIpvF*i+V=Yr0El9cg z;NWBGQ<}L&vCuGFJ=8UQeCR|V2(j&f8tF+6bwU!+6pLPTkP^)4xx|pNH~$G6f_^0P z!A^R^rLh23Ri`(UIIlCkS+{v`ePA>~R5ZTMcf%^?goWHpy1K1!cCP+PtiSPn)iQ6H z&0L)I=YR}s*yX#;?Pxh*qYh_oJ6ZHz$DFCugZAXFAL2f^lM{D1+cItA1hniQctmdz z)dviSqX#_}Y=Xz`E>Jy*^bQw!g>ssInYMjC)GpY;jtTEhLI89wIlouf_RYn!22T!ka4JEISjRt4Cz5npOKKpC72Cv9PiAQo= zk>-q{scG&teX_(k-up;4{D(%>zLINVbpQtelth%KK`6xF#Hi_ezwmgBj#pS#HFy@SD#$Oa7i*5}J=N`zQqn>Xj!g}&(VeNELsY%cza zK*W*Q+|`f?hRHFWbkzjZzbKlB^x=GXrQdYkQg|3pyVljM#8(dGGbU=6vJX4r0)ILD zvxD^}hl%FcWEnr462hPY5S^W!-rLK!=Ulc{!Bak-b?A(tbFTc0N<=>*AA%@^I4NGV zB&~H#fA*x$`3{P$H2j4OyFLkw1TWxN;FEK_2I_v5yUX-D4$|>iNl( zLrlK2=*#L=+ZRds$t*i7@3|*-+L6`7PBztN5I^))TUVZX-(vno-teO49YXE8A5UZW zG2C$M)wFZ`Gr9th*!hN@u^1%v)!}!?uy)4`CRMHwUBtt;>HvG?bRjtS?PnVD z2@2|qvDu4>My(uFZE!BUi@Ir@*>!_=#OOxK`VV+ojvtJsvKZb(<+^^+9(k)dlvd~T zZ;I#lFy&~|&`DRvda&RtZQFkBK$o9S&_K&mE77)VFsgG4Iy>@yFW42KbHMA?ambEY z*gfKLwb6ZzJ#u}Cp%uGv;NYLq^|fn3dY7=DzJGL@fY2PIY?=~7%ZE$^ho&*KT~61+ z#kwR?k~%=5K89UW#5v{P#P|s>0{$X-Sx#Zjk5q)@$=M&sN6vfT#^_;BhCnc7<_);C z{2b<(1?!u8aYVqoE)lr&SE_H=T4$bvf4Ha?{bk5^QGFbFb~QA01zvtv-5ojz7G8xc zu0e{A#MZW-)hToy9X*w{r;Fle?I10s37C9D%nzf{P9m-x+DuicRt2lA>QKjdOi7t6 zRw^gC+5A&1vKEA~Dpa1XFzNC+1gjQ5yu(*-B#WIzIN# zJ>cJkFBc0nUupD&uD%kUj07WuOkk)snvz5J&qN=4jGON=;%LxQmE7v_BbUK1+$pSn zLRC`r^nO@mG-iBKhbdaXTdJ!+gQQxtEz1sS5MsGL@{7^)xFwVh?UE^tNMFBC!06c? z9!hs$k}1uWoEvA;UK6S{Bek7+%`RWFg;0PEXLwjy7Ex-E8T`u588uWG z4wql{(VNFO9|aMLhs>jt&7&92uIu9(Pgv_B$b)$prDu1Ve6r?O+|vg4)m7e$src2|(R}tbyLYrXzW1$)*U3?P7h6?46Mp4Cs`2Vf zaxahH>te5#hV+i3uK?!2qJ5;wdzLB)TM>&R= zvm^!|ohKS~o*&oz9wNll{r({9R4S>atQ#&ir5o7W zM|;qE30-Gc(mp|g?rh%!mbcIO51watIIx8kQnxHisQXo zzUOpzQr5ccaPNxwKGr-x=xbuasD83-2u6$WLhAx+BCO=H*VF5BaNQK{H0kae-Ks45 zxy%!m^_p+QsE&8!sZH8CmrhD9WRB~L!f|5pKOxP+8uxlm(IzCSEb9=20W-@-MIJ!$ zzA8v-#_;~M600^FE48J`S9M#7BJ0vbT@i1~UjfpG4ne0wQF%R7blGvQDm z!$*7H73oS@m}F{jWL0o0TOFC&h{~~=)qR|K#5(6a*CSqJePqK#P+2xmokla>%ZqSz zRAQyJ6^drnViK%e%21`otZh9JbFm-Z;6{gD^2!e;45f37iM1KE3$+5NV@V0r=q5_0xUG$b5yujhQ77 z2+@STSb>3>syL)8EwS4T?1pBrTgz{x6$~~}+zd4CyEkt{ zB6w09U}^tS5brQRQ5>5wM(?7;I+wbCeTSG-gwkS)1GHwIBnbuoY^vSrLw<#U=nx{h zBD-`PbbL{g4AhSTt<}iNl(|l&I;N?~jqKFI8~+ySDdQER`OJedY73CQ#eTOfg;HA) zB(OKN64d6-WQ%RD7pw>GkXq_+r}it&Ev(XnP=)EN7Idawg``j zvufq|gGK>$+DD2Ciq-vJ+?xPI1c_8dV;XPQV2Vrw9UrXL>d* zaM?o`5=)a5vp53snN2f}i7eh1>~pyl)>)%v5psQh2{uWd<5H4a)Z|5WN{qd6L;wzdg9JC*2MVK}aj}V1I+jli)k9 z_hsm!e_{6Pn~2S=7N$d+W(n?IbAP>79Hr?)5O z`7bKIjo$ASHDW({&mIh|FNKO_!u=JZ^^Lw7?4mT2avyN{ zLBwnmZ020*zE6N0udY%f#}8v9-g9aW=9%+J2ijQch74n*_7?3=YE!lh@bl9J_jFy- z?fL^@7tpkukxTT-`I4Ljx;&Zg(Zq2{ZceZyj|f}-hJmsIX*B{-p{A;LAu<;*`#=~n zbgGm*NVfN&% za=$x=bM&5anP7EngWp@Q6yiyjiXZPe6Pc}Bh0Gfx7Iqo&hmnZvkE024@E>}yta<-w z9(X^~5bIPzIsHCQy@l3`rSe6M5l6NLo*NO1!Z&Kl9p0PH`W17yYyKm$h9uA|Mj zt7Y%o#ypv4l&nz*vri#g;$>;IQNhn3Ya2}|yit-o^NFW?^&@4y0#7-8r>*J$TS%!_ zf$0N9S*^Rq@uWNqbJMXkHr8_cysL{2(R9Q0YtWiBE|FpBk1>=?r}rnHEd*y%84#ji z0D5{#cIuOOzp7-fExaT!ucdul-;m?^Q)?>VUq_@5I`<&U-;pYRn(=f_|vt%7&4xW?*LWKukq! zVfMWmuIAX(2lRJ@nYB;WsyUo`J(RH9WZI;?ytrwGuA}VVNfO@o(!JgvN8qPloi;n^ z(YbFv45ebiUmepXnsvnn2BT43fPW6h?w_DQ$_xcvOmq~YHFmYZ zfX;J>MLSg1w^U^iRpFVGu=DeH1*;hCs2HWCi8(b-38%ZN8t$-_;%kKpj=UWY$sqwT zTakR1w^93^7opg)lyT}+*Y(`r|VI`Cq9RBBYZJehbL!P?+fG_0_(&ty9C0Z*C248i<5f> znZdUoio8o)A1@4`J9uec@|Xf5Oi%?{qyjgghq}bzP`EMhP;LYLxb3Y95uq#>+q1Mn zkKvv}0+GnPRP9Ha%>vJ?y+J6jN84%7(DR=czsCsw(9g~<4X|Zo`t{$s+sl^m+pIfZ z;pCvqP7JL?J^xf9G>hc}sAvj=)@#~#K#v?Nm-LE?ZHw*@e8 z#Q7<^-f5C2nwg{j_5%)@Q_N3TgAJ)RPm!Rh<6J;o*Z}e12cOPWuyme**OdO<1nk9g zVQbtvWSi2qLn0AWzPw~3h6R-@nq=xV#pS4k2En*nUd^q2|(qoHe6BJ~a_uzsvQm79Q@{K|f+nLowChLI|oI zZ3lI~+Ia{((D-vw4ZbQ;On^^p999eJU^HM$D5H>RXrd|U&1$)6fmZb87-+?tYHiTp z#G9aUe( zNMd#E**7hoelB!tBb4FIW|$5)h<;~uK60dSXY`Ys8la-LIu48_FWO%SJXi>vnYv{qS(U3{{MGW`h$7cfM)*^fpzLo0 zJF%OlOIsNsFHON};-?N2pn>#U+p~ATzb-q7{u)J)jr&s6)+;jy{!7H)GB6XPX(O&| zV>4>6D>2a)mLE*6PRg23r=ccNm>Z{1?P?1c4BA3pn`mQ;((sfiNkUrfo9hD#0xajg zY^F_k5-?erD3)~u+!rPh+*_xd-ju7(uzT`RY?ytWy3#j;z=+op{f4yDdi>I#E_?gH|GqmQkQqo(3X^yc&#!(4d zXtzt2csN7zN73X<&G*d&4v2A5tBCx8N>M{1*CB@{k`E2P3^7#!7O z8x5|#wi;pjhY*z+9=&FZ_lAm&_~{M%3BRhJ4n`7Fh`+kW!VPABmuCJvdG(wBadM1) zr9nq?UhuB{<`1FN?@@lMa^H8lf(JbWaT zYZ{NatI#FEo)<8T38w%r5zk= z570VGf4Cl?*n-M142%dP=Y63uo%_)s3lIQf^G@%z>(Raj7@Hv(fMc_2ybiDeJ%Gij zK=_Q0LWH!?C5HC>f^nA$-%RPaf-BoU#IcOm`>($c3*)T-eQODi1Ta_pJ@q-VAH}QO8|*XMKm-sU03g^v)w)jZL#!o~DD1<2X+=&E!!R-ON%KAa%p?imTa*7toXRz zs4j;Uy_<*_ps~~!rQLlnGy0P~=b2C}+ukXpR>*qDZ;)EA`km}ZtRi{x_u{vzmKwSD z^I}ZCP5M+)tFN)=lW30n{@N{A$nEsYe2f!jNyMDbm2oX-@Gg9)2MpNBh%n0qbD(WD z3Ry=&$t^#_NV95mfga?I|E9r7CaT=($)EcXf9`O>uOA z`iGmM*oDem35xDi_BSeTJ=R)XymmPLqQo87l8YC+)3tM8vI{hU3g95tl=xacpwn@m z0p0QXh&YA5`Ro4oU>;D^;Z|SwOvqZMQroIughJACXHK}2k*aB3X!l1X7t9H9Bh4L8SrSFP~BcnyQ#=m{$j?>XXvFAL=W3Nd>H6f)ya=*4p4ntN?&|87{a z;1|s$d&eh8+(nQUgbYw@I{-Q%e_llQMPZQhqe|D1`!^+vXb%;bEooy9=1H8{ENRJ% z9*1cO{lvAsl2T@6n9Tgn;4+*!+4(KZT-nNK`zf0xvHZ}nLoZq70~Dosm{#bRb`DJJ z6*qjzu}c+)py~YkMqKDjv;W1hB+f$=tkzyXDAQkAg|BVCSw(K9G*-p+pVLCE{kIwq zmbH6AB?&5oQrF$B#k@;bj-7JHeRI$MEW~7;OowC>ZV>kJLeTiDY;-9dStHVXKjisE z3H}(Z;t%uS)1jGgFE8F$ghGq6 zkt*lHbYQeI4Yw*XVDqDOPA9=c>9l+Vso=%FxKAIW1g$goreYTC`gw@2Ezo=@s;=7; z-VxBioEdzxVjk`~=P&Qr{{xg@26tTIq1U3)QRo*6gRf}z5)I=pOUWEyX8RYy{MJmR z^8vMB%%ZW44B_mL$7B^andc1>3cWx$gSM}j!iz^5-Jg5zYW|4JeaQYUqWiR~*4Rx6 zRk->@yE8kqC9>npl?@=zxu)&E5C#LJ^clWTe7k4}5 z84E>^ebDJg)#spufPF$XFp&oL89940&~o~N4u4$Lc-AOpSQ3jAiHRDoX$)Y%G%DOh z!7O50OJohEe{x!@Q1Y^bQ>rCCmIo^PRAuvf$0|N|qAl4_org^M(YJ_E38ke$GXY|j zklV&N41n+Xig<>3&N7E#Kv9C%Q{o{%F^kNdPeeRp-%N8DdOk(PrMjj_%M~{$_Z0BG z5IC30KCd0pf)#V`FFWFZNd)(o2XVlIV*BIj`0=fqk=aD`Ml7?tfPsX!blnf88vu{< zQQ7sd{^TOkqKdPa=MaHNA! z$O$!# z4($5$Kv>KX90=cWwdI22*%Ye#4xC9aqM^W_Rg`Qmm1fSsUBnjxIsY>SpAMl&xy*dS z5r)om)Ky#3CP!bgx}GSmi~G}&{Z}OGFB*OKI8-eI{PUL26Y}+kd2gUHc$e>93^S?x zm9?Qadr47wnW>>SzWG(lN4bE*@vGD^B|MN%mkLDpEn$VT+Y#(Zz^i}fFOJu_xV zYu0c+3;#~Prj|aa+>9Aio9i*pGTo-o;LPPfx>XtG zcdC76<|l<^l|dgRy?7noW^TRlGIf0GmI(}+JFhYyax0Kie2o58m^3PHF_hxe#8;mG zf76<`-QdMy>QSSnr)Rm$p=tE;z>}U7$?tq$pV>WTnetf2Q z)+lr~K_5G&&5&Q8`iyV{SnWJmS@O85#I&k}vA$%ws^nR9iG6iRLv_jRni92|l8l;? z?HXeR-brtKE$&v9ujaz#rs-Bx8gaGo2m=FCz9XON@E(2lF7~Gl+)ZckMx==rg!u{3 z+N9+Dhk19zq5+b$r{d*usSE@CS2TG_v1#?A>Cp?bAC8ZT8QItbI9|`T9vc~4mU5z* zgFpOS{g~*>CNK6V2Shj)6}ZvWdYmN)ds0QW(e-_!Yk8%}k&1JNxTS&ue@vHB-phb9 zg0tv>0Ow9oOL|ZeZx#W8M2gkMU823*Ku*qvtoVuMj07#G8&*N$A1a4$nl5J)Te{%& zcP}}UqpeIU-x39#O?tjcymU1BWh?)k+NX8|X~n71&X*ZA5t1!~vI5lGsEGTS_+paQ zB8K0o7_vCTr%ZE3D8s2GT#fYYh_Dd>?~qEP{J&q5ypIU@fV?-we~&juC!$9a88XcO zWIhiqR0&zDai~UQ+clKyxK&{ksrJU((=O{&3*0s_ii8UmT|z}ZF!20_fZOF%Z;S7W zKHRZHPhk2N5g}_^s~lX9>XAlJV4Q)0$;XfXV3)*)JcgaZ z7xPd;?9MRSy^7N`Q3u%C{Dz*J=TcgeCrlc#apra!DTEpp@tS>&|BgZNC@7HvS$iqS zNo)4m!uA1hH+4yK%3Se-6YyNZ&? zDN`#}E%bAIoJTvu>{$6!Iz5#I9#AgVP|?nZ7u!m~2I)+AIoEsD+yZJ(sRTZnu@KJp9dCB7r*X#mv5E`tAupxwtc(jJ;X(3EftYHB@&r7?6qiCx=B+1$vL$pGlP|r zD{_hQj>?IaxXd>>Pf4OKnQxZ38tpkx$a9xI+}GZF-Ng6j%Wwb9_v}ww8)N-;zM(E8+#6s%PIN+9}W?r#$|oDrhq9q_Ei>PBZQ_ zu=N*F*oozOn(t( zp)@WCwBlUPk8f!iUhOz8>M-7|RL1LXNI;kI=J+L@)g|3s$qj!*fG+C9CM7kB+!q>IeKFTxcsEzy{C%8K3%xeEa!W?Ri>%M$ zXX-NQQra3UT2b1U&snlzQ#$H7Huo2ra5Ml5^Scq-k$x{>)(X2cPJ@2fUmWj3mPOTEX zCKg-NBWtQJl#p&bO{Jwe5k+v>QGbwZyfl}1^PmIzot$@~99*;{}`)ph;D3OdwK(kUSZIg(OJg9u1>3>`x^ zBA^TG?7eoaU2~ZG zG;&o-CBM^CWP7~W>*!A1>*xo+5%8N#-IMJCzfYHLgYZE||0{H^7bkps-E!v-i&%Cv z`xWHZ2>#d`(8FsZunfEr)T_8$HRQ{}`^ggIc}f8fYPBfrNsSM!QrIl3zmmZ*cx)jr z8V&>=l4)Fn(l=Pk=}^C?6JKCL1MPreKta>|>Z$4t!eyJs$Ir?iYKC zA77&^>?9RV{|NKAU0N_On&K6*U~79giA`u>>&i4i;TAKa*iAt3#uPLTBq(_AEgqF6*fz z-9@IFh{9P!z*9_X{%f)gzI)`F<9Dhv#TT~Jd>X6M7q-NF8V55nzN?9R-a&>G{OrEk zDP6M~gN#PnaWmnu;f?60<-ZOsSfSqw%*QY=Z8*n#$|r+vw_cTh&i=fq5>N0n0kb$x zd%7crBcE5Oz#`JwSnn7d6Z4C6e5><F}GouSidq@s5)5E_RTM zM<0qMMs;p4S=j`MSv+FaOtvX93qM(Btz{%}Z6y2>Qjnt1_38diOOt>a=?hmi;Sf9S z9)of+<$Q-;BfXHXX6r3n2?D%S8=uDQk@kY5d9q%xesWGtq12B{mx#Koh&u~ zR>XHSI?;elw+}pBFfPbbfq#3M_TnX)&p`|i5>)b;6|@Eqbp$kF?21~VD~zpG-!!_1 zH;P7IZq-*-%(t!)BH8M#%XIGYbE1FH_7{X?|MBzuF9ez*OIY&jjY4GCkxchObH?Br)IoW73^h@H6O{_hOWM9p!P3V>K zjP4^^4zUaAMXZO|93=EI-!>&l={r0%?1S&@#h<|-GW-m;S&HvqLmX(n2__k~tBWM5AlRfofRykpSJ}aKc|4C)Qp&qhflOaW(mM+94|5YW= zL1%&pM#YjsNUuV513HMB9_)-$HxNppXQO2-yn(Q0tmQ;OkdBGQNa6wtGw0p+l;clZlZy2sYwpw;w`t~TWUUh>u@OhO#l67SEl9+H>1P%Ffma0IQ-^e% z=?D?@2iz=iDAGs6b+icZA;oFRQhgTh*z^;1nqA4+^o2y2xPo;CV$G--8GMcuw9Kz- zjY1(@IS#24l*aEJ4Ey4S^OvIxNtG-degX zsSGHR@fdM}6_>M$7C;7*klqdeMM?9}lZN$@;&(SXB{O?T>mg?xi42zIe`0A3!(I79DD;;Ln%SLX+&+PclDSP^^@b zHFr7}9WK23*+!I~c1@%&9?cTOqo{lYk2u}<_H9G`59XT|UfA)yXd@TO%|}#f94#et z!!4#5VzYUVWwi$_(fMsEuYFT9k5+V}MSQfIf7eN0-RQA}HBT`9gxa*ezK_wN>#yvB zs~3pY(n4nix~V}vPU8YEn^+zQu*30E1R}8-Y3gSSfc4l=Z-L5)nTW-d?#6^`Gx(H= z>}$D5M6sc? zPT=xIs7U(AFETExD}eIN3?BwCaVV}8Un%T)PQ%e18&4zrbmO%tQ3Fy!DwBneY@4a& zYu7*mpL1uB8$0~BT`k*onT8LBWE%5h#ipC4POepdDafr=Hy@uWHD6o7!@G=RzP);w zY3a85WO)!$^tFQe^D(tQ5-@IK#aDNZf2MGrfx)-opZ12pWItsdVEiEkY{^HZsLA$t zQVj`~n`-KES!8PJ)H!sMF@#@vthMBibH9Yt-^>88e)($xST96&|33`&%3T^ zkljd2W9Q@#DsU+I{DFgQ!`*>&#znLG1KiT91hG;_sv=WWwqFg6-D` z@?s8*g}(kx^Hj49GQS|x(@t>5w9tl5H+#999)cpT{1zPX0vIuAaVp_XP3u6_$!*csz3p{NWrOQFH;uFZRA zn12867%?O&HJ}mHoSKxGdNy_P+dElP6wOLB958I#??Ee9?Vms zuXo;chpxYZa}TbHZMtO~)erg^Z%Xi{5n87D8N+D%#=V2l`a!?lxa8`iLO+#4C(5@^|*eQ>~P}E5Ej0foVh5emD zb5dYiy(tXT_z&@cc8X*jwn^da&z!@CL}fGN1k|5HdNz;g5672DQN4?v_aK{e6eWb1 z4@^$N$!h6xge(QC2e6?i2pBnlK2}m-#s}uUI{s+yH+XT?O zQlkGLL(>5X;S>i^EXCs*lGv=hNCN5punwQ?)*H0^Unr-rA~>}hf~0a_E?!n$FEK1( zORT1TOCQfeQQQ<5>` z%(asr*GlNj^%}BdgE+Q?eK^wEwqBoMBYy>@J!HF=O?xV)XGnYg#Iq1A~hPS@6{g>xFg5_wX3 zsa33j;U)F-72+V8@#{Sh+y4uQo@}{2VTwO}8|q&}y+2}DuZUP?E^S;;BTsXQ{Hm9n z+HRZ|5$N@bv)?-MD;mzLB}WSJNM(Bl)IZS0cHYkR>;X4<|0M^6f6_D1MisD$(U!m` z!GSD78%Y>#iTchvsqp1Vk4+Xdz9y7Q3=x(cZ2fY9wa6_ zlG6p01JK?O+B&gl=3XtRCA~L#MLRv5@_USadhv<3JpDri%7onLHLAhTL9cVt(y2`I zn)KJwM3%c2I~qe}yHeoVGw+X($mwVL{^pY6fo3U6Mr{?DEmo5L?iDsktDqqmuS6DF z;%Rl0brNs9%Tf#RBA0q!I`#EafW*%eTsF|zejaO(E|CWsEzty@fJ1w^x3F@?nr9AV3 zoovI0ox6gtZiNi-RV?82ra;DFS3og&OK=GgOIIrAWzP9_o%+yXS0cS-wofwJ)r2(A z>@=NvIN3x=`*q~!eokXpN`FZ^j|~+sXt{0+h~oq8+3{B+SwQW?l?57wgaP$Jp&-9# zX@jLnv;;^YqACLtv}-V-BY0fLct7&e6AUq>gn^F&3B+o$pXPriY)ds0N(DNrrV3z! z+tBEOngBv+*o5<714mTav{u+KW9!Z(2ZVnTvuY>``|c8JhYj@Kw{xYW`N8y;tt~1I z&OjxBa|`bTg1C}L zcHAhy7UlK<)PcUI4Ef|^P47|&w}ZMYHV3DfUmd_w|3q{@y0?J&PF^;Hu4m54vN!nze2 zo=bD-@of200J)GPdW#9DN(I!3u-U|cMULyA(BGJ6_utwL8 zukFM+M1Kn@#5w2>=GEqcw1SSp(GXm@Wljlt zK#g|CYG9CoLZyQ%|CfmVzG9Av z3a8^^wrT!ydiK<6g%T5G8%=W{74-Z3g74;|-zOLEm)e}#c270miH2kijCPGWZ#ir& zfFqwzpO_`J#`GkbKbV&=mGIs!G2Q;Quo~X|^tBnYI8okv+HLNXMT>%Jc+`vS|yDTdntUjXv`YCYoIAIPRBvC6Lx+o zmZKfIU~c=is9JnCT64lXJVT>sW4}T`nW~!c&)dVo2hXU?JzU)?#OuX;eZDP!8AB%{ zdDU}gpkBJgh2b4EPsJIg7(2~vSJPfS*mX2MA`j1qLFnSlci`xSz)U z8b1~{`Nw;7r#KiKtcsr`5PO+w`*SdQn`(>S#^S{#Zg@=lY0wRWz@VosD~fPw}Gf94AE548G#hrmubV$4TH?vf=EB=e1aHMm7uC!iB71!Yz(T1 z+o_;Zm+B+2&}7k@nkDG2K zmNT3(3=Z5z9CorX*2Pyq2#$&Gu_3HfgAfd!-4>keZ5PO@)J@93zU^xXEQ)pZyeO2` z!BIVtOg4tNhy=u0T1OfxBwRork%fU6TxAs$?hymeNb9BL0uRP3Iq=%#?ji&`B) z40V#R;m=lq@O2D?$MuW1d&B5w99W0cEoY1|?1D)H@)96k#_d@4`X$@SE;y>EGXriY zx`&M|l{GfDnIJSrodghCuOUB(qV5}P6k}EFN)mOfWGs1%WKA7^J5-(&bL{Aa(W7|1 zvr*HpCN1t5y6F$(ZoO1ZW1jvG=f`qos@-*AiqcPcmfYUiRLLg#4q_N^e^iDY&p#jj zTcFZ>Ky>~}7jBDAv)f|mn-4p;jp6N`45K%B3o@XwzM|TMOTUW5z961Qt;)c`%4pXR zZ81ei-K1MFjSB86qY9xM9Mp;ToStcLctg^Z`RqvYWW#@Z;A}kopism`x=EL8VOEo3 ztNMsM?MH^m>*46yneX48iO=fR35<)T?Q8^l4{WHtDbNK>2QvNtVWIBY*3fQ2;w&&Z-?zsj7&lxz+znJzh4YGr`Z8UY^QW7CU%!hmS}JqMu^3~ zlJWuDwi56MMDlM0OSf!j%fJez-YMflPSUgp(8d=ZOf!}BtCc?DZx7%kvK1uTmgr_y z8v0=z*6E59ZA-EXT@N{xUZM$gqH&N^MP%0@T-xM>P~8HYxBQR{F-xK17kA{Qj2~!S zL#$_~vgt?Nk<-g0Gx3x0TqhVwyd#%W7*|F8`4ha_IgC`yZkk5Wm25>x_Y+{UBOLloXgf ze#LQk5WnJx{Ah0hnvd6!%^TS@SpAjqD&qpX#d%=5ly?veyM~>;d~T=2cg)0WDK5MR zMkxFoU5uJ~XU*CEB1u3+o(ygLm;tIPpf_5!p*#y$uWWcDOsnl!mP-twO6Rf%X=@@6yt_208x2UD?N^o07212kGn1MFU>rYm5~ z+t<~BlQJYf4g#Vz?||03}bafMB&3VDeR3aiZ`3wVX@ zL z|Kc(PS;%}1F9O(-tLpL#<5=@CEAkGhj)Y&q%4e>3?&2@wApf-fM|7w9rQ`jSt&8oE zEc$tbHzUXSbxzfDlM1uLfl-=N7#YrnQkfJFDX^}ZWtHPeK#{OIq?UN%V}}jet2*oI zx%B1q;cOGWIu9rdF~zO-vl|1{~zK=8xW&Xy!0U zVt=~R+m>|M{N})f?juWtg3|P$vh+Eayt?XdO(hdC%Y`755!CA;3?Un!s`|qs=Mh@+ z5Z{~>t*`wNPkvU`LDDGxJh0S;OLM6lwOjbHf$)}`S1LjZt4|y(yYmRkp0mq&86X}- zYA2%(bChyaOE2zf#!MBx2KiC?6O13pw-9JWFn&}rE0&DZK4{S92^lJIa?db~n7w^A zuToylBND0IIP03D^s#sk>~a%E%_zjr=GD%EXU!QruG%?OYXD^kqq-G9C>r&`)8N8= z!X?rFB7vGslJdD`r=8{R3#(ClTbIX^UFIG((Y5ge7@XG}n*&>S0@%D3_SMIyub)2E zPFt5BGNbwBhrUVkD-bplDR?Ara3ihV51C>Wb2LW7V2IfV>Za%LJ8Z?Mu1mFl?A=(d>Xd%asC zcPvf2gJi7L9bn$B5b{3N2rsRyP8M^FVfsCOmqWa0b8=eGL@0r6sI*dm=Ww20oPr&& zTteCOm@EnONCcHEu zWVX6PElOv|d)of{diSQAU%Se}4+-ajcZCe^y9bg5yjG9uH9Yd1p9ju-7fn`UbuV=h z2eukw18XIoCwB}6qw+R@Ay+ykDxv(~(eLx}w!jkjd%YE=wbCyizZ8DS`cekh8K&X} ze>-NIWmxJyZBPLI!q1z!NJl60mx{?v1|OlJ@smn7yyHvZ z30A1vvutoBiZ7mDsSwafbY=9;E2@g?7}VBraGy@y-d7dcpGYedn5f@*o;RqyN^+7a zrnUYInKh{GgD5iIe9?oVT?bL*aTNtIkFo(VFIx=Oq4WWkC*fXPzT&xACw0 z5rMH&zZlDZikcpIG=qS6y6=O6VtGqI`Eypk2V$48U!o2(xO~YDV_DV#;QQTDBp4K@ z8~dPSO|~=DVg?=RLFp*2bD->uIW{0an>Q3ftbjskqcac6OG%W!bLle;fANKCzG=gu zsl~MN7Sy{EP^ywCM8Zo?+;41FBvkDWx=lY$UDuAvcx#}70_?|2RtYt|&ai5Dn%el> z(zskuE7>I)k#4hn7~{q?u42*}e_Id?CBo=mJGr#nZJY2K%bZ(GTNfDuqCQPwI!dyx z<;23|R{cb*8I66QN$yXt_>C#~w0{?5m|vIbR5O4$rZLTc=Y;gL0 zJ}`(nP@?TTa7SqMtmc|g`RDD|9g~QvKdTidX(d-g9b0ZAq)33bVZ$cyHr#Ij-pSbT zs62qat8MlhP}@I#bD$@S3`BgU4TZQiKSkaAO7m!#FYtreFR7bRVa!I$zop)rFIjLk z-~1}DVYHjuAK_A&z`xqk<^17hTfVTC$na~1r?%UWbGL+Tl?ACs&UP7v3||F$8628+ zF0z|i`5OPtvEk_PnB%FYUTZk%|4vj9Txx40*<-$wYC!Hj{mf=Egt0a=RfiR*zHD2@ zAQEI3U)1+ZqaAC}MUZ~(9uRdy4!t7Lj|czlVGa zKP8_1207R~lgk1ZnR&BNKi6tqqjh}i8QH=s-E6fQG9fnoEE3ZJZpn0L(&N{+k=COL zpW&(DmHi$18|K_cu%ulXL zA%JA0&jkfbZ!UaoX2|f#IdTTR;#k9zRzu`1)=*SPN!P$mx+-`ID6FyJrp6=V3)E7< zG%1Shpp0iy)QJ}v8qz{kQs;Eik?TE0! zJvO9Mm5dx@&dM(nTKl<50;HjKxrLXf?^`51ox6=I*r{r_48QiXdlTCldBc=uON^h~ zg4AHKg!OOzl*{l2GlO!BX{v9j z_~qkj%i^yfYc>oc;c=739^}x`G=xB~^lX0R?3n(5@eXz|qd0X(4m*J>fNmhwH23htsp6W3_yJ9qRg3*HS?q8U`tInPy#u9j=FOr{ZNYQ_D&tg=< zHRXMcTX~{@!JisqB5kOX?;!$fXIC1KB`i!AGX|yytx@yJMz;=6xUc@UZXw zjML^_8I)pd_2iagY!$@9F&BUuE-TN`jA*q+ikhFEg-dF~8^gt9fL$KIvV~+dq81GR z*s!otPgc{AH9+hX%fbfs5npk?O8x`7q!RHERwYaJH+S&Gt^fmriGYJH&MMZ@u`7E5TXazgXm8Yfm=tZU;9Ubg0*+ zH1iEv#<3psdC*$*Tpa$o4hoj6du9U*DCA0m9@LSZ`gXsZ5-?^`_P;XTVwUOEn zOZ*YFH_(KMEMRPscnd%SDifOYndii+LdE&x9i(+*rAj73^IG3G!*fjE4nujpOEZ4k z_r_mIw#k%ee!rmTb9x@j{R>jCRc474A1pH6)Fps$aoc1zruc1JY!q(bSzs&dQ{`$${29#UC$a7Im*=7 zD5>JZ&4?*2GgO&n9|+mv^E;EdY^{u{#ca(IS5JQ(Uhxm~dm-5-amA>~wja4`aKhrhA=#6eL0V<|jbSV2tw4m*@5gci z@8xQy6z%QF>^*LB6tze;tg*?J!UEmF3dqop&o8Ba@c!+dYHy6UOD>CMwIDKwACiEd zA^7EG4lm|EwL2KQQ**XOF?(@LfTmk6!=7g{T;SrqdxQ8IApRu|di-1eM!A17ILWgA zWGDlOc{o!&M@asDXXa;U#L&t8N>P-$kZGk-ys*D^DBj3a%;*M-uSudPW#P+Wc(xL; zaq0^;A=h;~M7JR;y|%+&g={e_sC1op zg}7OUVkLH^y&9cd@->nZ-qprKX+fLKhf1vU)!km}NV|UKsYKH3`~Jpy4w#7d<{7cKp8xaH>|IHcu$jPN`X_}VL6f7)PDK9B@|f@>OopX zHZfj*{G1H+?O!VczZHPn_KzyL3t~7s}LDBoIO9 zKfB~9vu%npQjTxb+iTIx-PaLspe*!nDfmt!lxbv_7w!;L&*mh%C#;w25p;kA({9@$FTg4v0qa`_u35;tX4KN2v-Y5GJPa4$ZnhskVMV z{@Ohrl1h6t{0P_nkJMk#+@H9=1>!bHFFm7|q#5Ln@Klt=ZgVgtyor7MxH~u3QgihF zy{(kDazr|-LibYtkYr9Tzhc5dMkcM&-jk=$+|!SDAetAW6u5-}?`sYcPUSmE?_ANi zU|aE#`xP6pME+fzEl{zuysCxlH}k2i%05E&cWOz>eEEMvF(oNjk|XMx!+BMA{e}zC ztwn03W}Y}-BbM*AQrk}NR`4|c+Ms+CcP^6u<7Gd?M4M>W5LFDF;Pt3rFjBytp!=r% zP4k1~ePS*-f40pUrO$}R2+b#6wDLtm+x(F=iK}&GkP+S3rAB%=BhkPe2eQ4*ORvCK z0;TS2w+su&(-G)SPtGT}>Q3P$F|Uka6$^f{i&Z^SsP?h49` zV`iK}3Sz2P@iiE1r^vH~2M5{ZoQxL~<7ex|;@BqY4`gQDRaV4re`iYg5&QUg_pMgT z8%y_J9lYzr)ASR4MVfo)jv-f)j24KM+BSdZc1@GCBbr^4g6ss=|3J)!F<`fCiD2`X z$>Tg$G@$!ktEJk~{rrQJF`xb|@r{3Y*QgT@iW#s7J=n2&IsT<2;kqWWT8&x>>#3N* z-Haob#+q5G;rt(Cv!^*vzsO(&Iw)Ne%Wb&Q;4A> z#x2Bf(W^!DvCOR$um!LuOV=i9U=?7=i*zi`jvUA6LkJYFXfRmP<(mJW1oTO7R`5NP z-d3t)hdiX<7k-4>29w;nqLd?k1o72MRuwlZ?H3KkgYL zu7#|WBOO`(qn+nwn-^kBQ$HWB?JYxC9L(4Di2eL!7Z>B|MAr7aQuHGXQ~WNxpBuyj z*PjIiJ35sra@*K@`H-RQ*6eBZ;@XnxpO&7sreFSvK}+jPq(9HJ{*>H71U3b&l2?-$q!Y1hzm8dmP9`ulw@Py$ehg(55zE3FpA)9viUo4&9!?mB_N2`U=sEhq4e9c?zJlAAe{0N>XEH2xAyWbFEq zhJJ&mCJh5*4O*Bgh8W zEBZ~7Zxd+5Sf;j^V@Z;i_v(m`xoFOR5_{xL2NPb~YnnUmqU>>o$$fM$#~Y0Y@pC8F z-4#0A73!}|C*@y$ptECP(J2}a@-U`SW7<%#$O&wb#14er=viM`)HV*KLa6MFl)j)~`;U zwG{j=A&Uy0ban^w$X!$Mp8Xl*?&71KMOny<{|Jl!QwFPpnEczppC+l6(eNF5zEAL- zI*p5Z&4UnlyW7V_g4Baxg6_m;cdk)v)R*9I7qBuzw+lk90yxHnmYIEq(&7wvT>B7C zAjLi;#;R{_j2kEuw`{%mlmCFx@(aOo#;U^zMeus}ME0F)eXonxmwXe{r9H}L;#m!QMWLC<_qQ{ptsY<0d^<5xdwuQ~ zrHW4LfHxYj^K@9Y6R>|%-`yMU;>7;&JHtg;^;wB%j<}viW!(wW+h{CeYj!sl4U&QV zs(1er0{`K*{;Q!>4)&ZX`xE>JC*mdgo6ap<)z7Qs!1f!`q53VD*v^R(#dIj?|Dv1rz ziJ26^{M}59cdqHtp835aD}gyLVAE0kWv1tNR-xSKcy>%|fxuo1(e*T57&S>km6}(S z&E*O9&FXzo&n+#l$Wk&gla`IIZIubjPVbk(%zgtql)aAi4fML9d9h&Eu1@inNNqDz zW|`874%ZQu#gr?ZP&sjoej|IBwal6l77iaaG+G#T+4r%$IhHIgOVO zUrc*zSCX>SG9`Y)W$}!DFDlL&68l}i7O!Zb6^_q@8X|{g<#?+GvwDs*tH45HCqhUB zrtIJC2o8GDZ;HiuWMCT9R*m!B%Elf{fe~HS$*SVG8omqTqik;(-&N!#^I_Hl3Tc`m zv4KsuoXR56`=};*sCOGf(^AYrH|BsMc%l0>G?Q%iVW8Ew5P0bP7YE5^{wvb4N%a!P*(QF0=0^y&+FRAswG zNd+wzx8+7v7Rupkq<5BD&#&dO4-~T#zB2y7RqQ3l<@#G@m7{GIAA`EkT~y+>y1VZT zDm`qv22+8M9ooc@G3;Ch+L326OX^BB9rR5JvweZwEz5yMWHfHNW{n9&sgZf0vZb~A-hMRF}WTCQZ-SQ6(bqr3Ga{B z?kpzyeTA->qz(;Aai{uyhjzY6H5@(=WP2VJYlKhAD%N@* z#6ONBb7J)_ESvt=Xps#5ZmfvwzXXRG^&A=N%*gRo&euA*ZocM>!bs1U^~iC5E`gLa zH1C8^0+hArdwkh$E~i4|vC{+j?~k!qxkA|$sYS`9rcv_mf*jFAt4^nS?rAC1Abz&) z&`I85sdtg85W^r83+^TfEqg%OnCXCfCzoHZLLERo7CvF22f*qFuUjlE+tv^4T2?-s zL?S1-NDRyWDU8TC2?__6KQOFZvFnP=q2h|wEqP!_o?%LCn!q9N@Gb4xJx%14oLW46 z^VpXR$bsO4Sltl47X@gy8jG%xNGe4xUB*&<0}`Y9EsnDL&lyhnckQP;Dw@oT%@-=V zDr~0rsqWNX3=-@Ki?MzUNgt$k76Z3BF6UK;rVoO`S|Kwaj2;f$|8890E#NGEr(Jx2 zd1!A@6Q;ko`+c7G$#vv9)*}pTgIjd`w@3dY93Vv1IvgR+uR=x;oVbt?gPWyX!Bo10itGToh2&j7(X*kyyb$^ljJ}Ha0YT#G@?U{)m0$>UByePniYTvxBe#@{ zP^UryNm_~EriV29=f?Fr9-l2j*nF=|@lcl;aU0iRCm+}ydZCcl1zRFus!+?X!Yea= z10ROW4^GCR+d(MZV6zM$c9F3vDbLc3h&~b8C5+$Qe)V{lf+M&eVlzz14H_v88--!I z2ycNKLsB*Ayh6BsPZ~F%Ydl*k0-uaJ!zSK`L=YFd5RxeD}LKRX;)+( zUkm&COL*j`Q})rEoGmkP0<*W~(;lb2EI8+~=ukP&P3cA6@Yub=Pc0Xv{OQ!Qz zB0C}ZQ5*aZjj@E*6kSA=EujRio15Kjln5>Xi+w1a(v`=E$el>S^9=8giKE}a<=AC4u(sxh2CHm)Kkpp>l385;A1IL3r7f<`tY?mI zZI9;gl*sQQwE4HpqVxK|P4(mX+9PlCi>A^{JiRbuD0C9$go zJ3ZKi19|KkLJ1GUB5%pts9PjPT}-YJ=8$CB^K*NgJj`$C%^vK#U$EUsIunf%M)2wL<>Gxy9)-;xj+^K;HSnpfFu zNFDSL$k?XgKrwB1=h)y|iq)`Q#%k@zpizx*HaGr7&r9|jYoGwvY8 zoE?43d1;mY3($z zR;sqqZdjp8A&+;*xQq&#}JsLjiTt)EzdB8o>^yahn(VXlO z2Fn_Io}=zmpUm?Uk`Q2hFQdlzk;nAuXX7VF^Oz6DdY5Q~|DMULic1*X6n+Ft*O;83XvGmW>O@$|Zzd2)B? z{~;uMf0wW5mM7nJ)41kuOo?Wu`R~Q}k*?W7KZ&Vai8=>=llsp)?W(3U&WDYz2~(lz z&Ajt#Udy-3iA4PVzg{v9@|-4VY@pt%VDj`Z88sLGilP5#|Kq4#eTYa_k`H>)3>*LN zg~SU3#@evn79J8K+4YAs;iXybLs1>V;=RB5z*5h~jn+-DcKq(30p-BEhh6aL`;}>A z?~zjlc1#&9bdFp(8a$B^X-F9IC{CYe{n{|0x-W4&zkSWD$*3&b|J8}G z+mV+u;$xeAMm{nb6_F(VTM{T*@~PzMr(iGr8LH;kQR7< zbPY`cJ{pstD8X3EuF8DsI<5Sy?OWVMX!tyz#9H7o>lqPggPCv7?{?XJ8fN$Z{nGsW zZpBI5JlG}xka(wJK;GT9q{F*;z@!SO=pip=u6x~gr?kdY-@c_{^qKj`lXm5u(8NRa zV9lS4{lRjhhh7`dwx8jr z!*vlVJ30&Wt&&Pji5q5W1uUEGgX0b&BsvrmTi0LpGsvtVZB`I z7`DuqxPoM^#!-bx+E}MUpY=zI(jEU0eD<7P@=$%FS2mT4)VfUKZNt9{bWR+>R8_nn zK&zHi&}ztbz<%;0$@#x?M{Wv|f(q?yu^+YW$(6afhkN0xr>zaz9 z4topjxX8Kn#RI_#-Ru!ASY#@@3CIe_2`-NaI@y-Li%rC}%!5-_E0z2mo z={AF87Gz-cqBP{U4O1xeeBc~Pcicq(yG)_2+01?Y_nQr=fQ&}HYh2#~KPR3&DQh<4 zpH;rbWkqEX$Q>1O1QQsDsG%ND zpNEl>cSxbXN>ym?@JlO~{${uwo<_`TqXh`SRbONQMs$B7RaCyHN$h^Ul9%4*I%VPh zcFjAs2@|GQnz@Y(w#5*Qg_tFL201U0K!hXN#KMn(D(P_}50qlWGX#?f2(!Eq9D(%J zoqMUhYS4kF8PitqT{(qn>!xmFiIS>NnGJ)Ssu1scIxJRRVh6xP*<>;y9b)6iacv>T zdzxAkA3iFn>c}R6kcjmowO3aOQPKdzy)L6`<;`DK&M1dUO+6g%RDMfUD9oj*n>$ZT=McJaCsE z#}iwbrPN`c+s7FM0hg?z(JOdpWE3cw@|e`r6=|5=C?#pIbOJZCCpD>96kbLba&Sf3 z_WK8cSKHjoaz?K{Jl2xHhp483TYq?T$pesA00qW^ownptu$8TJRW-|uLEJ94lD962 z7#ChfB66@-+IIazwfnGv=+_cPPc2b=$WdyqG%RIEnG&*J;+l4rxjsBz3>Vg#M_1OyMBQVO$5{`RAm1HRUB$> z^lGJYJq6V+vEknZQ0+!1)38X6LNPuuBu1Bx9vWy8Y6tt_m6(nGGSysLdNf-* zh?o;AciI7ht-PUz2*dND!R2pbtI6FiQOW7u1FKz@OV0j_hxdUuW2DT6Cb}3?uQ(t}=P_6eHpD57*NUZ1||#G~6{! zP50pOF7GdGpce0%#2Ei@)6FFIYPpY?32R(TnQ)Ueap~_($tn}EDIHKh5Uds1*a(Ws z5cAk+>)KCj_`YPZncuJnP_SX^64#e3_&$22%^r|?*@D9jn}P;0R|l*H)XT?+1ZMH| z1oXmN)SNzc3n`c-)A>IBQLwap9%=sdDy;gelKc)>!{!@c!!^Q zuSNc}#BJ+JEl1`z?$h3%CD^P%V!S`kx4Hc7HV+NPC92Ar7!Md0X7QHUoJ^=su~ugy z=pDtas9rIh(xaHFipEL&V`fPj&i_TJ`2{@QOD47jSyLr`wA(m#x*AZg#dv``vKc;W z#{KG>OrlK!<6EV-qe;XM3hcr00P!N2)wj?>N0z<|2={4u%}Z`RhKgxXD^nqOr4Kzr z&tc}(sFt_FaqAqo)c*IJSo#GC~ zy-EuP*hs`prl={yGs=pkb}Gia5(AjL8_O)JI!u*!H2m(p?NaM)#_6#*>utVriGR95 z7Bv65#Pdu1(QT0&y=KEC+d|Z2b?c0PZu?C<`07>~*Nw2ox^?>StbL~j2aAH8hz1f% zELP!OpP~o*-^?7WKbvpzp-k5+j*<+I_y&O$HP zuYB1*_H^}mCRQ&l$pp-1e=XT>M1Fg!#Av=Xl!(wZAJe2Zc8f}ZpIxeFQEkQ|jw_WO zyLMUKgZ~d-ZvocUv#k%~5~N75puwHsP9a!ur?@*5FU8v84#nLY+^xmkwYapUxRz41 zlot90&bjyhJNLQwd!ETV>s@Th<552sUip!`oi_oN7BYH;@Ni4gc9mirTT`?n!CeDt2g<(I3m zU#S4E!F8vNe|Z8b={vIVaAojcH^0~= zJENEQEcx(ZVE9Vq3BdWiJ5kSz|9khio|opB>^#eDa9AX_<%W`9os&GGiS&&%^U&8! zr88kW?LYBZz<*PQubG=(q{Dr0F8U~U`#i<-q29ktCA0meB@U@*E zqrOE5`uumbDw2GAYW*ktK3ILU*Jl~%M&rQ`tkBK>6-RyNM|~d-J)*mSy`NwN1jr>d zdajMT3CnQE+IT_UubET-Jm2DzMBjTqqL%C*tVRFD`rnIYDjm3wRq;sAZYD3A1#PW= z+)O4j3$lvnA1K+0rzhtJ(r~X@1VijtB}^JyP%Nv3u(MOyMrwEyn7amM;V(su^hEi| z3rFvI+lGLpcN|-CFk8ZAxRNGj0F-sl){R5gZuOrHXTS__`=Ow zW5lRVK=_Is5d#bWv?+o}#bKN&f--}oGbsPy=6_-TVtmgirlr8&Ujcyx3Ks=E!;i%H zftYs>+6&|~*&)a5*<3UEqenh1lHhT24PS5FAdI-(z4a0tgKhHL@YG4%`a znt0d=wFd9L85DNLTGZ0_RWB4m!_+LK?eZMHvIaY2xz;vgagP;qBN*0-&R~A(G zv~SRbcE4cux!-jDr6Yf&L*~J13;_i90GF5^l;a50v}|H_Ui`FdokyH!e2`Nh$kWb} z!{9rY3=6933H>L-o2_%BJL+GodJn07&FuZ`ILafvP9|q<(X=Y69Vh;E>%(gQ%(2`a zJmnGH=Tt5rBi&s>FOi^6YfcRK~ic1J7i!&o~qjfOy-u618se|j^_9~%W6J&xR z@)jY|HKfTJaBvOjHxz+HeHbbah7# zFb!P4J4IQ4tIHE@MmGbr?O#y0w*ax6_j#{gMZP1fV{~zZ#l9Smc?_Nso{{g&DwA(< zsf#VzRF9hcqC$4(UU{HCFek5R#V)VL5ETaHzLK$$jX7x@cgJbAR)Kq&9;<*gD{#(Elod}F2LTW}1cL4bfPP$}^%4H9c{(Q6K{93kG-Cwy>>qqLE3Pb#n& z7JKBDb5s74^leBIX~Q9oC0yR+21@Qon6pWsU^J*86_!MLhGU2%PgFg_EH!Q@N1zaR zWF-}S7H%=f!rMa-cf*K59zs|!h{kViPl;O?rE!J%Ru<^!e+S+rkk6h@CznntZQ{bv zga{GRN%yVD1%lL8z(|^M6dfR>m_544M7lWd2^6{WsRr$s zR1p;!a8t0LHB2DiuBXT&3p~`PCc)EU>_bydY@$Hf-qJW#@Ca2ybpcqs3Q|L<#;nJ+ zVCy9cqDcRg)91fo2MNHmd^^=v|H>Wt!1I;3oNTE^0P?}I@Ga%o&mf?`G~n8Rsb6^ijfhd+OOM+TxR*9@h4hFwUq=>Mr;D--Se||fekqr3lHM4&Ixrt^v zze}gv{xtP;ReYDa2JtIBE`U9xDJXWFVv=*C*c*dio1le1Gb!Xo2G7ocP@}vLmum}0 zFtbR$vj~G91it^6ICZUmo!^z#W$$Ktx@x={@SpUdOwcSQB4{6=vRwuPZ#mJacQ>6r6Dd5BLY}# zyaEo2;~BtB=A(Gs1u0tBg_E1iiugwoUN{oKTYi!)2*s4}9iUM9*E}Av7P}KOkBwcPC~#FqIiAti2vgwmKmsr_{1QPz zPfiL%k`z(LuBS`HWx+5YfJMxsa$+$KD4~#|C2#5?9 z3e%Q#BYH5=nbvBEbo5Ruu4bW}rwsb}7$=}Ksf5O$Miffa2TNIjqp{Ax zZ;2WR?TJ;242uHI*QGY;{ZQ@%%80LE+Uj)wi8o$iOo^S5>9Eya)pM zz^b0V1jSKqS1*|sAapS|%-l?93{zx?{{bfL#TsMx!7hdx!YmkNJh;H*@!HpjgUUAc zP)M=dH8UE6sZ}Vj5SDSogBiv;S8^MR29EKrxSx}WqBlK~HMN>pz%^9WK_nyE2KK=+ z1|{SXH6kwuseANihX$A5tkH#`Xq7-Lxx%rX*;EZwEc>$q`UwBk0O-Y@!ZFBNqU2HI zebT!47{#6tf;1B=3`<7#-03643FS^_dx}+6aMB2&F+c}LA}r*hd{=S7kXXrZ!*6Vp zRvMP10f43zoy?*9{%?ywySjEuX<$XNjTV@^B6&yq0c~`_DiU8gv8IhA zK5=H!5kO$I&qE*~Cq&Z&6P4s8MDr}HO9Kg=J%1tNB9c|} z4BmbDR4zD%J5(y25>lyV8!7IlY9Ur6HEk}7kh8kAR^17n7k zE#{GOPz-1-Qjm_3IZ+J&^=KBRk^(vX*=xY1h0^*`p>RQT=zF&63N=f$=YXnri%*A^x>z3I+@#i~VX6|(jJRGu@w(7ykS>fqtzK*SrzN2^f zFY40d0GmNltO{=i=I$1jY(TisBOTd9R8{&cjR5%b+U}X@Ap^}os?vu;;R~;SO0|6L z(l~i}s`LBH@BgF$h*AWu8kwA7Iy{t(5eSj`LCk4*5J?bX!J6BvvTNh76?aQ7oqDeF z{s(R3H;}c%h@xDvu)}~sMjw#;5s@D8UGLX^TStF0AN!)nikT%DRcbaLWz)?7X> z*lmj$aoNC;d{84P*n+;Hibm2Qj#TPv74obL> zFzm#2!=WV+VXYS22WxRYSc`7r!CL)~Myx}qEzCPrPhvAFUY+r^@R*fe4^1p|`(~|? z@#y^CvK_Z1#Y5x?8hXfM#QR`)y~m<}k3~JGA2ZzKu8|cWGI%+e2dbaM&LBz?ZQ+p; ze>iWtV7rIJQIB4t`S87A$q!}7Fe20|KYg@+ud6$K{iJjfAYSpxjiu%E1b*F>x6u7z z`(NiC^^mv-{7{DG=)-y7Bg*J!OzelJg_zhDg1OM!2Bl?<0G_XcBbtFbTPS#rY@=!D zpgC>#3_FMa%iNEiFbV6+Cn5?^hJ9lLHCOQ-v|4JZFY*7TyV`TBczS5;&98xgy z!<-Ta>0&xMr^AA_xa)Yqg{>3EDp;)F&)0}h5bD~np#1oLGrYr9R@|jTglP33sy>Q# zc#G{?&=%KJSPdnU_OU|rLc13If6^oef|8lW*Q|1fP1V!@ty|9H2xzL*M0U}G+Kg4s zIU1ho1an60aQA@lq(?dNQBH6gtzPX-f0=366wGxBX|h8#UbnQH!g${~Fqw_#;)ifr z17oR%_kmW=e+{mkN4M+}J5R7IrE64kojzC?M`&qZ98mhthgK29I!FMzd*ja;h=q{Q3I3)z^9kC(Ew+22 z_xLG}tfAz&q9Qp4Rh^#>`i(sONwQK%a35&bD=&P5^OJB1L-q>6HgOok` zMeo1}JA4IC=VeE8HdKf#291-Gi;)4iy5SqC-(+yglL(YenB3udq{879&9o) z{$La9#S}*?$d||gZ(98MS0cDqMNB6UF2_hmC2>`iHA|y8$&Rs~-SoQjlP z?hD+TY3kCec}t_G|3|c%YWUU9?$v(QRi6FQ%lvL)4pn{^Np~>NiqN_rE4@|C2npC>7D)K!YiaZazwy2^Rvc zrlR+g7(0BF?IbVMZY){dl5q^UYC7G zrlee=JT(|IjqgXHHU^FEcyFjOg|8+X>JxU`4VjDBpx4-<=-Q~wvfRSsAh!5V|N01j z{gCJw~qtEUDj6@uzfU(C{47muU^E38&6)V@m{!4b9#( zG%E;99P^|vMcIjdQUuJlb1o%CG;_y3hl9H?qHGbEA7zR_p=dogcOU((61$^0j(>1m&xvG zeC`PIG7*x&b4-{>uLKWy{2Zc`&j>~AH>T|^3Y*=f`)`8vu|%LxMw!^ZbCgZa5n3lj zu@p5IW_B`FLD-eCu$~K=4Z!B{0cd4!bPv80{oa-+i741)ROa}K4C*AOGX|yzcVItu z`<@y#Xe|J*NOg#dXf}g!D##k~FqRgAYsiR-J|-^3-sXr47GD+L@2ST-+%J9oTtSGa z=7Uaj+7cY@kPxdQT&~+QZpz?KepU5ZusBldpQLZyF#N#MH^J=5TnxiK2cF{);b~e# z^H$WUvaFHEpjQc^(Zs?LjXZJ;oCD3K1~gDVnfni1ZpcIEAF*9~#K%eQSeg1$1z`=x z3zss5=33Y{d0C@pjOWDtBVKt5T9qCa0y*@s{&n4!Hjc3KOZ|b^Qis|h zT``)OO>W{V=Ubvq?Wp&tC+7!r&oS3_XJyv#nstcQNjOTl)ZgTut_&{#x`-rwyo&pmgjs_^K3#U+y5Bsl~}s&|A`7-@gZLv z)A4BE_?6|eB4~3r`Bx*SK7YlFAQ$S8pke%vkVCDQ+yyLtChgVe-X`mh z-pqo7c}VgxpOXsyOgf;EwJ}ZLUxGF~NWUL;7o=7QDg<_I5Czo>5T`?9aFd1Y=hMW*KViJM17Ub8!O}ZNK|A|u`qVfpW=cV4ru_3HicSE_M-ioO)` z@7BJ6fqC-$9{)9TcW%Wv7D9>m4MVh3`5$9g>u)!72m{4JW)xk@d2*h)hin1|C?bk< z{f^}zsgn`w3@TQ)RVy zKx9GtJuxqSOKOcqob2Hhz0q1MtmVElBBV%n^-=*s#Zj;Y!T0O6myMI9`4LULorvj~ z(6X~!V5bGyK`tmF#1+iZ*u{#-p4tyYlby1gK@KUhYvM=Q)@)iG3ie>t^U1ZKQr5!{ zNDoZ7rzcN{K^-TLe76M=9NsJ!xrz4>VaKnqraE$ZT3mG(Rl7tB*M=~EC#Ix1t?--f}2Yg0rOL8PpN)XCp7JMu&QcnE2pXQsHM%tCL3#*b0*lZ@Q;M5wQ?5 z)js_Mw2#Yp;uAvSqJM z5TnG%U4z1dJY!-gmBGr$D=j62B}m`6=cBZdeEJ;a*m~hwK}zqCsCu!G5qdw|2ON7q zs&*{oA=7Ig#3M;E}hU(bAn_2-@6Dcqqz@JhB&Rrb5$p*reW+D8WqjI5$tBgVe&UEiEQQJa=V*mdzdDPMfV>4CNQ3D2F8nAEidUn7 zxm^tV_Gk=y6QZC#hucxVM{HZ`ZB(Qq7o_h%4@m-zb_ZL)F*-w$fECEb&ynPvdao&^ zlR))Mn3`}59Zfvd1%?xG=tdQ{Imac{@+uthWa$R+UHvc7UY*}l4&GCHroB5(vJD7K*xM2~`vOnR z*kK&{3P;VT+`ecMS`ki!!%cIXjlsP69DvY)U9D~l@^&Lt3) zH@`#sH|_N{rbbgP6vIlDo-SL!+^gDmypkx%|9#}AE%|f7t2AHdD!1Ie`TbafcrNBq z*uc|E1$M$t0xbDnUK#W-`VH21C{RN8&)UdESVUYQa!JIaXt^9j5|Q*9lPMdb zKx7`wJ}*`jGeC$vV+c@@ONVOPo7Q>+;t4F_>+jie!^%U{s6r~a^ zrb?iChOd~w9Vu(pI7%4z{s_o|QzFvBIus3KQ#V%jNY;trXVK2JPui-E1Ma)SMYb7U z`~nAs=cJ~RFxPb&Aj+}3`G1dMRf6AA7k}t3c9yG9U4PsQ)hyC zYy}49kfctc1xeYENlWM3yH62&-!d6o_i_33R;32m0 zJ(9eC$VLC^w@AniWqzs4g)F(6&ifm1Tq)b9-vkOcH3q-!zPWru^)~vIG0DUl-Nwqq zn^D2I*Pk|MGM=0nYfR+zq**2Oz=*X$(+T0^k`LbQR>X#b@cnMk+qP2Jx`}So9`u!- ze>^!ijLJYZ8;8hvDRD1kuu2f#Mpwj-=g18cQCDhlM$B+kK;vdi6Z`;LuS$k{cofQ^ zy5?3(>~e>#Mai|HsovCZ_;DfUrQCT12VyY+I0*9mQULLk z){Rjr0I5N)Az5;ErKk1G)sHfZS50N&P0Ac(Z$cvzM00XX%lKFtak-%?)E@9=kFI3+r7!F>G(>q2ZCT01H%P7m+!EGN*zFW3z1|7UOR^Wf)> zl*|r4qLi83%-sFK9eI{3dypjH>Sp(#7~D0gd|)V>{o~g9M9@ksHL)?mJCdk$DbZ|^ z>9Ph~vz1Kt4$QU1#$lDRw444q)PXREFqcZivv!czDTX1=b<#3fO2L27tFv(CIsyCOnF^ItG>EiLT;%+nbS+c}l~Gwh*fEVy8)<6Ygb zocue)qi zR2%#;PytNUxkR*Bl44N{?nLz|rumAtC^5kdy|O)SX~iPuwa;lR`F!CA)016+TW}mP z;+Odm%^|T9X0k?ot5*(mTxLEFAXuz$)7DhAuP90}0higfZSqI2kIk($0tnx&Mcn0c zM+K-MTzac_tB1TUE)vt2*qKY|D#tx^P55fgd|?pG(rbWHe!y-hdeA#OuMB3B2dILzzw_dfhN zgxcNe+CrERw^-EmdSmcgU-W7yaT6xX-un6=XJoV?K6QBZwvVWH;$EvMJ~bR$7&Bv# z%7nD_!rK5N|DLMJaeM})% z*tF5x&N|ek*R828t3xbmXAx7g|0G(rJ@^uP4!G$Ej;jD z+8qv`ATPZ5zV68w+lGzKC{-`F?2a@dq!MJz>Or3 zuIbq<=0+hVqx9N%Ldvz~JFERx9E;np6K;I36{GD?_t(yrU@Cjn=1k$M*DDS%2#l#* zq|5eE%$&K2u${%SV9kj$sR703MMmEhh9&9w2Qhkn$b_9F>VV^7Zw1;p-ehmYYRQmI zlDiqwYM>H7|NcpYL`3}2*dA)%XTFpvRYivSr;)1}w&s7n@dskkyQN+}a}Ybfv5dAI`nRFu7AU+0*ScSPp@jCmPApRT^A?gC(zqpBP;omI z48x(X9_fW17nYG)EC)AU%#mjklKhL+aWvM-i8Ah>kR*>L(rP2qPWRb}<2#qWQWfD` z!}hV#?qYMJk6q@ODEW&*!ks0g{hnCr)}52j9G4A=^}8HQyR`S+ ziYk&;e<)&C>P$`^wulrkkW9De5j1E?eQtEtck;)mz|ff!%c*hNfMCHUzJacM?AA&~ zGiBKfCnUS`+=yUd=f!f!q$TE(|KVl7#Uk1dh?|o?>&5)@=%QLOza0?w=V5%iS6^-B zJ2k;yzq&Jox>OUGG28kc?}M&UX=sQY`eFzLM{N+L?|2wM3aFtoL}u+B{5V2umn_H6 zZ?@%Ca`A|GR6ye*Z*=#bkTLV)EPwLu>XfdQa5Jj;+obm8321E`G`{k7W1N)i(kvz_Ad7%gjPvy^p;eh3c92uEwaGs>*pX_Xr@ z%y$;OX!62CpD~rU+ZyG3QJv~oVjV*#{QU;W*Bn5uITca(%I#Y2lQQ&f+HY2~ZH`b= z|C0&2mMG>n=(7OFCGHVuwf-%?bR|>E(HDBrE53!~iP$f{HUcQQ*S-6Gs@aO?n%!Ku zZqKZ8QP3GZCtiA~=U90p%j!B0y~9?cH_-8^;+wP^=7}h{$tjdHvBycy$K%ZT_e4Dgc1@KsY7Ox^I&B zCt$O_@1jjG=ek2MgATAb(?dnbpmlR*1=`^L{Fi>cv2w2VjdJF^(GBls6VuKlW5&A? z*B=Mzu)j(1+|{Tr`BJNMS5?w4R|X#go;$b{Y%Pn6e!)eFQR{6^}Dl#|ZriK4w}NMvoP~jTY~5 ze(ue0*g{qL!5FF9|0ToG$q&u0j_P&ftE<;mr0PAav1DxOzvN?dUMFnxjIg4>g2HmKzyK#$_zk+sg=(V%p&G{8?3yA7hRcs;(w59ktz(!<{e* zrXR~fF8Tbb+Gs^3@n>7T><5Zl8T`BM(|YZTK)Is*=_I3$r4oJEXsD#s{pAV2HkD4_3rU0 zg$x_V-L%ueL-X!3+*4h~*@eXHu~K?B2oshNhy zmE+RVL^!Gh2-AVgA%DwVAWJVTLep+;`|2c}!)Q}JLOI?5jU3_{Nj4#F*%k8T_b)_p zHtACZxS(c5hGFA;O<1B@NAfU83l@nJfluafj1m1MzTW1dnHkt9>=r9A(;C{Z7_Dis zn8l#0DV2vc$lZ*WzH?rs-vz2vmlo9J$`I70W)wDQUdP~{)-kDg9CPUXZ^_hn{VlrR<8}-_uS72 z*}bX#sXLqYw1I3spt8Nnh9TTlgSWXYAx0kd5!JtS_0e^R9Zu|m{eW!S2AH0<~GOB&&ub4K9L77GkG13Y+4BVg3i ztSg8w{)8b}=ze|fm(P7(2DQI`mfP}nSpDVIMM)q;o3Rv~>DmzG+;5ftL6zQw^^ne* z?IB(3Zg)d*{($GuN&bD;mXC%GP0_`6aQhrA9I-MQP27rsA>$f?H>hR`w5bpNnVqtJZk z_a*)|&<&FnCQXYYT=}~^UBOLotiZQ?U1vGq{5}5b^NG%y(1JgF`ZT9wJUC>}-DGA8 zE^CWZbv>VS+LweSUua(B#omo*Mk$Xm+7ATiD>MJ1U2oFn8)G`lPfJ!}{1ULFTv}Q` z_#II{6A#5k^iF%haHfmifTaLp6=>&-`!@#ek1&=;N&<2BE9 zoyGD1Pi&PwYZOoJ?#e6$$jjZ>G2*$6Z#tBnK(aT6cl2{{-p|Z~;q;^5>eP!9WxiWv;&=%5~Z_dU&$pv`=JQO8xGFnYcT3^td>H=1?I#7FIoDYs?ZhTsI2;YQ8~YKv~M z!#xM}qVOcDym84t)k=U!l26I4z>EzO8|m?RzIR6%s~G!K;?94F?J~@Y0@*p(e0{pL@)mbE!gdB& zY$qN*F2UHL>oQueR-vAc5R^ip-KhUfa|*G$JX@ zJwllM`Ika@Avr8R*UvJ;`wQr92}l-t6pl-nD96=!c!z$iy+4z<)VZ7ICrYJ zP|dhlt&8ZQz&K7NR5(HN`KCo$Fa6&==^pc=^|&FUG{SOD4pU9zouwLMC1NY~AYgK3 zGKp^c6U$HC6%0$E4EcyxbUe;p9%CWL#Y@-%pH5(tr?neNEuT)PIMbw8vve%k_Rrdv zHWk47qPalco0W1BN4J`C zf1X!f# zSqk_qhQzCisWjKp0s50OJIJ;lLmhU-kfk z-cj@CySK-U7xk5N(fdOGk76+vIL3Cgx7lhU>!UX6P5}r%V%ZQzJG; za@R5y86-#x+PmOti^)1(DhkfQ*SJlIrcpmx2Ch02lCq`#)H}&fd!kXRu_{God$S&C z@DZ6m&5^+E!hbzvbtYCP7t-D5rD<-j4zrWjDdaDwlsc})%UdjJQV=*5IDIi4GwnDl z$|I%$Ezv5ZbGmCau~a8m#-$0>ZaHwm+fSH~J!B5N7`n6(MUAcLylD&AvM9$F9;CO& z8cO1)`q@v&9k_@Z7uq{bf2Kp;9X6|Bkm9&VhkMhzf}i3>PxG3N8(F4h6>Vk<+|<~! zN0&+agU^GA+-~!Z>AMII=gM6Bz1F;Q^rAl2cyqemP9|0TfRW8o{{m5r~Kq?_h z7OhP^D00Z(3pZ7uL(8g`;hWlXcfsKp5LGIk>lB8)g9dS=nI1b;YmxTRvowh}?!n@p z*xe~DJ3X`6Isv?Foyk($-NsmbY1|R+5r~&yN!+OXDe+XBpk;PRHBe=9Wdsq9rTtsZ z+x(#^GjLLDg@dG6tJd9IXsb`yRRYmZes`Zbjcat`AjLi}_tv#5ox}1(AHS7dP;dmX zA4Ab5>$|tyx8=oevyRB^6JFhBmcLCClhvi&Mr?men{&l$Olx$>YexHfU|9{C0nI$4 zx>bU{jS+)Y$c+9P4p{0IK5?F(=3DHPWRIM=buex1vMES;*Z9mSA*1h|s5HjgqYtVd zRtT~Vc9~Vks`J&ZF4<-S5F7g)O&&aDzWy`tuEhcc-|6NC<3gZ^D7uyuO>k35%g^5K z-h|KU%<;`olSzZUg`ZB-akcvC22R2d>BUhILrcaR(2RaO?ea0F!Kpi~=)HBWq6ruI z3p;M;al_58ZZn~Jeel834Zpf!8hTCRZt&@_)i)@as(rnG0?nZ-g?jq#r0w%_1IEhF zd91qUmcH++Q$SZ)P5rNqTc-yMtmb4w8+q6STUIj0D@t7}=gW{(tTmT*B%RL11pTT~ zJ5)`>cEwFaW*QyJkY1;f%lU;^D3_`IZmuX=)ar&-3x9i?Pg290``)aQ+1zI1Cb_d+ z+C1>d=f)<*)w-g2vz$4ru8K&v3gVtmWV=HtaN|s2a$koSG}&;E01w4j%8Ba6C$UUj zjE*u%e~A{FuoFsh#(kSE*K~h%r4nQ9Pm#!pxV`;GOJf)~dM-&NPp_HT!$^|0o?7qY zJ)|v7Vs-wq`B*KP(YB(_M&DCAbg%5rcfH0_IZH(u@H6Wxo%pFP*_)LYEL)tqgW2y* z^AZ_pwx3NtIJ}vc^q=R*FH@|H^1o$mhWNKA=w@-qL~Pbx1Hvm=dCl?-feg$ z$Kvk<#djwyQJ4&yuQg4bw6`X$jso=O&##Iq_EaCo6wBjrFODZy#-tC(m^*olwOjdw zZ=3os7k(vdr#+hJ_*DtC)UxsPJ+3~2%u4vW9CU)WvqD2?epYrdIhcf_C$DXAzP{x7 z1(089&mxJ=Bf-~hvJ%zt3;j~hR_F6xM&7ZP*1IKK09`1@n!X6*xFR`FX?1VYN(Wo_ z^>3b})@*}vZKS8HR&**lX?c7(-}Fu^vIB=bPO13lfOMrgCs^pe>g0dhMpXXX$q3J? znSMo%1LjN()0jy*RzGFM(p=DgQDyeU_t@+gn*-VUZrF`AvArc9=T-rBi9?3a{6;|U zqO~Ta`51UR=T&#P$2pJrn$2A^m0|2wdmZNR(7m+?cU|ka>=kWQ{&=s3sYA{=$rJdn zzeabXZ{80bY2=uIY6Cu(6(bbq89vb^XQdHy@7kt>k#afF)VlpCvOJ0kdd@eW1G^Eo zndS<^)nnv~+e?NiVwYvVmy4d}omI*Pi&^VOV!Rlx!6!M2Go7N%JFAk77OT>I`d7xV z)GU)b5a3s~d_XcKz1XPN$!lqzXIeebI~lu$K`m-5`sDg(RksD3tfS&@YUY@wbg>}kJkgYtzg+Ej?60JpuR2KdG3OrgX} zExK6?i}c>(b_-l$1#wH0g^tU84TkBmgqm3cL(S`wp$iF6SEhBtVQR|pvB=kuI*UO3 zVd}dgFSEAF#z|>7+P$U#KH7;i3^yG4m6o79o>rmSmWNp5GVs?0vo(omuKbEdwzbGWHN3e9|PARbnV+B|aI}?LN`i?}0BpuW4CZKYUvmT}GA;%`E@q zf*Ryh&Ov@K-%*w=uct;__;wq+}iC=p}fuuN}@1o^U z?&{@rf@;{*NjWO7)^iN!YOs64;?goaQEY~+;z|}W_@y#21iN0fcYZ%IcbxqGEGI=W;z(Q^WOV*fvd+0aU+M({^WsPCc} z_2B)&DJRNn(&6*=J{=;7a6x|ZIHKc<>zq&v8o--y{3#xh=}3AC`HIt7H$m}dzHh`99reWz zElf-`h$nhzIMKHpQ^*rc6v?&Ae#t6}0-nnH_JqYlgZzZ6LxVyqytn|;0;Vs6Ux-rn z=DQ8Z#wE3*yfnBcpG9)S{mh9heFsTGqK<vZhT{NlU`Zqa6nqir^ z_$VQe$x$>1f(Qz~C1-+e53EoU^UE&+XBN*^ad6=^z3~EOFhf(FchgnDby7x4JKJtC zcmhMfN`tWbs58FtN+B6Tc^uZNVWjc)i65iJBU0Y?pOAv_=vSme@f22qz|CqCRm(vtya zEOOzwfAI~f#xB<}@`ppMa^Bq!He8??=^kRKFB%++pRwfRcO*)}ad zwC7s8z!v$mkr7h83A4q3gA6YtqV_{*k2TYYa?ym;2IdY>Z92gyj(azSx=;f5M-5Js}qL zVmOlCjl2jFnl~nBCUlIC9Na|EM-gE-#br_xhx>b7InE0Ud!xa}*Q?=+Lap*qx`b_O z;IgzV$-Xmd1HpBcVFsg*dn&igLd4A%_P=pH*bTut@7-K0mJ~mJOd*j9r>Xz=jMRTo z;_s*1p)$r}sYycP0HbDmc~ODXeYrN}R5!Uh{en@+(`>|z0+$|jpp`(Nek#v#ql@UN ze&AfAc8`$XNaf3mDeD)}Z?BmhW{*7)FNFsujs~4f(e=B;5^Q$5L#L&DUl=gC%++b)%otMqA+ zTCMB#BKmKK6;nM)z2-EUoQ;NQ;VXWP8e-IrXBN}&mw=yrgZHo7h&qbArZwKZt2RgyZGzX4jQ%c+q0f#1iF0#T(};cX?{~RKKtzc?W6UFdYJ~5MANz6U$za5 z`7EXZ<42cAM}L0tT#7EXE_3ClyWV+R_2#_tHj_N~!0{I)1+5*jnM3rkZcNG_4{E=^ zY;HC+F4(g+T{6x0xdOsMeQO|TWtYJ7XK>eK-#@nN&J_28*6{H~O$2^M*a{MAR=rY4h-~tYYSH&vW)fOa0MJ+mDIjafTDU03@D+r(OR%`ahWLWX z@2L%Ks`gEUH1E?(D)mm$ZyNf0UHR2l2~Nya=5SKO9++dZIebg&wH65;TkNFKH}h~k z1_!R%bq-C?^M#BehaZHB?29zviy5+oAl?+6D!1kG)0jbtfdJ@@Dg zDsE1=cD`*W$q)G+Ljo>s8If|Ihk3v%|G&i+dM&#L-+a7zDDCuW;$(d1PpIfRvj*5- z6n-WfUvb>dA-R9|36kC4EOD3joxO9;QMCd8E2;adHP(YWow$(*_5@w%qa^37Tpi83f1}c^OANo5AV%Ecuo50^USTWS%VBwP~Iny_xs5@(EzTS z6VYcjoCIxUWWVQMp3eQ$0Fw|65N}a|7)ZXDL zW4uVIf44E)DLQ95`%)|{(XcZsbS-Q56OEbp>nig}aY-7l2~{kO7_mPRRpRqbO8%R+ zez>on7cWluI$9a>C>>t8Zqr8J!B1qheA8liMNOvj~gepGp~`5-_Rels(($+7`n zE5d2;Rp#CxGJA^HU13E3toD+)=sqRI!YqC91?n%KXYm_iuHT-XzT=~+yv1}shyNO$@U_@r*iMNGMJw}S6?ANR z6_2O*3v!NZl@R#)*7%LLT{EQRpb{s$%q6S2XjdYow4^F4&1KYOaknsjEC@18dyAAR zxZ5JoMe!+h-nu0qJz75~^*OSVkJ_=`!N*e>jYgWvPEMzCCA_>Hfww$murs|6^q#88pY zUp%MYzkf2H9rEzz!%ipDU7Ovxtrz$*4I{HFqgmCnp$)=ntzh}SjoYdAI6D@8Nhi`* zpHE*t zX`jU#-f@tOAFWa1F1sy}lDApd$ortc(I>{2i^@rCFH7ny+5sV5>MA0txm>o+3s99= z{)%GdayZrr(0HM6F-u+K=2@P1ap7*eG@ULgPb_6wbvbt2voIL5LEc*U#yB^pe5LKP zZ)n}$DuHB)I2R++9)`1V>7YuH?XtJ5@U=S-k&cV_;`ClwC+w$;SsY?cLeCpBOCmM=<^A#HPtJX^*}ZpmX7;*fWfUAe5l4iDk3`Bl1K-;hPVDXSZi-`T zk5j7{w89NHjtiI2Klx%!vW=Vzn`0@(T*{`W8AO!a-WtpQqnItKGNL zc}sVK7Y@dF=f>Vi=*V4Avg8NWA!kp6469isFv^3^L(Y8`ZrI&aF7&vgS(KEXEJ5v6 zemRcc0WyhpGEZZ?_cnUxAK%4g_Y&_Pa&y`$Ip%yPO(WBcxTG;Fp&iK^ue_hDp`A95 z`QdecVBuIjKO?xgdI=I2>6XAG2p=Vb)M$+M+{k(UEw1go#4(?Eh z3(nv==RviHHWSCyrx+QhyR(lEBNvipQzh%OPj%8%_h%0`De2|D^Rz1s@z#$w4Is&s zI>k;=KWVxr?^)E5Z0wZ|>q;R*z~bYc>_3l0zo&hpC)@qSr!J*gHDo^kb!8QNv-x=4 z^=ZHN>0)!LUH$2V_o>%>1Oo8BV_1!_c9|DmsL+^gS$XnEf8c6Ao@JIbKkfOY_MD(pO48aTu~s1<)BGXBi=kD|&gJcJ;G+ z3V_83puzaL^s)gYJQWz{pG~&jEuy;mFfY2wWbSRcaZ|mQ$2}uNcE!RF_aIXaB5KK=nMF@mK0?ibH^M+&&RUu|yB6%9DT9Ra zoWbl%YZeJ-!(drW58yox=|=o+iiY3W$5)mytx4lN`NYC&4rCBkb$tOVyX)aM(nRj; z++yJ;Z`P?m&g#l->l^@2aHpH;;Q873WuW=5x&AX9qe^rOX=>GgOUiZHlll*kSR5ow zYCSVI^oM^G*N~W>_+_E2K5{XU(4s^4cJ82gWRa6TA7nFx9wS zPl9>fLQ-8t*-SmLWou10_-9EPAmATccQm>qA$rJB>)^NJlR_k6!F397CA0CnQk{@G z`C=tb=6J7%EdF3tQ+AD*7b!1icjH*-EwU0Ej>Xg&7?-C4GAjjOk!C9Z_MP^R2l2Cy zJz9&xAJdLKJm$#G)YJ8l{UYmVAy6#SNvfZesS7|NcTc7&XrKsu3<5zN+KMn;jWvs2 z1$(imJZ zW`h)W;j#EKs8`kCv>#MDmq$%Y(P zoppvK6g#xMlXErLPMcrV<>!Pk!B*heKcPgY%f86p2n0)F-BE1^0RP9wN%HNRPG4lD zQ-Hpb2K*J2zDkcjO6iwm@+{7ox`lNgUK!Y`V0TFQQ7^w0NK&M#5t}?q1IuE8Q~39p zL-1QZYGPUMlekNWtjvC7F+DZU*9IEpkuDc5R^IPs;%m4~7$AZML6Kg|q$#-?M#^|6 z*X0$jS1)}+H?$@qz4xA>7*HNArVz=1&-C)#WIx_yF342rR{CjS{%+5=ltCrYlxy%rpp?Y-bFdYKFhq#SnKv1f*&AHUZwV(j{7OVgG#1gi3RMRTU8< zE|I#`5yXJ~sSeA`MHJW_Gps*rcNGwB+h$@d)FZ7p!xP2blyxMbSsZq~ih6oeXY90) zsBmd#+igSB`6UeN_kk+iy4WZ6EDfaLEFMo7!Is3GgiO8($B-R35nerX{^xR8i3 zVv=zHV5He$m(BsO&ey#w@34XGd?~v$bekM%Wlg_0w+@ybR;)M95eW%TFN#m@Xe6uH z@%2R>3}X}Q?sa=Yr};NjM+E*P`mFj=(M|N9u9!oDsJe*wPsG)Ehl0stGK;K`&|ChY zeQbgu8T$-EU<>PCMR%E7Y}5u_-Pq6~lvK^{r^$BXwY7q9f5N9+h zNy+CVU$}C0Un%){2sS_T+4HDfIvKNh@X80K#5Y#4ac`_w4$yG2#Pr<+#a@{!FTOiG zTmjhoCdSmhF3^Y-Y0Y7dnG4~eTQcTnpWM}1xin-NxR2qyy2Mx!tW44SnN#D~itHQi zyG(2eX=8-cb_}t9o6L^_+q#AABn&yjzv@cVdqW@Dk+(Y-5{*Cm*mYNZ;j<wJ5@`c~^JM&pg8)U-vHW zIO@p-1`LZ?wDWL~6Rk;TQ`B_^=YJIK=(stI*w}%0Pe~XN&Pg9W#9k>r-WUIqLJIJ9 zd?CR`-1$ws*+>h89h(cP-Zw-AlLj|XgA!dM$scwC@}G|2YejLV3m7Z*7E8rR@N#bm zdG^F-AkFtfuMR-O&NGxT?7oIO>!H&3^4WU32Rr2H_PRIc7B!jnH=;{U=7x$QV=983 zxFfh=+9IHIz53vBX489UIslRBH%`8IAJk+5PwxQiw~^uGV3}CRS|m?@eaEhydx0oO z=>JQrBAc%Pil70ik=NVeAikzn$Y%bFwr5S5BeRUs&-e4EtVr**AmC=b{UIj-rBRG% zp1vvrjJK8Lebe{b=3DR7E*qz4?oyzL0C@!eb0}O_Mh*tfrHcy>0Inrq_~PD1a6{8B z`Hi8e0YI#15ukY*;U1UgT)agRP&u@?5HcW zfaew9>WLk2B@3&87^BT9mhx_H_He($Gp*4DSCJ$q0VYBu<}rRJ_JvnMEfiQp3pnPw3@5A4}2mL3Dq5S%@#&N%m9rOL1(U>KW}9pDvcGC#M)Y%vcIbL zm*krPLYf@zH+R%$p>o_3uH;PY=E^!Ph#!9>Q7u`zW~5uoDaV$`uyXY@J=3_Zp1&EX47w+Se%Y zDq-;(<3o>9h={5g;Cz96z5R$$)9=b1rqE0kiF+1LPDjVuAhy$nsBbTd4?S^%1x@`P zOA87~yi%h%#Iq1>aauH)td{S(1|w4U4K2DY;h)LZWob5W>vY->RYIbA)-`y%6|;|2 z+`%n!FYGhh%alT9Y!sC?W(T+-wjvqotGump+o{dRBr!cH^5KG&e`p!`U|amQyRKrBbuBoJtV=Pooy68bn4F zr?!8+E9~kl!J~mUtA@r5?3;&%{5Cy@R?DRtX={KOGM@p*8S-^%?wMD&VWt2DuvA;^ zl@rVzcHZ^WZ3^(s5LN4?Kd<1vd%yC*gN|XX^ssENV?M$uu^#CE@uGFHqep2~$t3F! zmw1U1g`&Zaov>bCyTqcTh5UL+sS2eT-p-AW@Ii7ke3t9}bR_|AMNF}Ap3e<$b3<5G ztc5>&z#GY>3lzn_5uE%c?z?Qz$D>B(e0vL0(isVve1#$~y|e_& z=ecf?aox zAjGD@*CbH}NLXiM)9b63Xql8tnX4D*BebC7HK1JSvgy!$zZ@{bDUO53v5KQ;IQ z66sUUxnywyfUdCHX2j1;@lGXA70!hO(fN)_CCOo<+5#%aR>Yc^*6mK9W#V+a(Wvlt zDS0Qel5CuDdz?W7Jm)RZ{V)mc?A6jwtI6_d*+;34& zOaDaw=3axC9Uar^S9R;%=B8lO)ivJg`Si&Pqvj)yElA{7>L4087&+#YT(l~FO((WSH0;hy6{ma zPb@}x1UODK4C6|GzGv<<%%H=SjxMb>Wmhkq#Wv(Mj9OZ>JWLt+s&}^_8$<{tl*w@Y zMOhS04s0)L{4I?*cJvRcO^GtJ6(@E-UvF(?L`4r0;F9o)(_J#RybDl55fUH`SgkC zo+dZ1UVa2C&**k8vUQWq@h&9d;5ZjMkytE_k-0;dO_}`M3w~FQlnGbT?lw?n+r@?a$t3a%##!@B-FJ(aB7Oh7DO@qD;LOtK$79+nu2ffOajOB}mpR*CWMXtKw`)q>wV#UMgW# z8OcXh%sFv;eG-6B9aJN7QAw6zJ{5=QKZNRu!|b~LVwBDdIxy59Eo2&{jGcnKTB8|W((Y?HRW z$gEUq*peAnx6zfAl_{Ryo&6gJXe8k-RJ~R~wtE%G?&6y;P7%Z+dQ`=EUj@8|8GJkc z&3`w~7|f$LP2IcB7j}t?*en9!7L1wAOsz8c-t)d>H|pK5I2@8&;h`d>K!VlwN%`TlVd24+JgqVd5XAFM1zK z2y3niGORDa$bJc1FNs`y<@JQ~a`xgI_EKPoeOytB@GrqXa~Q#lv(I<~R$s!{tEAZX z5gSpLxEzky68SDUJPLcjXV>>C*a*gt(+9=bo`Raiij^vx-iSDB2KmRVfYh zq+^YnQ2`KEUV!X$h@(%)2(Wlcc@1l+DnL%G%DUz7q(Da|5G@LqpX`F+-Bx_sv2}?W z7n?=0+Ogk}>7-^?%L`3A&SAve*Bab5G|-gdd^l8- zdwdafNm7OH`jB-?ZqIeCHw)QuzYYVyURcwmboEkE9T1a?dP+I63M*n z0_|f-i7JYgDlHmpDWN`FuShIBzqtNoy~1JmWyEXiO(9*)FXA4@RN#M61zeK}(y_>9 z%H%FDIw3_zCF-rhy+_7iD(|4C4?oSYk&UA#LE9T4aoUL)7rOU@)({EPqU(rRK8u)f zlZ4xo2-*LNmCHwIR_mewPM6o>VF9n8BZzBrbeWN7VZ`k4WZT0IuvJoD*K=t}MNuWH zoB*%Yw{*lL{MTNGZ={J2zp(bZD_nZjKEK%7kdu&FctNmTYYh?Ue{c9_06xp;^m4Mi z>!B6n?#S#L;gZZFAk{w}@GH3Io&(@kj#-pwlr)Q1724D|vq4{8sC#c-BzU_nw?dRT z!y6uPW-cK$EWZJgVJKaEgK?24eFHT>6sU@yGzAbsRaBD$^Y&bB`rdSt-b$ZrJ0{QG zZp-xT#XNdVJVVj)fDN3r@24=qB&JSq3Idd*y9D2CYyPtfM3U;N_`1$a+1$H|+p6L` z70}xOV^ZFeyGd&Z&pQfB3++M;m7%>fqZ_w4%M^o8W8ng-ou%}FcYB!aVX1_`jArNX z$2aRKSObS<8~^AP01khyyLyhr6&)4FgB-d9RV9_iP0luGN{!wyclQG>2)O~@vaoo;pg>RO2XP=-NR}n zOt#pga)zCK)tU!IjGT*Eg2VVL=hbfaT+6_1&MmC=I{r=#sx=)iQ;JkZat+}VybMJe zowE#+~pieqG2*NG?`hW!5C z@u>L%VYjYUKLP^I6>Z@JjXhog8PpOnJ;6!*voXn$xkh!~TfkmFv*d0730||m?o0@; zxUHYH`}RJV3nt<^=ZW(ne+3`76ZX?!6pz%TPma+2O33*}hjT z3706=VMJV|>Fk27E!aZ8?j6cM$=|8Uz>d?ig(D2gH@st6rZa*VsxjmH^rda5*)e^ju&G{m$R(wLY5 z!g^l0lTlnt2`k?V|K`}=6}~`p1g5B+0a5N32v}gsX9 zJVI?g%D5!x_4+hbkh4CGK-mUW**f*GUf1vY14?(Q24RER!^N4hSeig7=9*6PAw_)4cKEJ&Xba|A=LkM!=Z@BAF+7u6oBm}kznyhVIt+;?~ z3o4N|f5k)TyH}wL8BQaewt$c5ihiE=ilh`JvVGkx4K1P$!N zLbO_<>)|7avP#pTN$RqJJh42$#)SKsFubLgQP}R^e#rV8Y0CVI_36C}M4m9UDauc~ z_`AZ^iN)6UizTT$DVehSy%wk~0AX5{thP9OUo)%W+8)K3{SU?4dZU!P%q95Pju-64 z4VSzgUw)T`cReX4JqfqD@FhZYlU%N}{hsOM(%|{`si59qD2>Uyt5izP6R}gy6CO~o zF|5xvcyroMH|>*};QEaI5A+ z!$+~C%bjyME^{wBSH#_W_yc%&_vi4c*MGKZ!{KtZ`*FL zw`%~-o%r$lwun(C&ACGYn^;iOc)`kJT$z{Nur>`Agvn4_sky_>7|CV1N6|8md?zD? zxQ8V~);qH3*Pg%?0SkhDtvku1xFEES)SO3F);Y2sTCitx``4KSAk3BJhZ@BTrX{Dy zCeng-Lp&Y2UZVbaLo}Z95@W#k!$=Ql6T3?F+ZYl+u^~H8_Rg;F3V@$8rWUgyX^ttU zp;QR21D(tB=gBTEmXI^D-m!DL*Mgq-ootv(*+lZps`m}e-o@?$l6kJgE_TG$lO%aJ zs;61=d9tgyID+=Dx@+^{n~6)n!tW}=C@IGB>rS7T*k1C?4)oj44NV4EPaf_9VtMvU z@Q^ymb9IoMY_Z$F_9TF@wgRRK4ok?b?Bm6L?`o;<1a4tF_D+@4fi=lxBRvTTH_9@d zHymQdVmf@eBDP+gn9H#}x<>-2-ftUK7!2CP>i#jIMBfx`&M(*SYhQ^z1Wr2-4w*y5 zctc+NGj;0qkF;DYB=9bMd9MvcLHu|qhEc+DDF_=6i-haq?~>L(lOBHIK|;7Gl(%+P z$V`9kpA$j6PzjKg8WWxriWDe)yZK$OSF}GmR-ZcG)$N#b)$~dXzx<1aGJ@LdSb}Y# zk!Zyi<@LEqb!>)#3KY_7u*_jT*_ssR0K$X(Gjjz$mGY38jW$!tP=g2zFgJz+Hn60t zj2LHfg2D^v_inyZnKQ1@C?otQ=Xuy3IVg~j?^F)XzG`47k@Sk`-wpnYi+Y)!GG zGG8!Wm*c=91zFI1pKSY21qpze9>nDH!}l0>otgn|2Tvk`5GCmwx*&JH<3T3z&8Hk1gmB(lY!im8$eUCnwq^KOCV04iTNsnmDp*{Iuc(>?C?iOyw z)iqs-&gn@^Pr3?%Sn?V9H?OTYqToJ}EeSTaN}{{pBEM+gPT$1dZqMU9>g5(Sf7 zzkPKiC||toV-3S#y7Vr`+=f{*0lwA!GJP+7#4nGtgufPvKD~o0?WpDzl~H}Q%;)aD zNF$mXqvi;NZnR=Lq-hS-DI@S#zqtN47hToEM+6P_M2HgI{OYO zY5iQjppM7xvj4(+FK|(D5xiJ3(wZtuo1H#H{elAkFgF~->y}^ukYsV(ZXS4Z##i{6 z#w*>s>Eecu&H;~W0wj^LkF|5YW0%eFnh&Fv`EmMw0Kh_yVU%;wC8~+Ds4@$Y_XwFP zOJDdUOLp8Ns0mu?6F(*h2pDg3@6^kTIt-wvsT|lve;?CSGP&Q^o0ngxTLL3^AA7|# zjZ}ttc0zn}>YM1=F;sCnlc0pHm>~M|d(cV}hzpQyRV|wlN_h(65kk5Pbw;}v-wYZ^o zd8UR&`rHR&ldV-g|>0m;E=j2}y~}6277aWxHhgDr{~(wE5jyD;hQYJz1$y zyA39w;IVjW$c8+b3(T1LB9?{UkZrC`_AWSH;{|(i2WUJqy8l-8l$q&#EnHL4&s5ud zFSg#xa>Tb@d(lT59RA7}F`Ks!_4N}g|ATr^zj^(7?x(AMr~YNfpOb$)+chkbGs!R# z*M5fawXTSq=^ysQgz4R11SVry5rr-0hIuZP`PuH`DcXI}toeQ$#R|N&XB4QP^7~5i zBv#zP>h))?U*28&4B!@mMcBs0y-~P@ts?INkq$g}ABjW7U}nax=p~PhLIs_9In-u? z=g&LjH^tYrcq4fLe|pf|sqsDf*IWf1TgEYtzgv8CZLOU)U~)ZpI)5-0$NhEWwwt6} zaqS-Q=z)uNrX)kkoPleuJ3FYwcUwxLgK#HiPC>C3F18LPKM3xg`g6l;AuAv!YOAg7 zu@DqOD2?ejHv1{dO;E0()*v|)W0UEAgA#?U(j%0!->C(qNgY3VF%Nuuhs&;CA8C{f z9aGIcR|}uQEhNlj_cS+eTf12xxim?_zKT|D!C^&U8oN7xK$YMH*EtLaYtRYO(||}C zEycB`N+=l97hePHI`LLkcuut+?QX-^+WHUvE)%pnC^elw+JX=BGSdu1BLn>DOAlT5 zYIxX>*Z4I(^X|lriYY5;7JN2=L|^l7_(8Tk;@Tnb=32_LhVa`??ktag0X#J@vVX$T zU0=Bmy_cWlRzGj<+26i=kaa#3W@7qNx3|YH(b78KpCbrYkQG<%tYUo3xLAutUn%Lj zj|IJLxV)iG9`M8KBLV!%OV0E;Z^--J?ZtbWC#H~sQDmpxBJq?=|H59n(gSk^qfNZq z1pZWtbMT_3fa!u%WvswkyxxNS&%?~|y^(tl< zk-?hKJ211y?`{Xd*!myvIIA3Acu6K=+=20r^rnnl$j-hmy-XLe#TVykf?l1~ZH9gP zTZB4bnL3#IPw%pQTz&P_>!J0ohYo9r5R`J7FOQX|-2GP3MZbz+>4d?|@y5|~;lQP- z8+~J*tbnqlu&XUkBF>2OYr$?`@h%C?#d>?HOnx=l5|w8pf<=Y06|r6>+AxPpES`y> zE5YD58GmmA5}ig?x^$)dMHiEy9aB=%FV1GgP_8UtGSJP}b2VQv#6yZ{D-ZJ)+a5+b zHKG5Er!L5QR8~csWgC1iu~3dxSTS3*SlF6~`yYegh`ezr_Iu|-@&Ub7QO{F0q#GN$K|Hkg4_+ZW_GQ$pZn zUEk(7St5A!#eD6L6v)FY-s4yA^u2T#VIkF%pY~r1PT15>{CL-YjpCOM|6A|-;)@Vo ziu>LxrBwu`jzIH?Sv*hQK_SVN83ZSLkLgZ$9XR1b^#DA^rqdSe zfKQfO*sk;$t`>5_xZC&4g(DQ&wwppB515bi*LfFPzr_LmU5f>O%#2EDe4EjUOEW_; zy7SxWv(4LH{)u@~Y#WX!cpc2xO>$UhwJZf7>?W)EWq3fx3G+h>oMvm=7m*woV{VBv zRbqq@QfUm8oGH=q+J4emG5f5RW#JfUrD&&Ur;NS!ebVaF^1BH>e@ASGWb5H9JIbi8 zCh4q&RkKyS>^*vo^_Al+=c=6C|NnGcbp_~rWR?;;L&0^5JI^0uXQZ#q19?^bSzi~K zR)RW2%Yh3!$zkXlbx?n;%b2@6@;<^{&L)EuiBTgqU6I`*>nw;+bFjs0fjd@Itl7G1z?C7Cc;ywz}fgPHWZ600F&*5N-08eM?X zcuquLbo4O)N_<||Po4zdO|Q?CbWWs&8+77r(Ud4}(vTi&dyKsmUh7c(U1MqDqCqy+ zzj1+Kq=npx4IOKSjxOFc)#eV`jPW)}B)<4pxolTvdL(cmouRxi|uY zr0|bkWHGR`%%0;7oYy+C&>VlLhI-A3<66aY?;i&X`dEeRJEKrK{eqA02J_e;;mtCa zhsT~w3m1nriIMGe6y3d}v4D};_MD9PPYFYETz8SF@}3dqMq>G?x~DYStYkm}_8WA} zN{zObOb=ljBZFrinG_ijb)rqn>tZ)OkCm8?;0EwKN!^u+IfUsd>;4uHVfB_`)=v=4 zm}}3-AVREjFunfA%1--yM8u~WTx`m+aq%YvJpV0EyPHmFnC7$L}TGG3k zuuirw;w=J3$kT4k-7ips7w$J()NZ7CeZ7XgLSG2_yY@tVv&(-PRFOR?pGAo|;LoLQ z+IKRHxG}zXQr!Pe?e@lWx)*1;9(yjD^ucm*K#Wk1{6F{ML?L>7iy>Y%x};sh7ehQ&}D7O^o0m&e1(YKfS~D0uu-qkUv&iB$v5rdK$3mN+v@xUG~0qF;Ped9b54 zirF4@t-vmas#Sgr(_I|s!P897jG4_}o!DJs5>fzd9|;YQ5w~5gT>lXBpE$5ag3=aK z^#l^`YW?T?u^b4RIcj2$e!uk?RwAV;ax>He{;xr|W(lBszZ926QqnG%@6QA5Yp1sq ziQXwXAfi3`jp*Tu zdDZm0Zsq`Nn)S=8+HmE(2#<@%WytEpxL}yYx)OC9-pKBN8Wmm?>U{ejC~<6aLAIA^BDZ!RRLvq?qp>>C?`hrCqw zYhK3h`m|$fs!es&(`1YO&Ccjc;}#%FCo84>W{&07u(l~bG`wR zzNNR?_`4&8XYFr;@lpGtL#)_m@@!GPt^A^KqVo72@& z?`~f|?$awOXB|^(HhMa=9`C(IA7#CjU^#q(UZvn@AQxzSrK* zUs7`(JZkw7@jm_Ap1~Fewf3ykZ#4l%phc5>+IC?+6Mjv!|LOFUTnwy9u4vw2GHxfi zc53Qe-d>8GS*Sp?W13TnBJbNGW3Rffkpp&w%wae+Q%ulk@f9WAAl~xU=&Ao~*IOQ3 z_CRj1>2^HSpo`qJ=JccTx4rHdZ+w&;nNa$oe}Tc$+m|>eEkL}Tj>&Vb1}#<&+HYtX zE>;$jLGVypbX73#0-|Z1E?_aqU{Ed{)kX>>$p|~zJT;dl-%gKBlig@IT$JU!C+%Cc z;jAhng(Mh>Fxj+UGw13_ggd5D^3dvtTac4u!E|yAxNOa71MKxbWywmn^M9>rLdHuX z@pPpq3`Hy3sRr;$a0_Ed$^zLX4YmYkWn&JTs#!+jdt~A~n!r;&rJM2F?TtyN*#G`g z-i`WjjOaftJgX#Vu}L__my9+&3pigG=2NUR$O@a+E$K{+oNG+%*Df)XlGIAEMo}m- z!e3m0*$hESSY21B?Ee3(Z~x4FtNsN|pc;d8uOqO#OU4 zs-N?|W?BkZS;222a%R6A$IkCY1Z1+r1(fZ@AJryL+%B;#jG0E$GT{8J8suC4KI*gC zB=gm}=}Y$BLp!;GETfV({HT($o+ukVFogscUy@JDhLZ&XV*tyT6*h_M2AL znySCX_Sahf{Xky(kY|E14yVzfoL_C_1(!MsNg?B{(d{L9>IAMPdt)x0j><6Ir5c}X zKK8HfO--qL(!b*Q-7@u@IzdB?+DqlWLJ$tOY(Hr2?_;66vC3CG_~~U!Y%TI5AwDlx z?JuxT#L;(xCTg;7_)upl8c|_b2`?A+e>4d{MGj92c`$DhglYWuX~#&#+qe}C{U}~* z?zBu|ZMYp+MM*XRBUAqPSMr%UHM1<`C_3h#1=@q_};H@+5r8+Oly ztqBKcT7TxczWI0)M2xcMIBUx&W`-Fm|7x=nspL~8$C24COdDRxV7tCdwWJziG&w%= z9{xF{0L` znkevL*GS2GP#DvMIsMZIe2Zwqi{bSZ(qn?CY}kO4557iB_>Kd@gc>Kt^z7ESn2oUg zsy8pvET6~ur5~NTTdbNFQ@n?KMPNv{<*PgV%pK+#pFEuy+xUoXJCA2Iy>G|gxL+l( z0U`8?KglGNuFCl|DZP#bJ7*u5!I9UpE0uiOs(m$M_iC5qcUjrNsH(rIl_jlZVfYsn zq!Qs1X~t~jTivBSx=H@1v0Qnx4Z#E)d8;#LqZ3lJ9qzoCDJ3PxwVh5}V`b+e)jRcR z3Lq_u&br|FyFeODfZCcLN+3PPYuSkbbPC{rH6tVMi;krZsV`Mrkb6AoP{J_Ok$UiVnASdT>bE z67NwUSdWfv(E<;QTQ{fAF)Pn`gZt?P8RvHDo*5fRFYx_KYz9$Y9qY2jx925taG|g0 z=96pE|2Gw6v|8zlF&5bS-L$Ik8?!}5WP?;luVbe7=vG;sk0`KH10-WXUV2qzo0$1@ zeT3FxfzpD~kplvf>b;pFQjx6nW`U;I0oNLsVw|+v51bMcmVhjuaLfVm0`X|{J?C-5G)OQHN9XHzX)oO{n_YguJS4_&zMi)t4-1&pIj=cqFkd`ZzCsc&rr*R zPc^BL*M@D%jf$#$`N0(L+F59HDgp>ZlpB?EAP@McEZi)#VrcDA5z&6D@Q{8w*xti! zRke4TpumBe%r*R@V4bXJY()*0Qi~V*|`q8Xq z+FyNYwArXncI{tzG`CA@n;ZizM1_*o1S$eoy*)_NV~F6s8?Mf7MA~2}IXZmXJm^bH zw<0Z@y2+Q8Wfs~y(a^T8#W|h?il+1z)BTug1*8dEGDt~q44A3`4ZN{#Dt&k?-&R<}3yzN91etLa@K5mGU7^0G*iYFFyE zXeSD>(t6dg*i1(e`dgrx@x2Z^+Kv#AXZ@fzXm+^^5IW;aKfBiL;9`!QN z$FI{V3c|)*hld)T33P`Z{?gk zd!dy5{)*rgoFbPM$4l1#a@s-^R<;)P9#`r=2o{zS<=*B%$H; ziMn;&hw9ljZ!`cY-=drABdX05)~DKd&yY5ZwM@B4e5LHIp4YaLz>WbXI~ZIQ2BjM_ z(fwR$d##7YiKHiuS8=wvjlk1dex}b8{eyeN(wv_$tKWUSNuNm#kAh*;oF*@ ze05RDz1h_*2&l{Fl8dzoCHA$bd8?1$_m+;)m&dmp1Q2|$Ii#nVfcA9f4^o*lPbqY| z;``cB4QW8N*=dP}BSpVh-uzS$;{xzqvG7~vM0Ews3V(L3A1cnA1yZLDVuyJV zXlH%|wD}B;n^k$~T$##U7DP738gJjEdKwl#$A0u}YGv)YRe5H2(&uZ-;%M(aKm7fd zaAL||UW;wY00f}lzpl?WSG%kDC&%Yp0lWv@RFJhfDN8NSxbK`8i+=p|))lC6@NZTN zupnNRbckyC?cRjGquvtdTwKZ~dAXNbmPXgvjYK@Z@H-L!2VL?`BowQsJGI{?SGlXd zGi%UeJ8oAYvu=Kgb;_{rcdN@$fYvLml1$U0VL9tDxOUJdG}3lBb-w*Bq}+j>&#oD1 zp?jH~0CniPv;{%+yVfNb56`~G zCRoHNh=!*dzdghd>?&Q-j}n&e?6@w>9_F#`9V&Ls`_H#ELw+Vz+68Ku)7JGeH@n|` z5k=gp;QCi6W<(zUpVK&ybY%if7=<4A;w$7&&U@sFNryWe$n+7MrHk>t z#9`ejL_@BZyz6w30%fa3^TqmESUcqqsmXp;y&Jer4rd#&)jg;N4kn_Wo@kAA)}(M5 z;vTJ{PRh_jJOkGDc`?2?aX!)6DS{GOZuMweSD~l0vy}~JuCxjRq~Oo6IpcQ~(4GVG z5&T6?AzI(`EjQ8z`*-R_bd{C-<_>|#cmL|%ALFv$Ty12MR-#?~iLl=fImzw7ol37j zYEL@>0dorM_dnHh3`0-(Wn!!a5@wlGzB$uoWnNeh z@gp`12$e#2el5aBp-D2nu<1R!#jn8JLG0XZs*PtWW>NEc_|nfqbSb#!eq74*-H{%T zJvR>KwFx6mjGo?Wg)0(E$B>5ZwuazSpgETI&90FDcqL6wb+C9si~v0Ac%DJ0v=jPr zL%ye=pVZp6mMNSkK~28m=Fe^@zCL;?x^I?tULYl8-S7@ls2K6H{cKT@nNXUqsff(~ z6EJxGxv-n8E*JLDCBE1of=9_;RA{^u93`}V=Tm%Xyd9q*?>f)= z*|wi++v3m?13+Oq-8}XAS+FX5yY=g>+z*_V&jMa>RY`JZ|TYgGFD|2(mQ|H-qWaW3Ald5G1skr?#36dJN$B#bW@ZtJvc z${JbD&%ut*RO|)RH&>1+N0^pxM$b*{^@YEVb>;8fM%W6k;2)B;@#Fm9S7#}^yq)vM zkjgNzge(b*eD)Basz{-2s2P5RuFbON%(hpv#tkV+GoQnnt_%4aE*wAA#5H!#;??VN z^lfakDXBT!Jabw1?n_kIyfI6NAN6HucRflJF6l<%e&3MrOQNr~hT4DmZlq zFcBPRO)5NloOj}#xSBN@ki>y1u|>5hyf)lzOpTlgwt@<1Ex@yTs(T^SzR?1KHHSjN zS!fjdpBHm{UH{siMbgy#5+}Ny#+@pcwTvOD2~f@TL(aR5KIwrb-1>1IcDs&VQ`!MT z_L%z43!5cwvpI*`;jL0!ARc?*%d2;i(WC_^0^21Pa1^KC32r@}{i)4P8bg5nJnIP4 z4^ON@i_P!WR>&SZdn_ZgN)L}DiA|$Xw~4#jOS5Q!_K6&-kr(J-%ffW>ZpQ~~Ue|_u zZ=L;hu7GXH9WjoKzRvoKoj{HX=x&)K)?!80Vc_L=Ty%iR%gq~$)s9sBIQ3oys+46U z-jg}U8(EJwu4&#uZ%+m zd>{W&pn<1tIwe~kB`2q@aA`l9J=ll$8a|az0;x#GT9Y$!1UBx zpY|RN9Mh{%q_4N*cJ3>EZI>7``ZUnli)hj1Sf-D}ipIbkkN$mIZ1iJxf5i3&h>dw9 z)3&4H!<}D#(`pefDtN8bXqpu#zLDKd(kHTv;Rq!0R(;MHO&a>%S2D5=?En-l@_#*N zk6CchdVUd2+6593N&xjgJ16>^C}v5(-hM<6{8V^Yr(Vg2*UI8;(Q2Q%%iU6kpC0|y zH@#hfMR#{cg>ToJUa$fv(z4HMXVjWlYM6sAT>7Hi>YzR^1^xjJQInb^;#^~Bjs z_|*B^2es~p_dmwRzcwMZfjgG~2cz&&FEOjc*;R zTSsQdk35**KiX61-n=+)W|tx&Wb?Rqt=wqnB&L&3Lqf?}u|?N{HH>%o{CbXEiaf6` zKgFHIm}}BcW}ZIxS7-@U#egD*XaCI^_pp(77xBJ?r`TrVr);!K+H^Cd$~@6LN}tJqlZrnZ{Xx<7_Q zbC(((IN%SIJ0NH~82ac-sMfyH$A3!Bkf)Im`(ocuyWKJ#hqaHEs9ACV(-LR-R#}=Z z-Xxjc>8pW7Z!Ti&)Q0$%Ad!zOOf$_6#nh8&7Lm5_4Yi$WH;&Q&W9cZP;_8*SELPm5 zMT$Gct+i#T^!x#oe9a?pD0GJLTHUo2Cs( zufxq1s;1VUt<{sypGeE-qxgtOIDpBcNhc^b?hLb5;;3!z8T(!SzPMINI^huJUVb+^ z`qS`Qrl1KCtHQldwfZ@&qrwrBUCC1Ml`0+e@S!qSsqZgUOM|lrqr&*0??jjb4nRJk zM21=≀WXrzJt! zUF*|iqV#E-9Bk76zcBwVKT(0$$_T1WMiHvY}1^r~r02^-`o)UuOZiRMY6z!)IVSat64{P;7?^X&_J?rh=Q0VXv-X^on7L zIb^aAwzM9kL?UbkAOFC!RCpy{{3HLi;&#oTxsyFu_X^=6!RG1W4hi79WAIsT!WmF8hs5%G$AaqTa!3;$5yj5 z^_Y)et6Kcdp(+fqRQ|2h-UmC`8|5`ehG^KY0E)fC{xpVfIN9M-CQx7JGl=@AozZ`? zYO?1iJLA6sp~9rpE|};UZDJd#*qaA}(%+kjUGjQFQ@CyQq=kMM0@>j@7&E%XMT#e# zCgjkC@&*O-igFI1C35bnE{aLYbbE(XT^-V|5U3nr6K(`uM{=q!vlUEgp$}uXDJ0R( zZL&;{-(q!(T5?6-5GP~>)7($}~W6Kq}R^zs|71u-XmtM8bpXO2<& z+ONQ-{nVD?8>-KKqg<#uk{Q{-^m7UV2)e<_ZCA?iYPo;~Db z1R&rYWQY~2Ae6VI4~&|M-0CPHREgT&;J%&s6~!f;s}p@}x0&zRJSHB1OK&Feh&Mnl z=!_2nZwiJ>sRGU*RmsbAVEPolR4@sbnWyTWm75|SvKhE$B>S8_WEW~_e}MBYtQAZ7 zEY)Qz#2(biSw!Ly*vTAPr3%QVL553%?$)sZFb5s9>A)i!Ypo=Tsi6{Kui`jwA)Fqz z0>qkBV9V`495msyb)%DLkf;SddM}1wG1ipn8H_v*qgxt@DJ3Ct883&FYoU*V1~w2Q`T;Ctj1Fr zi+lxJaKMG~R^K5Pu1NR8k1ZW(Ht{GA;3Z_tua}<@}vAR!;vIvV& zKVPJ9Lq;>2k(4UQ#c$(VqaLOyXgPpH60Co#gNW#Hdo2QxtzsD36Bc@gKeg{JvyxJD zkX@t8bVU)b*=kjdY0Bim}i@5q+baqZytIRMo3YHjMqOzsmDg8KRjbQd&I| zfI#DA-B7(^UI0iPU12odOcj4&l!zc%+)SFkM4G9RWtcQnPpVPVNS(M&(H?K1h{(LK zE`JM8HN92vbEJs@jsoKw%|RN`Vk%dnYeNcS2ZKpgn#TV80@38CNhQyC87hZR75a6N2jZl(pRv3m} zW*%m5)n(MCR6%|n)IAa%DII57&N@!%`H&pO~z0;!Ny|8V-G zXCF3PTaJD~%*s~k>v$TE#VCx22GT{x+LKQAOakiR5@}M?ao&xU!8TRIf4A( zB=!S2eRF;&?6xpBN3eZHkJ`;Cg=8A=vAzB4D}+f&6(3ysc^^6>A%gj>gi!qu0qM7% z(SeQ1M!1PYy3$mICo1|c1qyhK>bwIwcCmA3E?Pjj0^Hl!Ek8>obep+3!d`Vk$*@q! z${-lkkD^+xt*|E%-B%aKk2=Cok1p~Hj zVo9rYk{NZoyk?k@;>Qc_jPj?Vj~n<$qA zETKLEDhbaD&!*sMjGQD8>tz%c@Tn<@FEx4^5Ham(q!(DKK&?BT9urygrN6O-QgN?* zQ^c_q@nSHY`)fBln6iG5?zYf6g%t11?W6gv6AsG%xGDi0NIP_*V@xc`ID<%d{zB(l z1)`eOoD<_5DPp5YY^Iq<@Rn&NcK^N88DlAfqav$>#2h3jG zb14K{h&i>qv`zA|fsC<}JB?)c(WxvFU7#;S5qjj~ktc$@0o$JY6NNG&px6SKev1m(TxBxUhgCol331W{WIY+knnE z2gxEy>M3^Oo^Su~2G-OIWxi2Q$?_r?{>@pS`%DHzc#$Ocp`My6r-{wpADR6&U&cp~ zx{D#qCK_Yn$iF!)+!KQ$og`7@j`A$U(HMPY28|(LjeW}iuDb&H%OISLU3R3ac=E6Z zQkX3dF5#tqX0IjF@Wk-e>W6k>44{9sh_U<*&^ekv zOI;M!m+#~xF?`}e_AnMdC^!^cz^5|#I9va8hfHpOUc`v3(x{P%%b4mT@dcHU_N}+#wK1zP}ebxe0Ns zWR@VX55tN!&d*{T-n#7~?H_d7DFTMi;k%{I@Tb{J?$5^(rHl$!^Nd#@bBzBtnCFA+ z3QEa`lSVFh!~0;)DK{Md9=|g$U&&-Bhb$}8 z7@L-BNd6f~U@# zf(%NTc24fS=v9(_A^zlhY5i zrY_%B$*n3=fuwr1QJ}~^k5=9Wtf*r(BKSSGzgcXhFC613W22cRvQi5ofzH>_vu^tf z+#z~Sl%R3}o^&C$xVmD-(lWprbS*Mh6Ss{rid`oqz8Q=u@FEg-=TD9WW(&xv-%ZAm+zyx;v;VgDXJN zifW8jVxqy4W0 z#kbc;m{?gS$g*Sw7sa@eFRj{K;<4aQHDquaVUuT;zrk6Yap1=8=?lmcFY1)ya1;1a zplSKg^2gVeHnP<%4(*}>US+)5Tlc+7n`98@WO%CxJKtP}WAORP-Eyy@LS?+xJIm96 zCCa#V!^F6YCbDQT?tRt6=Sz6-$IRivrza}RWnsFK95iEt5l)2(D7jU4y_NV|)o785 z6P|ExqR6~LT`3cP*%_Hf6F+swmuYduc5%g*5#RdI`!iz;-FE}?;bt-EU+(LUvqa;d zimrlu4UNABUYw++)5I-3T``R&V6l*1x@i5hz-1j@oK4nawq}K7Ft(8N`)D5+Y9srj zWpPc@ZAW9!dMsDxE8{~MM@HT6+ZvR zF6{Zw`ZmpeAL|G4pciS>@+{V`AqG)q(_xgp^4v)n**=;&)OlrGR(^)FE|opk4QbM2 zf0YCA7Y*L5^GUJe+gG31RgHZ&Y4XT)&-xJ}?$V`Gq96XmOEQt;0`B$L++-VOIr5{u z_a#2_!^&Ce1nT1iMazk`iVlW};IyBRt_V*>Ni9-l)Xzr#bg2-th|r+fLpEn+z1r!z zW2)A`q2ILkIbuyv1}09|!@1MoxSWoJTIky($xi1KEyrBuHxJYcfL(IpZvLbTf$44S zy=%1!)P1dz*lvxpe~M*_6Z5Qw_2O{V#f*Dw4=T#lj&{a`VzJT8j3l8HKVQmzjc36Y zde|xZDYo`4hy1UEXzPZTq0p^y%CsGMjGahyiljtXT%AKLArlS4Dmn=f*oTPf<1}N! zhOI9V>&Iz{I28StY4x4b<{Kner0Py0`!_Q-rgpgzbT$pShFSRF)2`h?jaCf-pKM=E zYAni_n0t}v1k0j{ybSh@sC}F&m&S!)D+nR^o_0b!uRc2T)1iobxvfYvlLAxZHaA-= z9U`}V1?dd>!=JpuHY<0P4;Egv(#8?70_lEZQ5ZN1n*>a=$Hj!Hw5_EZQeQQm*fk20 zzXZ>krVyUcA_xTG#Z!ntL#baiNqyqdf1Xy_(bXd^y;r<8ikGYiP27;^O#A$iKIOFQ zNPM_uKh$b>qsB|(MOa)26P4M{()8*Bo01$HkiOM5fN+im$r7$yK(8|m``!E~RU60W zvGv zG23T4=zb4>1=lT%Mg3i*th5sc*B7-DI@8X~?8Y}}@AlI~XxM-IT=nI##-Jd1(&+jE z14}@*0Yr~znn=h?8xu;Qk(7+vna0K;QPP!DHsrz4y2zhC0-o~Gq<)BrBOW>gH0F+7rB4NCX~x!xN`ncgobE%G}r1RvTd6$4ym zqxf-LZ%bz@d7r0?G{nH)rnx&iiI}w{LH4S*Q{I=-s3{}-qxDYu@gmMwHRUH+^%avpSh~;B z6Q2(nH}^_rY+W0bjS0!jQohuTB1(0&{p}ZM4wN%$IqWx%sCQvhVQAm)W!mpcl|JyL zB>4T57{%%_Jy~Qx^*7E(^UPTA%Imm4GTiz`&4OmEi3$>mpnQFlLIwGWK=-$Fu9Wm( z@axlQ;SZk%La;UBv+_|BvZGdkfRu^#ht&0at^SWEUx~;F#N+~FKBBvX9bnWKtC`3w zJH0f^30lW)=>R^jgHw5dste11A%Xl98i-c|8;G9$kiQGd=aY?w^z0QRhwJ&pTGl}x zB#A8nNu6K^p-;VuP44)ys5RzI%?~C~FxIS0UeC4PaFFDy%NCXo&O-`%U5$C@+MR9L z^WvD;bqbmJE88h`HdY8QjtW7FPGRN~vAjRU&)v)>b}K(1wMcx^b4R`!;m56~OVXWg z!r7=G&%e9%KWHI8AEvOV=d5Zym?$0aw16PZqc8nLP!e?JapA#FBk!}cz_Ntw1v;W; zB|6Y{PXdg7asm6RtI#3Uj5WX0t%qPpr+=e%xEz$@Qyq zmk;n;lwxbvyNN1$oK^wix^smrH<$q0j4~#)bvU+-w7Fu1gx`-FxpPh z%l0JkM@@<$SYEx8yFH%ixa`R`5M*x&-{{o&0F-7nDT~YKxV6GejXa*g!xb2K4oLg4 zlgK_KDB%jiz3uAba@UKJMxmo~m`$%xvIXBo8;6quH4H&;)miy%c)yTW)eAD~>s=bc zt^GyaT2DYoZo0JR&<6O?9?h~3wL0@4E5;Gs3uG3#(spBBn}m@9`?V0VQ+m*NAw$cb z5I5Bf>$!m0@B?=VeYh47ff@u~qCsW%QY~b!p_(;vC^&Iwl&3H;=c4grihfoLNE_yd zB|`BIhiZ89wso|El6p82dEE!1rudXx)euk{s*gAUdN|B6B|E#p#99 ziKS4--t>xdz3>whQ8z*7g`%{t?DaSnS;Bd)w1@)3>~rZAIec<~7J#>nJZT{4u@SC5 zD?b#j82Nd?VRHpupg!EXndqKR;x3#P3;oihJriynYh2zio=feJ$o_AUago-Ai$2~YM&a@Q#f(mhP zPK(>@bb{W{2J^FvMk`Dz>xH8TP{q2Pw`EW)C--<-lpe@W2Re2*YKS2(YUYGFjuV$7 zqdT7A4ij4-Hq@gC&_qW}>bjzgz>_jvE%PHF&6BMQGR=thCF3otMubi3Vpyc>h7E8m zuP~nhgYKiiRa|N(^5$oyk}~dk6WHw?IN4CvVXzj)u}EAFmIBg5MZ=52w1` zAm*W#1ie0XPGB+JIzLIP8XoGXav>lCoM+3EBABU8k8wT*>*B7_Grb`6rvm`iO+XDCz~A$!Bc?5KD*n6X~M&BljM|JcB1L0#bbl{mdCK^QHg$T7=Ak=6k{*+F| z?gLmLMvrp}*RTbcL3^~ctS1Ggsa;}XF4=_R)SY+CMm8sm9om0fQLkQfp`?@M#DP4+ zi+`wCp>s;P=eDOFhK?_@XfDL16X!n`8H()kPST^{4k3z=TqV0IP|!THK&QHsgz zxPjZ_WP#}7`muWx%czkQ2+=w;Ir>Y+lKr!=C-Z}bfb+sfs-s^pbOI+Ju?Z`%1dkHG zGtW4u!qw%6HUWvdY9~(N|PY!Y;rY^6YAudM~N_S-qsF+lqNY3jouk9r+Z$}U6LNyF@MsYDu zK)y$=nI|rHrLCVtaG217&Hjl%j`P*sqV!x3#fu?;Vlr?+7X$0(^u&K$bH|JAXCri4 zp)=HchbKBbg$=GCUY7Zo<#qrid2#zt_KgkZdQ%&KVP-vCmHf?OEIS2sz&e6$b4cwl zcWeIRBey+0RBrzbW)u6l4%6jtHj(!yHWA)w(=JE7vn)d1sxTvJ%TCWs%Rs{BN_M}DIs(aYX)P~jp*&0juoSkl~IZNq~r8VSRwp81Fc@Md@ zMRE&?YmZQaSCPX=hP8=hZ}{RRsIoqGQII}$XVUT3?GV&t8eG9KLk#lhQ8RSp`J%-% zJr5rWhwou{7FawDhyRJqP9aa~c$=ZVGC#(3A?hEMk~VUam3e|zeP_$Zz(h!DN6Rsw zimSD$qDR<5FUB%`PI`^+T>GWb%J6q3tK+R>T#8nsy#}~j5Lo}gHGDS~%3Wc^Q#DNL z5##~Ppgcmu4w^kIhHL|D)TfI3C!Qu|Lp zBjlTem~J%?oXZ8QVoK+s3g4J)BLSrSnn?p?K_|UNDuxl6>!SK$Kti?Sy4&t(RsSM8 z1(bxoHhhlmngm!{B*5{O&kV;fP1WiJ@$H{8bJgq9lQn4M{S7bbajWX_J;7e%Mn!E4 zHedDK`-4RQfNzLLapvC>M>pClbmR;>!9e`d$Bn(D$5tXbLhed zFZd}OcOm70CUXLD;2v(`deZIhO87En*$~3XN$SKh&iyA>ghiW7W1X4nB>4`WhFT=^ zKvJ!kyK@otv4d?YH*E`XlhT!Qwz44tcgVq|zDi8};+)W|571JYjoQcZ1Tc{?oh~Be9&(_F*!IY-nxwNAR3V~>ZNy-> ztih%;A8x>nh;AW%C9a+p+rf&N$)B6%9o?oG>&u$Aeu(##1cCYBzQVVStvMf2)}Eb=^YD6%mJ? zr)Tgpc;*b-u-NqU41cCLxiw*Z*IPMI#1MF9pHHsBX1hKWVS8?^tKu!G8PnHwgtpK9 z?A|z!iQB%omw@u1?Q0CThrzeo{3rxa1BXb5Jz?mDR zxqMz>4<@B~%U5Tw_JOdEkZG?ucwlGCUIv1+)E5l{eO(7L9q!NZ))ZN;t~SBM5J!D7 z=Y^gCoHeK~{bWlq@s_<_h6tpjP@T@@i_ykjm!&Uk_pM*i=x%EWD|GXvoN4s$w+kyE zh;ur=oy#q>2_Xw>#lXOF`+O$eo(h078cL{wU#vZv1C+g;O@ae}9m3 zoAckFCy{ggFH0yhCwj>loei9R6j(~zbP~$Y7ORX#)3UW>XmI;_Zv=BebkWQQyu4~R zldXHv`(fD@+XTi@SQ)$rqLOi%5YBc7%~6v&Tt}i7Ak#?oPKx(C@d)w}HG@&`km(?; zju-FR8GLQ-d|qKbmI&Ct0wYnb|6vHhr8oE)Aq)P)+K6p{lh5^2{bj5sY5)#gkgHVGlmDd^g(TO>AfklKDdL+$+o?fXu=)K+s8Wv#4&;tSv7tfOIdw~|PHt4tSETYxe z61zGRjksTme`%bqPC9*x5`D}(SUkdbZ_ zNNjnqZ<5P)`JMNgx>F6-1QUWc0`cNgDHNTMRUwTx#+8Ec0FXD^kec5ZcB$Zl|9}@^ zsMnTNAXXU4qdGFx!-MG1@u-12!gb2jS4zybk;S)XJS_7Tm^)R6F03#;)0=;LXjsy% zRGtZb94r*cN}kBqGRw|1jV=; z?D5%whzPS=LS^&Bs^KreSf4js8wc#l?>NIA>|2Owyu_>zf704bdx;l?U%m0e^S z^6ynA3?-gRgcYfDvXD)Gvv1i68q1Kl=r*cCQ?d{Lhj`ycUwQ#hyf-W!tL!6fe)HAb zo=lYderXtsHl3!l1&s3L+qXmKKb)$aBA1zb5^-zU9Z3=$ge64eI*2DZ?pGzu+sx8F z?niZ}YW0R-$>;@mb=&4)G3nXNR7Cg>o4J$4%)ZAeob%N^`EM6Q1xcq~b&;I(3b}`x zUcV0@5{4o=pEllYSE4D~Ut?YwrK2fdFjd`c*Fsa3+uQ9xH0A104bRJQa#`Z`@nB$b z$MNI*qXb;{A986Q_^b!mRIkes;R3bccfv`aK&sxR z=Myl?aYp3=A9A%F0RKaf^;YrjWc*;ipmJ;go4Feh7}VPJw0TcphH=49liFU*OZF5n{qh&uEQRQ8TQc^Uth7j>iLJpNBe+lvDB5!W-W8 zJ{r<%;~n^%y7+m1J3?6cJ#lZ3q@w}I_^wn2_3*F%6f>P#6|joM9p0?|Cn2gH#kC1= zsH@DKpkLNkw#^m>QYiiIe|0rX>HGQ%N7lBwuOkoqm7CE`<4+)0czJ&gSI}aNNaeWt~F&JorPM8%`ti3Cks3bl?DEvJgoF4@3jCy zU1hu)3-#rFn@rGRE#%^9)3#C?(5gkP_4MjsK5AJ%*>|8$q(Te)2G0X|m5s{e_s zr^74jS8w@){z+<5?N1O_xRV1A|C~R#)FB(vM@!fr^X-7q#!)<>hfsa_Am~$s@K??K zpn|LuqQ16mpoD?tpW|i|FCUBp!JFSCF`6i2K?VTYOmH-;Cd*+H0sp$10nR$F*?6nK5Pp__ zO6lGmfM)1BnMWO937RHes^LTaE4u#`pA`zKXmyZL*TxEl!KD>op(8>?xKY{nHw9}% zrn%gqZV;Zjb2X%LO z9UaSLJhi#%Nn^{^FtQ&*-s}IYzPz;)sKWOgS_6d#CcDQna*RTju68Z~$UqAqNjHC3 zZ1xdj{fpCg0Ij!YNqu?a8n$fh^Unnq%J@sjM;j=(qbdsZKMt2;`L_?<4}=%K_cz}~ zpF1WjZgYpy%MuFMtS`?D01KMJg_=2~;=w#+33rW;v3C*$S;|qO4Suq%&R`^e!?$>5 zU4y8tMn73hZ`I&;o40Ac{K~-#9Z{NhvdTgKI*ufpzgki6mfz&9Ji3v(EhOYA)_+84 zEEW#^{=wpR$otjcWv%;J>DQDN>D}bxfcLpx`%MRd^$A&Ci~zW#QS--xPQJbNSB2b5 z|EMmND14=8w2M4m>)KV+)l%$ky(o1hf!Chp0gt7zg#x`!__o4YJDyxM!y?=Z9Buba zpf!h5pH6e>cMwNcPKFS??fCaCEUS<@G_l~1qhjb+V2jS=4d&v)$tZ2+su!q9SbIC8k9En|Gdo1g zHWTUvQa}@x`$NVrrO+-p#b%r|YsO0@mK3e0Oe3U1-08JZh1Nv*>_8EX0Gr=2dIA3? z1+2|9KG&9>r+&49f0!AjY=D3hmoE$p*%coAU+U&h{20$sK?O z+keaGp{&Spj1Kd4WF6!LtFyg+t#Z2n9&~D+O}&( z^=gFjGT8z_wbQe~uC!R2)l!5N4&8_@@JNeGKMRnygRw+k8zwA<*vv{}D9F64S8~hL zSB`sE9yF`{t++QuY;SHBO?7^E^~)(TE`&{qyl>xpiCK#Xks~X&9?Dp)$fn5hiF;ej za*c3t!@-revx@zgnq(N3n6|Fw1Ab(dH#X4wgvUwoFN+|W(zo(}mZLnUqAG${*EC>) zyr4{ujCo}L9FB!gl(AbQwd52VW7Bfn4(K>Ka&V6>x?Ye&Q#zTZA+2GS{I#3GxEC|WZCAN5jeX`=vCFXq zuR2n(v>a6;#N}TM1%8~&-_p1x*8#({zhN3{mu++Jo1Y5(TQ}ti^U6zf7v=I^qa^xw zd^SARrbch`s`b4ZZ>hJZDUpNZMS^I6=W(!Oq#2ivc6J&FCG~8dj z?q}$5vNs%j;9vZ~^?uin{Hx>c6nD{kZ~OJ7_wob9Qtgze)!WJ;<-glsxjwhM{&qLJ zQ=(7v9{(x{oSEqvJ~}VY>9W<;MNvLvWGGE{_0XZwC3PX5da;p5c1Vyqk|9*q2h9CK zBJ-<8b>?jSTmvqX+{MFLHtr4Du5u`CUHy5nI~;Z32X+#kkK-k<83DgzBWHrX4xuA_ z;f`Z(-P1=@;!%%hCPqEAd6vQ9MPJs;=+>4FF5IjboIk*^6zeGM*+1~7b31!&(Re`A z>n0Hl93ZT{>8$>{ZnwBY{MYt8`wb(fdui6iICJ>CxR_LCx#!@3B)-d?$)Sxqp^1BB z`CqF>lqUfNUGp0zCN~ydk*cU@&Psdu$oZmisBi$%;h6R~hyqlXS4}yUi8RnM3}a&( zOIsB7bk)X?VnYtFu|J1%+C2kg+5WTGd98#4X1=FiUwR@!mNnpan#O`H2vk14)i1Bj z>xpu&-_^mTp28cR0`$uV;i6#TBfF#CiqeZh7`fx!pt9FJKZnR(FYekQUTHstP?N9H zPMpW($UT42A5^UK8E3BPiIe*D9(9i^3ipzU+?#pRz7)@yrX+TjJtER_>kdAH@&p!` zA&pZ%EW1^B`Y$CyE#_EM-NlM7tf9f`LsC@T>6OUOjK$XkmUV$Qt~}(^zNA&e3}1;$9J<{V8z*BU49< z4OSjE-*zvCPCzYn`)riLJ&gRog1&AIA`xkbw{VLs8vB=Opr_-^VlLj6358INuM+sO z1^I!zGkIon^sv<%;tz0Z~>b+%R*jD6iyM!^kdiEx_>1mp1*~lmRT^xGIe(8~NujE5l?>iNC z@}s$s+P8PhH(`0bT{c*sXLW6uC6$TSQ|H})(!0;yj~!i&SCsG9Gu_P);GJfQTxeg+ zoc;{DDrKqemFX2YyxPfW=OzFB+ILfyO^?dz-^FYgd-%=gT%YT?-1t=O=BJj%r}roO zEvuV3(dWOPMS&-~eCdy!%A2uL#dq%S*I4i8FI#U4Xd0e(oUu3W{`jNZ>Xs5@7TI{C z0`zKX!u>7VlG)CAc18Mwjx!N$n}GrqULlUGS1yA~t!DLBWSsoJN_vvSu?nmT11-`6DWBQe& zi-Q0T3SUGST!UhKV$tW7DZkhHMM|H?sj3+NGJ~O7gm2IOPy%?p_xAdkX)^6&$s8P= zt4UH~X?sI(RYp-bR2w$5r=E&{$*BF7kw)o%U7zd!ufLo3VzYtMFVj2{#Jo+JiDpUI zPi6s2%p)4gF`1TiWvz*v!C>SuZ<%~AH9d8Vji0e=gx=&OIrqB^*G zp|l!%+!2*Ad2tKW88J(e(+Y3eM-|+@4gcGcgH4*I51YLPxfh_F5RWyzDFmpR|0bl)pnT7sh%S`?pSGDylyN=$_S1S+oz~Ww>fSZiY6$*t(y9v4UM?i(fz$G#CEemSHf9_c2CSa8c90 z8DzWTIQEeg`2C{`lY}#q>q-A1IPW*vchMn`j+57GZq2lYs8YOWkC+bX5Jpk=Fs2Ub zUY@;4dKCiKPyRbBA}gj|O`Cr_Usgr!%{utoRy5#0y-P3?;$>`s!5&< zov+yHg|Uzoe-kCj$#bn=x%PSY_P?^roT=o~Y7`3kGrG7OeYJT;638QU$980X(a>>~ zv+lLFs$6aVTH4+B!8`h6G`oLjZxhjO+HB7&OXw;|HVfYTFDj&=QO{s^Bj)opjOflV4XVQh z9(HJ@_Q@(|J}ri5BjzUyC~VJbndwvIf$BsiXrON-?)adBS0#Ub}NR7PkDRQChBC;U^j}zQAyT zK&)3yL&Rw^%S)we;|AdXoCD(zTvag7s>rSlyak%3$xhECfS7X@*yu*iA53x^rfV<< zIuVAnQJ`|d&y_G4@G%p1u)4KyX)j3Wy5iZFCO@k%@2ZZ~;@I^y9Sz9=Lw=`n-eMo^ zU;EDZbwo{1w99z?PV07h1oq}(*eJAM`3MkQMZ!BZV9r3C&f<7el`u9^n~#x;3`_wB z%FLyXu;`7*4It$Wcs_f3I*iU2ztg6jJQJ1iicY`ihDbyU*X%a@0b(8Oy9S@M4+Hex z*xV-@58HwG0sQk7ODfC__z4rH^VFh4+K%i}re(AGSKIl*0YigyqMe5`;xa;yP*%n24gi7II^{MOPdkshk) zfsI#R0&Ci!jqIJM0ol%V{`UBl{^;-IGeMk|sE+3nnZ6qU^q~HzUPWY|0Oaw#H zeNSQeN&fk**COIsZD&DxjJ?GqCOuGn3s~~ReCHiR?N;C-=lg}qdu+X7@N3ub(?D1w z3l;VFX~t;urrN}WOXtuGD?yHN<|V=bjN|zLn`Y$cy{_rt{(Bh4U_!>4Aqp}6)_geR zGuVa??J9xr_b?`%e}|=^)hs?5_6s441z%YOfSUr~_9c)-V|8NCzWmC_0-V9Zrv#;d?3kSCY0g6rdD$J(pB`_Mx@RmcZBm*Gt0F`lJ zz8x4#Gaov$3gLj&-~|es8f0$M=!+8OW@P1$8=8S;P$}po6JHbB4uY6W=aW-dwV*HR zh7e&YgX(*A!+e&!K$43SKbs~*yt24sqMoK@sP1lu#LlaIs~TyZZTs=G0mBnsJ>3>x z)1HlPEl)|^Fc(^eph$6}w00fK>Zt}u&Y9P7>r*fq#Y6znnOUx6`=M{(4ED}qC zZGRE6+1xRxa{1AQe`_))EHhiy2^5C5zlz^(XlBukkDzSHPdRY2i7axyNCeV@>W!&& z(;3ZwPj*e**bT>Z3L8@3bv!KZ4O2Ck>g6y(Ar>h3BTx&v{o0|u-D{`=B(x*|9S#%e zU}Ctsf}9cQ2IPlFHL#1U3ahbr$-#Eq6}!Hc7bD>Wgi9TKq^<+prGM4a_M?9jb=y0w z6VJ)Vl=pE87P<0DY@F4=GzoAqmKUin?~R8`f1iTE6yPlAGH=7u%L+4!r4wX3UzVGr zBB7k?AUdRvVds+2v>2AT;)6_Vo=yJdqirrOYhHBQ*&x5ghnP7>eId7dRi!g@qf{>HW7xK5 zhe0Sr1zZWu-SB+Rj$o`*@{omJZ}m(ZgV;$7dkfiAi-PjtBp3@f7Rx0h>4A z_H0{pP!Yw;OuveK#>F9mV~c-6E)Hl{RF4}*aSo@A@M z!SR_6hpUfP@ZzUg4a-&}0qfy3_o5lfJ^d=(uv1i`m^db|)kX+oz9(+F!S1VLQ9=ZQ zE#+6w2Nf(nn632!bX}0}xrUHYDfxoy?uM9}=CZ}rHZD9JHxcLaa-L@9Ac~x&$ya)J zc9VHuv)c$HEi9BdEPS%A^Xrx7opq~wuq{4JB>nO^+WrJF>`-=?O8?Q7CxGnGPb`V{ zrl;TGq^QVPa?_EvJzko|BO>p$MJ!qW&&DIn(X2RnK077c>dyi}fWeL{`B6QK4?LdLoqT`c7|UPq z`3~SfMM@gmN%hDiYTrfa1i1<{9%T_(rM5Ot-tLie8#(k*i^3YjVvL{LnYv>r%ofh+ zV|n}s+!a}+IOeWZr1ip$rEX-}Hq;2gXRrYgtj8z{ejdS4mc=Om2!bq=sUPT9;a5Vm_{G0a^a?CJT+{VL zJ@;}4vcSvC6y^*z>3|`U`fe>82?|A)$q?rH9gXG%EU?^KKYfp@YsO5X;XnUb{f9^5 zb~(i_k=C0<>!AH)=Y030oU{HJgb<-5b4^FbyKZuJv|0OU8*F6$|KGCFK{{Jq+m`oD zTgA)ZE5{8Zmme`PF*Y&r%!a!9tI<>!jkIU|_zXIwMiM~lRc~g5dvT6(sOy+xZFm&_ z;Mt+e#qw&&Y3;|dCP>XaNl8alQ#HLp`o^bayNINUM^7%lolwsH+wR=a0e`-n;EyzY zMsr8V34_g@Mzm6@s;1ml_?sPn3~uI%2-&yPOJ=Ww7`!HrRq+x`x#+8$SZ7R1jbwSP zbtIEnO&xhBXNnm8qMBX>>f^~{?l!N4@kMT-7*!h&8xTF06swHmrcIg_%~pGwwk zB$C6kDuc@1pChvM!A@0H?f`Om8T_f(dO4d1l4SdDIzKEgr0R6$mbnFzIhoJL!Kh@6 zwD{znjyP-UFR}A$UHUgw1v7O5*&~KF9RO$?PL3={=*UbJ5vH2B1MI3`V0tn(Ny*qr zB9SU_zJ+ZYcK;?QTxcWK$kpuUkk;BQjkKEhp3Q2)Q1mE@u=Vs`ynA(tG?y!u=%C29$;FxQsbC}&31>BGh+j4drwx@d zqQqcxkW~b28{dJ@KQmFE>c)PdWfz59ujBkA9pX3Gq8JL7q!swwzSc*SQ_2@?=EOkI zUlz&bpwz(=ZIsNv?5RX#%%`0Ie;BXRN2Hw|Jm^>ZCBi8AE`FEV$QcfqiJEz$pq`ky zB6Rw%82VTR)uW~-UVj@S%37g5>Ueq32*?Ghk}rG^5Dqu;!T)Kbf~EAMf=V&!et;c9 z(wDE`cnS%sVG$tfZ(>AYRlXmrDFpOQ&eReeXox!uy_%uxKr{bx8?GqzYoH;3<~MTu5{j6NO_1Af!I`Fvn4f;j99*RSp-Do< zWLbsS=+ISwvcF&yWvszU7x%P0Xd7dJE<$eMgH{`1e?B7$=HQD7pFU!~bpuxnhGVjP zTX?Rosz=xLaQQlkq=%|JqYsNL;cQyU?I%aZq@i0?)mppOUqcYnBKCoZhd_2DI<+$( zBU)G}?9Znh8;rK(p>tJL4udW6{{bIC;J(;XN-7rO_tIEaN^(aTw2iR0qMTg9913G_ zy_|&_vzFGq37*Hl6Z@v;*rMeex){T`ctZ|#H~+8oqrJBl4C+r9S}L@w1)XlEaXDFa zVs%&4^%yReOkDRl)_@cSmfE{mR<0r}DxKH0w?o|sM=B~yJ+CQ@AtlPHdw#;s06LZ~ zwJ>9rMicfWJ!u-vA?);~=`@?Lw7MQN$E1fboGH~q?TOvB3EC#S7HiXKPC6>$Bg_(bQE>(?QBQ<1p!y6tACG%u zxZH>b+gX~D#2`-(R?meDpk1k13m&Y|Qo`AK)4et>>%QQ-Q>o&MpDGO{94&P~mz1M{ zg!|RyRrd=XkKt0Oa~D6%+D^FQ$y=jggux}!)_qDjER8mvj?F3AjsQB8uB7lA2fCQX zx<>qyORPgNoG%sEmC2_2X^d}-fkV$?xKPTV@B<1ulg5hD-BbI4=Muv4r22@fB6B|f z?!<7#(+7FwZIgIaIi zgpuCDYcz(i&2yMdlL!;N=CY|BVXgOyIpZXpEY)w}Ipws1aJqD^qKi)_obx0ZG?j4P zTY8h`5k{8|EBe=(49<8-Z%{|V8gJ=MT2DCaO|xk);fCkR9O_GWT;gx)f!4~-H`BP5 z{Dat6>PdyI>#okE!Gs~DOx+1BT1zQ7WHH%hB2lE|rps zUmuPqTr3r^_&M}q!Z0r}bm~BuT*}X#Do^tXcS?2Zs@YzGcXO?kqit!-tLScAQ>HP_Qx=0(5>9!NZ0bX}?G>vm znnhSxx`1K|zCDe(6(w z^^YS|2I>&R*mAb+L&vFY5Le3OacNl^#ATWHK%HI$aJhV-yAYeY1aZhi*y5x2>3SM7 zE2z(+K0&N5pHvYo8j{Ag3X>W%E{Toa19R%Z;AhKe?vv`NQxKQRX=MMCFL}wHl5l9anZwZ3SMr~ zLc*wWFL&jkK|x&b7+T0}HM*3>RIkwIhEtRJ5r&s@RzjI{J&wKJ0z1?`h;iPuJar6W zd^t@i$fPS7Y%iyouBgugxK^%pmzJgb0raV`cXS#UM0anZZqU;Nww6z+h$h{LV}m!% zron0KDW|C=TJ$)BedRQ@#2mc}U}A-3X!J0EUf%lVWUvXwR2Zn!;{bY;FVQ6$bT5Nf zW%6?Gy^DwoQ&N|yQu@uFNw zwma~20C&nIc9&z*^#ERa547k+0By<@sAxGl7C>8XTAq#vFtA)wcfuUq3ZQ)jqDeOc zI8rW+BI@)sfG;a7BTu&jIP6X25VJdtE#+L*%NO!=CWTey16{>gv@eaFcnsh3F0~H2xY8JrM@_~wI(M7gmx#E;k4Z0J+Rqt^*x)MOYas{|CO zfX?Nsbcr@?44_lFuxgb$oebc1Iak+_9l8-fhw_1nXwtI)j(QK|=(;P7P31(_%2_%S zz!Y!2>U5R2hd0fj3jtg&XX+YDqkREPuRt{DU;s19xhh#Ly2qO??maL|2Ld=*fvZh> z)7at7HAhbZ=$ZUh?CS-Z`$~o8zy9T~KmY0XYTph?-3jeeWy5nHEB9I!!0dX~?(pw_ z`nmf8AzG5b)p!Ng#q{PlpkRR;Y%)$)GbvQ}j9tdd+s+Z-jlD3?o_U8f}h zJSulLSF1VN8o)|#p-oy4zyeQ|)f!c!7iqlop4XzK0nG6lt{?@Y(Ns8ieKCPw3(WDNN#;;|QTc%G=a=+8w}BZ$IGJx+j3e-YYa{Y!Y3(jm*9o zz~l09issPr0OpoEJ=1A*23^YSu4c1nTL5>*hhm_3nMTKo6S?t(mSxb*dnpzz3}C+347GCd@V110<-?V6Ou7`q{ffig zkULPnwY{Op&)$vw~L^^P$jlOr&3rg z<*n1U7}f}RXHA+Ez($`CZPDZaHVDytwylfdR!oRC=|LEMg>oAv&5B`&&`k`B=EN{m ziq6sO7-mIfX!esqQ7rSz&>U>n#&BDx6O(s+0IP)hHgy`tIi*kL-a2(p;blMuw&+$I zLxmn_*>oq4=~8r##>VhvOeRQ{HYD*%s%)EjM6pna&gSX7A7_QiW|a(%VyTd~q0?bM zP78VK4t0-Wk&w5Zr)e>)l5U(l&5dEE(76qVX2vi(Dl?8rN8`9BjF@JY=Eu-IEMslZ z!xZ{TT}r2&39J#KjVw)%VWCieR-VSia8@bXAFyE8=e<=B85IO zH_g&N5j^el?YvNdXsPJLroAlsBWhwe*$^cOmJ)}g~utddEhYYwf8V!ITbqYY8) z5TaS%>!Ua;-8cqqj^csPI{7TU@?*GACwvM|W=Uje3=6V5iJrofGUw3qC|<}GspWHY zHHur2zl?pmakNgGlXxjhG;}^Fr*M>#xvQ>Qv>=KbLNp(JhoaaoTcfV!X;T#2gic~; zG&PFTGD)-?N5BBa%FM1gdFm0sI2l^!r2AMDccczz(U(yildV#-Et;Li0U>YOq}6F` zm!fmjCx$Vy7|1(#QVK7Gm4!pIQfM#T33+N0!waF*jzbsY*e*Rna`ZBa=Q0n}@*1@X zV3^GAT0T$LqPQvJt!J}zBZ?=&RoV{qiea>DmFh6rKxRkZX%BQCtu% z(#+A87;XzqY1!154{afDPVM&laYO2YdD`X2e%S|noRCh5W0p`QrbQcL*ediXTc=lH zj1Z!^hTojQMxou!JgrY-s}Rje`W`>p2-VFQ)tv~s3C~JCq8>!hRfx7VdKt!JVWi@M zb+;e;q=vU?uOF|3X!XR02*yYq)}j7nU9p;wt%I_Ae=A#Y2keo-73uF|&Yb{MZ@pL^;KjZdMCa1&b=^^RhY zP!KL%+Ga3TmfYz%I+ny8p&*7sC;ezIjNKOXOyP-;wWU#?C z1`~zHnPJm$KMo0b=X9DJ!+4={bK-q3g6_i5!-Y;K&N78M$#Fsu!wjJ$hD9TyxG3B> zTvnb;W1AG6qq|`Y6H1cL(d`Jv3PX=&Qm-hc2z6p-=|vcAWs(@Ilf@DAmq}t|b963( z8#1plI4NBjL3`OTV(_*c;>R4B4;mcSrblo}W*sBz(3uEs3FYQvdt?M1WnqmK*guIa zvMq0D4sDO%flv@Oe8&hD$-)}%;i(C96tXrA8p5`b?Mj0W)(#Qu6#A5wqn!~v42nLs zGw50p?S$&n4cZeyTcKqPYM;ObA!|l2i{OP&eY!?FIH(D?jb_q(Kc)y>o3q`ae#{o4 zxhy})&MK8er}GiKl6@Xya9KVqf(}9_;X->x1Sf@ClC$&?5ww%?wrOw#!-T8ky(LG%2WD)lOzR{OD7 zR-PF;-H)S_aFJPq*7&hVD2QRwiU^(wd2_|uHG+l0fSILp30#zYxNB&1JdT;dV8R9e zwJ=TyO_R;i{Rl=2(R!A)MbJZt*0OY(x3Cb+mRu6SbD{oBI+DN)p-Q;MnHj+eX>`cZ z@Cb$o#kO+PDS{o+<0((OBe*YgDNX~w%wU5o`7*d#SRO%Nq1=v1H^VqAMO(BngKSc6X%*AePn_dIg8GO(MiZ#J?6`g zc~agcoetxr)Jsh25Wo-_Z&7%wY{EV`UT4_RKq zN8f}LW`#tIO*)uFFPYIz&NJ4e(OY)ha3DUC!ctirF}Y?L<;M)4NNAhZhp|)kD=t2) zA0^R8wn8J%$$0?dg$C!6Z$uMZlP)n!PyFaD<89=1>L0)aS@1LRG&6zC(naR!u^(N9 zg5-2M8ODCu@oRET@g#|>vXEn$bT^I(La8rB4(WO0vE;m2{zktGX8 zK1YxI=q43Jr>9BG6P_@dMT45)iZDEB4h?OBOG0hyG$w(QLa;?M61XAT1WuM_C$U_% zy&R2ZB(bO(x_nyXim)5 zCvicD=7V%m7|(=gHu|J6?lh{Bg0}P2IgV3}Zm}Y3izb9|PpA^khUSFvsL`^ms6dBS zCU92hQ93P1VTcUO>G*&IE;kw|i*nGeIF1Q@#4u=o9NUEIGb|d@1Y?DEewqpd7FaZPnC zjweEy`7G#_#wMYr)x-T$=qW|pbUlGJGEa4EnjFV$q4Vi^+L6Rm;cis7QKhg_ravz3 zI>fO{s6S3yy7|#wcBhWx(9Ae4N!OU8(+RY1^mHr=V$%FD&I-}GPA_8^BhyG;qoE1h zX*7rw)|jJCaqN?Ux$OQjj%QL`TeL8Y)50ZY9h%1_y)*<{G$MhUGO-qmiY-Da@4a8J+qia9bz|=e7ru7~1F}i@d383Y{Cx zKMP=!Cd9E@C`Zk76iJ%wUtbF?6g2f|%r8Z@y9ddL>(Sads%8I6LAg3!VQzHGEiFUn!k8QwO+ zz-n4_C5|>iL2~pkj($ScIfr`raiG!qr-07u)Z34C!bNiN-9L<3LbG#;{4#_|LNw=z z*F!iaG&{TN%@B?X(OfU}31fpW<>DHsT^JLEa;x8u#L-*0gY6s*3ZrkMUjh|Zsne|x z)(c}v&ZgTT+>=V8Q{OOV3RlTh_3|ht2=%SrG8V!Hq1^dAt%%}QqlswII8xz+v7ZNiu=L#rEK&xLSUCW*TFr*9KXlI|Lfdirrt80Xaw7L&NzXmVMQM59M> zT$PEfZu(jp#TH>`u<|r0fk!e0s+-jYgt1QOf}Hp-Ok%Kb>u4Gc3!#lrZri4QVXT)* zlBdooybx-TllfO6tPt|fThyxwrU~6d-6|ADZ`pyP?sUHp!bPFnTvyx(VWUtIGe;}a z*daWgR9zOrahY}0Es%YhV6!m8baZ+V!b+jO9h>GRu}pS~a1}B;fdMiXR1Y2*oxo|K zN-Trg#IZxTbu5c!#Bo?CiJ7Ne2`m;$l6PoX6f+4m=~M2O~UbwmimW$IQpTTPE+ zj7;6?rp75@Y!mY4Qe%E^-W=i)RqRV4Ply45-UfC*awBYIq{hr zLO-Dfx%8VILSHG`pmuQ_k)pG7CxIEVc&t0rDTQvrMOqGBO=7;#1=SsVaf}o4=Bjdj z2z`W$G%VT>!bw?up&PV3f&M~4_+aRf#%AFnHJyg@t`z1ErbV6oxF-w8>M1fKL%1Z| zXM99;^5edgw@o`k*e8rsrcMu1*e=wdZPAE0#tSuQWNCc}gN3`&&}d@_r-W$Erf(%M zMYtDi>Xg7!p$BqOIwyq5QsX$(&X1!)<8Uh2!H-Tt@8*b^#518rOpT_8aYrb&o~Lms zOcnCBG&-5W0-;YC4joEiju35`G&hZXLZ9N&d>$9X!c}S(4QzrfLht5^VL=F8g*%?} zo?an55u#0#I{ML3s&AVXrm#Y&6Rs84rm<7@nJOn6^HO*$%mmd_xA}+{9@>UU>p~bJ zTqIWvJ3_cBV}tBtJB|&z?lhdA6yVzG3QIeJlpu0pZ-Bs*7w=R%cm z#yCBIby8DWbRvzJvTsWnydaL1vh8TmzA$>r(ibaBOA_cHlfu&JWCDwXhRN%6KY{hK ze1uQx({Vf!?sS{>a%wIF=jn0+R|6u|*|Z~!2Qu$ak0u$y*|5+%vRUd9!f7Fz^RpQN ztd;tbO~=!?DLm7i9E}g*yl|B{gC-=fOt`=J06SBIox)=}XVT3i76={6acEo!7i4m) zyEVt8FjwZ;oI;I>C3e4Q|(Km9puLunZ5H_8XZDES-ruPav@sNXnYNN3DJ6%PWv#u(Zj2V z*65TEGlXc4kaPT)BbCIU?ltHkMccIBhwf5zj=Cn%R~Qts)Gv)!vY=oa^em1^jV2*Q zIV?Kh!!cE@q1k>c6)rGGmqS=0 zbR~@zXRy0b=P0@oeVM@ZMmZNOXw&30b~S3jqI1yoB&G>H+OTMR6Fh8G=t5|+X-XP{ z87Uj_CY#if+taW-3!+e?h=XlSCu(;7QvLFWyPU4C%(CB&Uo5Uj_ znycKaK6I7taE%@&F;8e0liDV5Sq64;)IWi{jVjNTgOBH4DYR|0I4TIuRmSBwItWe9 zq2_rU2OBNZ3h2B}%MutXbnCoBOHvpvL#v;{T})t{46S~0-ywllGP%_k$k!5BE90%c z5`GZJF)8mXJx-!Wqe(~sou$QTJe3M!QJ*Bv%LGxsDC?QVMxo&?&RJ3zAVhO@vMhz= zGPL^M`(XkHWOA#olO82-T8hrosRWiby3LAm)9M6n%7TOXiPNDtPRm&9I-TdULug4x zpNr#^6m8SAG}g;1KT78RnAc3Pob=x_*!-s+pZRTlC9P4C~s8@q-kK?cq&E@yb zB=$CXTotST=FicsGgmGD+0$(fg%vKxp|~p3cXyN+>tiWc%XSEJWJ|9Zul5 zaHE;DKZ!0 zBS=qc0)*NR7KuuF*M zI&?-29tbtUmF4Xe1`938srq9db_s3c(asv|70S(}(Wn&G2_@mOYex-w3wd+7csqd+LhIypy6wYt;VMmoCZsW1C`sO? z3qCxN@^p2=!gPDzf z`B*?(G$)18!b6J7fWtm?5sJ;F=(7~MNEN8lSWX>f355EgrMkb}EX)LgT2%<@>Nj=z?07*4CiAaFuM!X@0DeN|L2cHFzrAI*vvCBUmET z2_JxcBG@gIM7<|1iZxQ+dFmIzE+KC|0PlscS;(8q#hHFw75YgwM{QGBEjzdkPLw*= z;Eqt=nnvquutR8hE(3P?@IdHQ>ifJ1mI`&k$#<^^_DJ=u(Ss1S2zhIH8dZbmQk@vI zx(1_!I?**6Q-i@mxeblB`fyyRLCv9&DfAPr(lF^|5Iu#G=v+25!5X1g={7BlV6Kq2 z;m`~}MhVfHNo#7*L#S^vOHWc5DnxVH^*n_^!c}sDH{FlPLb*AiKIX$Fp(I>N9r9tA z5N(+>AdMA5xhg^3%qqrnPo1EYc4P%b%I}(#^IXsNHvR?#oUb;Skm!}<3?3Srpy)0vU6c=TS zRIe;L9Ks~*M!?lz2iBI%`)d!@Ax<#!U3WF_&^&G#wHnWlhe{sVQiG5c~gclRjNOS9*1y3 zYDtH>gfUIV+vH@hTNu-&=sfiZV~P;XiT%AO?l$^6)dJe2xe3e>ZpwU?c15vAXv(ZX z$3pm0ra&W?qis>_YV=;d0&kseB(Pp4wt9Ec-6&29#pb%deGFd;(S}Y#!r0R2UC%{H zs9PL+WrkF5200kQL75=x?Ok_6I4#q*sk6Jr@Jfods6z~Gh1)Fa(8DN>%j8zCTe=g) zJ((oxtz$c*xFAET*S6h?;=T;6UJ17+ik(8axnfuz#sw)lM=Qd3B9lbDQ0GVp*Mw+Y zr_M24mrBA_R1|llybbCa!&Mn?^>(`ZA)J!(HmO|SZ=ZLpUsx zTfGnKKnOR4ymLA|3Sp~|w`S9lFrLYHt5>nzkK&Xt3TkvUfg7?@TfHamNeG*yVwT9P<_?nR&XD#53W+z~|Y72)YOb;rK8qf{s$O zL1QBrDO8D_r&&oXmDPSGp9~Y4V885336tHVa~Nxck~k*qi=dAX%{A+?7)An}JXHj$y17@-{6R62o|*++4>m zj$xjVw`0)J2p$RL=IDKycY}~ON7z+qY!lj2J&`Jg`LZ<0%F_BcZb>(>PNO3jB^BGG z@ez!WqAi*j!SF_()KCzcj)c))_JO0x)x|ZAfkHXBcJ3a=Dk<8gVKGb)x|ErtfiWx- z^0qV@9>ZcOI!8-mm@7ov2EB@)hfr?Yrsoli7xFe88WF=-A@5w4E=6!hx^WD;9>HxX z+N6sSbQQ|&=yW)Or$XN9y>el!7V_reZ%_>5ggUV-S`ouAA=)W}2 zcwqPLn80dbc(5&c8o>miAhts6WIa%5t!DFG>IbaTr zVTp8&I=$etPbi74(X$A83DJ&52P1eQl-sfC5c3ww?c``t3ag|ij!uhW7%og3bF?Fl zc0#ea0QfS3dqOm)q=REvDqN(Ur6-*9$kc6f;j%r6wXzDp;v#e_`>RYPyd~Qta6-1r zEW@Fj8SIhS#^U^SQVovFRAO^A+&+cX!bKW6I+(zDp(I+KUc_-os&0#h*5Ig2ZcF9e z2~3jRLBP@VUK0Ie1%So%*4Qxm$#M;gO*uM=b~2UNS*|Km=r1clIXY~KyRl;FiNOx-Jz3lj1YROL*0|uFBF7J%K2&R7P8LKiUjVuRuHW=K1mr#TT!k(tKUG@2K|mqOl}PW_r-rOW~CY>s9}uwE(&*KuhK7e+u1B?sde zD3io8G#Z$~Y@u;HI=-Y-#{Zp7PW7Obd|Z3&1UaegAqcsZqh~{F3NsNV{;v{ zA%eCtm$KQVR!1;cw$1FELmML)AVWKvMN=Z^By(4XlkSmC&`XwKIy&7<;H1pAZC$6e zK5P>z(6(r42m^&4m8S!792IJt3!=voR$u{r%;=fh*6ak&0m zAHhIrbg-#y6kViFWzf?g?#j>(8|PRWtAsk?G-hoCgM@PH2Hg+hh!kznqaY5+&^DLC zPl9+RTcypGUlhU&A#aXUOG20~MDr@w`|wC=`7Eu8;FWA!I$Ur~O5&Q#2OTbRjz`c= zrV}S?Q1=*K$~KzKCBU;F1_=u;+ot6q43cFJ4z)|*n(TmbvV1^=@mwl3%fa3)%S@cC zMz7)+B;)O9Ia(D%51F=YKC}DOV2kX|ACAU-Qs^X8iLD-sR)f7lcjZ*0ZwAG zFjOW%?dAjXKKbcM(uAI9DFj6QtXC`w37$rn=)^jn4ZNi<#Iq3^O zx=ZEG)7${o3(g@mUD>h1%x)^+Xawg_7h= zTIRJj~E%o6ScgYG4;Qn*Onq~}Ro7b-ii(PBR)$u@%HShU%X`7(5l z%f8Vm3=_H^XU2m97%fwv!!EVmk6|)&PS4Sl6uQYI$#EgFn#*jV;q^Q%PT@=0w?v!< zo~*$_I)XDvgJvPm-&>#b;!{m9>_G}aNOSH#{!v`IGp}p z38KAlkxrg=__0vP+tleu5PM{$8Q1SCYtUPEcMuE@P|xkW5W${sHuh(-5p2#2yp3g9W{$JWff3x6IXK6YIW_1bTPmM8 z`)aU2wi|Ms*Afm~L$VJkb2iNgVg8#~ z)}ludoRG24=jnkDr+i{J;C(tEhKoY(2JNrGe5tLt9`Iw9Toz8mdqy!;?!);k-Hc+h z)Q2tV-voVvZ=m`-?Tujn8~8#tM`!(*Ctrf4(}Ebz$@HJg(<>jk$UddZ85)iAV@OOa zOU|LTG3=BJ&;D>cisf%GfYZ7t*1my4Ie+LD#T5AnofEw75iF4lWodN8kLmI$G~1?8 z5gZl86!+Z_8to6`mS~0oKFd|6AB)1G{5MYnlGr6X#&ZVOF==#vgI&OWbdYNTxkA-F z8&S-CgXLOTS{TA<;V#Hg8$V9^B<$S*8gH^SVQd!(R2*Saw-|Q4$q1XqgmGOoqPXZB zZH{9{K%&>e>qsmb9L6=#6a}GpFI@3qlxRdzD4QlGFeo4yQJlk}O*I%Hau0V7PL>Dz zFABO2AAx2LRcjqz{SS^PMF?6CQfd*`7m5Qg?d(kAB*2$3Oh?9 zW4QDNBTS73M6gsYl$oW)VQhPYDQulq#&G^kMwrwlij!|J!nA2`6zk;6FdW(*!b15J zI#)Bj!}wA@!g4HX7sV<02q$aO^AOg&$q0+~N3mW$!g8{7B7%eR5xSP6F-bhHk&n=` zG&zZfe)$N__s4~CLoStib@zZ83=x^UFv6g%H5mB@1M+mxhrx20RIYg}f&=m?^c)?i z!NfP1g3UKMf@AU#I+u2%W4J6IVQ|{H*@t2B5oX?@%@G`ykFYo@oC;yn8;npdVTj;} zT)DiAhdxYxlPL_E8o_b-6l{&h3GA0|4b9HejR^M2r{JUPbPdM7!2p}u`Y~R_kFfX*?-9f9HyB}SG&PAYYb0e@VHtERjN9^Mb5eNChtY2^z@alf419w%aK61R zj2&+8{ZSM&!9g?*SOenInM7cMX@qmm94|8_!sCD z7nwq*F+Ln^Oiqpd3fiDGMYCD<%;9v$Q| z=W}$=he_4B8q76^m!gl*3S4#CTZ0LWY_&qxOM`tlAlpDDjq~Af^}V4Nx8)=sj#sy@ z&a2Aed38{ZL4S!Z@v3tc_M=5Teb`-Hy26?b8sx*l>d3-644Ul2vFak~yr2F8&*awO z?0I?`_x)A58qC$ei|Rra7H&{?ANE!k(qeWx+Ex!AHiIm*mwRu{p_e|4tM0ufo6tm? zH;FfFpa__q<)~E2xO2c)hH7yL{-AZ)cWHdF1|5Ax=WLwknAm09V>>J*6R|bui z2JwGY;=#!bO$nlPMLN$hE{Ol?u|${78Wp58}6#IB2bDZ3@4rL}u|P1o5|($SmIYAU^p%?M;uxf#9vpU>#TuALA>WNy-R1`S(n0pue1V= zx9$oi2Jt^DVG8M!Mze$X-<2?0F))q^;-4yQ&mx<_L44sMZ9!RiI-S91l@_aU_?aBU zfA$z&oPmZ0@$*V#9z8mU|K>q1Os>(&6#jv?=tu9hs6X^S&bp+o8QjZw(sb&Q!Trov zW5gMVyXgzUvg(Hu{-ms*rM#TRcgp%%yt`@qRarlK$c;3<{gqg{K|gnIA^ce>Tt$l+ zguf{5S6gK@;g8GuIp!@Ve52D!WLk)6r-jCVJA;!Rf<<%%8;mK+*p0x1WFh>1SVj z@YyH-@Tm*}7VnQzB_zXIKz;W6P3RmJG^h;WT6Nbw%E%V3448Cb!6eVFP=QwfX@ z<2~1wS8Lmp!IEk|U=|eglyD^Tt=QKq!Pph<5bk@ekhfT!7VZ;nk(Va(1x;?rU_s^^ zvEMDFXO#kaWU$^-&4t141RXNCO;wgtwD&c_aZgvWse=!Ls?VZR`wYIMD%#+E_lod{ zs%#9`t?4$QeKqHIx6j8avlySj=@JVUy_fR>A4XNPVe!;@MmXxt!lq$9jPP{vVuh`=VKkhaLRKnY|cJDOp%DB(M`e$ua%j~(Ys}^+H1$EPov(WT^YIznL(2daq~bns%Rf<$xLp20$|rLlp!_%O2pOU2HeY-|Q+8cI<-F9u|= zy8)r73mTk3&vF9$;_M81G-Ty&9i4_}aIS*6np5u#R@J&!39&XTeMz`m8!$W}I$b63 z0arG+Mk6!WUoI`HWK;%Syi}smxC{=J580Trjsh(25Y_aSoFJ&KJ;&Bn4+66%;3D&u2edt zD};?+L_-n#WU$7I=mywr8Eo=WFMDcdA7*$uWHG{yi(`&wcymQ+8^^qc3n`wi(=*u9 zkWfs$hh}h~+?;HYMH%#JIHFkpPsresS1@{Qk6t9)@JhmJE7vJL46n*Uqf3N)wejqK ze*TLuK5hBgCx81(bH{M=9NEs4Wh{G z6pu1riP0}V{n^LwHv8ziZruOuH(yW~W?AW}eXO#mHW}RYE=vu%%=t?>O^ws(0pYgy zV$>&6ydaI1#qrV`!eql0ctsr7YG)|nLTlo9T5HhXeDTQ_g`9y8s|6YK^%hH`=P}%B zFsL?U&Cg()*Gy_H1LC;pO*5%~96KsR3678lGk8%O+#71oBo5#Y8j4cfq=VzwQob+_ zfkWfyQ%+EycxTYtTR?+`#IfC5%SA(2v?`8vO}xEEDSA)_&#H3B(!w}iRL}ySp)Uw8 zy?wvPz@&b0v~A+efGv6?gSHjeb8vr7cv`MxWp9HzW^l(_b|tr~?s;)s@rD$o(`jiO zuUPS!?`yjCgYW;Jk6ZEHDQuh|HZ-(hF+L59<3hQqI1CMoqaSaL4ln3a60t7Rz(dR#G*lQ?DAf}TD!ZN#c_ODK{|HJ!x^-x zK;`q56M-l6ddyK8QmTu3n8OP=d0`TVGyzZ5^@>CRZ z87zt8s&`m*^-F!@c+S>r@=rhiHHlZ{?4QMB;g-Mr^jBOB(-)Cg zu&MRk@6x~JPId8vkA6(8qp_N%*4rO_NG;Wst*%-qVDq13>Axvpi&mNfei4oZn)2Wu z#A2bQg|VM0^1B~>sIGsdMt}4{%NF#Xcyu#0&A(x?x=`f*%;aY7G|knOJ54hMRMUK_ zfW>Kk!_ze5!Ef_4%?e|GsmR4?{y~jlEm2yrap(I9cQse@{cFal3x)fb$<5vQnyM>z zzW=~1n>T&`{hyfh-E=Hoxcs=Og1`S-`^WFTPw&*Xn<@GSueBBZ-THQOMgQ=%wxYjR z-)^DkAHCLA^uJLutEK-;$y{9e=gC;Kc}uk>)%ve>tsgdTPB~Ufb6(?Lt2Gps_}?j( zX#VzJH+{ba|KIAJACjJlCF?rgRy|*Rz2_sB7HR-*(}D z!18?8ah<7^d+GYk_j&g3=G4{qekYbzd%Q)f51KZ6yXD797on0qRxc%3iLKsI!Jy?k zw? zvl`!P+Jb_N<-fI7OR;s{d%tDDK=eb_<9in)-teS(K)QKdRGIlf2&Pa`|>? zugmL?)zog&o%&y>sR~VZ(0A(FF8oi5^R@h*%cSM^ROdf0a<1!ihyH14ugl=iiu1Mn zo;#mW0Q`b^{_fy`@%2hso_lGUtf4^0WmK0!rC|Enj z_We;)$G!eX_d4fZ|C$j$YNnL-(e;uZn{Jf!ICQh5Cr7tRdh&F; zM8vnVw8U4^rO{H~@5E9Ky0WyctWTr$WqmqrDC;w5V_Ba`o67nu+FUA%PRmNW3|d~= zWzve$E{j%{cG-w-&%kQ`P z-uJWgpw88bT^c>C?P^wsdQ{q_(c@aLV3>GZ5*K7*c@^qBObq{pI{B|SF1D(P{kP03t2YFpBir*0t2y`0aZv?{jHQ~5%IR^`HleITjSeLxCnD5q8hy)RdG~vBO9lHA z+BzYt?RtT6rEA8#$j-%;$-|gL1+V=^)(`gL&MzqZ=~IG}$gg8M^JJ7FcN*>!*!gq( zj02YswrUri?Jvvq32fu0xb|`rQ`hs3f*^u-=2}%cTi?y7Cum&>FVWvPMEnLr{(cE1 zEulQ*7$;`ODU^%FoD%u3?0j?%lr8Rk{rh;qIkBgj;6WJ&3idI=NUpL!DkhGvdcWvR z|0Jm-M|YSQ&w5q_P_bvs?V!O{0Dmg&4!Z7m#wM0NTSk&ZD>bKb7sKIgqC}JtMQ;E% z(7(g-w&@(+3F+J95Xlf?h*w;gJSgG|6%sBZH<>&XatFu@)R3G`pHetO1b!*w_nV0e zRFkAV`hRsn1ZVRHaW6%hj}7GSL}}y5|o(&8bkIHdn<`z3QIk zbLtih)^8R8mc1s`oJZcd*Y8^u@j4I{7KZ`nmbCJbYEJxR>(vbp&d;^ty|sSToQR+H zd%}e`X!K5Ri37nxzJNByv%j#I7@zGtfKuBwUxc@k_mv_6yKlfl=(S$vw!=5~gT(a? zp7-QuW~ptX5}?VV0>b^evU~Y7_;+=G_ly#SuZ~FJ%p(GDDFX-mIbeseT+4f~;QA3E z(_@hX?_6_dOtJP&JjdhRvUu*yIGfcY2S5=mRC`{;)WBMWIBdh!g=nml*Ao^l6xu!#WiN6wUq zBa1p(tS#5;CHBrMh|#s3Gjl;57rb&tCGqP>?qjJc(a)>8H^%0{y*i)+bRL^&OS27w<-MU1&V9Ez^~;`OAP)kkClSlc3~mbMbD+D*se;w4+#9?)=vtw8G8_1UT z1+M$KI*_f{iz0o(E4@&IOvEyg^oJt(Obov2&XzOHt!9zG7d4I#1=rla8Q@l?lT@KF z+}M@XuvPw#FDGC;nSk*hS>}Mp0e&gWy@2um0gONSGLSD7VEhk(@h@2f^0oUPUlu^T z`G5Sb0Qph`;!S{f&Hwma0pgW`_)Q@GcnQc?+<$llXt(}?qk1$U0hZ;1xv`n` z)9IC6nJ`$n6-=-7j@_C%ina;Mqp5Gj+E+AFuaLTD6xM5tony3e^R5#S-wsH89BlXX z$qpNnUgdXh^?giZW!rUIbEVk+k0L^GX2Q#d-yYgFzBlbRH3W5am(uH7lI5A4iYO=v zZMy+9BjRZO)aSxsy0Q`hAOkM4Q3_%xM5IADVkLp7xbP*1S&lbTS?$lCgGq4A-70wEneELe(0RRyR=uT)m*6FS{D0%Bg#LWI(5&UH~l{R)~yixk*gqg@f z!*?k0&O4&Nqi=0^xb9x-0;`@lwFwEzZ~lW_DBNL&Wo8d8JCkBX9H0C@NUY)*M?O(X z+ysR^gxX+vLr-zkX?qEEE;87MNEQVOWlIp`@bS#{;JTXlMLF&VQCBHA4Dq8qM=~E> zy@G7AkkQ(G;J-DNckdKC2HT~OU8D2!pPW8|a=X0J!*W7F1db>M&wnEQI~+X&L3)q* z$>Svvl)X(xZ1vz270R3aC$Uu7>d7gDE~mz@vJ`jq_>8> zjJU%RK=SPnLE7kz(yzDrz#WW2$}=CIR9L=%Z0eL+AHSVq;l!>4 z!Y*u)!56F*xf|O*J&SUkZ}l?Lm#?S9(8V_EJOL8aR9w#@N*q^YC(cS7ihLSG&XZyS z1j+N55eWn-9Y-DzvE>>)Z^}xo+KF8OsplH&d}y?+&JLM$tJc!zz?$%-a@m_u@C3C* zhuXPJ&JDT_HEhln*_(l4CGuUr1Nll8t0>PJfn&?S?*EJ$S`23$w{-82OPK0(A2y@x z!~a0QhP5vZLSB}_GUUVT_nLEYZg7P9%2a0v(dzm{C#rJ^i{mE=#@{`V4|)kgMdm*f zjrz?Mzq=q`^b&=N&3_?^za`H)dmxJ1-NK4Yj4NaqUoIbH#)Z5`N@0+7DH@=hB{_E@ z8ls$CcEC!3bLv_UzF=)`FvK1(LJTe+gw<4zLb@y;58x3ox#B)ww#n1KBF`8WGuA(e z1YU5yzPT_O=2os)*h{7yW=D;B#Fd;|?0%a`dVWz|CgamW3iS`2Ibs!=Twwbkl~GWm zHeT~0UUJgM6|wfJb~JGf&3pz|2vMA+naBmDq_$9`%4o3H*Lt< zyIY+{64UQdPMo!jNu()$ILl76pF292SaVsb!Wq@llJ_b<61~Q=3A#N;=WpOTY?BCg z_3R7l44t@pHpBvd_J4|-0~+*v66TtMp-2M0q9+bbf{Re(5>rauH3m8Jw6CgRyY2vx z+huV$LdlCTl||w~dPzD=KAQd_o!&ZbO07V}+@DOFm1Y3&|G1o+rKvwHUVUhhvYcK+-=F!BG7Z`60lXx^o}4T9832T`f<&MwVta zQoRKI^pR!(_A?r`dUi)NSPcfPTA^(Kc>XNZBFu&#jw0~UHJs3h@YcOPMx#` zgsKurRRRw3G0>$MpgWjJysYrF?q;MK|B7DmbluH(HU7=U)q&YgRrcZDSKf-J%jUT@ z!CF9A3r5!=lp!~RvhB0yK|SiD>j8cdpW=JhTt?6|fpr%e1N^t=n5zYE%z%!$HUS;u z0RSDtuLgE#F=y!f|Lz3#+@R|PtW2(nyA@tW+*LNv^k*8YN3>4V!1vO3p1XBkTI^;w zREE2zC!Xd|H?=s0RCcr-IO$wn%;!JpD`G}(2SH+_6?$^dTmK#S!uz9)A*wI-DP`rMX@QI^Bwh^_u)^lFd`^5kyXqoR~P z^TFtP48JwGrIM$(q~ea3_HdgV!_`zfqk4B0N2jf?Haq@27|pS zmX{SiZzC<5krqDB;~WK>PsQ`0Hxks%zi%rOzH$sk9Q(~|h0-;sYL;HajP7A_dALp* z{BKf>;jExVnfLpY=-9d4NgG+pwO)EuTc9|zcAjaS;q3UIHPcK#$OhVOl^^*9gsC@ZqKFXYUG$50@iAV`rZd6(Vtuor=7oJB*!j#FW0@AX-cMkQmRNa@-qayrjaNn#Zk_ z`q1>^Z1BBU<09|7T8XX(?ldDK`}`Fb<%4fULuT^X5g+1v0mqHsMXJu;kFCnyi#>BB zfwt7$b7v%^?yvk;B|D1eer%#&%KPV7JDm4Vm!w7Zb-7k5xWxA)CQ-Z008FeT*2%k! zGAb{*e#F*C5~iu*VNF+mE~U#X#ktql%h(>;mneQhu8~_-E-Y7Bf^R{J4E24uhcu|X z?>|EC3rFph3XsI6y>&+RDq5DZaTc4@6#p^HZ zyYE~yU?)Mh_wd&g$$_8s{kbTC0>x0i6YyUu952d)yT9dLor7X!untgB$X|+rsQAhB zLw?I>jGB^K?KYyY&rP=`=VyXo9+p8rSK}DNvQD5`BvH*%Dpx6%h!)CH9rMIfc~Mo- zcu`qV0>z-7POj*zSa3lmza*7dj$t@MSGsN>Tm@N1F+^2n#t*FQ>{y)gzhT&a!;!X;bp&n8EApDjD*~J3i)wt}MQ16M%M?o_3uWuY z(@~c%GjtPc{_4q%l^rRC`jpq0v` z=?e~ULy=ki$q15@J<%F-lJ~=R;8{bgS6-LA1nJCzxeG%@CU7PmF_b4=y%LQW%^s^8 z4d;I1p6f%EXRZI65`t+b2f;V>o(*H)7Y+lR9cDik4iTCyd0)J&ZS<;SNq_#I!ud~S za2m*w6Qs98a~}y`1HOC`+qno2qUjyByv9)M^P= zF)C}iQ-Ddl{OrSA_|UE`t&&J?{TTema6t@5w%INnMMlWK^F1~94RM9at^?69*X%HO z<56PvB>c!B1s5QC4Tv@#EwG{F!9V~Wm>Iw*&$6FrkP_mu4o*8!?d#)YUnU#A^oG_oV%XA*eR_Y%g;4Sfv3l*NVCS3cA9sp z-o#!7w7SyWdI7qr=Bx??AmLr+B77w26#t4vulD+<>5x6V#t~4-R?Rl}5OsjJ$VN-K z;+A1Q&Sd`DKNQ})o`;u=p=sDxkr^um?zQb+(LVKFKK0bsMCv`wq~m**R@2KYyP`MA zWwFxX6*1_b<16CG?mPxSnL7Lsm-X>NDtWeb)d6V04~htskJ%h4$5%d}&xP>rxtmU58y>tkB16D$Ft zFO}piU=>*R>qE5wVB(Ta$bo@)58!zkS~vP@e0}+k9b`3S25GtEg+K8lg&l2mS=!;< z29_gJf@#LccQUk>tRFTOV&iy>i3^Ed%I4m#?ut5m`q<@d9~Oc=gOGvat9KWdspt=# zHWi0(r|f#NwOM^=u}RKf(%wP@=9a>Q%@hTY-ObUD($DH{yZFbchpx?_69m`?B!HU6<|;bgZf$uC;ysG zAs(B5tIVvrlR%?98q`PRB zaaT~X5QWK>W71Xj%6cG%P=?K5F;H9)RUhjH$-gf)s6a;&dmdp36jY!n1BC@B7X(Y_ z9K01YHv6!u;$I96+D0h5)O4>m>D1Wnc< zO;fCdzdiZwQ0+WXiz-n|n!HV963x{jT|Ya;xoV}szZ00O*r<-ce-{%sf;^jH@9WZ$ zY?c~FA)HG)Z`P`S3#e3t6W&pYgQtDE!J}ro9J=F0yG000B)Ed;?8o&^5y!A~fygfu zHFr@^fUGrR5OvOg8LepfT|z;}U7a<7tmztxyA7lhetCKg%N{lSVq=+K!rIHH}^Mu=P%SV zh{TC_kf8&~NYJJvBNc~|EHDrA($>+pd~kAB)cjp>@G@ZWhq?HuSD&H|7k7|2xZ%mxMd%)7XR|+4?fr=-)+!(3|@u>eGh|orfAPPKV>$YxgdBPKz zvK!j>A+SFXk%Fs$Q41{9mi58={`3LnK^CauCn!L(I0zD8MlQZzmiN|!K$YV z`^`$1H7pp0RhGVIWjyc-#J4H#od&d;4_Fv#TT4VTggu;?540}xr_Q&1Enc#nizP7VSpf$&riO)Wx-m8jkvO^@UqL;zHCm zCKlDCJIK_E6J^Qk^4|WC)W%CzuxIl9L#x?`usK!lkJ{t;D;oWJ%wLrgve^fvE>a1U^tFk6a<^$a;c+x(Ei>D4y>j&LZ=LTnFa&e{ zIvR)%ZMnY&LA)2lp!LVQBD30pfkAXmgv`nju8`Z&9=)t%JHGHZy8wA5~p(ESWNXrraR zH|I%N02N3elZV7O1ZVwKMCxUI76j&&wTq2(Mmc4Wx>OL8gMv{qjqeaNKQ7Npx$z`) zGH$NUZT?B3X<^?cN*iHrU9Cbm(U(#AO;+Q!Jy?nd$bHm&CY{HcJC53x=z|*0QhIoT z`6K24LRo#g3lo8qjn>Su0vC_cK?|x1>imES> zsHZ?P!qkgNIAzzt!UHoZPAl?T5Xa2wr0{*cjvNxQr-4MpVVroM<`4tj9>w*Rt!><_ zSil@z!D(rFBOc}`Iebt)MUyqB5#+Rqi1{KeRYa5WhkS`Meup8R&%CMzBC0mw)~SV% z0C7c4H#xQCQ`Whg5(!e*o@nDVuhqY%I2v+)l`M32MqZoZnINp<6RDb|g?7Fa`4l4f zPx)!4p#{kKd$QWJYI;$rj&Bx9ljycKgJ5T5opie3G30uNvY(u(3oUL0FL|k+zZz;E zq~?SVq0khfR|y#QoD2FnmL1cX9qW}i;S>ONG-`UJ4hTI$fw3gVn&?vxY}{5oDrhmX%EvgEhA71ChX|a4x;t*Z2p+$#~k<4+Hy_X#K1D zl(IN1p(EX5Cr0PPN(ze^X(O^GuaR8uB*N2q>E!rY7Q+20(nmN&OMCs@r?N}!$fqFg z(m`@?tps^kT)%V9kTzZhf{<$Zl{9M2%{^If80-Qa|1l`-A&dlyvtmWb8KvHGgtA>Z zxAE&2+ZFy3X@2YIsb}eh-8Q$u6@}_u7}i8i5QLgD zK3db-FANqe;~3oA){%eHZM>t9`d*b$pHWU0)uG!&5{>OahfZGOrHONOdXy2(aIOH{ z;FFayV*{Q_6iddc!e)D6L51Nj2UdSkhPb5j!(3t$k0u2VgdmwOV48^f^G1W(H&>22 zN5#GDMzE;b>y7a01F9^Rx;<@CY-QZzajOBdSvZ{HeO|VyCp+;&5})5(zVSoz&~T7n zRlq;TurUVEXI>K}wH>oXsrPEEbCa0~u}B;XGP8_R(xdUa@f6Au!r4j8?P_=BpXS4e za{fY_R{#VrXxvKwpD&o3&!0>JgvLz*J`lNof1fYPTwLsb=kWE!(Z@6q{)%+_a#~Q; zzS}uZT7Je_z)paWz|F2S;uyA1lbIc3oO9mKeakm+&Ohj07f!LivCys=g417wN?h<_ zR6zkT^&!YzyIf8*vtWdA-ni_DDeT-`2ItD4o!Bw|H)q0)GhefPvI zV7&mEhDTq(ZaGn;sbd||fR~`AnHa}I1S&u^D%WUeBS@3HO{3XYDBxA9uSz9C%mu>8 z2WawG9M{Z9-)W~|1T(kRu@|8= zD=}}*sI5Dr@D@0PSqoJNn-#B#)5j>JOQ_A$gIOag-fAwWwX_kMfzq@iLg^mjR;@FV zAw1q$#3W8$LFWB$jgX+8q`TxMYwMhC-^m!F>2ZG&K?_W=EK}2Dcat-cQF7@DhlO*J zmM!HX{P1gwFYy=AO#ufTh4J3&;dL;Iqy<!L!|aMJsW*)<6EKpjV&!=}e+6k}WCPih)e6yFLi%K)SJecpS~u9;B@WV1 z&U_<^223qaFGyx}js7tk#@7FZzKE;m(_b5?a{Z6Z%K=u5P;rX-&VRlS?3BG3m&n8%;`}f?MZBz`zb2P@1g<5@c zqrP_&I+C_=y;Lq~-bnk4sq zIGx5K2k95=X&949I*xvuKv@!{j&3zooGLsZZ24|supb9H?L^cQ1~eEm#&oJ**IM$M zDIad$OBPtuTmoma>E~0@wNup!v1T%gKAi%WQGbhb)2T~T3kYyc-)Jf}#SrQuL+;NS z(FrT>HP>8{YeIqx*iPz(8hI}LW~=7LlLCFj139UVFd?C>Y#kCy`}95j19_E{a2y(&I(`4YHWw{NpNX=1I6|A1Rt zQ>i~&pJFkGpv|EYKZVQ;MJia{{@{9q4M74MOF6IygiDv|->?J-9tB3S^=n7;G~-G= z;Sf_!{>@-NnmtVzgu2cKx1|JyGnPT98ho@vTb4}=*^qLH#11umo8t=(iGQ0?RMKr; zSrxiw&eNgmfdB3)Js{G}TvbHFTY=xP?`)vK{?x*H`%a^a#pm(LyjCQcQS{^Yu-)o$o=6kw z#d=MvlD(+RXu$fpN_aK7zji(unDGVD1; z=yZl~Z4_;>6>Chmt*aRat&B7{6UFY8UF|6rH?4>bStcomV)&p#=Jy=FMQtfVHb*sdWLk+jX*1Nmo?oJy>h zK$Pk&&p?SkIA?j5OM<~mc0Nl;DIg{&xpSvpT};DFJDF`)YK3*D)_eZk;9liPV5VR# z_Qzo6)WI+Ur-v(l^20}P6H-+U{*BB=gTaiTWf|pRpmL+yGfh&h4wMbDp_^oXw9K+x zh*-@ul<-U`uJU_w>Kw?a6?L5caD;||6$PTMVKRzLckTXOD~Sbh4=l*?YPO#o%;h4dq#>t%I!F>bp3aa9QD8qbb;kPJvyRs*UHL z|LV;{z>{Ohe8QSgI|{3Jx!Bnfw=-Z0?oVH{{$T({k7e+jCh@%YsEt?!Ers#YqV}24 zwkeJ+yBSp6&l)vig>8O9WidMkCncC zvch3CXr3xZoFSTmKhtVE`zw7B?f)1D@@GAG>15eSwAwLsu~+9{10;l2+!^E*^Zp{= zs*qtGM>*kxo8n;_>(7@S002(wKW0QTy+YwO1Ik-Z0I^F^YjT|Z2hx8Ld*z_ zFJE2->xeCq5)nE@X|&G6Xk}_^is>o_5*}$N^KusHEI2V`Lp%FkNf`|dd3gE6Ps$bv z&Wtx)Y=Aj`GeQT8dyIkwHaDBWq{sl?G%x|Oz^<|Wji8n(M19J)aIVHdcMJZT4H6rq ziWj#mW!mnnieaq#B&gA4xFyC%(Hed9a`eMM!mBH1X6ZKhGV;`HrdKjyg-K&5I=htfCX%lQBN<~a{ z(MY!N$jy|m2xcAJkUuU`3*X?j;9T0xP47Y-a%KP3>)7B9g1`Zf2+j)0Q1oygOtC=K z$hntkHiVn1AS^&viRfz7W>x{BEYyozQCN3DwkaSyEJ5xIz+;pn=28VN2AekmG_m4o$6}sQ(6+snaY+sr zoSpcI`g>g6dVI-FQrm}-LwuPq26zeUN24gL_G&Gz&+2jZn#bjlJar;CJiVH@hf6gm3ZmcNtl)x zYY>W$+2paEOILYvro8os;klh>%YpZKAk?;pVp1Y7BrGKK{cZg>MH|>s8_$#nqugXS+)0?p37LCZqp1c5+nVF|Q zj$0fWxBRu1@y)KVw2N%w1iyzx7ZX^-Fz`6uQmG-Nc08T7XfF%(?uvg(IkGOZSMslT zDU-{K@YHiT4^F@#Y8`Cgx3q%HMri4?)USE4uXi;I1m1@*5Y&qlZ{CN#Pz??FFvZ8+ z(#i@&rnS`P@n#9R?ye#Go@@fLvxz;xeUz^)w{d1T|A?;*G0a+(+mj~~nZnvP)1?Bw zQO!!@(IJURd?LWh+}D2$^q1iozSlxC_pleTSe9mBxa4uDlb+JJWJ5R#LFKn2XlKp5u|+o86?1Z1k`)h57LwYr2Uvv%|n zKx(I}4vh;b9QIC2b(@AZB$xQt?jU7Z5iIQzf(uaCWB$O^b={M$*Lph0EB3&L(ai26~`I9mDrGHwID$y(V}SehURyTkqLAweGJJKZ)% zy%ex?UDdgnfXWor-u1BmcGUH_)~F_Q$a1}~&oKGBkf%?YfGVA%MSI7by!Hl$ppNqf z)V}G5wk8qUNE6Z5&V{O5g#OoC{bM$wz)(8LF}YYlms^-fTyqVy5Spac_6hVP zp?!+oSVPv>mAJsV$`f^Uv`$a#Xs!CNs>{=T~SV6MqG47Y) z90sLowc)y$HzmkX=}5~XQbAHbjo*dvf}*XS3*tqz z9h;JrcTii3wZNco7M&q&eCH^qpa9y*-S!`k4wVtg@-xzR_D{P-s~lxjR{KCfn-SKK zi?C|bo(nycK)fcjXM93~B;f-dVORF{A*`-}afD!w66z5i0+{O%!?MysMC}(I6?9#( ztvG-y>}@MOms=(Lul!KFX5QC0Lj!zgzDRd(m^7<2Gw1FK!QdJ?%GxuSE-ye1JQ006 zfLcsYSyuQLRv{vp zFdOZJiI`v)3+x7e5Q4wTk4sc`An6z7(5<#Nw+tcI!dy|dHPb}jQO&WmuF`aTcqq~p zj6^&%`;xrAU8k33#PyhafxY6t?rn1YKqaj;f4!qqY=exG#L)@GxAI+3LQ;+$sdAjR zILg_IT(C0eKnVIv%`7AqvH`A-Dc^163D5D=H5^GOK4A|ukdrL>?WgtwpWp0@Uk~zb z3e5V%9K!BzDo6K?4+n ziq9uz0qx>*kON6N>Q?d7pVZ{KEEnLOhhFP3-j$JR@s@^W^sM?vX**|Ldx=Z<_lUKU z!?&<2Ik6K)Qrft5KxP^^EV*c zn1>I*_%G_uguUkD$s-e5qlLvmusGW^V&WCnVT6~pLi=yVb_WVztqU4ELnx??cre20 zPLI81VFN$qOI@vn$hDRi(26}dj}c5t_{~K1JFs$C>gHF&mf8JXt-Goyrk}B{#Z7wy z)mSwOJf;Wd>Xf%{uH)Qt-I7-@)c&~+h@n<0@2S>0tf3rsy-ZozN z#8ik&Qy~7MC6+L9Ciq|j*LB&=n2|%Nvp=NJ{`o}O&SKlBimM@n=^%jF3Wd1@`HDJykOR9`eh%d0R%wWxx)aLN%bmqAMfdY z+-8oT6$Cu&5^L(&BnB6f?~@00!_zG}(`W-B?)lRLuS~G(pF4i_6xlBet|(*xLoZ?}(krVAPY+22480!TZuL8jl=A zRJA_D_To^dR9b!TRgNM)qBAlk5dr+w&|P)bIjjU$^WiJif44LiJ^@WTdcnF2VYM>* zyx{r6N*qpym+2dYjxC5q+`RFa40~DOJAp@$t2`3`BcC29+1ui^?7VX&a@8r(3rlBv zpG3YG6TtC_B>C@d*cSSA>j$za0u=`$o;Z60qIk!Y%|D0SXbXuRjgpYc z#oO=jQfh#6p(a@J6%iDxM^(%1Z>cV!3?`Q-tI?iJ;(QizI3wEaZTy#8r%!ZTS4b{0 z;!}EoEgp|&64q6@GsD9^2Y`-7B*M?Xt!jTBI`a|n4|du>*)D3CgRFF=57yw%DN|?O z31O~C5Qf(ipvom4J2E`KpxuVMfwhNC;7@-e?D`=0f!CyChK7;hOcvSG_Td=uBH1B9 z4BHCipl_nK+i?FuvL z&q#%2%{+5PHoLi*KLWSXoVrjigM-{4lM5WDQF7h*8)F=`hkb!bm{-~xKKPHKklSFB z5I@6So+7H#XN1+_)SLm)3ggn!HTWvJ;!;qZ(v=2DrQIIl^-G@edn&42gt3JcQtakD zZMmgBnjVwZ&K5`=L%kmZo%|`dRaM+1N=8_p%Q8`d5YJs0OZT$8Sw(sNFIXZjFIw?| z=m(q<4daRAxeF*pKF4(h?fx8^zU?JdJf5w_!imiguXS0NRadBcIy38SfA1u3jUvpZpX}(BtM}xUr9H&Cf zQQDS=LnxqOe~u2c84se+wY9F6lnpVzUgjpc>R|sw*`)JLjbw+)Fx)C8dP~bV^ko(u@q=Km(0d5HMz$o zDrsGgZU)1-b9YOKpL>rh#oz$$Lvh2Fh*gd<-TGb1DeYo8(YLo+wE6(5F+9mfZvD^a z-RD$GlXYD6PYc5?W>JKTo|W=M;RLddVymJwHN7TY^+54Pg1LfsvaVOj87 z)BIeO0>F=huTC;7L3iZWMk{bpl`SCeW> zuXF-M9n4`XDv^$GZcP*)#D0F2B>T;z%j!V^`*zM?&Fu#{^AKYgAn|qc^iR=pkx?k6 z>=v3!OzCrl0oKI4I2?ze6?i7U#G(?DS#zBohlI_F^F)azIS7cv-5{nk`Lrhw7`mtsTl zPmFT5hhZM79fmsna)^*=Uu4fs{+N;B>d5kJfB%+ie0Pm_N$1-}P;Q8x#Mj~B549Wu zgG}=Dd!{bsa3{>k&O80`(~w=bhbLzwBHugw81m*^Na3ip(A0h?vu$&#t~X-5h+iag ztE8Wi>n3*cpeCSNHFq_0x$$7~LWuIL%GI&><@w!=x*?5(@aOtv0JPAq+r!o|(CSBY#3p+vs>ynn)Lm&Z;{6gb zmdQ#b)e;C1Na`f+R#66}oOzT5ngiiTf(q~ywt(MVgI|Y@UFB1>8#OQw*o?7K5jVa* zF@Hm+4X}rrWg<0)j+P8Ze^ju&_@fe;7hB19>U-rMk#v%ce=ztlU5N;7=`*!W?BawP zddFTbefy;{p77NY05Zm!{EP@uoSkwM-%Qi(a<4zNzMbFdyr~F+d?*7n{-T{v^9!1u zazL0qvYq)7XS@Fi|4h$S1q1son1MHLE`9i%OtUR)Lu;(wY77@ipkoL5je@YE;!JR~ zd|)2tYn;`WXG?YG)loFCf6jk-@b6^Y8mFZ0!>5f_8<`wUa1kr)I{u58t>T(pp%_Uy z05ubFZqy>HM%@0?#*FuM;p28K>V8hI;WryI)J7Opx3?S_R<6`w z1$bu9uxCTiKPRFEXzYrwe&!W7;a=%VF+kd)5_n~#)ksbtPHyqTAsqH2Y<`GNVkjQ7 zlpqUza|=dv=r3%5hnj<}UJtPJOd4H(e_+M%j-KC-md}mJQD_MP4l&9IVc$N66iW*N zs$IrdO%)Q{qt^a(#tzfu4NXuqRB)+fDt7%{g9a`3P@pz4x}NbZBs+I6IO)DRZyakf zkf6bn>3<-`>FMY0Wz9BA*AXx=f6!H0`tj>uAk0ZR+AA7k<}X2F18x!#k1%`*;sGlm zm?_ZBQ{*3c5qj#nFRX7*E3E_M2#!MxPieg%#1X$}PhQ>!RM<&D5yKWXB5P`a?oV7+8ZI4GR-P`*h&9 z5(76J;wwlkim>nr%AjgdJ7~=u6(5MJ1!Er1W5Or1K0{iatt4n~`W5>)CJtH*xs@A? z?>E2lNp@w8AnEl>eh@e~AdZ;I>LQ+D69SF3K z>CYX3j~xi-jj5$2pw@5No7|i+*hyBX_E-l$xxY_ap}bsr9wF$Y&xH!-O)E(cf5*07 zgINpvxl|!B#ncG6czrPL`M&ZdaNnAGcc^1kRfU{4^WZC&o<8s~ef`ZIf{}NaZrPdRV+cZZ=BT^c597&zA19A-ZQKn#{H9wx0 zZq1yFUma=!@QtKC%SU%|L;XiJ=p2k+Ft>~BibUw~r+F=85(|UBz-gxUGm5bC>;>G( z2$CYMSSO?GxkFlXjl`n=Fhh)O%m=^ zgXU!@FiEA2jN>g78?qIVyDX0H90;br0v~2g`&Kga%7Tb9Np3;le;|db+T4sD;1KTJ z)do!$DtS*45^&v^?q1t^Mo_yCv?-*%(D;1MDD3d8y^gxBzCBoFPtT5VQaA~lXKrM- z+^OzLJ0DShc4!e)KP6%K)sTtbLAAsZHeXN&Nk3yRY{2`K8q9I$=>1A=1PLeUu>zawHi7# z#2++p8S(o1q^DX4gTYEBvK9&!3BM5b{moq?Hu>=nMml7h3GlkA6&8FsG7p4Ho3Zx1 zS|JL9JAsKY*l^~PW(AvZFzxy|><*x4a6PqNYl`#DLAxmRtW4i63tEjAUPThR{6+RB=e^ zSnhj?p!PxkxtW`jDs1mZAErsY)Akf*U{X?2B)%B=8aZC|;M*an1F zC)MN@hWc4NqI}5ELbiXvU;hRyA^8&ILE5`-%+i`24g^ zA|B|Y12}jwsi2OXJ_`T-%nvDLljxl^^N|g|U+OA)BF7-#erdq|PQ5R_P5b<^IHvh> zNTk>@JKmJtf0+HuZF_kqpmVxG_9-zE>H+#r4kglG3)O0qtpW|r4Fg$OI`My7uXGaCp`bP^e zTZ+C0Pf0G&CM_I@a-XuLPR(bevK0*Gr~pPDv*}P>;gdr z=}l-#L`EEQ&TeKx^5G6dW+}xv)&HE-Hr;<2W&CWX8&ul<%&Xuym&|5C>mHlNDTX!= zY%KEWj+zLhse|G91BjCaA=tLcf_)JwXBEA|GsGPJpJSehKjAYPdY#old-qVqoB z@yO_Wi`NXU|8z3zEa15ltNe7hE&Ie-k#QGSo>a(3{c9u6LlzHDL=bO5J1IA>L4|R> z^fSb|aZ7u6-~bls$G$MtC=pjiN2@o#i4>y@Y=E+~o9R_yUU)pwX*mY2z?5LxFgv6Q z5DdU)2;`vjq?FF`m9?Mf!GQ$B=?8Q*7r#t{*;$$gj{H<$b-KmRQDYnF4eUfuwph1| zOPN{BUM;jsI%7-;U3+_;`3pUFF~r;{xT3Va2~gw7&b#-yPMxKqNF= z;eq1kz7BG3Giy2vJ76-HKZ+sDtwhEZtNAb-2<$AC`onm$EV{)Y`ti}jj5zR$G^q^K zgZ-y`))`P|E}M~n!b|_bD&`#g{=UE_pp8u9_f0E+R@G@E`EW8w>E3z)6Bo;5&B8y^4pG|d;{K&<+dIC zvVQ`70E5&(lj8zt7P)N152~&30#})x?4w>@?eW@Xh_8Xr&Eb9oc4VMOITe z^x9nYN%ki6if`kQw~=g8*EpJR>f3( zl1McgxfOXkb1xtr9lXBQWfIs<78KJxVxTiY^*)?Ot9L3q2#Zvnft;NmBrtbh_Q2eM zJB*GJG}*baNl$Sz;Pst)&(W}yjZudV<1>-5=TdqP61O#+XU`sx$kPtS6@4vC9zxYydlobOvsEC zCRo&0x7G}4g{PuVa%M`eMrsC9+AAT%%ZBX=wSyIXe3oIWeAvUf_gCMu zibcpm{*OnLfF)*5RE6CCC7dO@1!oGhH#P}hUps_hQ6wdX5t;w9Q6y>iS>|1g+UFkk zmGuYn+<+Ql`aDwDzbw$(JnTi{mx?Yl9i-L=oRmJ&_Uy67_pe?wV@YRiL@sb&olHe6 zq_EXKupiyho`x5$J!}o)$7r6Apbxn=T#>?`pXN^yW>9uXFI=|^@!n(q!PRQH$uWI<@(F8=-f~~75 zV)F|%^(#LJW~py48J8I1y`Hi?EZ>x?s@ai*!X5AK{v!oigctLfzrXsVwqZ}5`8qzu z4hKkq-i7p3WiM_dw{-(QNX*fYST7VDU(lxFz(g#~1Lq10MW9rWsG)thCkr}Cf_7q= zEtn0x4YaVlm;x@I2*-(f1TwyAWh`cnCZ0EbK`SDGNi^D6YZKo$CZ)0-3L#b~ zGwcp18EYt{OVRDk)=#TJ z@EsnnSP(V;&!=deL@;K9rkA#{qFPh+`LfnhPK3B2w z&bjrCx_z0pgwZhuQ0I7EfOXqXN|aW?Y#ICW;nmsW4c?qqMnR*y)w%HdjlYZNkX=n+ zjpTQFtShLwHr-|fO!ojJK~V*ehrFSVjVw1v!ro($O~^<}?T5$_`gOKpr0_PMRu*<9 z;-%Zfy2r^Q6r%Q1;7B1cU$!C(i*&`+pP-CVdzUHhUJ>y(pXeGI=K)~Cy+b9l zzufTE0Bq{N=bgeijBKQV4#8tklU(g`@p@F>Ex*a4(G>;w^FLr#%bmizJoerd*lrPc zs#0LTTu`sCmWK|Ke`4e+Hk81+JdFgZ33~Rc9hBSKu1_W)*ay+M6hBgJ)!U8#A5Ku+ zDcAhd>BaM!nD3rG#5Ee3#)V(nDE4%~eBRtID(rD&Px|2LC_0ZJ)nvBYPE24Nm{(mb#K#!mt4;%kLUWNVmZsW${cqEXc#ybUl8A*#~#JPo;v z*F>24c_W@OyP^Y~w2dRhzzs(LVr2Z(hNZl|BtK?jpNy3M;6>EV4kC~Ui9_!o_vb;; zW_-tO#b@BNqV7UHu8ELN8Y&J7yIJ|~DnMaAf*ITFj}$8^QUBi$)C@3@PnJt1BFuat zrofhI_s)|ThdggO1l_rqW1k{SwIGpUwB6JLb8jk=a@X)0q;=%6g*e%@_t{<$X1!1P zz)Du^iL@2m*QRhn4*2JPpLEpoR@4WR04<@$e&=xXu^J^JO}PFaQ-q1X2NF5L9HEm< zt4_q0!SKhnhk3EB>rFaK40%e$DA8OBL_1HF&3*gMAQFzC6sghLKz_$l3rNrzYfg8@ zOD5m7M2>Q_kJe^wk@rI}nC0a2;V&td)=VUjrW8L}*rhu+gbn319Cg8oN%-&&b>Y{n z+&`%3W@GJMMCSf`9vl6w7vvtUTVW7(a^Ul+gCr17mh2$4Zgpk4aT(5% z(;~SN&n4ziH32&jS5$<<3z2CRd-94I%-Rbp1REieSep#ej#Vvk5Sg>EjI zzC0c5woc7K5_tk`*1eT7NlD~O8nZkhJPoIxoDXsLB6BW)-(mzI5;1&&I zEqLq@_CDyS->vkTqaz1}g~Tp|BsDei%?7XST^lmU@9b zWz4u9Da>EpJBZ-@rUKZ$nO2QzoQ50>6v7__!ejJaH1Zula?F=5*7J1O((*Lg5fdWOqbQiAaz-kT! ziZOk+-()<%FPLZBA?ocQ_?PgdEdBJ^pR?2>X9mMkr%xYA0MT9xKl4r4G?-3uW4q+A zue6MN$wSVoXk5R!+h5KHTpVCk+eK`O-KGle!doPJ(QeJauYtFEH>6zJQef6?CrJEm zb%$U0ULBqCy5!OvPgMz3O7C6L9H*pNRa>DREpb3(8{W+m{{{foSY|pn&x$Y|E(l`9d_Ve5RaMTAcX(=q$j)PAz2|R8F`t|xeA6b-pu>nJTo(&7a?)WfEwlOv_Y)TDQe?>;<`HU0|!wx zq#a~3)&B)eAI4&lZQeAx0gc*460J9Ki!5zS<|eN#d^PwBs|F!^WW&$DTx;m09 zok+fH(9VTLzyWtdsNz26S5~|DvI*xcW`WNW{^n4lqTHH2mP3&c80Jv{koBd#+-WeF zyoFCgs;&fjkiO1O56E)Hp&;hBlpS~OSO&vss`|WHo5K4P$Nb zXiVV>^y+eNExR-N&sZxn9CmKB*v;MmP>Pu{NgKw%&=fpl7)Xf!gS%N(fA==U{$Tjd z&fH2_rghM??>1+=RCWAX27{YvUCN1(38}pV8c< zK0HvkQ}qNa%~<+p^~<-ctSYbRC>BJIYu)9V&1>)D6tc;*$+tXhKG(VS`m7~taH;56 zKG^4C-M2(6N0ga~KNA@ccg`TiwZ7Jlt`zB?d%Rz3*L;8peA*l6{~>N#0tMYSUEPCP zC*B4hF0(H6d*7SBxkqGonge?ejZ4EZ#Ep z_B`Uv^@GbWW`Lv`)AX9(_OHB7e9M)CCsWN=*)RGialLGYpDoN(CtVmy_wgH-`ks5B zO`Myel0iKdSqR1wz?<#vMR${<`_TiLXF#FP2Utw+5YqmqYkaFlSn`qF-DTsYYvh~u z@}y8|t8Xp6HdHz$b2_*}ru+h;DiU(w!|XZEO-0Loh8nIBos3wARleU1OV}z|7?VX9 zmR{v8nts$!V1TEus9Kk>iv4eyo~5)fMZs5C&&ip!@rS%Ve0YQzq6m4D+7rDOvw0#S z`kNr|f>`HPp4*0X6_sso8(d46{je0i<3>E#UeI622p0p;ec4X?pP2;k34Fu6uk9wa zFn^i&@~nX!=OS&xueO&lWHs8A1a?$AU#Lh9p^^Tc!I`QtagaiS>oG>g=&fSqxiR`( zpVS&)0m^Td=*zsc1px3=eK(mFYUz3a6hQ00Og6YzjgUC^OT=vj$ndh{XybeAX-C5j zcDa#f4z2f_z-#G}=_aYOqKaVjHX;Qf`U0;nqA{P{LrV?mnYdf7`r#O;wK`huB8 z#zgv1$;!W2Vb`w(sI9;upERF|FTU6S-w)27U|j@9!+;N^-R9jjPJw2LF zf`81oGW@7o#FM2V#NHvBpCoZ}*&vudjaP|pEG`!tO4C^)zK5}LZ!6!}H0*bP!l?P) z+pDcNuR4deZv;n=B$mQ3y^B?G+^LyAj?r?6X=>SGM2TcOE{_EGH+7U>(g2(-Z0ukv z-@9W0-F3_kIQGj7SB9mQhXQJY;@0>K2^|@txPYlz^sZ|#jka??cuFC03Z&H&_UB8S z(j0parmXw;HsK#**Kf^UjcbU#8c?f~2Q{_BZ_|3Zmc2PqcJ7eVv)y9nuTL{g7hs>P zX5;P++XA`Z(6rk7Z53wP8|uL;5dSKE?GFuF_jNMXLc%&Q&7(S-QL==KoZkvA-L9hp zQ~7a`{D4JFPg#tgN|oC>3+Jb<^*Sl|=#bY-$YRjTFX^!X9E~^xPpK|ol=>WgU7Z7J zDlbzYLp~TiA%sRH-VL=RVd|BlX?MIORa9kZ7;r5nm4)r5$s&t8eXwFaRPH4fpk#qd)B8zHt|}qz?gGm-csBaTvAvwBcN>A{(qfQY zXsA?q;R@a=FzH*THY=Sl5g$M@9=#qxT8*Qu*4?9|ubc@!JAA0WhLo3MG;m$b76~u? zM`fY(yGZF#5hjTVIDMts;|N7_#l2A@-lG1&j(yJX*ZQz_f=e6=LQ#+0>UJ?FUNg)i z4mfmIY(kOF_rehA#J1wWMiyae*e>fZ(3Tf-|w^}x#*@jO~}cUFy4Odb;-rj1v6 zseQzeg(>d`!Xs(^{gpx+f>OPD+2;wsq9n7-kWSj%WhELM48vVpv&iw$C=JsFv7bhaSlpII6*kr4#`_QJGBD&F;^^f|_Yfc}$iLqfMee zo@RQ>d76*)SZ1Goj{tmr2XZ{?`&^VNLzxTH*nDZBA^FPHo7qYgoU0ogPTU~}sHjep zpX#q+@Z~XDX+4p%RQxp?0m0pX=P-4+1a(_%Zd9Hp0R7w>%GeF;sjqQ|g%MXh4i)Ie z&F7;EvR-@D@{_%O%4Mp_LA3TuorY2ERxkD%vgqXJ1RMnQ4;WZ2Q$xxd#^T8tv+k1? zCQ66QZ2=VA9`|HUE4OsJb30p_ zDVN&2_~8NWO;J^ffC|rRc9k9;1;UX)C`nmuip6u8j_`rKGq`uVvdrU_hQ@c!mHhG2 zK35sYkpsz07-~gcW*AycB2>;Mti9_>+caU^2qbP&l5c6Lue3urIB|A#XS?AX)>ll< zoiSSPtuZXv<`Zl|>eLSc`2p3Mr%+*E#;^3`8rzAX z4ODRw&W01QZ&@)lBU;5K+D3dcgiEZ}P7IF-)~8EXL| zy{V=~PQzT=RKdh=clp3V)VEBa0Swq6`7_d#X7SUf7#V7zNepf4Kf~zpFNFquFmfuF zTdH$+a<9XNiG?WEmyj;^3^>&v}Nts{Al4MOAM@(y}zC)|1xjUiTh#?pqh^qa|w1Oi=> z9=vi&E*W1nfm`!+86AUFO^+fgWI>Mn$S7E_B0|PO(uh|po_`&>_Cm3tg@r~V@`A){0uV%!oy8x`f-Ab4Q~Cfb7}H<4^a$EkfsI&S?NQ- z>F^H2{d%72lZfZ>*qvAN>ghRw-)Q%^;_48DP&=q`P+X(S!$!4i#v?|GX#~-Rg=99cVa=$qZB!F>F)BYe)qZ)7 z8S1e$Pnd9zOEs~8JMV&IeHx3Q$%O58#gYw6AlkZ%aYsehGQruZJ;dl*wkkdDXD(1T z<0l5nZv8&3Ex8l%k?Deid5r`-ajrl`og{oYnJo?Y)S9UIj1s_ z4sm^&52(?Vqq9)j*8>9o@d8Y(ds`|1frpRgnyN{X)sltCiE3@-vT7SzSNaFWAxUY! zTymiW_+VrEyyNX-S+hC)!}dhubUtE3l%tvJ)h4`HrG2~A_eg`=gVnD%+EGqwJZiGf6C-JwBsGT z0yx>LdI}6udZ%$&2SlZ{U2EY}KZlkXLWG6#GhF#c)+^dD^IsN-O~Die?UrK=pJOSj zNo%b--EPC%k$|biiUlW!|1;(hrsdgkQ?)wEKfSfc3;joW_WI-`@n%)TNpu3pPB3iN z<#)Kc9WPL^D!{^HX;tZEsp1zY`y67yu3_NKDgIWYoaL8%{LQ+aqxx*pVVT4RtlU^j zW*z6taZoHM|9$K7N0CyUFJI+3i!0`w#;TmqZA@Ls&hY6z=*`gOw}sVr97%>Mm@8hk z9x-Ws>)=5$%B`;X-ya+Mm^{t8fhgp90!aFAkJR!q&uSCIYj1(eEDKY@@6jjyO&mh+X5r>s7EbPW4b za+Us$F->aV$V3gwtFdW#fVIh6nzVl?=^{DD%R`VBL+4kk$=OKvbq-u(1?GzN3Js>( z0|&hTrB+!4m_ZHC8|mbG_Ona4}y0?b#7&842iFi z2S8&0>v=0Vi0;S=YgQ~L(+i8y=FkbSJ%I5p?S)I08Rj;Dmx$9M<7#AWt}jqC1_U+B zZ&iY>$~iHuZoy31mGk(qN+;y!W^?NH$5V2vL?l-@ZE$hM{$3)AG6-|%5E0cW-%XCZ z*5N?<3R&4!<5B>2((~rPhu@}KZ_k-JkbDNnM8dP}8JpPW6+{^DeXp*(_%C|IDef}| zw8Qy&>Bwiax}UCgyX~hl=Hc_ z#oWgyfg79B$n16D^Q`ArL$R*%r)Y0lO=k+}rh;M`AQJkjw<)a+eo5hz@48u;9QyI^ zH~FU<_E#Suiv%?U`GDQAwkA(`lXiUt_dN351gbjRySBh+-i0ro*>_}r%|wHUF&L82 z6v1AHhTP)vRD1g->ppE{KzhSXwUqMDsOEzruE=X0_qveNnJWxVSU`CTl8IqxA!0v< zGc`b=MAz2=FY_{rz{{_YD7u|+!sA?!LV-FFk5%#n(S9jJn&2|T)80@j@=IR08tLxQ zR=_TP|1JL@@V4!}C_6afj~Gsx=k_jCXJVUjo$pKt!OUS{EmQ#A`x5dg5PiInM%qo& zt{%`Gtq&HUCJI3b_cKuw-JGOnXlcH0jq#q2%y5!yn4a6CGx61DZK}veQ@~~cp4GR* zVY9|CRyUA-XV5k(UYc)Zlz&Jyxr!owz;jL4}qIpc8G`8lKFUn{X}zt%yqB~ zbo4N_se>4)Gi-*U9QZK9>JpIqQ-C|HK*TCISn`5H0 z=H&t^J%+v_Tq@wSd#!V`*8Hrn{rzBGP!^l5MnEv&I#oKL6 z{PfJs4lYR0i+Y&9_+rdtO7-HnjRdQbe#pGiFME6jCJG@$8K9E=jgNYlKe zs_tZc2WbHzh+OTeFZW)OJoS$`V@3a)+j>k*ejTWBKUq0lAM3F_N!*TFXNQ3_1Hf5l{gG&&?x9K;L5q64tITsd3@LuIUlLiY}PkydC1h)E0dF#eN@{wK?{yYqq91#ld z3&DH&KmfG}WRTSHbH&7AGXaPWn1BUzxF0Yt9PiygMK=QniO!_7r_2*LhKR6mE(Ik* z$TNJrZaD>k?F!_u(2cBmQQ>Mq$s@D$?a$2iQkHS06dSXOJu;G(+>cHd;*J2HF^dX7 z%RGSp2p~kd*!hqvFJ!wl# zd8SCd&)K5!nq3hjtIjkgz+J;V1A$0<)d1=gIpu#d-g8;gPGnbA|+ zHQCy(e>iT(Aq4DN2AH^>5hzLGOEMrSP>|VNdEVGCtOjl}2 z8LyPI9alncCGJ&lne8r_Q{8k`-Pn0S{Pa#1XsQl6%5GIhs)sMkr(hXn&9A4Cl+at` zqb)*b%A1zp8%nJra9!C=^4*9}k?|KKw`S_hd7=uRFkjy02vOU>xmuK!D>)&SSASP%M>8rg5CpSx)qj50Ta@zI7}AKk`k ztMfe=EZk)sjZuR6?vc#x9EPudywGC&FMQ2UI;aTd+rN)C-Xr9gePOC*xcMv^>!T~7 zN~?)J7J)bexf;1N=RoS+7)3<)eRy->*5m9HTt_y$_3`VpEi&uE2O(C3nVcqE{k!~Al~)wJ445dW_&PndRjZ`)MIkNs|Lm?r zRT2<4aaOHnG%0b~(rJMrcuW0}!zCNKgsjSvKbbzYKwz8wqC8JOU>N z38Cw7QSPy1UTt1$a;CGqs zzS@G^ZlccG{1O_=aOGo1*Cl)#(@*7rmz~4m0?dDXVM@Hjv}qmorT-cyoTsWE+um!f zmo@R%P7OOs+OWMiU3#Os!#70p&@-a(D;qF_MS6PwVt7x!*e z0XFY2rR&IRTKiKS_e8Nk^i^*@p#%0ZWbtoPVe?Ez$?giB4epj9cpq`z0N$O#P9Xu4 z4WYZr#?<=6bhw?Ow+@Pn4!AVLEK|O{4D3SNT&I13px)Hh)tg_!pg~choH*||b=n=p zGw6d*UMo=>xVSqf*7C_N=}MnDroXnkPOA6Mfjl4y2n;h?EK%(Z`0$m&7PT{EtB-5! zz2&aGN+>N+&#w2`vJKg>;&&iGuQ>hWlrZtpG@jL&CUUA~md(p2mMUkQK$tsEiao;h z%uPs^&0fo6d8C{>-FhQ}Ewss#{B+*ZKLw^uno7pW0`oE z7O5$K-_C9s$M#M6@B1d%p#)TZGyVI)08GcNUTQI*tjaWjzN`?5Ullb4w z(@}hBF38lKNuLr1qkV^tdzZtqv*-0)d;=?=VY9a0a$K_IFX%{A6K-E3&NTR&=XIE7 zs#@OVuU35~48qazg>WmZput!cFO*Jhlc0mZ9aM_=4(#-jzH`M5tQk0y?P>;XvhTa& zPO6CO_lDj9;bM zvA~`YUieqcij`>8ItYeApT*l{ER78Q6MZcet{n0&`sZS2w-<34I~1$Fn8OSxgoN(> zfqBGfE*#gP1&uRH82KgYDxVZ6oQ5(6YDs;~x{VQt<916n_@lrbyu3 zQHfDX@8nF(Zqk{JT3I}!ZnA_=SYW!l!|CSxU#NMQBT^hRqwA(TqMpA#Eyp(_Swd>E z$KAuEM)ppPwmguC4}=0pVDqpC?zfH#$AtEW&CCorYA2y{N~^wW;Upl;&uL0~l1X02 zJD!2Vkg`)QG_9+Y^E)cMHCP%g(o>V;Vn|_A*hR}UW3IXuJ z3c?AHSbND&2$;n4N6$#$I>~K+KQm+|xabrskACuQFcqFesr^N#`5i39#D8UhM)iJy z!IRdK`UvSs`tnNDgDJS`@QHqFeerU~_~}%zfOr!9q?xI|YuoIFT9TD!9#BU`nGa-N z-}4fq1V)atVa&7_QRFY%58+sZ9M$5!l)1dTHvDc3IBMTyynn&f+!QATN$ZTQag(7NmUos7u)xkz-_N z7G>e|-m`T$mw-66tiFoil!@{DN|_uGv`~ohQ)MY{NqcbWyk%>+y>DXLOLk4;2L*`C zFS4n__D*edw-|Ac6g7MF-@}3l*yK#qUz}W4$xnk0=25I?D+!T{{QJ*^Znz!wsXNHi z3Tr32;}nd+5z!xkKxN&==DuInsD@rn%S+aT!XfE0Rp994oq@EmSsG_xs?HD<;ZOsp z!KC}J*Fv3(Jxy|wdLMteR&aCS=+Bs;pEMr&Xky1g{{~^gh7lm`MjCB}K>-V|N6WGA zB$lS<7Z=o@o-%vJ!}}&6tHZnd<@JDW3BoYl?tzPm|4Quf)o71Iek(3XR!m zRpGD?0_8Z2`tNvlFJdJw67+WVW-B;7}LI_0&xUm^h9b?djHz?!;g5R4nCFxf^ z(NWzB$R)C&j^}HZ1cH|(&#mp=o{39-V-^4dXx@ta|02&~nBk!a8)r)KdA*AK3YDa3 zYa`J)Z;Uk@_ZaF@2<5O6Rx3P}Ph7=O%_OrvALSF~wOBFdKrH7ydbRJkISfDAo3n8e z5`N7pcwnEMyRLP~8AJ10KsIYc)!CI_eduDNR zLLiDUxnFRhgid7w`fN|4A#2xVT>oWNCT-ZcgAJ#nQ5!wD5$IG>>xm*B1C|kBj zB{l!!yvg>qAP3zJ!fsaJ!vHJ??E(JG>0K2PBbXE6eI?!}IAdx=r^DY5kZmhwtI2Km zIeEtwS|h|_D0pa?Z?s8doGxZUsUmC}u7MB8ZByrASvr;@QS=R1(36-`hAl49T#{CNfDW2Dh8|JxBO@;nWpL3tPuRKw)pr7oy*V`8M9=wm zVsr=e?mh~cu}lgIo6$~7zZTNOe-T~Zf3YrI;gp`-O;;P-vh}z2vBRGEC$|Gevb0&-XMofZMZJ63yv>l_u8njzw*9U!^l+#@x%)dB z`3ccB*Ufdo)l9fc<8dxs-|h=Xe#6cJ@3V=eV8L3JA(`%0>YW8e;xID4)6+=|Ln4HI zW0~b;Tg#wj4bMS?kK>z_*Le1vPtGJMkfX(m(ZRS^A9#FtY4C}UEs7Lo{<>ehsFU7{o%=lzrW&0Zfc>fQjo;g3H;YJYG`CC=!!wKacE z=#cyDF3&!G9DnF7?Ol$|$cgaH94FqS1XED3R4K_wt=`yb=gJt(<6X#nG z;B2k;xCnS~afuwFF}R@Ki1A!^GN;f2(7-*i8|Ry5ljM*s54vyk(on6!Av@~F8{GQ8 zAqb4aNrYG*qBNZc3yIIeamwF@8M9kLy0~x#kaIKfpZn#jsjFqa_VGf1rldXX3_oFb zf1W84yiJ_G_q;+sAL>gbBGjtZV;b-c5x(f$VQ%Bt%p$3NJsAEaVacPCju-${DnHn_ zW@}PH<4rI|;n;~S>a~Vg2W^fT1UCDbM3#Dby*CVr?AUCb<%_DqcX=ES0sR1N6h3RP zI0M}wdR^}W-~>VMs6l+R$WDQV_s#R3JRl_jNpaWHs$eI`KMr<&|LbQ?P(%2BCXVS^ z;1AWBl93IXe`kwk^IeSC&*w>c(-8eEY(Yvr z20!<3j+5J-a;!x;axY1-yFt{z9&Oq3ODtf{Loz*}FbQ#bbwuhzbkf&0AK83Utt4NG z!E#qZ{P?YQJipT2PuyFM=#MyKcap zaHly=?$MC8DvtZ2mC>ug4HYc4$ZMJs(|q__|8Kr0g+$T!%b#W8BkR zHaf<1fx1*+qPc<}#>fgqmJ6<1Qg@{q*K)n{wFbmHm&b3nU|H7E<+xHI1HO7Tj`aBpfH!(Ke7D_vD zAhUuNdv6~bUvb$0lhnr}CO?^O_*-Z5r<*pQEMBiDz#|QkXm02=le#06jsDjqC1jv+ zL^lpUPqF46pRy6co%P>BqBmQ|(aF|?iiRLikYDOsy!Ct~6Z2NkLb}X>>55o(BXn?M z$59;sEw5&Ys|J@B`vFoZX)P4yj)UMKeTx8r`YTqhFH0QW-ztiy#Sf#G#^A*pQXWk3 zkdY?GAg%lB)D#k~+2=DB(Gr;TNqcJ7&l%psBt79)6Kc!FM0g9lXhb>{BH@mS8eoJF z5Dts2Jp&_Sj3263!}EYD8@om(m)Qx$rgEO3zW1X!P3jmc`kQXCeY5H-_cOT<#C}GL zWaUP+{!va`oFkuHj#Oa6|_$v)B)_)a$bp`+T=Z6$er%U(!C*c3EBv2QH`1Sd8b z6hCL#&+_|01Rh6{*Wdp*rS_wmXEb&2zgO2ZhWhwVZXOQnVCUK=&4w!UziZ0;>g!9H zCLhUC6)vWYH?yUw=Tk{XSXn`7=Pe!=Z!;=y<~=$Sv;{QO;BeJ>mcaWmA4^8#Ovr_K zv?32sS+*M>mU($R^XjtUZGLz|$91a9WL8nct}^XC`9deCM>WY#l|~bECx_z?>c2mB zH9F3JymPGrv$w;(F~2Qx6t)RmV1EbY5}JN15DI!H?sVm2qrQRI>Rl!vaEwc~9S){> z;;MZEDoaU(#&Uha-t1fD3%C7X*OU2Fx|113`tqnx9bCd(2wynzS#(8&1849QQ@;Q zr#w%CmDFN-)kZ=wf}n!vmh}L-!5%tekjbKe_-4>_I%`ZU^stN67!V)CjK0~M+es$+ z_WWzx(VJkLo|+s^I41+azNdeoD~jO|MBOXsEMj|L#l4p;6fLL6Jl!GO^F(M`(1EsF zY*VF4O!Ib9n%z4>4zgKEYG~Vmxj>1Jf|U(YTeYGrB4J6|u$;h}H8i7nX?3Pg7d8qHa;1;Rg@eKJf`+8?to@-y*Q+ zCME19=1)Z`8QXL*E;EvY-mU?+!Ai8n0I#wvLAV5x+(j#Psxrmb5-a#$I;vb%T1w2+ zYG@&s~5FAEl;qZCi^Hdbjf z_R$6aq>mK$&W9s@tC8A#;ukrI(j=`6hj_qek%(QIT%{)f`5p4{2aliQeaH@sPL}oc z9moeBMtGUcAu)SIXt#TGho_2TaXgv-!)dYqGRlZc#e2@3X;zj%qy~UgOph`&(MPz>ACt!Z zg}gy$R_ zKa+T5dPvC@$h9p!+@tBSDhZ&u!9(sc7vd9}`}+8Yt+YF-bqA$ap*s8kQMK9CHEJ(a z**)g*@F-@;jP^{WS{5`<1s=|{9WmR-9a_vjB>h2{+Q>3G*9bzof`h>i#ndnO66x6z2_h+!ot#boyVLXa9J5sAOgh!l?T-Ve1eQB)K&qKZIda?i0A{1>yedx^w&s!jvr zLbOM2ZTe3rI{$Q-b+Tn2BGkLuy@}xB@WLy{Ec6CFaJ|@4zfkx;@c6|T=z(c9ARw1_95uD4xm+8CHv!MD!56=s#CC9*X6+qKJDd< z%a%~TnCB^mCdGO4m=()wIF%}(LHJU72BL95I=@CkzJdZbh3FIzYxaC=*kklXOP4;=FZJ-= zNee?;Q!p&Fk$FWqN;veKT?4htx`_p&7NqpV!+##jj`|zkz6>~STjGFUImkZ`8l$<;dg7ep2iJ?Z zza-!j-@Ky3F}s7>Tv(j91HAfttM!*xp`9`Nevp%LqYxhHu{98pMp)!hv{=o3*uTSN zqdRtv$@@R4o0{u9(;vI}7$=HGgfb_gJ;&t{I7(}(&6n1+J{enI8qp7K*>`;h+@9>; zo9!BEk4Svtb~k?R_>>yPx(dvVEvk&X;@sauoTp=BfuT|2*4e`BE^BM9HQgOi1_&%# zM7L4_KuZ2Ay7#_#Q}0j7Q@tX6A^qzu-DGUK-q@-kwiG5xTFcJtnRoquIT{7Z7fOSn zr%}Rdk9OjRly3Q9@zsrjdhd#ak0?IX-ouqxEPlaXvBft7EodBCr8#qrfEn>ZDoSt= z?oX#Ido}cz?75x7*Ke_~GXuQVMTib89O-|=dQ=O3@-Rp7>OPwiG9#4^kx8ODeoLs) zOQ!M0#ss_x{R($}F+Q@>IEqP8RKW>8vos{E2x7BTPUvcFIwFo(I(OY2ZHC0|;2vgg zR8lgOGs+x>nh@SN&sJ;A7#E(R13pt1g8&kCEMX>|W)=8Zy~2Ol9xHyADw1CuZll72 z9Ux2=5Rcy&Y?hX%p7X4GwBRQ4KZI9w3%3P$8i{*Cx~E!_bLc6b5|ndx1`w_*8Qbk$ zgZ7Kw?>W9hy3-+d0bDJ#Cg^_!ddKInFF`AhJ{paPPFlDQC<>99J&s0HG_R`WnV+Gm z5u6T|0u2qKlodHf;}eb43{<$>e#nTqsJrA9O+sVLEaSLU|0BYU$JV(iQ3vnI={#B8 zU$$_d>y~2vX}gp2BS#SX&O8&V;sL7=)u{5O4ndCfzxZ} z3fh}&TfO!|8v_JR(Ot~E^R;D+@Lka#6${;-^V#9m!m0ZQy6z(YM+j7L!zSqJ3XJ7r z2N2d@Lfizb#^#|m1e8_yH}TL(F}LwI6!5g^&oSmT+B{vj5ya~PRst&s-k>B#+z3)nK;zI|2VEIY_~8i^*0f z`VHU8>v!FsFAJW{HH-AS{I?c3aMjH){XjyFfCDXl45h2QT;#;l-Zn=ZSpO)X16rty zCVAJh66In5SIrxPR>d)URLk~D3w#c!EcT#=e_nC>`#z@(u2Xq8q6>%y%J$T}Zu(=# ziVChL`as^}TzBF89_P&U&&>c4k(-Ml_$M7XpuQ<9e(sEqU2s3TrIOjV8f)%SDqsv z0%!}``nT!2!e=1%3y_XioU}$(p=~5A#Lj0X&79;W~8vrpg;yYF&jys@HKi=D% zdvtwu;0&xq@q_$7mqCI2)$7+z1;ymVUV0P)U=Wnl?_|@g*4N|o2`&~)w)u37vqpf= z(cXVC#@#`nIP%VRgO9q=i;^@wMO8K(pCa8RD zWZ^S}KgqmP6TH;l?8%dQ{3`Q^H4r=q{-zo>74`9`BlCWe7ft+D6gMsrlr+LJFPF_p zl&5KW%p)jJlR40$I}5k#cF_P9dR*C0nBwuX;+@t~i+kw|B$3?~V(+pROc)a2^z~df zc7^$qb!9Mi*)3%5o`I7i8&e=umpK*JF$Zl) zUSN73n)ep4<6L6x0TG`a4(8@cdV`SCaGra#c+W|7dicPxO)SxWP@a26cy*~@0=)X| zXY*u+mbt2W)n+h>ax*2@>KsR&tIg6g#?hYXG~QL&gT#9Na%AVzohU*?{|d#a5>q-U z%~iBLKm|z#H{alvZgNC7l$*(45U}Lez$6mn<>1X^h&a-{q3#0p=Hk<+rq_mtd|0|? zP9u7H_w5_R8NyyJTWIsdDtrW%FlUhd>4G>eR|+f0cITAMd2Qo9k@lN$xinmALQO*; zy3xPaDV$4DiBr^|B=KdVc;1G_(P6rh@Q0Ak_^6~AiPgYNllHBv z`TX?|YRHEm+PyFI+{QKX*FE8Dy$e+Y8eSn3M9a zxV2ocKV?CM>QIctc!3sgS^{zWAba}ty6W|W#uCC8G02F_YpmQ9?By2v(vZjA6*6~^ zO>0L^_X$Z&LQw0%_isWeE9{wrN67W9Tb=-)3Dy?{-%F6Ato!dpMDn3%@6uiNs!lq} zJQ!JwKQN$aJHt_c0&F-QLim`VkU*vAbAHjD3{i!ejvC(M#kT*whgawfp}lX47VfHaMoqJy_40v~-j@g$&t)UrC zw+IPBwOxlfF_6j^;5 zR0Q;>(Hh$m|J`{tm&Wp$GTH=u`L)v?fW!Ms!>44h_9k>(hTYppb!?WZIF8IdDZrM0 zpZpCY_V>D_x_DB^T3}E1 zn$$NLf7P}K6s#pprG%0y9|yDoba#@MQOtVxa|-4tH`Y6@%bQ=txI?GFEpK?nf+DO* zT&hFB2$%@CZ60NS&+A|k3DhJNNt>*`hfu#!Lv3+VBUGOelI2p7My?fsdDx62JwHUB&xU-hs<9W=~W>*sXYD3k=o>&OmAF!-B*?Oh?)$-v_`D ze8eKOvi+9sp?D{b(=3KpIK0xpfAZ~&sRM_A(p!}+el(I#aR=7eUb~k%c|MoA`EQ~lVm03EAdGL%gksXanjvCE8 znPZ#|w+C*3sw(2J!^S;&@xk)?xTr}e8Gvn@Q=wzJ+o9~fj|iXx@+22Qr`>pyU1lyN zaj(?5*r~4zV5#9eBw%g=tfrBvVD(2oLEm+1)ul0fBSc9B2p*dGrNlaF+xYARSHAJ7 z0GJIeH9b0CRNvN;;h4BhzA1n;JXpuA^mv(kgsiN4a<>ebr-8_5z?nq0jpWeW0@47n zCyjNIMAp+aTvfbpgMR2}!AjFR3O_77Ag|FT2&83!_tCTXg<@FxgDtaQx|QF$)0>95 zbeokwRhGz;x-Jj&-Oi^Ne3?FCfhe*TIVi2+U*5-~(XsNYm(S zJ-cKBf;HMqU*XJvfw$qe zE2n#dy7ToBf)L9%PfP0O71QMLtbSq82Tg0C<<)-uc`Q|;1k(CRIn0G?CnaL#v%-U8 zce`5+wSrJ|){=EwyM?>{J55f5d5eKt zh`EAKnNv0?)!hA~C_&|7sMX?pG0OH*zLx4ZX9Hbj+;IMXs0Gs*Ki#>!$H~*WFvR}* z1-1>f$Y;xIn}uidBfvn6m2o>-w>5ko^(u-7s|;OHW3;mX#-)R45^=Wan>3m<6G}@& zgeOde%bCttY4!ItUA42s+s)>tV0sqK=`iX{jJ0?VL6w+KfI{TaE{HE8<98Y7fmRPP zDm!92^xy0nux`xr&wyqS+HvzhVFg;hcl`)aQ-TuuF~yY30>p$yRVgF2Mg){&@5tgi zT}28S2HdeK^MF&kq%aQcW-r#FRt~V7(|KFV$tw1q<4xjUAMyiIjn;GRCg6)bq25Wx zrNOtt51}Ga)I~owJ*szccEu?xoFSXxIK8{F0RSrJo!8x~!O?(BJoDOJOzFSSm$ndG z)$2eXhcb+7CRenpYszK6G#%btt<00r>03lbbkBm`67A(2y4l^HuJE*cV`Xc#*F!=j zkaqClv1u3vE$Z%*`|y%O+-AJB*t7z6<+lQnKz4|GFR1GQoMfB=ZcS-6^WsX6PabOP zErndA{s0<4<-et6oj@=iQ`CmZ>P#NKCEIA^H9P;L7tY>jk5#oTDp)`N8Zg8C36N&( zrJVPGwGAHpkzOJy^9#a77on^K?ZQJuBKD6bFcr`&UyNra=CC|(2}vmE5H%TiMcK9^ zzmuB@1V!bvQ<;QTc2}U&4eR{@k$ckcJ(a)+mpuHMWC(+s=Y>-l{HbKzKgYa5lQvbG zM*0s0tRFDb{s0_NpFZ zRo=d(QsHe}ys%Ry4btN8Z~izEN`IOxrAOG1nGJBY^e1SyzUA%+0grtyG5#0kpkwuO z7U9OJ(eiK$ChYF`7C6476jd4_XZ5)aIMc(6t()sijdh~5b%J%B9nx>!?=hdm$KbR< za&wACrp?uLn;}9wH?HH!KbPNBZAWp%+>{j$wjI|7Eum`99+HED-TU#!HO^^m z%}AW2KB8Y2)64$>I}x+;Ju>6L{HoX@Dz2+c5u^XN9)@`|s3jEb_!C#Hj6Ox?!g}7# zUeX8J-Nk`T>=KE;T5BlJ<*Ft!mc$IHxl5H0W`qauba}0nOMzlx)9ZaM-LaKFSl|vc%1I(gE znWKr$6bRPVDG!rR=x62&5bFUiVF9BvCzIIy7a+Q6UQTg8ZX6TmhZP~@C&y;%Rs^Op z?)xuVisD3?Nb$Z6@qVMX0(>^!;L<$aPz(H_?c3MWLgf3x3H|J*GJc+{@z{$v!D9bz zCHod!Z+G!#eNs}wx4DGIJluFqZH)ALcQdmLKWqYZPq;3in}^+*lC8Jf#m%El>3w&e zf)gZ4E&GwOz`u9{4@nm8c1H2Ly>Z9W4{n1`h6g2Xz{&Md$~lqF@o7GjGE8fw($8Cn zB#!7{bcq5Y^{IO~56Npz+k9QGD@;p($Q%S@ENhm6O0B%M{|%j< zAoiuaJ~jX|Q18;g=tR&4=6(ag0C;+(D$?4+=OAw(RJ7BVj;^wDHrgw7+_bZ#4`vu$ zG!`&T1oqApYmgG^mFzUk=lk`U4;3U;u|(QPM=%O&Z0Ea#57?a$x|HgciI@p<_V^n; zoZwS7Uy}M0WxoZ>+jFPmWB=&KT?+8zz=hRwu9)B+fgLEz(mGzz0!mm8_om=9+8jAbB|b4k^jY zeH?Hf=Xyq<)7r3G8V*3Q;9-a(zG@|D9#?nj@Lmn5MK~BrH*7nKpdc^`ifwt4Qo0`{ zwv(j1_$c@n6hz8oH7Xs;I=nAb!1J12($}2Q7DUZR*-_5E0m_3FTx_R3;o=^1p|d*d zv?bgaJf)?%UjI#zIn9tN!w{0joD`HuY^SnCw~*kX=I^7QkhhW22)wCW zJ#cQ+M86=GB(THygT4Vb0Ljzo7EvllWsNa9+dWV$=k(9vlG{#&Z?I3+yX{s8<`JUz zS1WWGThT;u@MVZqYnMG)&r9#nju1==#EPm0Fj!e(<_@EQqYKw&lH~by@#%3r$fk@Fb90EWqzj0g+lXY*a29Q0g35I{=b%dU#8+ck|vAsT=C}=YC63vwPMoY&wF}t z5ZMUQgd$CR&n_oEGg5K@9mA`xI{5k?#3pv1WpZvDR_9$fey$>_Q^X7d^(y607*jcs-`I61lbiRiZ5Pn9dA_)_`J+hDi91E# zDMxj}AM1uO2=rMI@$I_mVz4ZisXH||ey~NrYWv*~AcMnH1bsz5@T27f1Wt`pS7dz| z>1Fla0%Z?~fTYq3F@sopqJ8Vplg&P6?{q^vw>yX<6+>~IWdd)-l~M08HKob;?7nv0 z`CD>mep8DH4oM9#s-*6sdDol)4*_ZthRzcv}?m9O|cTh zK3iDB4PjstAsayw?kmSK+vOWaL$XW*P_A0*bsp2^?NXgjqrrC5!nRs$+%$e?CU3R* zFP@t4G%5HXM@ne=u$Au_QD&U#PxV8;t3je$-R8DJF6U{Ek)&9WQUH;{U2kZrbH5ig zUOWuC3TBa)j2rG`HQ~rAen-hA=@YeW9BL)ipo9u#?3dOwXBOrRijWXwbv6)tq zb&m7LoTxj@_~^?O?|hj-(qBm2&`a|8hWUQAwnSoT9`4uGkc0L!6+AbXg&`5#J2t$U zKTUkpnK%W*EMP+t)vE-&70(KKGsP9Wj&F0LW!p%GGC&)R&a={w0Gm_{t-;d)kEca> zb7Z&oV8tFX>Z~~-jDMfkw=XmY>Dw?#fH!xDk%p_#CX-o1(-)L@hPJCfp@Y$N2fMFk zW?bQkKP!WFb;}u3p*Pko5s@O2M7m|IF0mV1;)ACF9*7vYVN;jJ5Zdq>V7UvJkNcg} z1Ge7msP=+l5ChUR9m?F{{6oGGbUr}Gfm?&8CGz1y$>V{9WAv`gt7r+Q0u8sLU z+At>}Lb#7)9aYu!ROk;c+tb*Zvarw|^qq_%6UdC*v6|#36otFvgGq|cxJ|}=fV}f0jeD=))^JyeP1o|($(4^vYfGarPzu< z({vF5%0Fu4UqmI1Fd;xo{cscp7V-WPbeg&^4s=U}Cm82MSXW*aC!=x-4nq5K`$K?< zO%OLn(3DzQKK^Rhbk`;L3tsmJ$I;KbF~H54H6E^Mi9r8Ymco-=t_EGn{rcS>w1#j| zSKc@oAAu6nD-9_8VJF(XCOu)R{C~=upO^Fk%2-{CrMI6AAEXFnTP40_)c)tuPTm>j z1Yh*7eodW*f`CCtLo};@SH>&kNjAn7?xGjQaoF!U9fFt1RcKQD8RY1A<)&~KN-w5} z3)|@Y1rYJn*PFw}D(%XkW*30;9E$OOC)sw0Fulc$ac-EM)Z5+T$_R&~O90nWATO%T z$@9@x_+a);4!uuCEmn=m zew%1xZPuIu0S)!C10LCa*`zxNw?qcREV3rRSd49?fQ-1Gg_KT+&{bokX4H4b5vu81 zXF0XQs|MV(k|Eu@e&a=wdWI#XX#HyioE+t(uyRwdfz8VB>UGY&c1O1c33Gr&%ysMc zW!(ybo~N9qsXyw9y{Zb_@Q^#9Vmb#^?WsYovYMUe)u-O^J?NheKmbu6tsMXFtA3uC zzWa5Y56iVKU;hb<2JX_VyrRAXeuA^8>gaFCY8n!z3{T4zzIq{#9SdZlekfdb#nDwp zxc-v}y~KCTSuZuz_x~P}c&wIfv8!`H4Jn_T1_4?Vt7Xgk$WWHTQj$Fn2 zt~XB_M807NH;{1!eB+N6*QNYbHg*2_vPlP%42b5MKR7$zo&oBlNz}p(U30qcfwnyJ zv<{oe#Q`$gB^C0V<2uTv>@(iMO)Za zl8mg@$gYv}HmBWbbRlp{KtlV()@ej*@<5wO7KTj8rKlGd4EwF{*&{XF_l)qY85icE zbhVJIy!b+cMfw~H9}!DL-T73wQA3>eIdhZGzWrGSAcfkKWu}mG)36Nt#fZqXgb=)> zQnSeNhHC|T`QtGU##8~=sp>JnoyhWu|1tnKzGv%fT*E@iIyL>px6x=#zi&KsO+4DuXeiUt3{~7f?C3Aeg7#(k z%=Qg#lrIX&_DZ4Sg3-;gpYJFw61aIdl)qycX=cZhnqPeM^xj^M)^KSbcj1n~+V*@{ zY2)r6UCNNeka6AfoBab?w;V^tLzl;)AeI4@EP*v z*yGgsl~Of9XTUNuwXGWBylI@M$~rcc1x&(>i8uJR_0rwVm1H_oJ0^RQO7@eOA4_t= zA((`yJ!&@SCw=2TDX*UALBN9a_mC8|2`EMI#;S4+=uBzG0DMUZf`8GHJ;_Q`IxG(( z0Yk^j>UD?1ZQEP=s8LxC^7X9~*co3tQjHTvwz#NI12tE5oFj#6wW;L;yg?Sj0XFJ$ zw`RI$VJKSe1gLdJtV4N0v1yoM%dI;=tMctxXCr^W<&i635S=xaLgk#uvrosje~Flm zxP(x}1EDbnRe0$~bFWz9iMr2N9HWBO~A!5dJB%a8nj-u_b<3uP})AavjeQP9Y1tE{0%f3jJZL!Sn#pD5_}{7&$k6ooC; zP7YuaGQE)uH?l~A=l~WABRJfj!Pnm z1K%U^8WqDBlIHyPl_{5_HEJQmgo=Cz5tJZK(QRt|(^AF8%mear+KVD29^cEDP0Ly! zZ1he;yaB8fIye)M32kf;E_AMYxV5{nLP-s<+V`4r29C@?_yCE1z#V+!zG~E}cbIh- zYz|P(i_^X089-fHfyo)BkMEq9G5hZ z1Nc;AGbO`%m7xKB*9>``|TLF4x^hRNjTtD-=qal2D;dr z2;I(_<3}y6u}^yYE=$5Ki1uFYYYNo5!^=qFFfH2cY`pg(sh9dd@3XBL*d9fz=bjiq z^7Sb*))m8Y!W4;GL_e@SSPK?qckw@e|DH3NH#}4Gb?_B#TG0=DYZs9#Gv9**mWIq0 z{{0do@z-(GwP2%2V>@}?*Y#X%#t$}G@l9&{!Z3MyT`C5kZ$xt_k4 zeiXqujmzs!2#Q@2h`UC-{anK#M%ev#D7+7fVHFnu_XS-`Tq0 zp+L>CEpcA%1viQ@D<6#dGM=#V#A>)ynNL3CsI|n=RuM}UtQuh;#8ow5B4U}O{b`i% zd1y8@jnx6PI2NYI_JlI#>wc&U|3w~~b1h9-^WF6~anHSE%egWhvA3g{6N(w`f)1b# zShqOz`g5#L&wMd~DenFv=vd4Z<|YTj80NS#EUlBc@kxwH^~2kPz)G2%xVF@UhhNGm z;)&*o2&XzWF`Uw}T6u;(?*cXJPS#=XAY5`prz`T{ffX?+rx8`sD0*2oswhz<098+^ zs8An30d^8fRMu0JBNA-}{xHFfCTo4vv10xm)!ABU;4)O5;F)aMKN z-Mef#UssuO%Lqd&2|mAUNQH0 zF+9W8K{Si9#m~&f7^YY$l-|#3HUeiakUM1XVy3tYZpXWtYTRi6 zs)PipUYh2MIZle<+0pEgEiSCpq@U91Mq2+Wp*O_uH@+TLxTV4~srDY&M`0nO7pjaD z6j@^6E9cQ&7>@0L1b7+GX^Qy<(6)3si|lp zF8mrj;TyY>#xrFAp>{{G0~Qz~>8Y;uT!R(%zzarEz7~O7`!i>= z{z+%_j{Tm{tHArb&paa^goB|zm^ipIHPAV(qToamMH!$w;mHu z)OmMKO)MeewWGwiEiXhkD|}pzxm_XBm$wXA`z6kdSn5BYuo!Bt+a^MqUCz4m68G4p zKy76DY4NHj(+#F^95SMbr6c;JyO0Gn@FjH*L&j_2O15_uzSEBj(w)8K#M<63X*%GvA;~}Dt>?|)R*N>w_z+9NNCMw8h8$!6p zTslM!71tl4;MfY&6_n|dN$CXJnOEqR*N%ggv!-m3Ze z?mm9YrkVS`(T-Z(_rIH`J{Y0kn7NXcotLno==DxrHsn=X2U7{k0>2gd!+NSG*)yzM zfc6ul$*S@XYOCSS_7AwSyvZjzG?(`<@+EeFlkvyj)VNoly+r=3LJ7Rfvy`X;X?myC!5YB0>O-$@#9)ZhB?Rig) z6(YO?NPB?ImY7}(8J0W#L+XmZzEx>mGb3ex9j@Lf+cbwA(Np%Y?B1ptoY35Dj0YzEEpsB)fOj&B3 zeSw+-VPBTDTf#9?m2fzHp}9LVM%D41XYsAHyRt3jpaXaOm8T$&%+@4vCSIL-4|LRp zh~!4Gr$z}B$t8@b-$c5OG(WG2_i`gdZnq{^_gaCXdWCX60$f`~cLs}2YLU3{Vvz` zvTrEx5!wrL+s#YY=)hH!5;B8MkIE%c{BDQbMc4+g#=21>ALepH2@L{(7lq+hzx{$C zq(hkEIa6f$K!9i9OZ1yu(BTY5%Sy*v zWNj4Uy+LXIuf#UR?eGQZOI|)JKtgYbzP*bkm7>xuvA(`9YP7cEjz>`_iKAYYQ@TD1 zvWciZD<2a0BOVMzOku|j8}jbI^%{N_22q(-2FR1Rv#KC|JkYi;hXk!n$gHWg=swf?WVCoFBaR!(#);_O0&lB@)(yaRHEctMOGh-9y zag~*7l3u||<&w9xP|`~I!JqK`zTd>qw{I>_#kU$SZK`f`h;pP;ls*XzW_`p} zYqZGrd%a%J>5vP$*5@F7%bC&8v?5snH2&?dH5`Dpxv!d70iM^u4#(;2NF>xFAZ}-q z1SG|ae8_I$4POhke!Sytb4@pAOTr0RGoI}^Eq05 z_#qX2%E1F1yJ8gx|Icpsvgw^Q+UB*Kfl?6%qV{Xid#uJw!;DYu*yinf&J+187nX%= z865g7|489nT<&R3Y*BZ_zzfre&Ot;s%ERG={;{I)m1egR=7!89h?_c>SD^OHQVTBs z|Ao!hbV(fGzLta7-mBegunMH`t#IgVsL;NBN)v~N1!_+A(lh^EriowDu+t3RqhvLRISg zdYFDl)`SY1$GG211}7Z*zbd;tVVirmQaoypTRj(d1;|U+RLM8-K!z{?r+?7H5HQ0t zIxaP{LgxXb01wz~^AWeKV^=Wm5Cl4rF(E;#C7}~!tg>yEODJ=Q5TgM zbp6~cpLdop&72S`xNhh;CA?qHKU^4MXauzKsdB$MFK0HS@8=HEDf6n1;>`iiRu-mJ zH3&T@(fg9#Bgfbp?B1m+#N8Zb-{5^bS7dDINUXqPAKyHE>9cy^Rt97qw@1XAXl4c* zm*JJ?19IqTN><~i8aDbfMIR*$08u;|LV+PFx=G1;jywPhgP5^_x*yGrkTVxGm?`Ay zd>-j<_j8Y74T&J^`!FPJx`+;I=_;--E&0C;`gvb!v?ZdZ`RsLZ;j7JPbj_zVL=@^P`+PfsWp@DO9-Q`0iMh}jPmR&q^{`hMcxz&aB{S#IUeyF)qvz*pEcoIn`j z+E#+O_a9F~yg&}?lwL=b8&yg*yHC=u23B<+yM(C8q{s=Un&+i zI8W-G^44tmbsYQ1H%i%R* z^oIqI=I?X2M{?M(x#Ip5ney9J<-ic5u3O@4(H{Dm&9IY1LiRO~SU29~OPV=#dDf1b z#{Jo&%7@JME*JfrblU_po599|9ET#6NVSTmE&yGelu@Y&;rGX9CjVblYxpd+gbsK*x1ps{rq4Q-I4vNpUj#5JJ?9#HT;^q-pli&d?4COWO zd~^iB`N}PWO`j2)(eljf^)9X8X94EZirj;B)$&8|j{2aEk3F6eQoqu=rw! zDx+)t3RM0;Z<)MhnM>0_9IFP$c8ed4H^hrFH=f)%M zU8kRMz)S~!w5cgGQ2oA3hg|sZdCE7v_2hf2$|3p<{{3#vGD)?&_Z`;L*JC%D+Nk`g ziC7Gi!rv@*fO?L*`QL0A(TFmF@j?H=qkEvUo|q<(@0osl5YnYc46L)F{D$1VNnR&D zO$*SWy#IJ5@5Qa3DX+9hE?Gvr`3BJHU~Cn@wk1j3&B0-hspI6}AVopv`x{iBNU?s9 zF%H(u0~~ZIvE-OAy6pnY^zf8+4rJ~vZi&3a*?}4k=(boZ+(dhZ&=(Jq!vwzfAwtVo zdY-cfsqI5qsbIrD0J`6tP2XZg_$t=rc2E?^Ah9&kpGti8R6Y-S0 z@)G-U6Xj}Nfcf=Bce!ETBxd5%)TJrLHx|(p@@C-*MG{FZQe;_}G?f0gxQ@PH5B#|n z&E!*sS3EU-*a$oKv!5Qs6b+u5>L0gA?C*rHF0s`UrlAojuiR)N1PEP)c|& zj%@M8goPp&rP3Q?f>7tSJr6d=$ra=UMuHqqBxjoaaUDS2)jMfam%DV6PJKwe%8V<3P(oK2(0D)CpV#gzw&yVmq69z37OyOvA}U<)y{% zSkz#_A0>pL;|EhNPTGN_aw{~51~wb?H1~qSIf{Ux)fm< zHac44EQjg6*^N>Ed6GQJ!1Z!=4<-tRno%75zLwgY{FFfcw*h7Hv)6sk9D5=#hrt6Q z-|{ZWiCpL*5ZoGUJ)6$8F;#$w=6#N^Sre~?OQ1-TyxvVsycg+q+J^(zjBNu`1gmDC zLb}qW*%RwGOSj&WF+TypFprJ$J#;7ir*p8^5UzyAB2E=iK9gyi6R&Lm*HOp)-H}6ct2%(31;dWR`d*7p&P zn*0Uf`!*Z=KtqvB*pFfIg=`DIjBjBjCcg!OP zjVN`j-*!H}~&q0sevajk(OM0GSc!=p>_p3F!2J5i32z+4~&9*|mtp+1Th zBj$o=4hskaOZ3VZy||^hHhQq4(mc-`@b6wc~xvq z-wuJYWv%@4gt|1D73#b><>QeKWH6zTihKmRAFiK%8?LUxiu^;qa?v=o;XV9GPbow8 zgX+5?N-A{bP6jZ4_hG!9ZUDBQN-Kie4KW@wKiHlOPZTgOq;WWKCH`hK2QJo#D$qFr z+Z|d8d?7{#$qYsnfYH^^J3%l(_jR- zS}%joL)8RNAV;)R&o~3QEhA|CFiKB=uSy<*<{}HhA(w5?( zb9ptO>6WoJYNZJ_?LMy6hAeX-G%s4 zS(xq5a`%;Ibv&?^0R!-#YW`Py`O!mT3Pg%+PNEjbD1F{Y6r;An{sg?r%{@zd8qPe~ zC1N?xJ}Ey7SIZ|Y_u#PQitVl}a)OJ-ib4zqGq81S-g!9)He-E^AWmrUekWC|d*KB+J8{Xa44uq^^pUSd_$e-}*-iRF14k7Dx?{*GjQWLhw zqAmD`3T}pdG6Uj=kp*t*FG>Jupo1gDu#xFp_ri#7l>t}n^HPQNXV(t2BCN`{rG!GO z6*b=b9DF5hmeH=4+J*Yaml?{hEHwAIy;lKZbnR^3n)t#o>hV-_aJd*TB!cqF`;52zywA`f`SSeoqrYU zvW^Z!Z0h+snJ>*0_ud-G$Byz0cL?!-$p@V+mjP5M=zHFDziv>=N-BX6Gk_8GnduyD zXr=MQS08u1Mkyv$39xp5g_(0b&}rJu1Lp140RC}G`bgpPZ_o)amoUl#ob@uF>ZS$d z)uF3?2Y8E?g9ZJ8(um5UP?dc5Tv8yZ&xzw2OyM-B9q7 zWmRL22x*m?SYmdg!LD!I!%+;O!w)K_eQ>^Pj$WQ5x@g@+&DgeZ6)2Mg&m>?TkTlZP zx_4oY`lhBc@?I451^N82Obv+tT~5*t_UH+xMR<(_KAZvnY7(sbwz$^>D&p>u)y4;B zY-ZGl={P-w2GvrWZ#4W`9{NZV4SjLDO;dMu-E5D$c3YTmp}3MSe)uP4tn1BX-0dv_ z3M#t`!9jyLEp1SV!9T=%V(TD*fTO*T?uvPdyp=Dvt;Ra%!%93t>Da}jVqEv3`!YFK zI2yI&Y|wpkN~@EZp(`XEDojlT3!JYCmG3)WN`LE9s|8;&|Iw9Zv z8*7Eq?u<5@*#t`Xa2pM2fz$9|1VkEt$U^v-h!Ni_Q7!=nt8$sCH5>93()4i*RqFeM z&;*HaankOloIm7fZuLfBq5TsZFQ=$tz=fXLU3svjXE1KILa>7IZ8qt*^4fZ-p7HG5 zmf>v`(2>O_xG$Xh*4_8ajnKZAPMCxbpN7-{nD_)Q^A2ntGb87be~1++#NrRQn8>(p ze!Q=UmZUm+8i>3b2F~|1?9F<~PcAtz&KR6z3eY%gX}Pf_$lRqp^PN10h28;;-++8t zPK;>r?`>;UsOx;^<%knC+x}sGA)nSlbDkeKihgv$q_|HNM7B6?h!Am7%l$jocHzp@ z70t51PIn+ZIK6N;xdkO*-y?+`?v$g+RLh#ag)wX*3(Hw9Xa)Rpj1ZrvrOS^yrK*j|c3$^E#qucE^QN<1Aw)E>wVY>6I3p6ACVr~qX zCxo757Y&#_d5OzgBIiJMpegA@d(7=DV>DDs0VP-F7uo?sms)HdnB4W^Pi&x}J{cn4 z<{ttaA(M`gD*%S`qib|EL z2?it_+18MSml9fyr4;(fW55ZZ3#l#BcBRTTKIZV8&C>n6k$lc;aMVN%U6%1;X3>-~ zwRGKTC_4mx_9C|KX_U_M3_vLI2=IyX)Fvd{U4XKsvrm7rV;w=7uGUQ}YBhT2pq!jn zu1)M!ZgvI<*>YUg+nx*RBa@iLxc~;dt7^9NL<{rdgjM8Ku)3mO!0)N&+;;>}gDesZ z=zNluNvRHwA{?-E`t5W%-{;XV!C8l0ONexur0l0zhwwx6^CvLYBmQ(R3+^FqMxu?X z9|>LY>`@TTVxpq|#LeKsLtPzfapWqry^YBXUKIs5?Nd(@?&=Y1v3mMsaChS~^vOp_ zXXG_EPCM8C`ca>{6wM|{3-M?CbtBRuoY%=dP)W;$@AW7u*Y{6Mog7yK4#vCNS&V3@ zR<_NI^Bfmrj3Eui&HrfxfELtv-i+;Vl>aM@TIvpkj>fJ2WE;o||KX~aX(7=eqN14ri$4ng^P$OQE^^k+&qA69 ziXZhB0YC&EDkAHh!6cR=?5eHisbzE9IAMe2?vQR>|s{_3D7xjWCK;&mK|<8%dgE zI2>xdG{{IPss^Y1o!@RV^TU;xB$!B5zBQM&M64&TRy)&#wr7Foy;LD_x4Zm%Qa*q# z!FGv7Ovx~pOO8f*2Qt)i$z|IdxQvA~B)93CD6Fs=iV}cbK$l>uM%t|v*F1rIV$*v& zk=QVM+OK5kSVM4j&S-}tOjK;dhA8pT=H^@NcaLiT_YJ7s&dW0~CI~N>K9xlgD*Jh~ z8R&oR54AQ7!-LXgHKLE$gjZj6|JyrRJg6_>OiY0GaR?=-){mV+ge&1}r~&Rt3@85t zDDe4g(uwt?0?EY!vG^_fqo`-k($t9%HgLehWiED;Wr-P#oE&B5U3ggm zW_sJXVh-^6xU^lO-|Z)1tsKBP^RfZh*=lWk0hHe5+=>ku+zFh}UAp@U!r0AM|CXGwd8(0PskF;QDQ=%1^zRT44yhEKX*Jh&nBp%zVb`JS$&W;O zl~#9TLn%`d4|Ao5(3*284tO&YZKyq47&ariwaL?|ylYoiw~LaEZW{DsP^Ne{y~bB% zZd@4c31VEOsME4^RsgplfWzA%GC|M0kycEt4PtqUfT&0_QK^ItbJt906H#PhqC-f0 z%6OY47#3q&9c2NPeV!pm>#f!-0VAu#itGyT=!kY>-ds4VZ$2}7lk6|}W zvx&G{%g-+XM?0AnB#?1TE$RX};%d*QMn0c;JuMt>{y4H81+wHDf};ad(&9;5Xi4kI zaeTKQ8-{9+MctIpB%w%$h&z~{_zM>?Id1P%V{%fI=U3&7Ed3EN6n%D?#ECJCuE}F*fpjaZF-TaGW6`>`Zf9w;I$*YNRCf zS>bhG`GlV4)zT+he0J-i28d8xp7blOQf&4{$49^9cqr=^TO=LDuYh{~qH@7Tu0EH2 z*$&9vnS=xa*i){g6ldFyt<+|Sm!!L~<(A-ao4*lJY#6~D*+qXCcOA9Z`I*n8)+3=> z84B8>n0N-}1E_rRqfJ=i8g}IZF+3cDR1jC*^xXEn3@oE1%q{m(50jDs`VVH>$Rfe6 z5X3XT+j-a$SkCBRfd1JGlST>zku#Xh^f1|!bzi#A!zb>>OIb)kZxTS`-HOa+4mTWw zD_ySeO{KZZJYi4jM!N;=_WWwAJC>Dc{#)gX9&bnHrHZYLHVsoqWc`lXFOoJ@66UR-Ur$a4GUSde&XC`=1#k z3z@G6!W6HGzzFGFeqp38h+El+)(_bcv|ppSEmy?7bJv^1W3Nt@@ce6o_%W*dFWe>Z0BPVv)~4~k+rF4v;ZJLCu>+C;|5j>?Pm5@bA(VV@n^ppD;e?z%M$L25Ob9d+bfwr{IBI1+?X?jiWRDwBLG+NjX0JiU`p8ZJu!G&E34*mjEM8y&@TJ2G1Wf zm(b}cqSR#lwth_;pzQv`k4WVNV#}K)#L4p7*{Sb1dB=5*2Wd=fhbPUQ=37u*%E%pv z=h=7{4=TSA`&Sa!BJmo)W$Y`Lh+i7B2i{JqO!1=`@!c2Qr?h?kXX@l75Pews+lMMsNIW@3 zWrTfz5fxPMNfE`QlV;@0bh$`Ulrx_s6<4h<(=@mtmIu#B?#W@d8XH4QHb+>dR2=_n zm(;Lk3`YM@N(eA{8t{u)B$780dbP3R6UlC$5Q=k$=|2mCrbsq44;>8&HZB2vv)k~_ zLAcrgnYXMlAnU?wX$;-DGV8+*be}{!Vdz_GeUjpshSGPOSHlB+@pf9Z2(-pzV}(`r zm!Wx%w0?op)EVqS<60sa1*v`g`Dgp|ffc;*86!z11O5g20vu0xd>4dXVFLe1 z=PSIy5NBpg7I@@f7!e?k$q;~GRV0X2qFA<+V>=_(g0^8lx4sj`nb*AW2^zU*v;ewx zT*8eqc{wx1s$k*sh@AADg`aER4{w5Z{9M#l1v;yets0g3XSDbh*xm-6swzP7(B}Zv zP-!}KU&}kN`OP=S;P~nszbVMZ(R`jE@R-F1te28>wPEk~-G{$5JiKT(o#}+Yv0&OC zNtlI_YK`i<#EyX8Mbhy5%)*kb+TnLi-XXCS`#~Wjcd511=w$x{8=g*P^riP zmI^#mxriuVOL9vk_`tB-o9&)6A~cUwxzwa0gL93Z5k0{x$u?Yf^@;+M3hc9+iEAf` zdgFs4Blb!>iC{>m`6c!lzU{!hj3-BVE{q(JWI7}LLM>aN`mS7v7Izj_md0E}7DGt( z_T2WY`3|Wtm&8Q7OugYGV=fAwm zNb0Mi;LZ+6@`!@l#RAb#o(?=HQKy#Oc!My-@Ni{z;mlq7+?R6dpl#^!r|GO(5!#Sg zd@c=p6N$6CRGSv16~FsA%@JbZbyGK#C7t1hS2FNA_%KYwnihr~z~)DRrv|Dj1Oo3Y z_}b7u6jh3v-zt(y1v0egvd&I7Ne+TNVP|FSfHe>(6a1%v)6U{cTY{hZ{8_QH&cIuQ zok$QTmj^W6(hZBhZ-9Bn*st3oLf_<}V4U^R&Cg*#PE0P5mw&2Yxm%JKhH)YB>vp?x z__}q($*Vqko~KG9cedtX-D9psK_&qo$rFcoT{na#<5#;Au9-Q{*81Y(W^@&x6Qmi0 zpzJ~rK+;Y{Q@T7zrmtZic|{NMNzcNmXGF%}lQB3ULo&?ZKb-BYRljGSVr`2yNeQ)m zrn}%_FQuJkpJ_KuOK7{#do0}Gm1z3;DkQn+WJF6uO+tHPW>Cyq4R~#Hjm38we3#g7 zQU6$emZ2jczAla#n`@eIuAD(?dsUp$$M{4YG8We*6owR{%o_G;6Vcbho_hX0aNvy{ zscDgcGgbo(!TTFC_ed_)(C=9&y1KTz35Q1LOM0CjknwGa_eN%@pg9RbaRM~rXh${A zK&4P>{;-G4F?HK)5F@60BpbF@s1V<;?dQijxf^M;jYY{6tkb2X6FK5oVVc%&Aa?ea zgw!B2a6LBeJ)SiYJ^$ma=;?B4f}PaGrSz};Yg2myPt3#+F=Sure7Ijd|JeA(w{K%g zG}6u^>m<#*UY0G-s0Of-`Rw)p?abCbE|j}%@ZM~v#@EI-GAmERYW5izY@wxr`jio^ z{FLKhpFU&T6Y1lCDdph#;XTW;PhY7qavRysy1wVmh3~pnZFL8D0!O@!nz~js`H_$Y zjU(xX`n!Jap@B?24c95kF|BLybVQyYa`5^cr`;fW-6;l5)udAbTk`iQaw|+uJ)=B2 zSfOa#qgE%klUsk7eC9g4t{rI`1**_Yl6nH%5hnCIlLaJ!=q$LMfjCc3)#(7JDfIVE z^!YD0jRlI*tNVHy0yoN4UVXxk5780(S|)1uFPWi(u3)e`c6%kK)^<=!nl2>_B)iowOG@dByktSWQQgREbf!VzQbQJ*NAHnMdt zwbLia>_1$@a}65~2;@#}c1L&KaW5ajSA}icEGMhhitj-1sk(Oh5C9I=JJJ#epd#1* z<<|7aJ!u)lbw~h15Og@o8^Dt7mled)FTUASL>pk1e2_iOWo2c?F8A*2jC$aB{9&~R zZSV#$VhNBEQ(NXV*HDwI>*z}qA@y9;wfCNVKNbx_X~hJ+-ziBkAQ&^#ElXAyr7D!h zGqBRiJ5_rT-NGgpZ`)_hb>KhpOqy)SW?TE*;r0TUv!BXa# z%IdaSSGYWKf?FFBosF>Jm^!nfxmW+Bktl!FmXiEfLa0CB+S-I`FpJ&GpaE!_-SsMZ5@)7yPBbv&r}<<*`Q1$iEWDi}ISolRDH81*X@pIyDKvEi=k zql9&3Oad#$`ZbNIp1#IK+Z_w&vg6n~kc#SU!@pb(U{O45EH)e68M8kHIm#DVSmbNU z+Z1o}1Sz4Mp%xzj(f4qEw5O|OUKWH9L8FM-dbv=q8dQU|Indh|`0GB18dK&e0XmE6 z{iVRgd2zU1x5x{?5=km<^;>wkVGb)?LrU};Nw?!)B^LKBM4ZNi&y>!zp`>JQSKSzT z7+Xmq`Rn>r@SyzPyvd0V%?wv#@}~El?IT{mIq0^E&SXGJSt11zCcYhXgOz2&!3yjM zfBlF83S;hM0ZNtOPS#Vo^*JxXC25Cy)Q7YqjuK9r!QDm~YuFwNM*r12Y&CMgrD83! zt5s}SmK|Y@C#Q30rVSDFwObaD+#8RE=KFb$)%Cn@F1l^@*vY;Mg8^8JdF)8Ee}FC@w9;`_P{8UivWWB$$(2Am*-d7@K3bt` z9%u1H61bW*yg{q3{Z}v=N_#mfl^M&rOa)1*zI&(ef!`b>6sx)`Q>5_Nha*tt={ywl z_!}}@{tW4f1aQrmCej*HCeCV4OY&8sK+aHzyR8{Bh=x~BDEI3WSOG4wZG*U0uW@`e zvs*cWs1v^Hm6Fz%c0UN6c_A+B`=x_In}y?#(1OUX$#jhb0woXl5n4vj>8uTBIR!$u zsP8$!RqfsJ>jRE&a#L;;y^x+N!p?FydEkiANZgDywbyca5XT=`8?H|Fv5z z_ayNFPlB_yd-kGOd_L%0MP-@WhY!|!jl5YVyIp<%J(a&M&bjHcs}vMy*cp0z<<$59 zW#2$YmPJz|b>ye~nA+Ae;mH(W)rsZZamL8Y!;{QSyG}}hHa+G3qVvYTONGp)+N z2-iv&rXQ3S5)nf^5~?U>SQ%fpYT;IB#6Bwq0eeNris7-VM~{2+I4LddkQxwpd-Mo1 z7O##(GtC#|W|ZWvxnaxU+6%%ONQp1>`@B0o@XiA3W)508l}VZT1w1N6-~q)b74CHP z?WST;$Uriue1+Q@2Ht_3Jaa(O!`KiDdNmYU>2M54vY3w{8{1Ak*#_>-jomiyNK9QC zN_oKoWSkdz@e;UrnZXGGEbP?E5TcB>UID~FAg!baRSfrZH59me)B4GI)@8Z|*3x;4 zttP}jD@Rd9#PtP8{C6x1OU&*rRx-sMskdHVW{jJ$9Sne-@8+waMa4=k#sm)_^5xzf z&K&WcCQ^~9IeKc_Kw5a6&rlq@PA~0wvy>Qs+bDSa;oS5my$r&w_kxmY*CX8Xw%|n?IG0v8nKxf>Qv$#H$X3{a6y{n%BJyruA}wsvG26_y!=BXm9?7{={#~Z+6;{6qJr4=^gL1axSE~g`PSS{y zQ3oE&k+@Q^6zExl;{4|1Q~aKRN#N+mq2hLQTwZ~k=XO_w{_3~ZL45Hm$7ozop=q?V*Q|)ie`IO4VepMGL4(SALGq?l-G!@(u)v;U z%U{xPw2KkJSW7SzwHZ{(*--TfjHD7UZ)TUXP=Kt znMx--g&#+>v(E+v;y)`erN+FBu)Lept9I$*83Cpac&)txCo(V!@M|YX?}=}@qKV7- z0B8)M=#NUTAjD9cD-CX+@>hR}UeOKrDK$Nsy=Bv;AysD|wH4_c3xO8Z} z=idAfvX1=!rKKMkb@ zOnLdF#&y4g&D4~nm}oWY3NH>~(p;utEVbiSLKOACZH{jTDQM~eh zia{+q?zr)AbPFJ^I~Z>j*q<46w?u(%(uF78cQr~$OZLhIh`9U?&Sc@`H5>53aaWMH zq)%9-GP;=e=p%8L>j%v;VzV~~-D?nX;)RJuSZb*j`WG366h6>UbWpMA-ER=X+uD^8 zvn)1tj{%aMwYR7J&S9iP9Y{DSA9o8D2Oo=@5%F%j=mb4Q^jL4o2JB1EQ8 zP$P(`UoA1sPS8{n4%iab2Z9W-WKw6Q+>egz-Sg8bQ_z$^@*1jmLiVyV`X^S5n%yEA zLfdLpTc)^E{f#Ig?fV!WP(L~1>Q!D};7oL#;=jTR?7qLe!Ai@iz%d{jOtF8TPS7V-?e!7<6uSX{_YC}l5N%i-J^zs;@jAa zJNs%z%2o8w4@$=!Gi&qh*PgSp$(P;P2haiaA_AY6;-J~YCThj;c!h>^j`b*afQ!QB z@09b2&*9tL+<-$^A`?2o>eqiw1DJafG28inxbdRym(Hl-gW4Hsp|H^Y$E2t=_Jg0F zcouW^gUQLKt?(ufSvacwrRc-qfy-(EJ7+KT`Kg-cP}p}2z%??331 zbbbVO1x7@slWEb4t%lenN^%W&n!2;sq;byZ%XEy}n6fAZ$4_ju_{fJ-6roOfjOvi! zJw1zTmo1jRQ>R;hFp)HBmAf^wZAjdtYka>3}LQwHW#oH$-V<2l5@(&3DG3hI4YHw@vU z0@LvnA7JmvW~R8X%4rvR>s#?&gg~{zQ45ca#K&hNm*{mya@&_>mLM(!nLTqJh#Gw1mfWZ*NlH>;Rh+-Y+@2X*b!;IfFh&lNrK z-v-*&t4O_;+gh5N&u#Z#Dd#0Z5#uam6w!q?#rT7>nZkL1nEss}X|0+48YIClp-1Vb zNj(fcY$uzPAIML)tQ6ap!Jt2SkQJaA^C}0e~^nbjq#WKfA ze##HKW2L7I>lOTK>3d1RjvpBU`+99e!TvHx4T#R}0o-5A6(0L?uq*G!7sY3^*KP=&APB zz<%4h-=;PVN#uF#na2Nn%&JR0VnX2K*UXho$5WGak}G9^dBLv=?|Dka97x67AR75F zw&7Q%nP!Lq<0ZWY(QKd>-jg6wl z8t2eE#y2n!`U3FkXQIZ2;rmG?>r9T*^6ebw3|B-Qt{dQ|5pqBBTfX7tEM##5cs}bL zG4UWJ*Km76JRXX#Qm#zPB0?VdnPl4NX2gLnIU0x4!uJR8#Aw0H4iN*IFuW~IZlW2? z-pSTD50ZA#puy-D>=Hr(GQr;?X_}VLKGk$Uqw3w~Q?DrtR^|y_)G>>b)@xad^Q??l zL&5_(=**q5y!ff~6`#ZEQ|=PIa^B)lXJwWanQFv0=?<&>mDP+#y+y9g_M&OE;*Qai zMux~A?%{qA97oD=5h3dUIC}4mB$!x9uVHPFP=ut{EkLE0zu8>H*ke z1=h({+cb^d`+#eD(wT~2Gg;1ye@rQ5$#+q z5{B>!0kPr}_T^l6aJ5haU-AZJ+Lp^-W5fC)cR`nV^x1>oxgR|;_7i}Yukk551rA_l zE&^)Id=^np^Nd0lPna!Ln|N^Nyxg(14q#)&ox2jkn=P_eCHT)#B8jp3L8hay+s&3(Z+k~}sw4r(gSwzFHhSov_vA^%%PwJ` ziK$U&iHdn{QLP3D+R{l^4@h!LPu8@K*e!k&;!fFQ4ewM)VCquIKPJ_nfO zS{s_ZC$i}&wIX2sEHRa6Eh*uss0XrVc=XPXPN5Lu%A-o608RBiril#j$)b`IChsY9LO4X( z`awUEyV4H>YF8h18u8f2`%bQ`AKaVJJ@RTV(nLWj;-YbKGqD>57uXF3>TizFTBbbfHw? zOL&-e38BU3qYY$^iW^~~Z!=Dx!Ny|{zHD432GsCKn-i}a*rk(gFcZ=-KBXq2wz|)` zvPG=kHQUm{jssm+?^!ppSYYkR?o!wgdgrl_!|Om$s!Zd_l`jl9l7>>*+L)-rGGGe| z!WA)=&Kt+%SGb#pErun-_LSygiR=(M${f@Pv`}0Kb73i?P2sOHWTLqzBxPi%)!ucY zS&LnMYP9T>;;XoFcA(%7qZP7f^!05&^=@$uuX~H`a*rx4mg176iNIZ&&(?#t>dUy+ zY>rH)=D&vX6v6p%kZ|sEYldHvrnC{@_QS{IpEZI0UvrOR zapo@eo7breYZgi~5JNsK?L)*V*O#-GM$(Y?B!|{JT-WH~OV)wBl>eFt$4={f>NjaF zU{5g40e3%v6^S--FVKq3I$Ox(9=m#pI95C@(k?*#vGVTA!`+QG=LkdP4N=Q2i4WHHQjr{*)Xxy4#Bf@ZZx_oAA zoj4C$-yWQbbwuv0u@_au6HZ`klwt+Nzh@}%cB?K z_af#th?K4lC`12lCwn7}FFrpufJVLrdYJ8Xt+czFqDLk78B`Q>ZuSSg?}gYI;5fIW zm76Y@Rc)g$B2S1HP?J_QLnr2iBXPEwnhZ({R&P`!osYBVBJYY1dJeEwYKL zoGXo%Mq%Mo%E1(4jUv}KxQjPu4-#?k&TjA=Q7AkoHTpFgOAVR>VRd*DbrFkZm-~Fx zQ?j{#Xn!N|Zl`Zl@lS_h2wxg4j;3v_h!6x}{a-H&Vm9pu$1Gjo(~0aC3;#xeNOxqm zKMvXIB)Y2;A_pvjwXxBI$xmdyVE&uj9Rq$Zqo&1WDJJ=W#Aou_5S!j^W_r;^x~&Lx zKMhH0%HX~sS`lex6jE&6WHF?m$`I+EzdV`pK-oK}3}mMVB}E6cfyQXYBMqT8rrSA` zL%xqDeJE?8)PG&qsWFBgQVX>3Fn8cw1mdtj$93~akLp9_!dHxdV25rI2R}pCh-WFF z(Y6<|LJyc+%+*s3W1QDnftMG>m&Q+9RYa;%r0|(GTTd^vkUpC*56!BUx>`ldR`wFQ z4g&5DWz@r$u!DW{*lFqKP#F_kL9!S4Z3B5ER794msv6Hs8fQ%a1>J9?sImOsYHRY~ zI|INr02AfCBJv3$&m}rn$@QI`!2Ub(6O)hX>)FmFUd|8@=r$$}@5Y#Sq#aG+>kGrX zK6vO4_fq-)mkvUBBMWh4d0@xVfltPvNSw zkHubM9~R4IoFA!s#DI9$0emwy_8YLXk3NWT$W2dgVST#w!JkvSzT5N&31x8qX7drc zgdtwd@}(?)j*Nqi%_UJ1Xt{KvKsg&J?j%k%+MX7RdNjJKQ?G(FP>|Tj&%JWs^N!`1 zlddky9?uUG0n)EXyx=IF0A2Oa_Z|a0UvzJ6h)6O5doPdWrc@ko#7MXW^?_ zMW^~#1~FmF;=aCR8Z%hvYgDTjvzqKkZh|eMwC3l~7UW*bFTB>^>xL-L8Fww*n$BF| zB6B?V+9Ydmu$rT#4z`gR`4T1w7R*zxfp4jLZMR4o?LOIOrJ5`TeOCadf0lO=Eb)*T z?S=Z_?2Sz}fCuE7(g|{tvHh<2n`5CA{zY1C9bJO}ukP{)D_zCVi{B?akM`X9KS<$7_Rng)=)yzy zmkC${LBbr?{w_bsrU@ShV_Q-f)(?hdrbn+28~ko<5n%WJp(qu7!__#r$xHZhUO_ zyUbG-(GjlKIlYemEr~~XaK6^i_;Z>*4rxso%YhljCdkNt<*Ot0gmO5+QIajGZ+cc5 zRIv^JLLHkj56^5Su?SUfa$7cc3((!o!W|+$pEeM*%#IK!XXDc^Y#uW)VMXj^jP_WV zNp<~>3IUJ=;5Ew*THXM{wN11!vtU8`Sj6c@9Pf*-jPmYp0iwJOGJ9)iw88nw&;DZw z;h9|Fhv_$G^nY(_L*j@Re?LEf#o!QYLgNB-P0|iKmlXM9xlAsH6F@q;8_CB()l?VOQEmQz%lhWRpC@*bPDY#Ef z^;okwp`Xd5*A`_`l>-IBy%(aQVP1ym8_S|3D+|YH^RgSh&a5ZmPUUM)XsUW22dsj1 zY=J*pw(?}fAHyP(8nE>iSz%DT%#wS7VKk3A#Ry>Z#a&QnxjQV>wJKvp-+z*SqOps$ z-;Z?j*b?1|$i_9qT=7p*$S)Cwnsmt6zVR^-7CqAmRX4i}mf*k97a3$UE~xOxyxC2+ z$yQ>qKR^d#KjxNsY})5l-_OTSq&1vC_F1aQ&q`}!hOrZGi?^(k-Zz6Xz(lj)>vJ%~ z3Gtj`pJsPJW)q{`X)diOaRY*$q0LdHBu{x6X1V_2FB|1t*C%xgSML;zi1LWngE=z7 z5+NcHfO%fhr>DY256|)f??$OMp6JFX_WM z23+?rj`Dlc%V9W#VyGYAG;m_`-M+UujuaT)I1u{smjp%XHz`KKJaX9FkQWBMF_AJb zXtgCkcFjQXbpkSCEzbBQ_S^S<04I%18d{_36dx1=X;jJ?JZv0nj0xK9{7OhY#}?#O z&H{brq|dxU?0F!y3A`&F9D30ll&d)h{Z3(xl?|V-&H^SoLw04dU(&==m!8!c6+5WJ zLUK@N?CRgwXSfP~=P4yod}G+#5^OqHbHS(fDQ|iLZsbzq*%U(skusAK z4xdaSsStnvGbHxBv=6(xv`+-#FB-%)d3&1jC2kSj=C%m!J9F1nL052?_&|WqgN(uD z*!=C5L(!W*$mXL6Cni>unF=uxPxgAD2E7e6*LG~`hB#9py>}he?_0)T|DI81c}vu& zuf1XwRN?Sd3=i#>=I2>hVImC1y>38TmKHLCu;j*8HD3(}1@>1L^Cy3*eb4!EkNOSC zi~!x_Y!8cuc2l1HKe0NY)&^x;AA)g_CiVComQckH=XLuG<<(|g<_sv%o0D-M)fvT6 zsPJ{t)p}&|H$`+eNtggIEIDIAF09OxOeo@PV?>N1V=uB3Lc(~mbcokl^=e3Xi!^h~ zB>Bc~$ozPMbQl+lpl&Ap`$X;TWD|R^dh(}azc3KQ8de*EE$s?pe5$=0TEjXex$wp4MGr^sgOr#ehi1jcRbA2OG`8I}tp z!?>9Lj#@)N&>(|^JfgDw#qK=_vPlL0%-9qDTszi4U{o!_*SXiso`NJAy;9&h`u zUAga@&3}!t4X_xq2-n1o^P9RA+G=0A(rvpGECjoA(EAQXW4|#Mu|wd{#&2%w-`~0< zIE_Z$i7_Pj&3AqpAm65k>=GvTiP~G^4sxD_oy?y@&j+hfEacm*?hI;8zE$M{UU zEM9Wg3)NqSk91nP{_hX9T`EeG$ktQr3!-mN@^M<{Ic41U<#kXR@l*WAd`;0!>&t@t zvN~&_^{|1?sbl7eIDHR#qOnqPlA=^FbJs5?S?@&Za$<6%z2~qOJ5&?8#M@)QoD7_O z6JLXZ9zb^!DymO}jR1mH)*IOX$c5Nn5JE^!g3emS<6OxWqL#$gzlw$khlX z?k#!Pale!{IZNs+!fLg?(dakTgc0^Oypek_on_O@S|{}MuVMrHUZD&*p7_KkG8|N zT-nxy>T#ntl`)TXf9mFDxYT3C?-p>uwr1i^jt2%iOU>U3VRtLwiEJC9&-h#w3r0E8hq zEVJ?&gDG5kB<(~tX=*1K4GQqQk-JaI^dCL)7yCj3yT9e-rNmb+D?ke{{flGuB!Swk z|cf>jxy39xGU2EWG(he7&4#qZXoA*&?YmYTF%l)E@Hc0u*v$l#yvu zQep8uK7)zW3C$OQCV9Blzi<% z8KzB8*!q`DlxJ;yD!BEtq;Bl3I>0ipUxMjKqp9kfpsE%XDGXjsw8qP^7F;U$*l~5X z0u@hNnL)hK^&rdXs?}0&yHed-kZ1P>O?a|98}|1s=xP{?U>i7=d1$1P!_`HVtv6v9 ztRv*^qLV(NpKbVZG{=-F#!qyNaCMmi2EJL?Yos_ChCpN2#laea;3<;pdey~fqc-;5_1cu2$7gYhwnwiy~FN;o7OoY zttfLmGVsUAG2{J0xzL*jp@q8mNI)F9XX*A>G4D-Mo9Y|YYF&7vw_;(k_dNPC1P!`W zvLc9=`dl8{OjXWs;4inCX^KNMnM6ezd2mEc1xa$mkPvxH6aHyCf2*P9E{WH3U8$UQ zJRrQJoR9G2a|UOCSiOpl3-wYFr6;lx7RqN-_;*bKeDNMVK+AlegK{**0l=<7jMM2IZ<-(? zuy9DL&~niRG}xSv$r$RMdiW}Qt1`%Va0Wn!f!5tc?Pw08wRTM3{)j&nb1XQo&KecF zyUKw{AoDEk(~o#WOf5QFk)~h-Z2-2f<-U4i+`}g0c?t&BP&_ys4lZyp?1g_|b!>C- zp#|sIw)!PBXs0*jRgBnQyg08G)*Q$Civ^(FZw{+>pQo{xI8$z+GyH6Be8<%5FAQwe z#9>yY)yc3*=x8|D$*)!PUsU@tdwjsAzeAXRh)CGfnJt-`-SJP_ z&}NflIN8}Qm==nz&m@FDA=UUl!%FCtn$Wc2@7(NqC!M^DPk({G-*WYt6K{M~?iXgM zhUIy6+>m&tWDE>YBE&u+O`6*!M4_&9$cl?n+)JK(x>-P!qmzZr9TkQ?I6j7` zOded%4K7<(E)DPm)O|OqL*>Q0NOI}(B0&5;ZHy`ZY}slF(y+3L&8CCf#iv718su)I z*we&;w2|{Z4xW57bc{l6}HK>QS0zTuY#RgFbc^Hl%ILv9=JneB-U0-(}<_ZOV z^VSumuRaI#mC%7S-4_GZH!d;{TB{Kxa{OG{qC}xMM8{Fjd2>LeZr|MqS1Niw{yGnc+Q7P}(1D5z`S)l5HKden2jYG9mDBSh$&eTgEy&&y zP?4ERAV3fBfid6wm&#{q0UURY8T>tRat+B`g(pKLH=*qn&ame>am527QbZF~ZrYYR z@Ky#0b)AW0Nqx2H5?2#{sf+$6P!ZN(^esWnnTA+wcvdkuMM5ATARuO8Ffbq>ARshh zGBDG2i~(_V?6yrxwp8_{wlq3^3dLwJnEM~}iXirkxaQ8U{|+lBPSn5XFSHW6nHZ}W zS-EwMvf(O@`!D%%Wd}mTV4vsdctC90PjaV&T*bw>wwmqmIpV+MP#^$aj0bkfa{^By z0uYG*q+=xbY3ar}k!AMrF6WkDQfDl(v^dy4?we$mlXq_y)ez)5VCw`lXbk=`4$*4V z&!VE_?%@tWijrCAEAQ;?cDyK4IESc)$HA>MAWq7T^-3R%NX`gLpC)edI8!Mc>C>^k zCH1}_sQO-PW?m|?5C#1-&F$MS%qbyC&8fMNv`AO{ou~S@nA|~VG-jdGi9y?$?GCH0;WN%9WyB1njZ+ zq?DA95(#MJlS+}SmjCxP#q>whT$h6&mQtKv6F78=OO#VKL#;VHeaA#QW20Y1pc6Wi zM8pRhy|naTY?i5gFAIdCQn{o@bdP%1!Ds+fAxY`p@P~wufY7#&j&Rvhz}&$8^4|?uVcV#Zu5W(U7s@31g{x0dR#)3gQ zLQRRZ=W?U;=}gDT<${-3du)5P8Qp=pqUEyPYe>*E^D(mg6^i5hxMy*^d=}H{LZ>V{ zteSh14L>n2ymbIxuJ#nQTU3uk0wUICunn7H_oVe!Zt1qvuPyBiS3F%YNcjDAwI8hfno5P z4`2cyNqgdzrU@|(q9~Mjz1^~fv&rFhMQ|mjy-r2#vEJ!L4HECKAu?X+yTt;4m*oo6 zrfQFW+`W$IiXYCnf3FW0Etnb4ypehp`px4iXE1Hji7J}lzDY_8eEv`H`xEvTzC6Yl z28m%x7R1n&xXOCSFdx1Xztu$_;E5~5hg##;x@&3wX`OL$L@ZPMhvYeM6 z*J^h5($a2p8kdQm(29_8edLn*;LZv=-vEL>Z!iNS0dSZ%?EDTvB#^MfV;!^{+FbaH zRSjGWOP?$=_ox;fLq4>#Y^X^g6xvR0M_x$T(LA4RU{2cBXL;c6M!hq$Jv4VJPwBqc zRt?&~M97`@6z0^M*6*kO6RpflHY!UrX&-U_(I!WYNtDMTFHpp%n2JehgGW))11zqW z|1k$@KaehZBCn@|sopo#tVHJ-3&Veri%?4f9m&REP)g@=+NgmB$C7*6?-=9SNG}~tx_PaBYytc)uXe>4Y;|p(=;x* zdouJQLFdm1E(!CVlZ4hpz*Jq#d zp4RT4S{^sgfle$DJ0PZ{npQbN9iW92+n@Dk2$3JyTqDo%L!B7OdJJye*#i*H$);otnY*fk zlEj;l*r;d_h{PZ~v=~}%*VbYx-DfwdWc64puhArBc&3l^`QQzY(?Bx6qRHhiztX^i zE@Zy7zhs8%jbqyVx5cHh_x7Y{V8nV$PT#unn3UoXKpU3j&-d)XZ|wN7JJ7HS{;-&@ zeBoR*!j?9KL5hjy3O{o`{ejmxEIyG)1qiUSa!`8?%f&+zxi54R}sz{ z+%ybrB7bgeec}w1L&o-UM15B)d#FfyXX1hp(}swfuHk`$^OMPEmyj|mnP+`NcYpOme4AR3wnw|qp{Pp-88*Z%$j0pH@~ z9K`GtHH0KRDM_8c&njL9ppGuU%UQB%V#?h5nmwfC1;%nW7D$)BRrE{8Wdw{k41t%R zXpd-)(i2c*QQvSH{!bjCIRv)m9h>>S}6#&)xMO@flZXGUek@_~*xRH>m3TaaNBP zH?LMTg+8`HJi6i^S)BqKz5a!)c5fOy0UK_+GUkNj@j(% zi1+}9pT>h7gh6z%HdeU~3^;}{t4y_J4Mz?D>^NMV2H$}Y`5s#7PvuC*c!ik-7UIOG z)NxD8llno9vj7en!EaHj?M6Q5=P81MgLrxUaeVz@GI@(aG>BiqXYGY55Q1=9!RR9` zfH<&ECTSQ<>5e*nEWGp?-Z4*mE(;6%%(Hl2y{0emTBP_WINpDwdkeR#pQT`#!Cvc3 zP`}DZklwz*AkRdf5X~OAjeoh@8G3ce223>6b0h;sf9Tb`;clSlx7)93$I)#~azN+T z)xjYfjf^*r3wUrY6|fthy@3V6$ja=MkhgRytA`UJGKK(_BXamHZBev}33w&mzTo3m zV0gRq=Lr{}q%__eTH25W7yq0(4Nu&B&HB=`<2EE5X~5d@bsj>q(32*5nin$CN&&!A zhXCV-{h(j3B&WXP-dd_OvpruHLMs!sr3s}MHWO}6$FQ;7BZ8IX-I5d5biU}<>OkGg zu#UZVB@K*aq^7No@7;iy_OmbA4Qun3M_4iv@@uph%4)Wx()Uj-0K2q8nn$?0&@5v z0GaG{;rlrn&3ya8@kVlk8o55qmL^B_Za~7?BWQ-Q;|?eqnyu+>=6BX=!P33?g*n2)=OZSNj%U<_qtnbe87qFc4|iUP0M4L)VVh zbs&8`OU@Z4_KpGFgw3~RvvN`f5N(X|3(L}%5o5|iOl++DzNH8ChE>~^APC^X)(c8*`&c|siER;wNjcx_K5P8~0i zw|VNP={46OA1*soZO32jZ3Efdq`gtEBP5-mtoQSK<ynlq^7y>fk`;SAWXv#23Y^8`H?=RBY!dE0V~115dNE7*MxutWg(xk8 zMa3@`8gRIY=gJLvQ=i}*mpd3Nu^OonnRDcuWLa^{?HA!$c4^8;e@e-q%xYV<&R1?w zS!ftGymW`9X$?qi;JrGw5|1(REtf1Wo;=3&A=vM#Acxietk$cJA&21noPd1<4Pic4 zrB+iWUJF#YeeYL(|7xKf$dnDd&fCi-5B0wZ@&G!dk7KyKe_*9e7-;F7%)+5muv>gg zUm8uP57)G6CYDnn+ange9m}-rI{8UYOfe(EG_%`CghnR`uuM1|XxRSsXFX56b5@lq zqA<2Q*_XMd>`~`-!3`5v9l+!zO!uHGh<9i*4Vj(Gq*TkAmHLn;79&(Jqb>w=#erL=dEa+mTBkVZb;G&@Xr&e)@~BP0<4h= zp?Vp#^O)JjPvhai-6GsB9F*2w7D?4Ix@JFRl(rjxVHWqUSF5FSn>@T*P)r@$r20V* zPtIgMQZO-M%;^oKT)_oNgcSAo6ZL4PRH%SHX(NOOp z2Xff0bPPBB>o1TjAy7udF*d4JZERWjnS*Pb&Z2$ujA|Vnec;cw(Ki0}^LLh6^c)LU z4;UA-vdW259U>`Exzl45=Nl#A_r%YCD;SFI?ZOf~vo`G`LD)Y1H=%tyFdYsPIhL^) z$)O4Xm(uyE$oA;S5q2@?Ibufl{7N*|L)7go(|@`B$+R~>Ny_&K72MIB+m){*YOZ5 z>ZI>;#P{l|oCazfoF(ZC)QwFXa*V}Oh|s;|`g@*gMvf5iD^He|UW1m3pnI=%TOh>- z_QR%D@JRP;;p@u3WIuEbq@%{E@9D~-;b-XIpLDpZBzrP@#^>|!_91C9%JDn>bNn*F^XDW zH%igaPH6!Fm3@eW@ezSU5BxhU4LUA+x!SxYbdHZ}w*~m2Iq9uUF4tNvn5%H@%pnuC zzYn(-uQIoY`9PtX@JZ$LQq?`1n^E+cb~MU$J`Pcng?}f8kC8DEimDQn5`^!{ND&GF zjRV0uffC6kP*Y29JL?6)6jR9W9X?RFPExP`7(6*l8G z7f~@Dps?;MpDiQ}`=Gz%VI08n^$Gk8OhK=T>X_KB>(EgJ($M3=Vbzgx2nD9l3-%-w zXXyoSZ9W$StQc_YX`$=teZqw#C6kgkR6&QP`YpPPy8$2hw zyFn3e^8I8F{~I$%cr>m#BB-#;C-PZZT~x~^r_8a2TSlafO-VDzh(Gfc#fMEI5VNXX zKH^L3vLq!^%-D2=paoJyHr(Owaxf)Uh&tvwLV=D_gWEG`1p2<^(^SmGQm04kEmnw4M%>BlXNlp~ptcx6pD#LYz3Wx$tl+c_UUOp?-~UBT zV+DSKw)~96(onicGL%_UEQ0lsF2P)%&vAsVdh-!P6NL1Di3E@0aGWq}Z?jx8++;KN zblG=^mVew1=LfN<0e@x(!MMw3h`{LCiAwy*-Xmr<>a3u=Gj?5r7TM!t=Q^d~8roRZ(^9&^QH zsZgtY%|awZB1)R=q9O~&GP0xqSt006yK}$FO4H@-($YZd@9SRii9P;(Mx0I<>~N69 z(_Ze@h_@-Kt)F;pNw>=g<|6OwnWFq|?3wseClEoQ89?U0n&Cbaeh7ydvB_;Dg#K;d z&^Yl!5Y&GDk&#x>NHSRvTx)TC=Fx>bVKkB3X!e%l`u?9pY3uAAR+MGX;Qq8pcQwacq2dcv{|hv1sT*60vl@niYh8?A3jh<+VsK0r zI*NR}giD4`7sl+Rx=yn)ws(4i@>`Ik-#2?V8uD1fLUmA-&Cjzt-S3gT? zJ8Q5qo>;OuBrx}IH5S#Wc?FPF43mFk~J}>ihZ4Zzgb<&Lg?>(03aVvpo5K-T(ildMIf)@48sj#FnaTT#_*E+ zUfaTg>M`y`2yXDVD;=D@7B{fd0_~A`%_Mt$&w22b++1jFJUQ8~EOc_oUN!*K2LjYl z6v~w?4WeWrJw*gTyQnLYRyGrG#M9qR0ut5gG(c0KC##%3h!s$mM#I904lpZf+QcwI--7iDll^n3&7EoezvhQ{ozlywQyV5 zw}+58n*%z%5&JBq?gy(FvX^FS>(=ED#(D)zyAapEUnY!dInzHpG~%Of%YMPS>skCU zk$zh?h5|d>>FGtbSIQwy7_(#3*n(TZzkUUd4)SbYb*7QCG*b0QumV2^#DD&BN`BH^ zXT4MC+D8ec*3&3^n^--iM7_fu=-HiX``R$Ryzy7MGP5{~(`VpQUbDR2@nTgS;a6Bi zGu_8J`+u>@-Z}6cAVy^w(iv&%%>J_SOhX}ka+$2ReBeoAPjkeC1KLh4((tc>;+>QW zQOe4CrQ^Y~|IuyUbfk00lIx8WY=-mD@WVi}PpkH{mvp6-mt)E{OQg+EbiAlkI7Nfq zDm~V$3(3zm&u0Ja_)}GjPPp#4^V%23^u;;aP_^!VjS`x%pj$N4TAzpKll^(-CtfQ) zj6W=>$=xwWARILhI}eKhj@mYAC~dR_4Uae|m=^+qV8m5dXy?K|Tk$c5Yzg#WSK&L{ z2JrVn{PWw7FH&|<3pT+J-)Kdu5ayigSD&{*WGu>e&@k-d-$RD|#+(fHC06a3;*1p= zQb@pNr3whR)Y0q?Oon0eK+*~#)-U67RcH-`C? zI2!I~1a)ke3ND+*UkCk~b~08YdvO|cECK2UeA6qy6NQui$yxAvQQvlu-?JhPlDKL$ zK%QApJOz+KVueqGaUMFmpRc3QC^KzKcbZ zG6OJ>GI-qOB0<=ZBxdlXXxgNY&2cY++WKRp_IZT4%h27uDde7BbfH z)EbEi4Hi&4@(SxO8ur?kt}jN~XHIl2C~`XE;Z-TdXuTJ;^p%0!SXiBTIie;oh8vmr7(r%9#;@h+>nN_i+O-Uk-A} zQScwva1AO6u(nb7jmI*dYdq4#*jZhk%=8ATg1&P)MEnu>7i{fCs(Pc*-}CVIaKd5^ z-FGk%_OY%kQnG1LYc#-eZ9lbx9TJ1uj544Q)1x_m&`TM$Kg9rj2N4L4HWmtsSHoMibo9 zW8MqIImf}-P_JlhX~$1W-k-JK5|_8E|4J2D>VJa^TE9HcnOrmj5IRAA05o*7_$uOY zM{~*OmHBGPLVt>u>p&}!#-&kA-aGNbb`(j6ghy6Y`V3x#$~1>*VrR7A zbQn58t*lj@7^1okgh`9i@fI=O-?RIkL$!=9iq|<7RPQ)&mz0l$=UKqyV64HfBm88` zOy}c|fp*F7#A$p4I-!}xA#WD6NrTRiu0;lDDEIs6ql1q>T|>i#*kK@C3{xOoNt%r^ zuL_>RQXY7Wj5gFW{tJ8LV36)l>tufu+E?AljA7{gnsn6H9A6!9QhO|Wt5IVFJWNQ`fUQ?vst28-K3avd1_|~9iMIs&{lpb73!jo?P9n;tYMVpps}<`L)-{aMJhp3`8oBYP{&m3n-1&SQ@h7q~HP9qGNn+EM1Vp4a1E2pR#p*U4 z*djG(F|aPE52yoJk_HC{Flm_uQ|Whjc59piwT}&H(G4z%t00WNiC^mj5U%Q3&=Uo= z#_xCD82~XVJX5QTSk->IR)wt!0F9dKSQTswup;w$Fv4_@_qxQYPPd-jR|5RP@R_{5 zAyl|fw)&&2Sbtm$gA)H3-3I31)uEY|rJI0ItH*rEPW1k^flH2$y`c`rr5+X5v2FQ4 z-<{oj&M3X*=edbs9UVaFiUT}~RJjDM)sX|qp%s(cvzz^D&GFxtMVy3+MX zKS#ccE1XJ5ccRF}nxug$lJ}2){3EOr1e9+bVQwBv}7-0^=nTy7}HouVEwrSkI z>SF2w2*4}4;{Uuc2$wI8UYI_(iy|bv4brP;cf6ZOSklqKyObmJeEasZsH;o-SLiD*(BO(Jb(9+?!w<%@ zo9}ajzoYt7)mO%FsDY{inYIFO;$u!xjsGi}OiXcrKmfOQ7m_7bXmLpOX#QAa35nl3eAv>*s|cj7a-%6#vz3Dizt}Wc^&z#eKxdVD zhg-ZqSvyLvDBuDytj_A&p8~GIFI8DeWb}f?Otnrsh*ZiRbr8dMS5q6dB?-?On61Nu zfae;gE04$EyEvvF{j1k%y;U%Sfr|b!pmY(gvSC03CYZ)p-^_=_7;6wPL#ei z;v9m4jI{0@F_#{pxsdev4A5|67n>aKwUZe~%}SJ+Hd{MoJYUYp0Hs_2@@;a4bnVM83;W zMS_-(tg=xLqz!J>^}`XcUThYLMG@{UePF4UP<;WD`^RUCdSc1A;O9sO;h?={3%yBa zV^3}(2^KUud?R$O#+~!=(!&E^m{KKti$D1FU$8nT2n+qidw)se!p!)<`kpACSvwd! zLChyp6;4jt{@WB5Kuy7ol+rr|{LsFeTLoBrD-uN%LtaRBgm({1uU073!+=td4WCn& z&%hSLt$t|_^L1%&1fXOe=B2Gt1QqW_A)#(7pCKlam^19=dLq|^jc7^+Y)IX$JK%R> zLG}0B3n$`N6EHX}0GEtvZ(p1y=C~hmx`n^;w%W_N^=1(GC`VX@dOZ*^oO>pO<#x9+eAdtDo?cOZ&*4Y#f;Kb zn9atjfP3(mNL})0W93<{mJXUq}@cRa5OGn~LYB^96z01sHUzeG+}-|pfT4QRhi za0Xl!)XxB#C7iouc~^%g6blNTaDTc1PYA}Pnd7{-;w^jERN&HE*)U|ZvBF^)f^<*B z+|4Hjn)$Su_g|ygosHlNK21V<$wUuyD0IbqXwYR=SAX<6N zA~0xU11{ET&Hv-dxstGUKkU7vh05?r2bg-TIS(agt-6~0t*;J*btZ6)EjEZ;bcqK1 z_2QT;)qUzxe61V%uAH!!aD*Xs_hysBW?bKsAO_4SXDFIKZ90H#(WBY}tXwC)uJy4A zMAu)wa3LJhb~)FSd4Q18B2yq-0*vSldQ8N3%dAL-rgs@v9U=b0XJlJ|&aWEg-aRCCxgHktL!cQ6g+oY3uAXydf z|MM#W?df$_ja4=;Bcpc#U8$au!QYYnu;$qk^_${I5yw&*q}tUQ$Lr%@9O5fS1fR7y zFr3WNCQE2)J|Zu8_Q#V7olX_*IklwQczE#)tv&^CK&1AUc&S5^*KMrPDRcNzNImO$ z>lyYWVurB0L$8#p+^9vB!zjy3Z{58v3Mnt z-1nc>q-QY6WXv+^(+E9Xl|PO$a9 zX}NOtlWQ0V$`(h8H9kWShk7oE}O$a!SU?x?N@;tJmgzP?Q+p~f*4b}IZfcjFc zZ1qelrcW(0WE8_;m;0k(vKjt)I~Dbs@VcEQxK1!f-)xU2-CjoiFv0r{v#CSvXwW4k z=xB;qbf_oDlfwVhQaZ@Y9h6Py-w}d4)}P=8Fi!eN?f6gA1S4(CliY|KHt~+i+xaMp zce-7k#?9m60&n9}&gq(I zc`M*R*mqQUjBKVC;_q})n7TrLJ9lbM7+i4?7%ry=Nu^)q?C3@G+vYgimRBiRTDc0t%oFJZ;`4uoG}oZ z=W7CZ+shF(^l>=AQO8lYD1gU+E3x0w+uL}cmXZMGJ#eY3SR!<%LAj7!?d@`w zp-t($NKNttUM|Dl%|%ae!Sw?S8tlXc(%c9G>hyi`nT3$BH8EutnYZA<B@CdT9-vKlmR|R)noLAoq zZ{em$0x0Zg$gydd*0<8kRWnIwgh|0Rt*f9a-l2D-5rlMt{<#%BdR8^BR}Iud93-#G zL!jYA9-}J`7}G|d@L(2%c|)y#;)(l_os`iPJ^>HsL&*iG1pPa~cLXpkT}NIk0DgRL zJi03C-XgDGp*AhFIl93T*a#8^+pGN&pm|NXvZ%za%VWjW*@ z+oe|`^~sQ44i=OoD@eK6wZMCccV$QI6tkAfjmC%dJCdK7N6KZB4+fJNmCw2gn7(lZ zg&&@2QCa&7v-hi#o*dux5@|z*wY-r*9w9>c+le>AZ*G!V7^09N3fmGfL$=;xst(gu z-zi4R=5cSt18rmtR)h>qN*qXa<66-!-cDYCIu64Ash7_)mPOK_ZqJ{wuk)k_Xm2Fa zgy{g;uLCkZ?s-Fm_o}o03*h^*3gVaL19#H;Rg@Xh=l9S)gHHp#yplMQ8--j+(nU=x zy&JS=N(AB@pJgFQcQKL20Rx!*pN=(kj}HdI!+|O~%aU&L53rJ~DcnBcXu}_Qt*gef z3Yt_1I@e8|f;&u4FRp3KekLDovP(M+3lX-<5Lb}JTbk%SLQ5ZGz2lOt*LP@} zUy|Dr3ED)m=a(pq>m@vcf9ww@>BB`dZ3Fpx#p*xb+Z>$?FB}|Em;@qU;G;ezf;Q$Y z1dcuiEXd7{@een2G@eq2JJY$;7!dl19bvZEWP-tHQYqyx+nt7RU%RtcG=!X`w1JKO zg-qOQ{@M#fm+II7s@by)G3NJ$`;IeL*D#UKQtfseX_ly)MXN?NwRQh`#RF4!cY>mF z7uFf#U~G9}uY8C8v=!ibaE#DH_5)hoebEOsjH%l!+A7|P1A23Oc(>~wdLAF&yKA7; zWu80UM&3tR_*!hGm_;s4-j)Hr7-e;eR&TJ8n^mmReW90t>nG;l&F%nvJubc6Y2?wi<^DUkVWW}4)efl0 z`pa$!OYoCe#(XWO?sGHZF(*}}VvV4hXSfP*JG(!(x>K+6hxaQJ$#QT51=m|{$=At~ z*2>@_JC`(1p8r@yT^(t6KS>6d!v~mu>_XJzwSMnqr`3n*!%U8q$-rJ;l>q8E4V+x4 zGl6(7>^^?vkp(E@pHcy-x&2X6P%4J(5%;GT;lwvmYFv5wdeTb)94KmjIOH!eEF6dz2ra0;FTq;y=W)cT+O!*aI?;VxzQm zY%j_%ZNO|TEPvsl_f`D*8<9H zO{_49E+|(pPrn5>MyRthC6JI$gEUEa9Y3m{P!a5;dMHI(r7iGecMyQU$kpqySfzDl z37`gh%m#!6EnR!#e*uC75eMa!i3d9%DYEisLvq*IO;y7fPO#B*^PXOJ5 zn&ZfcU0wceIUf1U@rkp-roG*)Hg3*c7FK5fSOaz{JI(R2dUpGI)~J8!!+-YSRJeKg z{1s<7M9EbqfM|r7k7N)cdpzo;2OOUvoZxAC?y8Mxp&if~eu-!5 zjqTuRL=EJ;pU%KJ!t>|(wN&lFsoq2i0_tR=&E_r86+*dG%i>JTpxW~!H(`G zQ1p5vKtj)}P~irTyFqXFDWFV7=k)o!h<*58dO`_5HtmeWR#%~8=|fRttb$n+cL1|@dTLNKiQk%~6=B>-cY${d0G`y5 z7}WTu5kzmjs4Bfx{)8YSegS&d4U)#1;y&4tc$-=U1-|p;f<4kC7@^edw^7QzSg^8q zP{@Fx68jNn3W+)nOLtU@V-Qailxj$8!0xS>byJZ?Vb%^FdI*r09puZ=H9!Zp5Lk^U z-;kY$RkODcMF(*|&C30*3NtYY`tjpH@vM`?-usqQr^VQ?&a?71LZ`{ap&{?uw67kn z!pzpvkx-_YhUHgXnc}_#g$io~bt4enG?i6vwAyo94!zS?`*5O!hxfPt`E+oNW4R7l zy#)firn#guVYtD6moFq04g&3IXpoQh3nr#VH>l1>cRr}9J&HUD>`(7b!+haQL$rMh z3-qm|tu=r#PN|~w0kYzqjrns#yB7qL8?2txr&p@im|{DG zfGZVA9qXRD%ZgxEM!PF$%kStp!FoDB6vj%O>`J2q(XjHR!j=X4FJEV>VF^U?uu4Nv zHz(QY>?i!hXXzp@BBUaEJqmFx{%t0`%~TPQ!5PMAUP|JW?hdIdNmroIB~EaoWPh*> zy)QYLZWA{v*m3NPi9$AS&a>MW*F6NRT|JGk*65WIxIvOgB6|7Zsr=eA!MYm@l#E!X z?}Op>PMacMx{jxmG0gKfGPYAn7J4hjy8HQ2F_h}id$jQ&I7Ms%%oqTjB35Qvp)m5v z^Ut8h3InhqbIIwIZ6QKa`(qSNjS`GfGo6WtW&2jz67d=FX8S-{`#-)qgE2H&yz+{{ z{cJ)dTCqF!cBbdJ~IGg(I(d<5k*uP4leU! zlxMv;2*HKaaU>j6yv5hs^eX=ZZ>okLRL;~*KUdL@4;@H{?rJR`xs?Bf5m)X;Q@Obv zsbIk&d%SU&ZmyF_LxB!_9MyN|}|C&(ZTc(3CG#@Uz(6 zu_Emm8y5tfN6t_wl!=E=^H~*Tu9392vE{#Y&>3Cr$Gl?QdlZlkv<*ssGFmf^rNcYBo?k zZ{x8EdN4cHn>MWyNBIn|6-bL6_L99_5JhnHXVrCn!}5xe@-XYzr<~rFUgRo?+pK8~ z+(zr*`IXTgI=9q(SGNi7mJWDTLD7 z`DI6{DNkg^BDSt2*GlQt=g ziapuu|Bw@hb3ZBNuLkI?fC3DYi@LF|9^{Sjo_6Q6U{la@k|7mqqDMQIZnkqpRWWzk zd{Ftxurtp5ce>Z4(Bn0CDlMwN!~Z(qf!^dOZWT<~OcNa$g zhZ|nn8RB4gV%h)3mbNg2)PXrvUiH>&CIFb*&p*&DIxW$^+NI>>nHCSRKK$A4U?+eZ zu2sBLo#4UpbEQ07W?xw(ca5G$9AamBZM76$Wm-eS&nRi#eRz}I>PCKv3o$>pxenyY za8D(TE=WK_IvhZj)Vi|IT?9AM&k%!V(DzRP7qRJuPD|0b%;cuKK^@jarF%Pob4It1+7 zQvGJl02>RQ$Bnnp6ID(Dkf3H;-MX~eyBqxf>0b8;5CO$e>lXR@-zj46@cp}^hgK|B z&2GrY*ZQid0kBL&pHjY4)v59i1A~)};=wJDa!(N&qFWc1L{;Dvk17nv- z+no5+LCy~wS$hv(BEHlh&u_ddQ7!ui4)O>wNfAn6+`?deikS**`l{xgu#@sX6RSgB z@}Sx%h8C$ zF#bMNhJLdORq2s+ClPsKdX^J{eTF9YNh3hGW4s5M(%_qDHafmvveGI^A}+6W$ma3hwvFko2f;h` zuJW;52oj#UgtJmPC8Imxx?-F_u@4vJ4*RAq&*;cZs1K(=p?<{o(Fd(7@Yu#vh!LH# ztCtaY^$4Im@?iz!-Fo_uE&UB7{H&%as_bo08C@l}!qVn0ueZt@VBtFXEj2Uj!?lzd8yeqBLO$zR%hGDKMZMT*0qgZq z4_p`;+ema3^i7E1YJ1i(7Uv>yMpA&10Few?q7bKBj-8=K7INA7xM3dmQ&%e}FqOGq zAQDN@K?ykT(5%A8*WMWE?aq|D0RWpoe=2ZA(TY-xAWAA>vBVI zXBn}Q|9HYW4b-@8KfjS~3Xr2oJof}`cJDs1n9MG==1Bx2Gc5u4`&LYVQKg}Jcoc6{ z=VHn7Ed>HHIvKElY9{TOFmkJ+w=xK&2dG>O+`tftC8FsnIjG9DdF3f}cQqXkfaLZ? z4d_-Zx)>P+u!5PMvr6lq2w7n<;XfD);f)WWR(!jfXQU1<3405IhuRF{ya2BNNWA_N z3d??q#jE;hljt@*I237$F2WD4y7&_cl4~*&mdO0l+9A4m>YzsDrqRvW4*w__D7Q?Z zhopD^hlfOR-5YbTfE(K?l6*-Em3&vy|L>40x1keB`Rqgp($K>@A@Pu=T@3?4I3sjy z)b1aTH}?D6O#?JwkdHJ_bl5!=)QD^oD_Eg?ABC*Zc zzLBHzipRk^!EDl{9f#nngA~bn*IgJlfqw>zPd`Y=n)0$PDHZCikV`NHnEh_w7fr=Y z*)wawxQ)`a5MuPTlK74C*YND>uT#>v#(j5s!yO~Bc6ozLmX^HI^}&^BEGe5U{DSijpjDCa`YDk-_AD?AJyPFvx-ApM8v~POxP6Jx#m;P|@zTIu|Y&hh?^B z{znsCHn<526h3x;4kpY`N`9@{9l)L}?QETEUk|~BL@k-L0RiWV1a+`2JD{bHtFW%I zQtIu-Ez&ZS1jCJiT^3`UQb8s&MK^~Gan%tp;?s`wS$T|G90j1uIaAQFd%HL}yqWXD z*oU%fTynPrJt(q;zStSw0}S%8x}ifOr83U8J@_W-1-z0#5CQkfL!qGAtpQ{cC~5Jo za2j)0ixJU_E0_v5gBB@3_@N+7qYl{XB|L``F#zwwis*oKk&hD5)m*N0Y%xfc52I~$}lV?sa4KH(`i@P}jT}=}4=ACn_h%~I4TWl4egjxJkGDshC5t`c2n8Qdg(^DT>EA~frz68 zg~8lpzEBJIz}ntG0oE=Gk2X83UANFi8lT$`lmK@>6qrFeIH8&m1F@gjZf~Nla~n~Y zFU{IY*v~;`jb_ywNTh6KO<~#5{-d^LyboAGvFd^qy+E|?vcfyb&KVaN5k&Lvx2v5o zPdw@^QwFgQXtx?0%&Ka?m8+e_nwSt@)e)2C>UN?^5=u>AkPHo}>i^PIe!9c)_BfNe zJi5Y2-%S6KO~!T6R~+sjm)a(dp`x2Vy>jEJv)^6E&&vxsm@Y$GRc$q5BvJRT;r;_U zf*VE__qfr6Em}E4QY&i1uO--Lxs=h307ILLB|XL_)ro`Cp!|*h_h()7bFxP68yTh$ zM!2l-cxO!RFnDUkfQ4kXQvze2)?|$)-a*1<6gH)DbB3TshL(_w0@O0Hl~}QvPyE1z z-9z+er%$+VDErx~j7dr&j`VUS2$!Qc-HFiRb{@{Cy?tq@V|!^%fu0V<7gw**FgOm9 zAI3$Ao(WLq4Nwmwm9q`DpzVfySy)AHoCv&{3H$YITk6XVhe1mi0zH`wdOYvg;La!f zR3#3VK21OjRpQLwm=74A0N^FAUX@HoLpcMnJ*0x*vyC3{V06<7LBs+e25e{V=vXUD zR!Q;)#T2%za##8`GP#k0&;cYXK7^MopdZ zK!1WN=4KlbVpGMAy;}a@Q4{5goVf3K0L#*P8Tm!DlL1iG`$-X)a(nt3`ZqbvOICJ& zE;!$C;r#zEMy~(q47sm`Sr4@h%X3<)0Bjpsg%~7yp~+D*ybvbY_h#h-jFZe}GX1`Z zCBl>fp3JXJ7-dN;4~qRta>itEf>niHZEYr4^Re4CyaWYtKC%gR=#aHgoUlv|!5jq2 zw9gpOdT+HQ4bUa}dj_-{i;0cNMliPIeC*b&IcXDkmQOVNcNvhMB&H~ag%9K|8WtfDYK0y+DE<`Vz z^{xsLZXVM`JP-6-zsjbhr1}&=#JJ%%GrORxDDYIu zX8nD)OWs&q&ZVVz^|?=I0)xucQXUgb5DqkS=}V<( zPGlanox4Lau;DKZNT1Y!Z!GF#jKsaKs)zrj!u!F=!7La3KfZC%f-ii17m;Qn9_s@i zY2`ERN5te9tc~!I<-Qy!N}7_sKvYrO8vcU-K592;7S zh3|u-S;8Uh8+4!bcOI=YI6HzCU}N{Dh$y-;vDVi9ekDf8@Fwau#nY_IPqS%_vF|M# zl6S!=-tRc7d2iXhrTVM$6b4(pY;xf?3Aj* zR6b}0c68<3VZo|bgBdK7XHD?o)c2NUVGx3;;0lw5O)SCf()-`%ft(nM-5Fu~!L268 zm=B>)d|M1L}6^YVVIw&9VIBJTQ{V>k5Yf-`oK_GXtn4AyXeNH(hUE#iFx0Y5!+(5z{7hK;) zRGigYV)L8sM(`|erX)ap{LNg0C$Zn_>GlbOFk@=C9zfft>@YIXdfa(wvqcIC0r43HR$j)Rk zl=rM)f$|qwjDad!X}EfbUlM)*9}21f(1~e<5&d|I@7%5ktf~3uFQ1zkRlqufGM(p4 zJN68J{Rc1^b|Po)SN_t_AcJC1RJYHX`{tzRJtgc>T9$#8C6doGW|J!###&&I8I@oNwsiMrS$QB8l;#lvS+?zz?SX=Uyd4E`q+Y? z&SL4IEoqdxw^cH~Qdssx(o79!>KW_(# zwk-m7S3nvN<$a6}FE6zDnP_w4vh15%6c~(U9&QGfKJiJkLaX}tINle+rLRP z%F!YOc3|*(dKM+iud6F-jE%6}{L#<`HDw1)v5$FzV<)fzYMW`_4O2iqH+NX>%Eknx zh8+@N4%~&nEhdlEUxqj$kWA&$NrfquA$9X@pKbOhID@Qyp1cVrxgiYYVqdqYTzdOA zq)%M}D|oxwf*dzdY7#EcHz0R`x1~_Vyp_~5pA>`tndTFal1jBM$5%o(PH2$PgOW4k z7sQ|Nh(V3H7dGMk%P^M9(+ZJ60{VEc{T6)HVmk33sSPMViF6G!GW{pbbr0B;1I*5t zPAVj)R~3Fz0Fa&r|Iq5)DDtF1cOVPuTyanwL9T^eRCLZnw~q}&Eo{MT#w|Dx!gdY7 z3fdnaO8h!12~y~^sF{?GLtgM_CTfjv+3{TZdO^^2q(R)ae}uR4FkFMiGW)HQB6j@C zv_A0+=}O|2i0&`z$!Y1|$O8oDJ0lv7G^TSakb@WRk!qLMnJ^gGaVMnDXI=$_m#a25 zLT^jBoQm@IXRbb0FWWBCzk{HeJXF42rp-k0V}Ubl5(&JJhA07V{`A`3p3EF-6L>K9 z5wrz<$Y&u^}08fgF06jQN&IH>msB^M}2lI+w@Xk?QWOA`@l=#ja(3*3q3 z*0(2eL5>m(OI=z=OGY=+(Jo1A--lu2#bVDpJ*CbgDZ6sj3BvL!vjl#c(FR z?ZfyONbVx$SZsJ!F*rp+ARr(hW??WeARr(hG&M0W*)CwpcwESdDdZw4c06p#07WeE zARKl?RKCnt5o8yQ}E3r#sme(O{TugF z+5TV|Sc*GH&{6+--Wu~8o zwNtnSd-B#7|AV&mjv%oaB}7VZ^5v3gLbwqjr;jJ^phqgj%_^S{Gw`{Rf>u|j{YYjY zsN{!_Va8tu#5dO0(Ee4?)4SXUGd`5sV(+mG3kbUHFNE?EIhb(fYa7TG@Qrl3UJu!7 z`h2x7viAl8{jqso!xVePZA*ke35UY1XDN^B>+mgiWr#Ap2IZF01}^tgzt6j%x<(}D zOJamnQmr5b6cQbsRpMi7oeef3F`lapBVUU_Bk{f0Sig#}lmoMir33xr+VY?upCR0h zW~+J#L?*z`UwXEXhQ~ziE7u;xMFUun!7h<-n{C^Pa@>$oZZdC$CwM!Wcdo!var6!_ z$;E&X+sT2VO{iZ0L30IrE@1)&la=LKuV|j>daq&X)- z!ibFWu5-ApVHixHDaZ~nP{ig{)|^laQ?nBeQ#Z9g&S}czVu{JY1go+k=%^wKpriR4 z=1%4Uo|)o+qW`<7fI?{FOl`~tJ-F-;T-hX!YLN}SUK=rF{oA2h0@y6xt}c1^ucMJH zHTem;@<^{sr{CMhG7znqbmr<8kIQ0eAg!by$K^o3rsQR7leQ#+^@4QX*8&`kM0G@s zd<^Z8C`cf{=8OO-E^M@db)K?WF()?z-dlj%yTbQ`XT`NHNyGiYXgOowy&OMyE?L3c zDJD`!%+dZ@$k<+(cmh)TQYTTQ<=XTm-!*I@pRBwpX6wb%Cwe%;&OSoIQT2~%-hxvI zG>QG>8}az#FqRV9P2z7GqX`98*Qq>>s#U^8=_!PE0 z^SNiAwt=H5Dt$^8?}~;$Gel=i-k8YnqS_$UnE|ZcKne6dy58d~`w|c}hg4)OF&Vm^ zMOO(g=SUL}dsJ6Rt#(GBT;rYfT=(=DE-NpPtV0qkcIBpKwK1Cv)J0kndzU4bndfCt zgZ6M0wRvUx^R@d4<>6K2K^QdtdElO{`eH05AQ=8=ZZiNT&E)M7>ONZU=6hPO^d3(;RBinQpmWY#4sMrjlRhnJae&=_;%y`p-8wcRdefb(Qt}|kP5{o%u_a#kvPMq*Ua>WqB?Pwd@u#ucZChD_&}~PY z{}ah4m4Pb5?D0p@(ryuWAXIFV9tV5LSCqndsYgVQda}^$^j6`TdQ#u1;KGO!MxC3o zr>$1Hzdenmz@L|0Z6an%>=ti95dcadsGcLU9Cb*izd!hcSc=BTg_Mk^#G%kt&LyI@ zAzBa3J<%D%vEZTcm%S4>lf2{?bI~$BgD&)OcbDX;e%Z!m#z}I`=l<&<+7uR^Fhbuq8zLJP}}rX{WplgKeh#wX6u5b=5gnFs!?ZmWJSKd zqK9QQj#1T?7m1yYg@C44Mt=5|0ZZjF@B=0^0DpRYy38Ah$o2vA3b*3c8IzP7wvmW4 zKmDtqZJ()|A>}h+lo5gxjkd#iHh7CST0!Lzpce;22@qk-`${GjiNVkV5K6GZ(;*ni z_+&;BPMaxLp}5{Ox3bH(pjYT}J98@oE!Q^X_1&&l#w{wM3Nu;JfZH)2l|fVWvcDjB zVWYZ84B&YRC%0$SxN*bv&SW06YOw=SxP`=TdXnN8_mX*Ift~&kCq>zQViJ;`sj|lu zs*$+hc9*Z311{gT>Wu1`3BUOF0nH?_B)m>=T@$)#sf|HBR#qaE2qhQxl^zlioGk9+ z!9y8_d}v1|ZO&}-mu51Rz*)YY)f4w&Md1bUM}M(~d0U7cT-a%J34dv1xNpJr7uLAV zbH(OJYpph?Je356P%f=M!jO04d0t+(Qlx4o>)>Cgq<(@D2=Ru0N&Q+za*s>?ihJYR zrt|zHLnUpsp8)h+76O~BCN-3B$7a%7Kl;z z(tF;%>`qZrpG}yPs^D^LZTfXNz?yh;=EGaF(5)MPD*W%4EI7XO_jluAuaB} zQZJ%7%QQNqFvhSK%|r0@qw_aVo&$lQXXx1@`AN@rBh{^j*K$;}T%w|a)p_CED53|8 zqP||qBQ9u@hxLz|Nk1qf$&a6C_y&{!20I4Y6&1R(Z4)n(#wTnkDw`v~-Alcex^8i4 z(L%0VwOh+!)%bWfK&iG2?#>Hz9t&-m*Qe^X+8FjA}ureP_4zzt4q;xvxZ9F0EI>2tMmQ~RUAl&Of?@dnocRFPO zu~@BNQhkB-QIUpCWPbuXzed~|(oawA$m-B!#C^+17I*V!c_|I+?%5 zQ5;zs;Yo)%!lMdF_;S7d^6xmeV?x@~h>9b^mRI^^zPymhViOsb4~&6=zu}xnJ)Iy{ zs#*GHou4WS(VdDr0PusR5kvmfbNST~y1sHx>zl<5*FWsO_NBiCI(e?Tt_;fQNSGG9 zNr(nF3xY(5nUkVvPce{m3~vA)K;geN=eH|Av!b{*8nTjKCCL2}5DzDgjf_J=d)!pU zJ-OOT&Us6H@p*7uYK_lu*ziJP`O5jT7Y-}RPW0G@zn{1^cFD1zY z5e?Xqq#e`d)slFf!o0q;i$`o$kW{3R?m{%eJKv-|71jq^du3N6wD)5}n$`V41i^cJ zZ_UnNjvrs*7ug30Wx5;6a8ne8@tpZKo(@Js>BVVCB(){MI%gY{*)tCsQgYNi5Xrdy ztN}@)MtSO1!3)AYdyR2{^rQMV2elJ*#Mq{}!6)gRicdarA1OBwj+r2ZE-z2wd>FWM zSj(==9=oBekDmCk^p(Z9#NEbvKi-yHnWzjWT3Y_~yKn$a3|haGFrJM%RAsQo9flO2toz!>b;u#x`YUntRy$0!|k%ZKBFi4A;Gmrvqt{ZAUm?b{p<<5LU1V)hB?5O%2$C-iGO8}7n{5REtma_D2-Cfpp2d(}uVzzE# z%q`JtJ_9(dG;iisXg5JEcAlzKFcFD^cBNggmBEP9=#H+DKdAAB;rZ9F==`o%3gf7r znY+Lp%DxPQaq3$lxo}yU$08WkaEvb8nOv$)J-|$4s!{2UYbvRdI*gkzqdtv@(~($; z8deCxkcybObgl^{U&cWoZ1(*N+tT1}^$URB_7%T%oT%1?&Z~2BA?rn>i51~JE z?s!b)ya2Fb8Tu#8`nn@8V5T3>eqqhRV0TZ*FrNC67wdhdoajV~ zN=beKV-F<&*m}pjzLA{$=N}UH_DttfRQ6*LzH<5+8g$=hd)W8|H!%6-6zWu%sv~ge zgL_{zZ{38mG*Vjrmz4&ib&`tafK6x*z&#^x80rahz4HK8Io%TY(!u?(C2X-X+hR|= zQgh{s_5RKg8mqA&bY4gc2`=^%v@2o1$?8EU)lrYu2dMbmd5Z7|8_gWeaYxALD)B(y-d})jA>qGJ zyZ^x&YcSjTgD@9>COaZgQ46SiuQii@Rgg;5W_`*6l28_4uE#bp_tp8Slmt+qB_^nL zeX*1vgpumK*cALk-TYH~alh%I=GD*L^f&>S;WF!BWWFPdpBp#(S|usi^kO3s#L4YZ z5DGi7;?X&}OTb5-zF?)9Rkf3g4UkL*r|=R$yc!GBcM2JR%Lyz1Pt+O|tjf&h?Pbu( zLHZ_w#kknF)faC8!`WPA*-b>!_E*r(#w`(+{H@n1WYKa)hvXZ`Q6{Og_0BOpb#_k+ zX5=7rpaly9FIUgwAQ~`uBWq02rUMA1T#IJYIU8Q0i4Sp}OOV+k-;TdADF`F6tp}7} z92QXW^Gg;juNt;)i&jc&anr&pngUkurb|Ffq>-`Bmx}5Dcnoa9rpn`ryPlC3kPn)# zvpHVf69dRcY5nau`b%qS(Etw~xZO4mvSxs&nj#v%a#s5+{SCQoN1|sfB+UZA5x6uX z0OxinckOrcQdNRFaZnk|E!C7A~be^ z>_M;@DBNa5%;pR(^9x(Opy(1`3p0(?$5y}2qcofpvxx7w#EdX#w+MS9iONh1##|E^*Hm7@RPPbBV9e2Sau;| z+1|&R5}>3PxRaZzUG@=S4pK#zsi%ZwO^?AXRcmUJ58o)^v5ma1Tnde3UQgr8;dSXYh?135r^noliWxu(e0 zLR2w{gIT2fl@AZguA!?=$zyLcWoqIVz)c4EeB8jN8hlwHcj9*&AyQ0gQgFs1ezzZq zP`~_XpznGzoF6Tc?-}0!5r3g(>go?depcQe@m1koSDDO?U@tg3nkK5WbWgyBWx0%G z+8FrM+PB`x`?`^R=~3 zGoJKo9zcX5y%FSt>sEk-aJ)DH0=&bacpk{9zfn8PbWcR0jJf4}nI{QA2!yypee!MgJc^?q4InNi&%a+9}=g;J^z6!RAhw*mRdp)*W zRbEH6D{Rq$tqbBPym|aCOmKpqka=ZM?_k`-=Bl_{^ zDC2(oj&0H9Seo}n_G-ij4wxV~XpNmOq7wvap!2?51TQk^h-@IC#>@09_iOelf$Xyg zXi`&C(=_US7AY~eW>JX;s+@$mi{<6I|F0wQ;Nx zMs^U9g@O$3@9n9`x{(1rk8FAFc4yPBz&%Aiiiou)D}aR=7U_Js5fDM$kqZPS$V{4) zBex(Y+9(}{BQVvl9!s5lsG{mi%-FX~={SWe100BE`z*gApYOz-nH=Sg#9fj#y%Jdn zQ0j>uT;h+797m!l5q`n1P7XTG@v{&-~>}N{JA}<)0S#eG79vpdp zRK-_K5#AHGtNVYFq)a4W3KGkt-VNi+JNo9@aMUNRup*;x!^_wI6gGGuVsjnNx9c2# z#`L+=L{Z*9W3+qjf}p@hm>N?tiX5uq9$zh!yeZC#UzOE`#Yi=2#~M1Ebz zVn%r{s#d2awM;rJviv%qV|6~8Zf!xnDZhmt?XB74_sJiQV6cDfH%FpA47|cDJRYY*D<%o2}7E zIql4_M)zftz9c^3jc$5-Uh`8L7TsfF~}ow zi&!+rdYRqMslM_V{D`r-Sj=krdqAR{0>WwJ7TQU>moMW%ga%Yt7bx5V@*uSg#2{&q&Ak0*CF2^y~x1<%%<+fO{^$`SUVkd*j~eOSD*(_0iH z$l%i#bLRn}K&D5ZU{nPfnaylK;|1sd!$t6e!+n4PWuAPKo6&uOCD0XeBmj>%O<5l0 za1pY|%c6J}a=ETQ{ODIyrqE~^FNqVaS}VTWpE$X6EVz&t&;}Ae4ZrY<=wrDz|0Z2> z0sr;n+Y{pWJqC~q;X!>VCu}&wLWtA7PG1E4tOT4{fAQ9@`1lIQxq{H_CoXxY0{0c~ zd*@rgW@M(3PRIBh)pQZB;z=5dChf15J@_7(0}Ht!f2()&>J?GpUdi)9jm4Q~54siJ z0h)q_zrXb-`CtE?b+`^tmS{zlb>OaH;CBCarTdw(GzN0YvZ675X+J|7bzPV)S|evg z0fQ<-rE^Y=+7I>6Q*|fc#3u0W0iNZO*oKds1-Zp1`Dj*UY7mgT!I+-n%(pph(>@od z?+~Gon8Y5EH1nfE>?t+*5D4|2>C+Pl!**PDy}|M=yk4l7;xKe|;7-&UIE(Gxco;{3 z$z`W5!}BTnAi1$2MH?;XdAG>DD-*N(HmLp5++AsgKFUvG+zy6k<$&jaY zkvc&Ng)iUK-H;(m{H8Jr@{+NT+Y@vMo$r5}nnPiuCKa?Nuv^)S<|)@51Yb2aIYq#n zGWi8!uNhZtN|{R^=t&3DnOaGm5Y~Bz#KX^n!&kVu4-ii!Y8wbrW|@LhzZLj7s<%Zm zLuxmYDb0(63$5B;_`}VzPtg|cIzaMBPC;ipgwr=6OW4c!{{#Dm3)g*{$*J&%V)xEv zoU^B{^!?=P$kUn{^p;`}+yIO;flPmdDkUaWsb!9N3SP-o(&>tj@#9^k$Z)vUXBLx` z+E4{!ktd02C)vs5%{r5+MZH}SC{>>TQ4Ahdg0K$`GBZ@Aatt_m&-MgsPlloJPD8~k zroO-hY(7v8A4+&G>o=B7?wsY2|Jjdhde#`(?4maeITD^t8m%d=IPc)1VACFk&<70L z&Ejh+|3=IFF=V|@hvQilA2-}W@&T)TfbF(B*1752C2PPlN74~Lf)8bhKX(dj%5+9* zFviz28@Y67e3~R9j*B8`8kX~iCe1ov+721cQ-K$MK;2HWopWW^44NT#2l%TreLj9sL4_P&eB3A{3&RQ&g6_p5(k-(l%yAb1 z*Jxr3`m9UD;3F=))yyb_3ZkxgYJCanz#P{YR{DF>dSk?-0Y9!$h;A18X?p=|8*;5v zK#wABKQ}oM94gQi2pZMQ!a*2vCO0_&a1Ue1u!uRPC$TClg-lN`_JaqnV|v^--=i29 zQ~!WuBuVI$IU~$ttZVC>Mm}wdcSr_C=^q~9UulseMXPRSyHIo~JF>0^Bnzv44m1Rk z`DwQ5yIc_Dg!%q*s&?L5#o)ARO{%PKkz;>UPuLpCE9^nIgKR!()ZqGx=ZURh*q`W8 zW7T_n*=fn~1|>BPBAM1~$CVd&8&rEEhP*c;csAm3V%!iS!jm!KP0>|$Ycw)!^F^bS zw*}D7gXHdc8Ecsb8eoE?n)hNz;|0jfH)`kvay2fTNY{B5KyDeT#^CQiX1c=%>Y_&^ z)uZ8W2H*xN3;Un2L~H5MJ{WEgyECzQ-w!+J=M4Cm@4r_$OIbti-*H0q+#JRZGF`rM}wWn3qR@uL%PIceNiAKP^{(Jtqb9i`#EY zr0g0tr(y-XP+E86C-B7t{pYkerot$EfaYVlw+Z+kMH%C4iUJ9O>xiDx7r^jGiD}h6 zvkzHiH<~YJ(OLwZyZ~rAEepa}BKnxN`HxLLiAxJN>+1G)6__wePY!fln?1j!#17CE zcT`tLWwr_gF#ks#Lxh@}j9#Id!^ikQ#K!tBLrBgr2r=WvWTqk9BjK6P4iGH>-<#p} zxhaF=8_Nr0*!2oLZUOZO>eEpCARf55P&u#~JoNe{2;i9IBCyS$vf_eVbXTx~+NmTQ zS*$o=sR!qSU=GLe8>zY8YX{0&@Ew1_2p7!ly@#e9gx8(R)ec|tP#c@@hwm!KEWoZ? zFu_zyzE`?@cjoQt-m;sb0qS1@N^Aqb+1Ne1`oh@q{PGRdOAP#sY(S?mHlCQi>Qq6T zoH!g$E+?P$z4}0KT8lDvmgSYGUe$`APxs1NJ@7Pa8m%@nbGvQZM-osOg)e%WIX?;x z&IPN*s4LOYBn6+bp`JN5$I)~uOT$ZbFT7M-W38bx2A8s?1cOGZ!sjPBV%OMjP;=d! zI4XTdDPU%cB~;~cck$OQ{tSWg$VcpyJN=PAj1l8g6GD_}IYxHkM2>F}Y^9OS7`Cqw zVkLAGM$NFMs|K~+yf8GJTQilrDfXCg9BR1d4|K+*9W^O!<=}%pz)L&d_bERCjP`HL zWdj-gD`q_~FR466a7F+bhp z*(8Cb434dMgx}j{AxXasC>9Mm-kz;THKXa5;*_cfZ&YnsQn;1HK|7(L;}!*y;Rvj;`(z8C7oqOTZF zttm#%c#yL0&}W)kBQ>hV4Ouf2lRS$JDE$u!zCGb56oP4)`Mr0~^IXotoeno=7__GP zet)`(SKR{s2O#qg{VG4e7q9+24%#RUhcJFy8J$#0%Ol03m^El_Ds|>4Ih2 zOfe1%27x4!Og>Pl%596PELH;>b4(lmUP6Rwf9cZR9p>+S{~C;2MqrQ)4I3ZLXb!9W zJH1h2Btpag(f@kJIH}mE!5J@MjN^iZ}5Wh0C(uTF?x~TX?)5I0-a;BV{Us zX`{9mMJ0nk`({HLwJ(sT2TW*3H}dOEQO)W8q{e8boA=iX*Nt$+RBXRj{OzYHrR}wU z#rCreA*~8`>}D}NiI)>#@$?phyXnZo{?M?heKIjzF??HH%K6WZ!cIqc=wfa?pFg7) zb@)Z=;eS7)T0!8h(CNOnJrtERNwU2~Wq!)}bsHp#`rgs5&7)|Jhe?Urka_z%Yv@kF zj*oZ}CM|0I&Hg4=vbv`z#+?L>e1(2jq_L%Hzw zieitduJnMv)HjVtWz}IoCbcPVILk}ymbOgtq~7a_L^fnQdM+ILCW>z568%uFC!ffK z^%FR&piY@Ys!91H4}14+2RD`8#>u387nNnd$T| zo1+T0HivG;)8~UEC7p($)%W)#gsWRkIupmVMqoeULq(HPFMDu+n;BOU0y@RqJs21j zCY|{DcTD%QCsW-#1p~IFVKJ{%Z}S;Q4%YDb;Gd4sehDY zy)kPOhLNDk^kp#~_jGqS>SjxEA3flIEMC3-^a?nAk=imOeoAM6TqlUqBK@|CNXB32QS|wJS&i7~I%jvE54rHm7 zvysE5%S7hYLg{(F&I7pVghXHc~J@UALNd@J4$thnt*)8L6=(me=VMIlNh) zv(A--_0W2PuzlOt5DIFFpyKYWC^0{m7q*-5%59kCL!G8vY$EZm8fH)qDod5(D)eC>;|1n(GW*4*%+nf8keq`p3@1i1-Bqy-8*vV`A8v%Irl`kvy^?0Er?z;)Vbt@v3$3Z^FAsv&K zj&}{@$17&v^SS*31y@P5$t5ZO?erxS~fJlM6U7__y!( zM>*>Bg}Y}NFh*qftFiaT3P(_eT1;s+GKOVi*1W`d|~hMxRZbY9x5AzGqJ(kLAkS@l?z0@#GALA;4@Pc zu&|ImXuT+v8Kx~gP9k!suiw+SoVL(D>caswIpS;aGQSM(>KrJ~pz-z~)E3YR#~G(_|oFR!66#AjD)Z zsS{UOgM=IwFB$X{#g(eXtiwO*(&0KvvKAyRJoHT~;AeK`*)gwVRE!-^s~P%@a_c(a zTZt<)jr@Rrxf$QNb3sR!Hyu3oW9%AjWx9KR9b!(MhRAps6F#-CknDmCdZIyL3aR6J zR?M;*P34&Bs24SU`ClCmE2;GiY3f@P2wMaGlAxgff9*W_P(kZ#k}7KdZWl@c!2@@m zU?8*-DDxj(rHToz8`<)X@AjMmG91`UGyKq{og@ba3?iM@>&~fbK90mTzJA|I{8hO ztk)XqOpLmJ)mk%u*G`jc@X<@*y)#~3Xn($>M0O4u!f)oF730JRdgIfG2)3;syz&-< zT8T)aeO1_uj+Hq0d`Ard&6&xKPi}s3igK>d^5z8zqV%$2+!M2d+mUHIMv3I)M&jCn zX3zC#>SgULvkS!q5%RJVhLdQ}hX&_5Lp3A{VS~z$hZLgctz%QUBm3ziy$cAOPmyU| z{}K8(-aW%jo;>wZ$%jBzp_v)K|Khf?<4|raJ$v(S7^Q3XgVw5z{Y->D`n_q z2X7a|?GQ+y#+QA&2#ZbvEU;}OR5<@2|9r;w{yp>AgO+IL4Ehai!78@8UcHoMBfRntIhw9av*`z(;Ovbc>&Lp(x>Ys5D<(T(UzjieQPJ&U z)}5zFGKui4gXxFnl>-vxILz#v)~oH4gY zwaE|9nWM$esaMxo!_5o6@2FIdQafMVauv$po#W>k0)m9>4qxkUJzh-fM&CGaVetrU z$z9=g>0Un7+!NGZzuWzdJI~0eN_bDiF>k1m657)@FFq9;V)h4#2;R~ytvrA$i7||H zjcpjII$3mvY6hoFT8aPhel>dth*4f2WfriPFu&8igwu4N?*>EXZ^Bi1+4{9*542n( zz&xYMNAGjN_nJL*6I--(uiYW$ls?wH3n`2_Zh%#Vj)%$+{1UR{++cFie(zt znxr_8#lF~*5+p{|?baHEoT>rQj8M?6cjoh}oxl97Y=<_^O-7B!BSMzX=Em9`X$Oc# z*BOxnflxMxeEoXSX05{eX&r^JB@sB2SjO-}L_nvmNu~$OLH`r)b`Wx?Ru~P~x$(1= zll!g@LyZ2Rca3UGga~vXInYCF#K7J*d;>CgVt?T)YTq08pKe(7`P%P@}J(xa*BWNhRgVfvlzpA+S`Fk;!$`3S!g&6n4yU zvl@nVDpidjU?)oMTAJc6w6U7EC!j&`XvqJ96AAnOY0{ma1p#@QfH$j+24tDnMy;0l z%CEsW+;L?D+@KNJ#MxNh0W|q^q)6e=)I55x-H5M19J_ZVwl(6Z=95Pu=xCloAZpVD zqhRc@XS)W^5B!fQHqc2fywj$ooS?1ST02FSobo1FH>m#?N!s~)?rfQ`%3&zUoD;6e;BjfXpvz} z3TbByUHswTS>tXM!go6;1D*_Gk4rUZEKNBI& zW@@h`|4!W`Bv;6QY<4QS8B}Q%Ga*ey3_&11QtQhYAq49YI{*DR1=#^brRDKkJ82JE z-}ckw^+*BHs|bPV2llgpaxRZ$Z4=#Lb(;el*>pC6HuyzM6`B{c8#1!^ z(v2+KV;>=PjIwJb{vH=UJNC$ZxJFD<<(WG<@6=W@BBH~5dOB_fJ=j)TtscKfu4JiMfCIfU*qoOe*UdF_5Tj8zb)k zGryS){b&{cc(Q*I!4^?OAo8hu0a7h~V2CywN^V=Sn<>OKZ3YPfjL|t7 zh^@#+i?#v%;L0b|@Tm(aHln0Vb^i3b$fy{(?>U#4TZ4(l;!!?3aWo7kQIjT$5{nwb zE26FE0H(QUP^bd|7rZ7(a41seDaWlROBjJIOxU=F$m4g1iSEEMU^7dW>JZFkG1c~H zJvRFJZl92byqi^MZeWD}_M3vSwNKGG;&qeA!@Vi>$=P0JwoGAksWwGuhX86YV*@q{ zHbx+Js6vv5J(a;AvB^dH1G3Xh)2|{~P;S$+=Cp|5E-CbwskEnADc1n((msl~h*H-3 zHK4lmCBs()@YZ#)?=){L3%3<^Mv-a8Me&gWC|Qq_%l-B%#DJ4)$;p=|Xl?4r3Cj{} z!Y$VZPyhYwN1)pV>v~6M+=E;JK6|65xV!UZn?C>cSQCX&Pz4Bk(>^ggHIIe?bdU^` z+S9y{l_bi6PMe=b1m`h)rTMTYj5<&iv%+=6uJ0tjM16`TV zaHslbe1C*AruF7PqnlPoW?d^U)Mc&RWfN zJddyT!vswL_5L$>vE^f+x@0`F9oYp$xQZF%yRQFVGI#GNBGN#m3Ue8X*}`rAx3cHmFj2RdVf+x74MUO2gR?Z747b7N){I;Y+cE^G4V zxjh=3&qBtdwXH|fP4+cz?!kIEl~eAvzdOf+rY|(!jRjC2rHb5#6o+ZFrpN({l`b}j zkI||JoEvM2wjh|Jz5g!@PQ5jPFY91uJfr!5CVNiJ9JbYwD*8 z`N={gz+}zF>3~w(-DyWu)qXUhXQtx<^-Hb{-pv!*9e&N0czXi464%}QTj}%VB-q~} z2R22JFPQLo&9>AYb$S;gcc2<%{AN10HdTp-xrsM|Wi`ys6&?nI=ibq2>gTAyXC6Em z?yPR8O+Rx5JMc2avYd+Z?f@eo5Y$E)Mf7$p=b9+Q{T4Z1)ps@vw?UM`0yPFP*ONJX+{Fgzl^%LS)c@w*I>Z4XXrlB-ySZ5>x-%#6!fK(JE5t0a z?|;!j86axtICKbx{TOPgUhlV`3d0v5lTH^_PqSo1yu<)%to3TB#RG-q+1|n!9EsPz zha7rbtHHe}@=t#kcSq#*@2{5?{o&JArNWrvk;{*{sxX#crz=J9Qyyb^qu za?|EvJ7fniPqOO~1q2}Ndi#qBkdZkvYGW`kVL#JCiiT7l#0cPC70DNi8R}-&&N57@ zW>n%NP;Gq-4I#c?2qoP~cOzqk27@Nh;Ala)+>0?2z(b;6Tfpa=Q5v7)ai^OTYE-xq zCV~l@b0wFI2(~RbK*xeEL;N*p#l=1G_2WpdjvHGz7|aQ(#I&Nn3fOmdD5R7Jl4Sgx zHg~(y3w{M(lm>@nW73$?ycT^>tl%mSk2kQ(UFIA8>wcRG)@q<7%vs)JF~3>1n%$Qg zXj)8d0tEMF_X-A9ZnRhgnVmj*T2=avSPZ7o9CQNVHjMr*$=1 zJ5x!oH+^a9e+&9nLM)z-2RgAn&gcNGS+s<51(Y5B~8@tVBq4`-RaYrXaed85q=W>{!kZWvT=yi zSCgh~@Jj{$HLtr{CS@|*f8IMKu_pQ%R?DO!??M$Y8tEFoV~e=*w?N8YICfdL-$g@GHjw{=yvob3_y(KNv)ZyjI zq$eAud;zV`NZ>FG8NtdAxt;MWnZ?{WCrCOFgJ@sLP6<4YWg)cPZ$v5A!hK$vlp zUf@f7CdzZL=@B)IZh!5h=D9{$EG(W;@lmy6>Hen+3?8xVNa2Y}zL=lAb{T|Hl%KuXCGm*5Fpe=OU`)LMZxAaDL zE%8BaP)W(Q1B!d}ivQGdH_}HA@9Ai-aU?@TXulJ~D?(u(iaHWPPAEq(A4vzvbsq!3 zjWqLXiXr!??$>N7Zg>CdOvm=cISRPSS#HvT)+s;5-!o%gxKA5`?06XMw?&0QDLJ1l zdi=Zs_vzw0HNpL11>e*cleL6ILFNk`St~djl=FAE0a;({$zji7gn~-cq6&+kndjUT zm_r*u)rnpFuITN~wjCT=amBvb&|V}@%Pz-=6Ke=0RYA7mpn!lD6+Cw1utRzo6|^9vc^RUe${!n~CwZ z0^FIsjieGZocrAf2GxDHLpKhapZB%F6399>V$~xIhmkm(r=HH zu?0n>BQ<;$SpP9yYJW8>#|d~-Q$`GU``SSXNPi`qE(KsN7sxa{ z3|KaT`4TxW3%@iF$u>(Z#$6`YJRdatN?ogukc?D;$8>Dz)GHyB)~mT2-s@gd+FIF* z&19wA>3>l{MFVS%qsJAvkv&FbBL|4@)Ua*+IMB>cZK)6SO;7Cgv;F)>8M90FEwrd2 zvVR9gf7XHkXCg84-tIG`B;Ezek)acyK_VZ?#-HDKoN{YDm%B30%3ZX1Pi<%K3eG3d;!MoA4WtfOw#HM?Nw0i@`z;onhEL7Ajy;!K$ki<5qh#`vgGb_j?Fm zAQaCSxqn{AEAIeA**afmP{;K=6GLcGlBQ1FZhvCF8fnc8Xo#5ky7zE8E{B=UEC<;#3pKcw%#GqB>H2O5A zZucG3Cna3b#b0kQ>Rdk2ng7E-KqZZ&N1b8WQ=g|#kNCK4{l2Rmhh`DeNK$uMOihCH zN_os?kO#2O^{#h--)lED(1;PuD6>F}1x!((U;n3~?~D9- z(W%*=0>q)yHIhq((vSY`a9!qcwx-P z_dBsM@ptvOl`+^MqYr)2t>pQTl&#C^aWdHZP0|&ygL#NyX}WIpGSuf(Zc6&Za{*#^ z;kUYr1j4#NVlM^@WM}!KmE=vH*@Mz}1aBYg0R1UVDG7`9S(V<3ja(LkdE56Gccp}+ z@t=6i+*om-gW3F~*0pZt9fQoIfbFUv9nsp`*qb;yUgQiiWN7p>l#Zo&{uql7-#Rf( zoj_h39r&cv0%C9Pb;l@!qIyR_IxH@1q(@w}on9NuBC+Qmb^>H$dekR$Pz#0vz1C3b zk8ljl)$D`TZN`dGv>_C4j^R#w%!T7`+|Sm7|0N)t0HY1}7@3T2)nOU`9eH?fQk`N{ zQ^7m0UotvaDdR6a+mq@2CKS^WpyxGyQ_bl54iCq3Lw;dXQ7lwdjC?|H6yECiXEoKXn8PUx?ee&#LwsgSy1qZa z2$mgBt&c$s>V5PT0^y2m^sKSWWfTAR{DI@$;`vkAXL={QJm{8z)k}T`0z-B~q{I}>#=hN3^zOsb3M9t&}6Kec= zvdBtD5F?frCrM32Q{lE;Z^4SHO?lN~rK`%~M_ZqL+R&#HdDJJ_GVO|O5W;{LXzg>t z&6bAE>`AK7uP}M8qiFWAq@@yR3t~Zmp)l{HQ0JnL zc<;?pu$`4}R7D}-CPJnu@1bruEs>3wTDJl;syVa80%IZei-BOso>NZ=0geRtoj%+! zgvMa_OHtBvk89gy%5t$4YRA?S!t%%E$aQ`z(kygi zV|SL|j9S~XHxq0S`0=33cj%rbZ^In=#U4f-t>Xz?{dZx2R3VMT(K?(q@^2;lWT&hc z>qXrA2;g4(;Q%Mm?O(k43ar-AUh_e(T0z7dbD0?e(Y6)s6zq6*gHhqGOoUuSlv6%VvyWkssD0c?IuW5^|zv(U}VBCWL{x90Cm)FyQq|uC1JkUuK|L{ z5OXL^>rQVZqUqoyVdJ-S0aUfstRv25MZ!2{L>c+u9(+3P&qckT)(GP)F)@T*-LsWYd3 zm62TcBo;n;_@QFbFU=_jf*9n=xo!|+!w)FbOIZp*IGSNNc)KfOuEARseiI51nqIjWlZ*_&q0H;ywoB@KEjm@}v!1mBjhl@Wi3RRzDE*TJoy z_|wRjr3B_RPp8Pdl#&CgGD5|gMm>20HCpqZVnCo-aowl{nZ={QH>D+9=+b1krLT@^ zzMIh7dmTnQiGTJ%<$JbY#}t)=-ZhoV2oBKPOv)zHdMlE`Yw-U>D)E(RA1KuJ$yAS# znW)rDKs{IwN)Y`Bhs7~TNbGzr=|v*f6vK%U^=9q7VhP^*iW8$i8)Hd&c(AzMfSeYb z$v;Vb|N9|twJ}i8pMNVI%yG@J}%S)*mB8Uub*9|e5ya?LYU$`;OL{+po^ruRnH^`{5+xUL8 z7LVvvu5+Yjd^Uxn_Z3$}fUfd~+bbx}R@1p!lv&*s8+^F(D|PrFy(QK)T#D%e^$@)R zaZ(xAT6$9nhndvd1uB!XI7=Q;-NAkqrL zW*(@HD=Id|9g{(ANq&^ih8qMKtD*yxgEM%2?K+w)0CdNhM_8t%oveA6Q1}>SWguf> zY5pkpV7l=2qzK*LB%?+j%*|T6mB0 z1munG=Bpi~@A+ahfYDpsS24W3I5-3}5ebgTh?uBZwvGU(kkv4|i@v~t3YC(%wAi?L ziHaF;HF!%n=^p|@axky~8XY5MgZu6uAXO@LD*WFbvHyUoP5C)W2zn-1nmRVd>yiDh zwdJ`bFj4x%(bVYdM>OUkw4wE}S@Z4^RAt`*k|1E1vGPpE{?0&<8RvD-7XYFqRUmJ^n7P*-mfQM`Ylx_NiZB5 zma))w=oDo=XXt}{=mk4 zV{avSpUfpL;lkMV^1kzepeWvbMO7PiXk777Eb-&cFvqgX+ebpPTj43O>m6h}wka6yJb~K*CGNB3YiXbm zc8?Q9Bz1PNy5o_fCejIy>+f>k^WvePhfB^0&|&*g`5T_fXt(O{4!8klWRX4KJm|CK zfZu_bZVACRMFsU@^7+|4+sF{J8;7Q??7+fmMV_f2B6pXc6uTo2C+L{D9FJ7=f2R&? zg6eS}br6L-!LKkfTPKeob9$A6a>&9|ZSP}|tnSA*3n1GEiZf^5ec@hf4|}=wYR&35 zL0j-MRETI=NodR7g+f@C{URo&DcQS8?7Wu`+^$mbP5m-`B-MG95`plQtirUr1=N9r z&rY9A5MdKN(%=9bK;ysoSL0diAr65rQDTTBMH+S|rJf6rTMoa5utcLUb zUX}((D44Of0pniEgZHq!A94N_7>WP{Vd<$1$?nJ>y~b%n)XxGmxasqSCc4!4xmqH4Q zu(GjIC5hJlB2ebd#jY#e6fjV#L|V*G^o`m+Iz1zwT1p8#n*@x^=smm`K-=7^p4sPP zTG5qgS-E$Tc=|Ql8C5lro%$HVu2#X|UK+_p*E3 zZ(8S2xyZ4>7tFX9=(*X?q;8yrGo|( zVlWoRnYNcaU6OMSXAuJV@$>k~ ztW{GEf;DiR?-zgX$G*&>*27eieo9w=6nKUA`tu@_EEJ}Q?t*@qrJRRJ1pY4QjqTz~ z9;O7NIYg%Q@{kLz`^B8@Q$h$HM^NxBHU_O^i;zKs3ICj6lll|SZD3oxyj$+?4SQyT zHh>org;TQHUxxa#U$wp9{J_LOJ-c)TZiUzS$_2BpDxQvp@a1!~XtStxoya|kQMA|d zS-xv8dk-?5FQa-kCq^>ECL9%ZVAp|$N@|X5U!pVN-d!oz`NKcFy<4&24O1h>x(|AG zF15`=t&j+P@NBk;R8;$nKb)ij(B&W(|L0@mx6n35>(kIK)m z|9!%_G=A*=ri6&nY`{vtB#XF@??qhHy81nlll5cjm_V-Lh%eg2ER@BeJz{(ZomjUi zzQ})|1H_{hn&Y3$7!Zygqt*BVI^^yY7zIQFS%$^0cyv7Q(~K`69#pGoCV_4)qw z?SIYz6kFYUP2AQjrK7d_Qi)zo3NQPzL(f@8Xqth<=ra1_VI4h1kUho=M) zpQ_2x6{N;{fN>gmlXdDa8G9~uxQ@)Fg)H;s?4S=x;nEts(!0Eu^9@8<2NwoktC3n0 ze&zjM;198xTMSC+q~hvmzo?hrDCm&Hrp2?Kh|w2_()r!JhsK*{aAO~zOn^ycB7|)y zgGdgePZN?6X@hoa{CbOg9}~t#MChu7j=_H4^>ykv>L-ncRX#Q2ty74K7YM_$`T()( zzUJ|q&g|yWetLcNhRwvC^G+VzG+7jqBgekQ=c^l%BjiO2$M{rPM9~j}zu}QDRCm;&0cl3`Pax4~#TEWpW;HR+}c+%W?si*hU0^I_u8zUP&_BMmc z7t*E)D&Zr|toe)jC#h`y>n56R>?=e%lI!Cxf2+VS;O+zYO2A>-w0^OP*enCh{y|z` zWas;RjF2}?AS}-%B)WKcKN*ldf9@&dA& z(Hm?59uo^gSZ`0CRlrm^OW7`DMQT4gWnV8JN_k#1tZor=;o$St`}i#BaHY*~N!XX% zqXzo64wq=ncBjy`&}p#00Xp}W8C84>xt7Zzm*9k61M}pb#jvF>gALW-`2CsXHnp7M zTy3aU*fVbgowKq^Qf`j;ew6&Uf(6RQ{__I18qU+RRa=FSiUkhT~e6 z!N3PoPv&1iEvp;%O1S*1VqJv%Zzw<5xh(|DLeo*WJ)C+8 z6wzxtj!Qw|ECFu5u2>wwH>B6J_E*~6@ov=%$%~zB;p2ZTrNwQ zu~J>P&HG2FL&52)$~Mhtp#2Jkzu04~rh1`=J$32e%KiRW@hMT?r+-Y8vAQWfp~9L{ zh_^>dx#u9Q2BMA^4{o1EAUE-^&U#|e*{xR5H~J7fiw#G#1%JC16)~KHE~$T|P`;n1 zCP5QX!@Sf4%vRMfEX+VclKzAeO&+Jg&VfL}0A=k1ZWL#ba7q%%RsXek3NSOKM~;^M z9if4o<}9y?D(GwjC#10I6rzIAhZrM|At>PG4Ki$>2WGw@Y~KWAW`H&0u)DKlZ@A?{ zKBEoJUm>fwip@+dg75g6Xw<59Fu;Z?|K~$LO+Pr~Pd#bk#>(vt{N|az5J{%g{0!{T{d1 zs3poJ1o3W4sY?)>)BR2SjA{lP>h4~6zyaZCP^ng2FT=+7-LUr&5Xd^(m-u)eY;w|c zz#XZVm@J)Tr$d4U0HWGAMk4*=aI2EQ@vI1^={E%-csOH1B(G-jft@Wbhjv?km5kd^zP{yQ_ z(PJ^A7Qi>a_ji^1gs9`^IR%&1{HiTgrX~(q+c`S9KNI(FU43%0ygg z6yIbkK5xo5BG3mi-N1*8J<_2aT+eUBtGHpyU2#wM0WexB5=7}Ty{DE<)lh? zQmk#eY=wK0YTFKdP(M(p2(hh2_E@&fi>9Y#+6Y}e{RSNt;==*_!wx~|FnaYgX+bcB*iZBvO1-Afvc*L%)Cyj6AM8oMx$ER@Rovoeqc zlm&AVwl)&z3dHFp6!h8wtdN!8RSyaDik-;b!W*dH>RsJLV7ynk#`xZ987vL`x=SUa zee&ib+e=qNKE!{SHmI*x1<|xTd5oKE9H0Y{I{$__#J5x(nM}g#K$0wt`Srs4!X)(v z_S#yD{wYOAh|F)&Fe^}nEblJp*014Cyin+5EJlJ7L~2GtJ%2f4z>A5tms2;RFte=v zib(q`_tv?X*ua(23aXsNJ?1QB27>0I-LHo1s%Nw*BUD`Nxr>M}OZq52CDk9Es=A?V zL&kS~M1wv?$b*%(rnb$*)M*@ua01x-@kO#-fDfS@)-978;J53k7UOEc*V(1-pBmw4 zWy(jt68GNVTCVOFX>iCS?v^bo)EbX^E-catuq=<{p+nvZQ87S8ChThYvM+wfI)XJE z&x(OgJa2p)H!m|L-slxzj^}ZunHt)OkcIX}ck3Y`S;K;%%2Jw6OlK*r0JQ09e!r*U|4R1BM|@z z5%|^ah9PovN3`kp8GP-|Y{0T}Xz{Y^N4WhgwC^T~gwpl8zot-@)?VENpu7iUZ&Hki zh^EEdOeE>g)l`g$mLuR5H}#BU2kSx8o?ms2$VFh_(4z z3r<~w_e}^do^n|hfEVGKeO3kky=On0MzR200qB1(vNZD|K#nKK94Apd?LPk=hlW?T z>tPwwt@Jm6#4|83plGs4qKiVMrV^FO8?~fyU_-#X!v)e1AlhMU)U>-b2-BMI{jXpd zs@Kr^QhKzzj|o3P*eHNL+6gaw#q+L9M$AM;a-^xvZg7im&_PiCdeQ*huay02MgK1N z4s@-c^3_!$_xPx}sq@?4Qu$rIs^Jp$D6TZTBhuug%_8$rWiGz;9dOJWa2B}k0%qM{Bg!G zZ~xJTF&g{5HGS32#R>&w`g}$c5++CcH!nN(?larpjmATPjlS^HNrfV{AfEl02j9FL z*EUR0{$UxetVo0#>e~-QET%9K;Qo|QFedfhm5donW=ee$R=N~-gf7tK{?8q+s(}fy zPcVZy;pWb=gHp>N7&0T13Y=)@X*VzPnQ=0_o@nLA16;8Mp2qhryV5u~3QHZnhcRa% z-cj#4KtX*V|K)_pCKyZMr65dy&n7@*2j^Bh``+sTSThv=^-{nN$=E!JxPM$$|5IBy zlPg!q$H%z7W3B{*7^4xM+7ZRitcb^oQs+V)rONos76#MtQ za;O9sNH;Sk>@f43)bF&ax}6rzt=@NtBjSMCJ;#%O1cGRE|1nzSEINtuU2Z-5o7;Tp zN!6DEDD?e)6zA5A?wZYo0{p5MqP6RSfDTqMbgnHIa8FKQDM&u4Pfw4Wik|fQ_vgZK zuM?A79#K_-hO}z?cs=zw>Et8U;f{fb&Hu#}vHQ41-~kZO)#v(WYNF9RwgBN{ZwHQQ zQE9$!S0oWFFE|1XSB38D6cDay#&&kf0$S-ZZdW}+DqH}8wNx4TF8AF}5qL5MBSI9W zaDcEh3QjLd^rT$`=j8%#&DW2BKLVk2O`xqP}=;L{S_|QdS50&z^4-SPqr@_Ml1=k$%H65Spe;(*L z5XBlstcDAKaQ}fH}6aZmD(yOne z5P!4B8CNCM`o#%nAizJqq-I4bFwqVG4@xe>mb8u|9w=(L24?L^X~GqnDVYoW?Nf1& zn60K^stZ(dI^aRaojKCo3>!4%&6R48yTF20oFGcdOMJzN?SHVB+e5+$3^F80gl!W3 zymNaR5;(%9&(H3N#1ko8)6Tpv$zgxPp#yXIM_A8~&D{Q)mElke1QswJqLBuDie9wu zrY4c{D3O8ZJPJeGtNb$LRimyG3Ebj*8LYtXR?%9XNsps;XLR^fmbFRmabq0eD996W2#Z}Xf+2KQ}EQ!P~ z2OLYmysL0_Pk6&V6EI=+i$4;y5Q7X0HuQ}1sc1_DK}NCFbK7RS`<_E&MMDLm#j#`* z;h`j8;)iP*F7a*ZgZv0`@UxuP0If}|d4&KJ5qE1{?V4Of!eg&ZHsjRD0eo=!4$C$u z=M&znVc>Y8ZkJA>pc#Onv|DU8b9~pC_lkOWTjahk{F{tlk%>vF3gZJ?4A^7ntqToO9A>F4!I3s^xCP70p zh}3aF#6J`+b6BMTYO@UBKOlj;2Rb+1$U8H`3yW7NA6aM~41ZbX{>d#tF*q_{yd~GiF^;QC*m}Qy`2?psl3FO@~#NFQir>p&WD)de6K&JIe)Y6Y z9Jf`Mq*W)Ll|6Q@cPf%5(Dirqk=KLYB5&~^6o%)p&Hy7Eoti-BZ2KRIGne1psO@G5J+s<;Ee})tE0jw* zj*Q0l0wBIkIR&jU?+X@PVroT4oVyl9uSt^W#pX2Ah8tZIhi8MF zYiiq8Nmm*a%G&rjS0Sr7TgSa>X%xwyRl`G{CEfD2xcciR4jr&zvi9sqV>?cp!I0^% zx~E?S8&@bxf#kYDh*S6toFM53WENyqf9xp@G(`x*R(rrSbtLIwT8Ln@j>pjWA`k$4Jv>m^FtAuRa&Ej2Yt0w{=N&im$Ujh35E&L;gRjx!ai2MO*P z!?AY$#KIvro<8-G)3zKr&`Ld&0NG<0-zWI`RuC3R+(`e1AmqU0!iDf5Uls!ZaB*u1 zPp<3eKtoY!DrL2N=jyd9FlEwE5S>fvjxcFl$_`(CGJ!rsSAkgT1G?%hHF-| zWIdTt*A7tZng?8s#S4ePXOy~qS}`YSI|@)t)J0oNQgNUFmFzp1bkAGQNN-2S{$LHw zfAwUXr~hYi%;8|@8uEAJ(lLVV$L~!iyQ)!HAM#g|<2*UxKxoY+w0Z}Hhn9!ABr(=$ zz0+grigllh^_qqBD0|T-ENwSAjaG=%>m^&t*|S96_`7Zy0^ozk73bxt+Ij|^`Pem; zfv9y2;Hhn3qd5B=TE%c>!IfuU1Gu_yJ7XQMBh1XqiTW~)g}vm?f~}7Ih*X5O>WL@g z``o0F>E)WV1`-1@%Xgm2X3QmnJGR@_zW?CT-bS|D_N&55G}n&!zu2As6#_%#72Su3 zcG*9$etx&i^1+*&j+e>C|MCqJj6xQZds=I^?na8<7M_vYgBMQL5=9myDk(;t6V|ZA zkCrGK0mQ{`jmLdWvG*J>#6d2LUAr4|tFaJub_r#q^4?r0_#+4#EBbo{m=tof3XsF1 zXCYn9ycGP>7zE;>8={kW<|Bezr{9NjUMDA%i-LotNcs3etbcXr6fe(0(RGt9@!6AX zH`SR;9Jt4)W26Rzx&&AXNi}vRSjP&-?;YMh6#q5HtN+yzJ!_sHUSC~MHeHx5;&Cf) zI2$9!_P;HjwqGVt`a0c&Ldv>mjWaHq6ybIo+B!0F6DD$W&+QJ9QdtUz|h`9=qI@Z2{pNQkX?mgribmpa z2NDSrMrwgwuNbn)-N!RSa*0C$2ur zn&pUln6vZsqEk|rYGAa1>5oMG7VcRgZMB&kJeQ-E`uk&$q=4^gpZy=J0PJD_(elSt z+`6IaUCSB7R!}anbP*=CBb%%k{`J2w2YJX8<8bhJGP2|fNGk`LUQtY`6j?o>bp7Ir z1>atd8)L5N9o1>b{;Zl?9}~7RJ{$+#q2UK7zt*jKq1ZhMRjl-APRr~`88LyZh{*@jB_G6HQNs88 zuZy|2e?b(-)Idyk|2fh=kK~(`{)R{F6kLb65xBJ0H^UjCDgdpLA6&i|Ile@vhGeXi zpwMu{Zbmw)_)F{`9CBsT*h96uwxqqD49F8c)RPJ}-`d&Lw}ChfYGD#p-4Vdl`6V5o z>t^nR&2GuCk=gR89Cmv2^9bdYlb}Q?hM9q}&F81lJllwou=-nuPdz9lw9+`jDAdjR z7>%VkX+uFkq-rcYQJ3{?R7%|f(bVI#txF&IR_sEixfGErVGOTa_GNR~gqI*k;&;6V z)!~Dtd={izCXbI>`Sy?PdVTTeepb1B)rC)sPWbK=`_;i|^PUV98GlibnrOLD;oz>> z(V7gbTN!2Z&opQ0<&{v-pGPX@gdiKfZ6N1`s}yUm0X5K8RC%KPHO@W#2>PUA!jldro|~?=FdjFXQCeRc!mEleXh{uMewkR%B6 z3Fi)rM}`LVZ{J6f!d9>7l%B44IupU**{tMjmGiN3VWdPmoJ&0B9J9=I>WHUhjfZ&? z>kMkr9Ke?Fg|X$c=Vr0ynoY!@l2?uD?u-aapeU)*+B)iQ(989XO30e36~2>#&CM4A z3+)H5v_kk`TfIrU(2~>@EZ%kenERQwQK7$Ekj!d3Zr@VskPp8s^j{IImrNVgA6FM_ z5$FO?q_D8|VIcxflzQ2sM$+5rF#zWdRIVr3P;f@-HL%an%im#+bqsl;IX;|@AD{kfJeD|hDMIIIDO)9NVEuu z54ShhW*!*sNYkxo(zFsuZ3K%5c&9_WFQIi6@jM7E2u0TQMG$?()1R`{FAPaGhb zOOEL2P;GbH$nDo*}6snr|?T5FT*8;huK94oTx zKYLMbAnw0#_-^5{44pv>;2xS44T=546k z2Q7w89X9D#D~>S!k?AHlW}MhlvEjAA=y!t`SE!5 zPF6@v#W_luZVw(l*xLkc>=>(zu5u_{N0$t~PM?M8R3m6?QTY0Xx*|K$sDB4A24FqU)0q?IOmeD!oZ0YmyC8qo_BQ=D~jD) zM6o^scLrHs*ZrTX7+uhs8DgKLXug}DaJCs-c3Q2gUo1$Nz54Y$_Wr6{;Q}`Iy5!+! zjF}H%CC#q+J>*UwUd1EpWhN5xD*T?Il=c{r$nDX`hzZP11Ct5tcKhYh=o=xVRMj#; zFq+r2!C2%gdB{(n^B7yb-W10%9;l&AK+L19OM`Lzb_r{mqE_@^O4 zO->l1Sm1%V^oEzatYsPzwguy)ra%!W;)2$=rwSmWLxi==XBXqP*SK9tLYj@`|4PZa z^U>h0yrgg{cF?BJH=-Ct+D2^?g~rGW!T@;`rM!U$Bf6QR&_n*Q-z=$wqD=78O=(5} zdspP;a(L{?>-yLnPDIS54heGj3f#Cp48-a$($?rqT7af?#W#=b3q87n5|=2b);O&3 zCd(Z5S$PgnPO?{jqVN_@9YY}eJ&ZCZ){nw69ZEC%4ryalmbv3?+3M&MOt1M|uA^Y{ zpv%H2s^HOHZK?=s{Z;%wYM*)f@fajOM)YLoKq?yMlsTZ|2)QIkEwQlTw)k;7zT@Jg zw{Ftpy69>;NdY0M;nm)}#zk~lZw06%L{oFcZ2qJ{0&9+Ipju;U-odOTqZwd9+kQI_ zS$z^uSM-J2VgviiDnsPxY7Z3LU7;ITv!_2p`!Q7QtpZ)cMvAaBa`D4qpA^#pNk_eoiGw#DrQ-Tl{5V-gcz4AfBe>gJiJG3|-f9sDhj>D)3*9DegC+~{fOcTYbr)8|H1orZ!LgS04A06zNi6Yj`E1cx( z5T-HCp*h5sVA{R-Nv(nngBKPCn|a07_cyD=k%~+0K0$ifKTWi{+)Zxcah|JKfSpE; zq3U$dO3;7v^xJ)nW#xB>V438u$feoN97RuaRV8LVRq-0=wj_+QgHwi4^u1o_zalNd zmOiHW23}&ER?HpeD(VGrhAD0ZF99GISWed7@NWJO$aJwXw3#fFCHrt?Gb4VlAGQNR zTiA^NPC&80l-otq-tZW_sO1+|*nY1rQ+I(u)Tp5mhQ8GF3<+zu$bg>jzNqMNj(j}+ zHrkiO;nRVdV$&EOTMWq|yU4rJr-t83T&#|-$G{-9-?S;tiC|QKf3XNC7l{NFjY~z? z#y0Lg02;X^VRMLP5>FAAH;?hx_^23M0D7Qj2M9j{ho(atuM2jibljK;Y;2;tyx+_z zcC1kypHG5y|51(t^L6r-%nquF#5u`g2r-qnaH?^M?FjlcUQKf31J+?Vi)TNgXI{Sc zMJ^?=N&PwD`PuBQX2gORx~c?ilF8@z4o2OS`?09fEdWkZ?G9dB3d(If2zNkdVJiX~ zSW(i#C31Y}QWzNS79w%dg|eHD3u{1Fe){RJ!5vHGcyVtnk^>>{w7PBKFi-ZCCGxwqEGz}@EP25>6uu^oypp{z0p%v)KPu;|jrg`PTRQ1>2{*9RYJkUBqQ zIxwUmse=-WVQxnThtj0AZ5uNhlamayqusNo`I?9C6u7{)fc4TaMXW}K)=y7Lg%UIe zb>=P?rOs0qoU?sf>!Oy)4rErd$)wsDE!?trGY>8A1#cgIb6)jjzgkjY@0oQRN^|$C zeee?Sw4n+GXiTXjg^(mvtPVYTqALL}A<^!UEZFw7g2;=9+f6{l%3*Xk4Un;?+4u^< z9&N*0*{$44)zH&CIppOEPv#Y<_0#8EtQ>nR-7MGI^dVOLYwR7_U3Vl~4XQI!6zRG8 zY>R)-mHLW6q}GL-Gi2%&;B%i@hQbiH#aw&%rGAdauRp|MNqJp|cxyovl(}SgpWPbi zB+Pde>Pqr+wQ-7kRT@ZO39dT{KB~VNl*zD+g0wn**#WNA3%aWi6@{ zSFjb|iy1!XnQRaD zS#9|_SeSg-%UYqQ+mO7>AMf)g zGJU9VF~7HoSLx^EUEf)8I3qo{P{B^9v`G8Cmx5zxG$V4Y5v<+1&Y%*GrFVt^-#Yw2 zW}8y8N;~$8KaXD!OC2gCul;8@rud8l`wKs{X0t2BN_$%~5ug9gI|Un;>{^YCxk)>p zgpdzvpK?6Lr%Uec2%m59k6^zIotxy1_P4}rjFzHQXz2V#a~u-CjZ%~dhVQ$7t7@Yl%#-bGkHJPH8>2V$VO)#GtHwEpGO zvT-`U4+wZolG2?1KWa&DL+eo!vv6vI9d+y^1n{#^^_tuIH5#r}Uc(hGG^FyQKS9PLjgID^pk_zyCOig3Kac(Y6FP`VyYh?qGeo?A_Q35 zQYM>%+`5eE*~U&4uguT!Sd<9W&MU8y3JYLicpDAH>iy547Ei0y5ug)3!J&PoSNp6N za?nG5g>-NQce6pgH<}Nevu7@7nZ6E!*HaUS6(#Se+%qiMn}%z6(PJcu!8ZP&3W2pk zknim{saP`c-`ig=Fg{)5gT;`;w~KIqlM|YtBXN-jZ88J(7&c9QSgtn{wvc(3)YqWW zrAd{W6D&t(Byu@AAMaR3dp=biJdjhp_&s%d*T*NCM%|*12BoyfN@FSMn`ulBDl1mmVs5hF5^x7m<@JPSi-RF z`|mKR(GJ9pT{x{M^q1JzM-nkfP=ef^e$=QovQeVuww2EYz@XF-r_I7J@DdYjD09E% zUBmyFE|;$s(c03fOL+?+zEb>J7r zAeJv@AX*4wz7@GU2pm4U0*@ieo_Hv@{+Dl)vy`4P-h4 z9dlXS@v9HFbJOJ6+SZF9j1lx|XrUklJTL5JoM!s@HhVeAN0Ns=MB^PMa_t_O@ZqZE zHp}ZNJNr|Ocb*zz#pK^tjEl&zwwcvEBx~K9S~S*{9&9R-P;cALZ~`XjOutrAJL%_1)OPIh9C*0LNSCI1lR zd;G9@Jzpy>C?6mk$J10*!lhDWHo{kG$FI~o7pSG)diOpDm?73?S`pnbIRIi5e0LY1dU`D* zA5k)|8oYp1Zt5B1)kCe~aO@0t{9ujm1u_?zCsPcUmPVke6m z6JwyiF^QlKoN~~C5_Ob2?HM8NEytkg&h;FSqQ@JFY}h}r(6jEw@8@-w&2|X(AmUKl z(UMge`8^w5e}gofuXhrV>c8|j)n)-fvtbK%(HSAw`TBvwG%h#(yZ zL@$Zq;B(7ujPmqr4J2n-|EF>3#wsG3ArA8z3@!{C0P80oE3RsM;$Sj~OKNv?^~^d> z%-k*(`M$;vRBw8%bb{j49o^Lvz&ptQIlv(7$g0i=wXcdLPa8E(vQxamrQ`8`U?jTje5)hY4!floFV$ksYO6MROcu4ZxyLlqJj96U4l)=Y5OZj6N;By(eg*Bm*V@Q+4TbuQ$ znfw+n$2YS}#mlC_v@K!kR$h9*4N8#%oy~}d-il6lJwx=@LLL-b{HG_2ZNCsvi4AYX@+CwUOU0uDkaS3!hJ|Z}oJ}LiQQQFN_vl=2% zqP{?X&zSOXm755K87rad(9qBA@SToUFpYiWq${0!O{_~+W>1;N+_=r6h#Uq4^tdrB1aNjw-S%;T6?lnbl`Wf)dR+TUx6zuF|A9X!-|2Q4B> zAsL4k+MkPM(fmq)9lzsXfv$$ixBL(U3*q?YLR!^rxGLY$F`}aNk%Q=wCwzc@^x0Xxh;QFMPg8IS4S$68k_w4#tQt$ANM&Hk zYw?b^FJ>|}kpIE`9u-kya9}JDX;&;DZTGP~15q?Wd#IM@2c1cX>% zD8P*&`IYCLZWWQK@t+dW{X7>ITA=2qqgkJ8;2aUM+9{ZsN(4UUPk}izT5<-r8sf%< zNGjJmf9^u84Y@k?^0B*9KN4OA;g{V!lKm4D!n+n}*`c`nzU{5iU;5h;M1Z8{1uqer zPv%B69xF78;U)9iC_`(QE*3qX9^&~XUze-)Bb(dG=xZ%kTK^| zd?|_1&@hA#-iS7Y?qs}A!|r%w@rBR_7_mPttN}~O(xoBuhvj+_kv5jq<`0dy(u2h4 zgecv3@t7gNRpoK9+&V9>u!UKmf~MgaNgp+Tc;kV&7H>vktZ9#Ofj)@`(OJDJ%8)!l zP#Vs0B}GTv4!u^HAuf^_)f&byKMoHCsulX{T(cwsy9o~*K)SSbBgTKHOQtH+?tIT1;dI%bC<1I?~Lhl83RF7}`LQdze;UISFaA^jHvI<8Ma1FElaF$4@mtX0xxe36Hn&^kvAtgk=k+V)1w?FCE7_0iy@BF$H8r(|b+6Opu~!BxHWN>E0nPjuGwF<4hatFY zCoHH{W_SRK$Si8+Ei#TLsmy(gm3t4kM$-l3&r5aiUG`k#Wr78E_(drGkCZ$ba$gky z8~x4A4Q_o8Ds;xfyVF9i)XvRl2asdT;}WZeshRqo4wLgAC{5J|ihPfJ66=R~=(5K# zol3OlOR*MWLZ?tiCyBY`i`f?JQtJ~a)Mbh+7gT;we{vM05FaKzXZR8gETf3HQy|+r|7M-6-*jD0S&CU4E0^soC9fBZ%Z!wQ zMORDYb7+sfP+z*uzkhd2v*BbKsd=bY55gvEDPW|E#C8-i=|CHcrc1ZkWCz#akT@;n z%j`kgHtJxn5SS_bwD>_OP$1m-p9|EyDbvEbCSgP=QbIaK9a@Q(QQV05Ya}IUb+KxD zh6-TBS~N(CQbn#GM8xYA&LQSjf0suoq%q#)!EvTKgM)U}a#4YdxRxoE2IN+;X1hq9 zD#OlSd;*jMt*0NH(;_d7=QZA4!S0&mhkPZb8`49~pcJv5gp3TkgS&k7PiYa?->0QT zX@x&k^bBft&t`JCObMy_4UQ1-^xmpwUCr=YY9zstm4jvCxwAxVUtXw8n^AtlOzOxY1Q z)r!jz2VCBO!>&MmS%~OY31^RU|HoLcy}U$YlU=EjvQHFEaJfvpn=-%d{vEy)%dc3% zNa5q4Bx#H1y2Rb)+*)-{<=pV}Fp?Erd9fQCBb!8(EHy#@>L08xuh!IqqV04?v~I;I z09Y#~z1l;EOXN(&L%ofYq?QvRSlNt-f=zD?+$(a9w-pHL$(bASWNX9~AOVNhF*XFK zsm<0)AH4v*bgLZlwWY6R7jCUXq%*SiOSZg>+1>@=DK?HUK3K-MT;hKn>y0i$&j)}H zo^Q)Klm4y@*nay5X_LBx!`sMn)#4RHD3@nJD)e-l4y1GbKC!3qf=nFjjkRK~Nbm;I zFRo12F?I*dN)zL~rjFxJP|zcB(<-$ddazdwe>DOirzCywALJHX&O@iMHt2_30IL&Z zt19Psx2yO}W%jZ)?(rmU^mMflD~GAaie~L=HWgWskkvZY2p+K)R!EFp4gDXth`x*M zQcnwh=0}3YyG?!6o#LdAuL7GTN|2Tsw(6QEU6z;A@;bC|ZIby{-#CIq8>-3{0@)-& zBAqraC+alhVv_Nt{}d11Gr`7E{^Ya|1ztGcit#*KngLuHP{mFQnAj+c;J2{#jRUaDsSAo(jvLPbpQ-w zzmj{L0-Otlhovg8pGpdlab+)1z3%sjd}jCslui7I#tqmWlf`7;;Uztz;eyO&%n+pO zby@}jksuA6r$-?teAwGl1CTa$&r$ffd{(9ZU{73scQLw2Tm>zvodjxz(=zdu>m3Td znCkX{s)7&hYDlu=z1YCIiTjcGhBY^&V zc>K0<+CQc~Lu{gZGOwy90ubb;;AQ>+(26ZXD#yK^NTXojx;WbnNrM>*xL!eoNu2BP z02x5$zwVD*5Q!+??AxYey1{E#yv|0&5m3wbU6)|IP^xrCkNC6wARvudzCEtU!iqM% z?P|y2#dIT!+53G2`i7$7k;jqIu6tJ3Aq0h~4n-#u9z}{DQJ@@ITWe<226A-`3zj21 z0_@u)K26BRq|7%}20Cbp7fFLBysOOfNLCq0zreNd#fZBBt7s-oEs_tAevD~jImFaE zG%{vUGSTm`+6{xY_v#e|pidGaug)YiCYw;TE}NOw;t#$wMA;384) zwZa14b;pTVWSvJQ64rsTe0Ez@*kW_dXps2S^$hz;e2cHqQOy390GqPMil0-FqboHv ziMX~sKK!w*!%>ML*byZ=et_R2->bB-pJvwq9P(kh%&xvmjxMVvg56*V#KoEt3~tiV zXZpOAxf4>c{ZfPIS+|6JCDhp*CuGC#<`Yh@ZZEIj9E0^}XSrX0==lacj%<0Bagydp z1XA!wv&*W`6}tWU*x~{BJvvVWfuo5_;idActol1R86T*KaqqPS?6E4*SwIY zmfkJQ>75u6Kq=`P>o(=h*6{>X&VF?}R=E!rZ`BU&2dy6wAZh!bk!F=>F*r?CmjH4c z2}j(7^6ouDQm~6i9M|bwI>NhhXa8jOFKaV7ESTplj3xj9QmAIUmJ$;fn_APCH2nPI zVnb5SVJj#G$7d>UI?O`uIX46)53Y^fB#hm-Qy^QqBF|<1^NT#U>##!k(pIe@$m#c zZ+GMX>t;SHM_L!L-p_9Hx(0!+pX2*V1(uOu{``B3+?T{m#~qO9-U@6FKiZ>XA8n++ z)o8}Hf>m;r798C;(Twh$ubsvd_%~Xa5vS$7_ggI$wprBrTeCG>NOBkkIxNz_oTXA? zlp21P|Mb+8)5QdR{_i7}?v+jp*pIFeu6v>=L*L+O%rye}FC!@PxPh)NE1^N)$3<#W zesY~-2Q&i2+!iSAUDpBNHOkIgszTQZM#-$+C~Nljo@jEWm461Cxo(-*}0Zk=6$GJqinf))`$;D z=A<4EZ$@VeUkTsxR(oa4T5>+w&H8niyl=W}BHlSDdG11PsAeMaIa&owN|&!!>Pj*W z`@T#!p?(688T%%o`o;W8yOv`p!eda=Z zTxo8nJiDH{B~Pw*&xg=$63vmRje>lyIE5$PMQ0tx3nK*CU~I72^TqWbmrCF<7)TiA z#hKP8!@s_^7G?kioGzd`qr_`JBXJ>>&-j; zHp)br%#Kja_#}$$wjk?K{mJ>uH6+GKv_jVp&>2! z7+0A{h>ncOYqMz0G{utGsDITK)41JR-N(Hfi4Vi#KUUf3aNfSTwtDt+l#LRp35Tn%MoSN;!GS482vBcsnJH$;wWQgju%iM4oVl@-H5amY}OfeU;l~PMHs(fvXrq| zHf}aW6uz4Y&@=g0P}1FuVZ-N5ULrZrbiF z%;mkFV}T}0DmE+}P~6uw4Wi6+l?Xt7!0$8;TRB^o2TF>R==ug!g;+FCCWqX*DqEihYQEd6^)JTXBb7fi3%R)C`hC>y|3)JCgt0z~Ws0ubfg+vV zE_L~_Xq>t&aa!YP%<}JR=Ss&zqHWho6;G;|5&}Na;nVI_k)u3zZ$ceb=-~=xHGE0I z>I`uPbAxTX>dSd|W|AfwK)-itD`+28q#&pggGUt8B2i;khGbHBm1HU@Ptmls+(2SEXE z>s`hsp7ygXD^t3M$h_o?(FWhyO<&Gl#GMeyJOT^*)kvT7-5)UHeRSL#5di)G4H*9w zpWTH33#e-FU08gz=1a#Y7vtS6C0)EmIK|`<4Wd*ouKwMARCG$iQPq}=@~#Fo>KhHH zn|eiIMPNvW!#kzio$RXh48yi917*^+IW@0!S%J5?(@r(Y*t3X$v`6KwgzON$*0-}e z1-sDJ$F5`gg08vnS(%r}@;aWD?`Mff%lSpwD~iylQsgx47!vodWni8bxdmGxID0YR zcLQ|xkqkm*-5=106s+4pIb`0EqxtpRojdXU`(IyrQ|A$%MZzv%NjWtG#NTXeZ9i&N z-s_BVX+l>FY@KuE<*k>=(XSNYKdpr*?QFcK#!j^eaZ;Hh(rNUZ;t`2wQA#>XwD&X zX{(#(Q%s#?KOD%ock*?q=0c4hv--lXuwK6cFN5X4n#GjtoDmlH4Hl(uXsNBJ1;IZuO0a=R{Dsk}? zyCfuHpY7FzBA>E$X+ur9!j{{4D@7Jz$r}0{>Ts#S$W(tTnm}M;Lou*ZIC+-G(yVc7 z4x_56d!W>p=6aNgybU=vYH-z~_tl8;REq)f%eviR*O*Cr^qAHImr#*sgyk>@@H18u z8s`yy8{wFQ7ftqk+8*JSsF2cvzaJuoFVBV1#%YXErEkF9!>yUce{j7S z6>vt6Z7WY;>e?$xT^as9BbGV5nz}Dx=tf94m+arN6-w`d4Y9b3nOnjNgIqOtR7okd z1vd28(i?dGlF%l)^oTQexk<)`$NekaZnVX1e)lWkswkyjdqAIu-K>?!)#SIaDWM&u z5h(yRL9lX1pzhwb-+oXl(vV(1M-dI3l~8H_gyK)on+w zyJ-|!a6i5NhWvzHMX0*0J-MlDX>IfxNCGP&V%J_UE_lphYOQB))v(mgNP(hj*oA$M zD9kt8m?lc1m#diWbi@?7Ux3-^&=@NiJ4tK7i(&VaUb+isaPCIX0uoZ89B42GUG!I+ z4ruu>)j9Z$f5r%<6fftYmg}*^EAGXRZFbu0v!_8lzu~Xqf+G_do{L#BmGtY}wkFV> z(sWYDd?;WqGpGlXF!35!Gv;f^lP(xHpBC@|AqcJKx8v} zPZYtzIg4V*0IHEzKS`wM_f;il+ec}b7pVoc7uJ0v7Ch&eznrwk@5I1k*FJgXYfnSO zt_*a0=<&-Wibt6k`T$kviIA^qPjf`B*ub8MC;NKMutKooc|*0x^j{NESSRygnpF-_ zWtnx#k-GEU$GLHps0qB$1ct#r%6t%+#hIK3J^aFdZOeDs^Xm6uPZfw zlia3Y9Q}yt`gtFUI?c#ssH46!X&7qDZ^rStUD9Wb96|;&)}x>a_7!v`>vIt3d)m zbS$nW`jDIkl6S$l8?l&{TXis+$4EG=&pv~@zerQB9wGZ|>o9m->`CF@5V*>m7ZyzK zZf_RmTy?Gn#@T8c*>Z$=!c>{p{e!ZGs*>ep{W=#$^}0gf364o48(2wvo^$lGApejE z>GT)#0O|z{-CHn-ca$WU^W1n{G~G2K*UbPxa^d$!;i*dx*PH>*WKj(#IP0SrxS(W`FU9BgUz&8B_LB3?G`ghAj)nJDB_=)lWl-? zLF(`^K6hqfSocb+z(}UXWF%6eliJ@M7i8wALnxUpWq1VP3hHpeQL+C?)Es>GQp0OQ zy3skF1zl^bhY&TLWkD5-jJ6@Ak*{XpiCj>tP0p|$ZK}a*sS7~)sBghiX7y40`V!*b zs;jCjlrHNsb^8LYMRQ#Mhy3bOW3RK&2m za7E0WD6_}lWAJZm(;#;vlr3&Jpr^7zwX8~-uWQc{`H}97<5`1k_;Aq^XMBCrUZl~$ zlV4Y!Mk70|7duJDsmp~9hw>hfU7xcEB@l<$_4U(4x98?WS$_6u@@PJ2=TQ_-+iVs> z@r{(w5+=rG(9?kP*4^3`lO)w`Oe7L5*i(~?Q*iW$W`?KAa9(W_8k;p6<*4L%) z#p-GV-Z-C)v_}v`-$@J}!ai_KszG1U-r>F&&PE1n`o@)Z{EA>xZv67#e z5@aY_p9u~bTdaZpCAv^QzFpR-cA;~bH#Hikjl06N39=?Rb~Vg$!B~#RgSjo?wMu;q zX3ckDT#wR!aj#Cygu@2G*z#Sumn*H)E@;zV>P{)PJHr>>DJJQRlNm?G9iDp$cB>p1 zy949ot*9fvNTh5=I7y5=s&cFy)wYY+&elx%KpD-!4vV@GISqj0QmA=1F5Tf-g88^4E*$;kBc7?q?O8xG@zq=qMBO7Ey z*};XO`Xq}%5yy2qcbytVxk4nW;z|Lzf7czYxKT=(1(1CHXL2oH8lDQb>tf5W;F@H* zuGMe~?&;Aw#J(>%hWNs5QcTuHbMlYY+*IC@Ng$(2!93Nv+>f=`OfO_KK`Lx>sbeU5^=^tapHw2Bg zGY!y8?YQH;wE!I3)<|s1d=e9h%(OFt^T=4P0bo{&qWLOH%@bHA$5)561`$a0e^y9kg2Z7%kl$(T+xVYP$vqjUhNe&Dvpd0OPJrFx!Hpd`T%0I!te2PtqETQG z^7|SnMR<&L4+Tm%EEo2M4ec03M;44kLHcASddcsl2b+b7f%W0DFax@ptVJbf45juM zaDg=*IW3*~mn|_`yP>BVY?znV?}NZgljY`z|4-S&D;jFA-NV|>s$)870gocCeQ9%W z*z&Tl*IkPNk@YRX%*V=-p}Bz@uR-5^S}hMMn^--f@bj)m0Er>1%M08K|AdXzn_n|o z=)-ahPRmiD^$EWBgXZDLQZH>09m*wgl~pIk85xJS1R≀?QzKpFfqRb83<90yJhF zt!CMjoJIOE4eB?zjAyX4G^UIXy#1VKX1L??EZ2JGWY;-TcSZjf9SPFK!!6jw9WANu zI9-~xly%!BawE}ECchA&1=JvO2X8)($}`4Zjs0ivg~g$_Rj1(C=f$h?fn(zVN(l}z z)PIkK@LOaa6S*>Ygo(%#@4t?nN{)j!qFuy1#0H>8{xlmmL7ORsLZ9)3<5xtPHD;X zkxiKF^AHOUdTtXoiE=FI%oOu|>WTqdpTk75y1^3jd-8A#lg%wQcILDw?(5|~HV*UX z^Gvl=IXh6@&xF_=wuH;eHAqYT+QYST2YlEx2#h+Uixz>HSm!fe4b6uvX6)23uZ zZjQ4^S4vAT)c6>rXZnZLhTPA4rnEwu<=CGew9IEV6XJV0)0ImU4$7 zu*WiG$Ql6ZZZ!F^H)&@-Hnb_AEmlPw0&pFy&)_?JEzs$FxE4b?6HXMZnK3e%}q~Y^;fmIe)njQD9D#uOQ>(zxC|j<=08Q_rJz3&1#=+%t0zblTfeAf z<5)z=;r2vZGri_JogO{M#PO1!Hec%R_YHef*Olq^8QCSX{4pVnLBU%DZL0_%sJ;+< zh+VwTK+eLq##Nu0yr0+8o;M5SeFSB_i!Gg^ED}#y{}7@AKkJDZ7#7k} zlrX}O>zA1gj$;YtFRdrI9iQe9u1+9_UVcZaY%k<9U2q9pD}W**o`pUM*jXKk&jyOx z_q$0gH0jnVx*8lP3>IH~Xp5uO0Pgm?O+iUY6ssB)a?|#k4MbQKFm_?y ze5yzp1Ai6~U~h(}`bFbjYLPlOET~Iwz&Z;B6eGnhg#4&U^-D@S@MMFHi8q0vFU( zjMAZ=#S-~-N~L~1_o<7K(=;eCQhv-Yct?lEU=YwXZ&DCOR@l_<{9xq8%u{I&C?i-# zl6r?ux)>^Qv+3q{x6c3S!#UisOlA#m7OaqC?38?&d!!pa+)#>4&~%j1$bU$ zD^mKu9d8G@cHliOcI9kMD1Kq`6v@I#l=qN3$H27YZveCFVhnZ2({$Iiey*&^jHjV~ z%pJA(=*<4|u%B`*dgpz3zt{re9C^;!$gftlBydkg$`B7FtZrGSHVYgTXJ&?NC(V4Upv@tg5N&Lfu@P91`Sj}kD+vagEWm$K5$d~}!`Zm^ z#@%<0A`s?VDk7TUx3q!oo8vl&(a+L;!*lDyQ|bg&V{+!=tL$W14oJX1=N45*A}{}!P-jgb z`JWEJnXR$XUytx-=VG`tmd~;?LLDb5wMIE>^T7gw2GV2Rn0rSX1>%#~Dw(U&?fd~% zC0@1!CV@4b+%rUe?y_RnV88h-d^G!BP*w!@4hys|S^41H@mCKpBuH_s{d5jw@0%eX zfZxcq?q)@;vuqtdl-d6I1z?}M#5Dgw=FB4O^^XHHqNFni>+;@3=a`=38~QtNJwqBATrPblp@I z@I{KOlm=bqJ|Y%A7PgE1$aXKf(ve-N21||507#rYIk+(0B!W`FYqi@8?K6tm)yBW% z#Wz=FieU$&kXWz15(q~s@{0CK$uj~o4)f=jSAt>l=>lz40rMswrSfHsQ6;{{54)_& z?o*=)XCT_SteWOr8_sajDbg9vCTs>qPvu$Em=4qF0ZUh_Hi?vW(Ikrj%S)!7cK`7& zN|g+4hZ;OW=05o7c|*<@t#JE4MmeF!1K^<@befwM~d(`rY1Yn$m#&STdg7%a2d>Se1J*)uEX&!-c$r02iODJ z?q?0Ox`lVmCYK&TS*1P*_V7zNtlVu6>2@e|M)(&zm*)Fhqz`bl5FM7(ZKJJW4vQ@R zg2&p``+8|#4uHzs(`wB*hb?7%8%NJ?-ZiV`^eb$5mi)$YyT5UHEw4*p)3AXa5sx)q zI-42R=>G?cj5P`4ufnr-Ug|~nIt)73;y6#j-Z!Z3THFmG#C^s13k%QB!px*@FPx_j zFdko8uUT zy<$l%A2OZnDmqeEo{D3}18(rvA$)%TIHG}PMT9Nsc6zy@8-wquq=5poK@S6N(y7J{2h$FNXy+qVBpWw!skg8O;{Yl^tf{p({|X3{D~G2 zuFz}d75C_1!7d*CCWUo0IH>V4k6ExKDs1Dt5`R89KyRK5aR~SqJ-zSHMbjI2`%>tX z`Ja1!hA~Oj8x`z)!Ig&wk=J{0Q|~fgJRuTkxBs7u{=JJ~tTnVNwG(6lk$lv9v>6u5 zi^cE|uPKf^;uhw8Q9rgvAs?*vqtN1b!E)o0)Q@ZJBV1iQQav5@VoG+8GjHm z!R{H`#pLrUM)oTC!@xocc1H0dL^4(!!Qk!~ukNDE`&H_XQw}gt(k2Q4NtXc1?aDZy z?pT!uM@)+zYYR~j1kc|%BkcQO|)NxoaVMQl9lyw?KOy9&_zWn7Or583D z!;l$l@H|wRTgBN;^$4W!c7SuR9`m_gw~=m;8`HyEmKB!b?hN0B4G3i0IP@=;MraRy zyY&3c81puFeEo)Cyw3eSY`lp37rIq12Aoj`w84a#0W)uyLzl>c{vYH)d;(~&6+Ajw z_6lixeiyy7*7HHflgDLpbh5fHg=(Yxz`+^!2*u*@7($xN^QMTg`Vsy2Pe~&;bZp>g z$qpbay$J6)^}fMx240Le3u|m{E8(h{O(z_9 zLa=u-KG3M=?mdpg7DRr9==3W6je<@Th@=d21Qa5CPU?yhxb?E29FbOKlXr4NQ*AhI zUKifB&7wx1hucU?3}htfBZl1^)NDR5$r#ZDr(T{9 z)d$JJ&fQV_!K~jctLo7g<%*u4C{Cogz+Q9P!Z4qL3!Rn>opqEM_(3G02!;rDMc`5( z!_{+WX39sPa>Ywmi<>2XL7V0EZu@yhJwpSKNCJAxB`5s89eQ1mml(189o-L$VeG=sRpHE`7d*du;DhPJOIQ>dNkU&fsyv0pqXaZY; z_DkTJYhJ0ijHC&=&kk%J7jgxBbpYWC#F>E|DmOOGpPr`BYA9{+|EzD@jPB_q(U)D8 z$sFf!)^YE>K9?rf36eYcQld@TVARduSRF12$;^Mib}y0(GG!?`KdrtCUOKgvmzrpZ- zDXuhwwB$dU1A`z&>E1#T9?e0jW1Q<${zuSKE=9|s{s9jD;jVU>XX^@HiQaTLBYOo8 z&IADc#4qdbzF*d1L2X=hQGq~b6D{qaEhr5%|K`KCBb0z=qsM~Dpwd`$P+)!C)v$vb zA;yZE`KTgyxtv%*jJp}N>8YP!E_auSM`a~8s@seBr}<{bb@NpNf{G+&K7@~@?2<(^ zC?0rHfusvWRTU;sOeDgGpE#F%r$myJGd4OGfK7gq(Tt4bTJ(Xv;RAiGT-q!Xp>1VV z=g02JmJtLI(%9ap*VAH{b8F#EEo}fJn9=>-&a&^p*fD?rspb5b14%*oT|cmQMQ8Dn z=9U{4uvMF|B7SdCGP&zl$ohW#lfCNmJA!Ss6Xd5fJRki?^;y71-uuI=+ird$eM1xT zy56L=JZ|X{`6yGsO1l0^%Ja$66#7}~ood%J1YAzcrL7-!|DO`Yh1HG&fHsNfe?pP! z!+4F+xw3ffpreWWw=SR>ACBP}JDtTU3NRQO3a6#9Pr1Fn6$nKCZ6|g*;=2WS%^orZ zULG+BLS}%S%cxTv8}mNl^ccVJvuC=&xkL&sdLRyHP4+9C%Q_Rx<3BvijcV>v&QbHY zV?JSus`fXoCPIrLje^N5iUz2_iNw>gP5OUH%_*Kx-U;K9Xhi;stM2`QIlD{iA4!D> zj|??3!VCxbqq1iu=frjgWn6ta0=ruDL#A(yIb)G>r=YDBdBX1P&z6`sZs~~>E>Y8y zv5kqdNic%k+3n7RTYK91>k|PKB*&vbYlwrzw`)U&Wtocr{T_pbW8Oo9#OOPgd20{d zCbI<)*^a=@=-v-Q`11tp_h@Tx6+^?6_Rn3IiPG|cwPCIo+BOqyDc3njlG=Aa8XTZx z)B>B_edoKK6?3?qpxp;{LlTpmJfnd#joK_>Hr~G1*A>)kaq)m7+ zy7}xcXoN!kbsNU z)nr%M^X9yeGR#Is{FjZehK+8a7Xv65!M%8WQ;-X`D)=cbH(hE1`+q1P`dCwH zNH-nBPtfVZ%?YxCfy!APj(w>S`Pq+}1EDapdfAIfx;E2NcuES}AW^JP>t=!moHDdn zTYTJYLAIvxZz(_$JGjRkQlT3$SEQwjl2RIkL^9jSLuv+sgEpl>O{UCQ#=qOykHT}w z)e?)&GJklm!U{DPO8h^sb-o_Dcw@nw{}F^lnC%^)%c+OwgJBNqyfXq16@+4*W2-;g zsnSqjb7>FO8(wC4;SyY@DYDDyZP(Hf5hqH3BKBiOl#cXp3O^>m{kprS#dg%|{xQ&;f!`yQfvk>7Ii$^?rSX~^Pe z0nwzc#3?pQas4%!HexsfMoK`pQXj_>8w@K3n9Wk_`MxFXns)plS9|vuDHEr5A0c9_ z#mr8CE{sxTAr&0b6$2Zh@kHTVv|h1Y5JnHbtG6L}fQz;O7o9_tJKJ2JQATmi2aQ{IqrCpY zK+h7y_~L-q-AuZZPvPXr16gGqh`^pg&bV*`w|S6GGcMBPaJK+UK(xQ5```5s;k$7K zuqf_gnc7zY!Yi?&KM9jNww4H;y!58?zf191bVkmC?X|);ay4n(uktI+6~!BF&4UDP zTb5EKYz=~Fkri!`M9$Cqw+Q_g-tN)U5GqcFY1gy%`_dXlp^nN(gcgMM{T^2QC13?D z)?jKP)w|sx1Avc;ZjAfFu07d+vpH?E<+qqjw7f`?TuoLs-o!0rCXIN^mReX{M z&fklQ!RWC0=G-RzT#Hw^R-{=y%faTdJ%iAOceZm>TiE0Xcd4t-SK#x8DoS>_H*8Z& zgK1mY&?#wk#xiTON>y`Gs~7Oh zWN3f+Es?q94sufLF@g>c3K?eg!ZKPr@|{|&w8P&J>Pd6Y@8rwoS!Z+?wqKWUXsKt! z=BoBewJ`*rJ~hUKnm0ash46YhHN~wtZu}79=;1fi9T9u*6kCCub3>(|P{}dNLhaC$ zT@f0JiMgVh+sb6+Krt-4nUO<{m37E~dGu$nFGSV^OEm%YW{h3F(l3&Z`Yg458UU-& zc#|rD{A-T0<9=Ouui`!;>0(M)N!xu3nQ_?~rP1R!%YQ!v454V*a=IWMxCJBsg)TLQ z7&60u0g$_ExaX^$6m!eTHc7P~!Fm@S^E5M)!R8e%Q9`>v!XGk6GE>W`$?HVXcTkO8Z z{hO2raaOOh1pW3x43+p-z{Ly(su8v+^4B}5&=Of4yNDi{Sc9(s2_;0p*MNx*XTyf735$KQ zH(;i@Xy}(^hhB2pW!j?lTk!3!U;ML;t&&w}tt&v(WodNfW{U-mN%=yFl;cRAD^Y0B z(m^7ua>RUp8K?TnP1D^fxbjPq;<1XIwB%d`^0ge6*KmJc^gGr_4nW>^kLNP5M&(dQ zF!eP&1|RKktgD_4_2YHv$7M*O3~CZNml)KKw$V2bgCK~qc~lW{`y`Mg5O-@Pt=Ck_ zWSBkv7CY=bJ+`_1iO}tiP@zZ*YmSpKJX z?dT71Cz?oZyLorjNjm7%=DxQWD5QPekg%#{e5u7o3JHFAj?ER-Nlp(3R4Bzr^v`U4 zc2ngq{>L5wX@c{Q$6QBXDD6qbz*R83kmLYY#zyyj`%JWQQ78Uny7>un5l$reN9V1n zzX-@)2_Bsoe&_rNf!@iy# zJU<>yrRguwpOx4|DSaR1>Yr1l-x}{?9GPHpNfC;A;?V#!PhR$3>p5l-{w6`qStl8z z_koJmT34BHr-~bV3p^Fvi_!RO3^FD1Q04r;11uLWjAy> z#(^C5iuZ|A?fjP~51`pLwgufF9Ou`bOCt14|A8T`uhju%&*M`^%+vP0uhM;Z%0QJ& zm{Sj^nYhK`qJ!6~^lIdta2<5_k^`BWY!z`*!^t`keBDb#zgC`g{tAOp_#Z1@hL{!< zX;s+RbJZtE0Pv{6)CHj~-ArI}jrU|W`VX(TvT)dy;+M2`3%RI`hB^2UyI4V<3%aE(#0oqg6%IzCcV%H$4tuBO8r!2j3wcl%qJ%F4(Tj#hkMbPcTUxpiNh=!+V2 zE0;vY%GRH>Iighcg@4sxQn7GL5DIs8vX()_Xs;K7e% zX+++M2#YiIkvZ}DG6I~oOd2Q->zyTK?`?%M$}BY4Wd(|ccu&QgFI9(Ggn~% ztXdXII>{07)2=T@qjql6uh;^>sVyb(4DX9U$q}#`wN7FTEn!n@aGuT(&@rnN?8AFN zrV5e=PZ8A@#BifN@SRY4u?FY8`4mIseIz;Ax(b+az(CMCX37Lvj*zjSOErXVr0=x1axt5S}i&=7wH&0MMkXzGFFNH20%Rqy+#kEyk)Ld z05aM(a@U2x2#6l2o6BqeamtgeEnEx|ras*q2gKtK>!Z>j5U6v{(ESnk3?fQz&ICNXH>gzaHJdzoQl66KQ zl`Oamm-?na8K24fQSNx%w1utk@iUR3-5LItQ1uJe0c3K{gLK!4d*qm!J!bfNt)`ZoX zeciBZS$gryHvx|&xG%}T$E86abV4N$UG>}De2jAbeBlB&qwKn71-VyWfB_gP~ zV0k=^%n<7SiCWguK{swnUALFwLKlwLv&FbxCOL)mxYl-K(kUGvQPXfQ#UC5pYk%2^ zoY?XM)IsiF32o`lX1Kq9J5uaxz3>HQc!Wvvj20sH_ITd2@6Ty5+OZtMz?@GMV{La% zAI}3bYe9bBIJ#T~8`JoF0ZTSvJ!KHL*ljy0_-`E$>o6q!I!OmP;!WIEDDD z;@q>Q%f?pCBlIkBR#MV(fKKL4PKtO7pQp|AC?)Sg-h-0(lqxqWbuwUsYyB)6EU&7N z0%{2(y{kJJFfEByn=sv>tkilPx&f>(yK|rR5+Pf^D{0-&04y~MjmfVe%1I0P?>kPe z>V`p>ya;uinAk|ifOtQDuC(95=Z<+ynGNFeQ#(VYy7-~$cM6cg{R8C-D6^qBs7FtY zy>bP%tR*x!GtM?|bZr>ffT(}C3`bt%U20@Y?yDy@ik0SU*B|Gi(863y`?Oi5gIu^K z9`E0(t39M&7SJ%$`*=3)yDtiQzv8c<{?V(LE~SLY?oa+c3|vnCSOV!ukOZemCB>4E zll!;nGd;Qi)iGQ`nd@-Ag`Np=QI-;R7+7vH(k}F_^;Fo0msNz5mz8oOv;hosGnF>D z!42hpCu8~=p*rMGgctWbi_`A66l~jUl-o=j;`qDMfKc)$MkGG2HIHYe3etly z{gRjP8NC-t%@`*e5fD zmFAY+LfIkmng)3&S5&q#JSvp9Gl57N8LEAs{ZnM#$zz6r(-idzdVJ1%<=3~kFi|d%}Zd&7pH3zSB6dATF zf!a|J4wCS9`oXrWAbBYlTo9*{xABg2taH`BKhZQVpOO)U-Olo2KTmx1j8ZqYM5CRGEQS&QsFZ!cYtG zH9d%-UeN_whvAgVeTM$QF~3gNZnHi<#imVDDxu+B53y(>5W~oa8*>*L>8q+QDCo6T zif!4YcODd@<10cYR4M`j6bk(26KMCX9YAFYaap+6m+=faGwIvgz(-eS8LG!89l8%~ zVm&o!#Ir@CwE70#B5GUB!06^EgyA{LumSfM0#e`#^Y6$CCoG$~roh!ixWei9eQkpd zuxo(5iEr_!HT@V9T&5^^p~^6N3>+;9s#hRL=7=&> zubh-_atV7V(N*UECQ!Rb>(lTxMe%%#%VaQUPrfYr70R{%}WsHH(dP73Ty%7tx-Xq&u=LenZcOK`i3YnYos`6&IRc2IC zFA4;DO@FiA)|n%XTd+>G!;I~*(Kr$Jr<)`Ol0m-5TR&a}tD>_#k<4!$OR;?pY&cad zWz?bKmA_J7P{C^FnRTh=3hA-Yj|p-*TQ9*{2AWIcU)lOjnY2y z%n`MP#9b|7=SbG5ve1O~lM=I8GO3)rV@HV^pOS2dt@iEqLb3||HV5aOk!1k+vbG&e z{by+b0$mbvpLX?u&bU?Vg9zR96iZ!x+cvdku zMM5ATARuO8Ffbq>ARsg}G%)#Rqn01lCTRE(b$FAn+7ubTt&XEGBL@k&h{fok$4Kmt5I)f z{`eKPKMRk$R@5=?Gj{p${rfjg{PH^G(XS<)bMX4cveWKj87>{`?}*K_2pBu%cc_na zxxBMaRGGvFK7VASA5}rcf<7D^C#uO{k!oVU-TVUNY&lS4a*7xP!=SY%ZTFD|!C%~I zf_?{QcLuBXZznnNf&W7b=Z(y)7u!_79ICsk5a(Xx-)Wg6;aLiaKE@Cs)1#L<0gbh?@Mf+yW7}fA#2%)<5YCFk=ht@>7>0zk)rg2_2EafM*Hp z7q!G++|&U(up@#C{oLkH3Qt9rT~3Ur(memL`ky+{_}FdamI95@XvLC-pK)ux5iFt4 z&tB%G506|!Ot>R-*ctY##by*}$amSBbtcEu_8GjfUb|o=OcNcSqb|I zo&7@B=YOh+PpUM5{wKAnkj*`0{sW*x;0T+7{<3vyg<+-4jLW@=UIR$7R`hq$K=+RM zd_h?)uKFGXO^B5`cu3|fTH;;btJFoJsM_E;MtxiMwG4CLa9)VoaeXitbK3+iTA$m+ zi#I+I-aY9fC0cllc}Hn>A`d22Z!}GGUHr>~n&;I+V7mZP-XP#VK1pakFYg4wS2oh` zNVs+rG_T@}Bu`6oA?|SacedeDbJj5n#HH%J^1tb3~FKZcJtILCOC4n z9iVUtT=j~*I`jAsSYG^P#j9E>K!5(JEb}W(T$wjz?{4Y1lIH4;N!>}FtW zPzs=K7dZYsDdxD}Ml2mv=)+NvKbE-CTv{Fea2+G-x< z!d=7`X5To6Qb^Ow-mhSV?LFyw5{m8Hop|jLS7HbBH#AvtnxTOJ2|)J0MR^xoM&ZSmc3(IiPtefG87=lgl^;yiP4Op)}d-$o=&-jUGj-Ed9$`LB%6YNm%+MggfW{~0+SB8hkniK7P*gRM0R45q>jQ#p6&})_#zvMZj?(c zG!ko*w;M+iWRdX2n(Bw9BC&OyN|FCb4Z7=BPNRcYv~&M*v_&KPxAU;kb!fiSh*}c~ z?ZtS0-$Oe`WD2y;!liHP@B})fdtTq4fGmEkOP1j-#1d)iPlL}smngcaE)h7WU>|8n zw45PbKWrQa-^>993#nCTPB|TwQ5%_JXTntPfu50E4|^Pa0l$sp*r?)JcuvAyUZsMQ z9?`<$rk^;b+Kynt5aS zt|YK3saf4bsQ02XdVwos0)j=){U701D0WVON9k-VSad$*`;K@3`l@3C-FJ`C9V?~ z?*O)?syEn1N#8q}5Xdo48ej!J&XE^cS#nH@f=5FibEFxg$l7?68M`5`8%|5301&ucPLQA~q9s7pqxdXv@Srx`W81v*qeAE!+XUCO1HvMVLT>ZoHphO`eE?o+Sci z2ojLNG@inJP;K(4E@Q8?g`?qjvN3tMd=cmfQ#v(p2M*dfY7KOklSkftYUa_>faGAGl56Qf{( zAp>~1pf(fM3!km1q;B{I1&rlFICjTK;@&a7$rY`pNEyWOxhl#?%-s6H2POB5{)j2A zz?(BFgw+Sv0fRK5RP%U=?VHwS@>t%zAu_MD|{8y?ot+P+jKUAV+ zy=XAIexdf*`D29fSRvip{`)0W*k0uv$$O9&b*-sEd!iP)08%<-e>YIpBmw03HLRZGt!fts;&<3V7Qf}IeHnLt?7pA+lq83k>5tK<(Vn)Bp;bXy7Z82fYPM{R;2 zTeAoUhan-Qyu~4At%)sBk}tT0OP4v(?Tu}?>iIL9@EP+~on2b5;~c(us$|iigb&Is zt{9v$9Wc);D^3{TF#hWqM42{quJZmJzCwF$s8#1OtVWB_k3raBhIS8_s2K}0Fdae| zjCj+M2TKs_%&mZtxiV&7d#b0}1QG~1lXgNa6F&w%P=p7LWyEJAtUJ$JPxMJGEG22q zx+ej%ycl2dHf2Fu(-FqPbF(0Dmf=Ux63{P*{LDnWr7E@%G8@n+-OY&Vgs9L2ASNDT zb?z`N9TO$X8q~beNR_6P#~-K2+&e1wPXdQCjl}+O5I+ONb@C{-*Ul4fEyJo&^qr}& z<(eE?Sj?Sn_$rBKl|eQS$f74@yFLwU{o2Z!D_Q7ZrdFz)ahPY%P5t%=JC?uyzbgpOUxu)o;@L zccZFoLgAMqd6Rex%UOV#z9(yS-^b91)SZ1%Y`(E{3-+`_VXtSYhW-+lZ3qOEDcqnm z($yaH7a*!e<5-lt2zkB5tEYqUe_vxMeG2_NmR(kvQa(LwpDkhHHP^xN3wclV&al5! z{-HxHpN(Utj<>V!3>+m9vHNWSTdE=>KXO4ZI1pXt1YEDO|+O z4O)RC7<^j?{*&l6t{rF42X4Eq(EB(5*p<^K`SAcAYeuW<)O#>1Wi#>Qskh^?-;?{n zkGB8pRH1#R8jU%!Tk77SefB(?KI*#P3*w^w(9d#gi*!SsQ2WayvAlS}FXweb5ZY)P zkoee_JqEK5Xx5351M}mDOIal_1Emxq|qK9+jGw2dSfN0lD#QD8kHoJovKvv@;2HmjmlyP&lq)5TbJPD=r zE*n%q#bO46d(RNFgB?mMET;o*>#2DU=}&N%JMmw~D-JtL0*BgS;)wXI9Dy<^t>aB$4%T2HcV0^XxZ$LOnjS_<8#U@Vz7{x z({_h^o=a`X>)4sbM8*ovxP44Z+z!P5F5oy9kLl1^=UU1JfxkR?3caQmJ-thy% zk`JB^6Y|e@Cp6Ao5`uJdzepdy`RB;O&&Q3`5Nja%84& z6}<_0igd9YB*bWbZc?`oh-6w4a07GZBL?9$<|6AtOU8f%pYekA^NakNMc&}s%-1-n zU&<5G1gBtgW!1#Z(|9T)WEOx1^Z00=-P9+|O_vH9qUmzuKQlcXmL4sp);|%fV%hKd zmC7(guBTIxy&ntr*kG_GPSM`tr^tx&A(CV6($q`NrcuRE5_05+OX4>s;Z*WG*HAeN zQ;fD~wSLb7PI7){8q`L?(j`r=fhb&OxXwECZ1lk>i9*qzIUYQs->PJgoUzA%(#JCZ@PM&d)+JNg_QV=+obt%5!%;k{C7p}9T)wGAm(FZBt zf*kF*^Sfl`%-L(AJK`Na)?1ISUk38$%|VFW0SJApED!OKYAwQZG|k+TGL_T7d#|JZ z$S66jakzOkQAH_f+sm5Kj7Qbg^f)Fi1=6Mb$P$b6&8q)Co2;p%IvEo8p{YhloV>`d z+e!leaTIopI{9@}Gl+20yxIy1MzTqgkAH$X<-n!IAwv3J`7V;pF`LbSCMJGc&NNK~ z{w`_lFn6=fL!Iv3EllqweJi+;KW2+{FmPg`%iOAao?Gb$@Ue?#7~3R93AUfk7SH#7 zOQkk3Y0$OqL`QxM&#|p$rU8P*DdN0$`ptF ziE@?Fa~mF=JHQ&t19wFCq#?@3CiE*%{ez5u*V^79v;ZRn#DX9UARB-1cCaUL|JX=> zEyNLED8QB|URK1)fRJq*k5x?8$x*H)J08=>j1Mc=Us}Z zSA_+`j(PID=+jHn9hClhF+gQWq$A6PdT8Zsv-5>~;Pj1n;MDFW!gXu79LSj(QsG6q zEB!diWsS-rPKo~1KulYVhCH8RL>YzMH|-<9D-5p`sHB`gpP@`{nsQ=EZ_* zZT=1mb$MQtk{#y(P~6MVI41Tz8FEImMmla^r4c#SBBqn&RJKM_p2pw-9)LJ1_h?gE zE>Fnf9dsQ6ZSNNuvWa3Y(cwf*eX-hw&<$N*y_+Zw>Hnjc9^hsu1u5=A4` z6|q?pW8RH+#_|T=GMDeE3&vW{E%@DpMcW_WR`r*1^+FYnKAU!q4_=0(U3FZW!G_6_IUJA`@rMmQ za}`>iD!e$yWo@Ch_s9j7AkOx4l+x=3xG=wNA_1u0sWpQ{roOPCZqUUz%}B}UYMGTkY7Lu4B+9#6rfJ=|y5wq{v7!ng#xe;Tbi?rIAlgh#yXfC3 zU~rZ(+`A%hx5Kn522;e_K1n+;+7H+@_K=r93NPpG1h;(S&w7C9NR9-wQ0PIsYn0!CH*%Oa<->GMTM~6zInq_kRordbEfvry>)3`;U&vVRZC;7G!Oe3@?CH zACE%U6u&KIe%h#je${Ht;qQt^MCiaYL#XYN>;U+;R=h7#781P)*1^o*}>X4wJd_lJC6{3jKUhETSN0{RH;0 zIE_Aj?;~of5}ObXlWQ5a9QAQR9Zf9|yh?v5M2O zXYRO6RJw5--^^8cs_kEMG7{KO*;on-=$T|FuOwbJOseP$_w|mDv@O-w&l{a|*!H=z ziQU^bgysX0I6E(OSKEH$JIFM13uEg?=~;qAP+8K?`ro1M)l0$Bb3(i70D{W{(b|U@ zdD~e{wBmitTGNf*MXei=u610=-1*$fgY+%rO-pvp0dZ46M#?xIm`v*t9B56_J+;5X(}4S%3~I3&{Bkd3-%sMu{z*3GqYJ z91EW<7iPLkCSo&N=mT~{s^qjdwq4c*5upm^33$;~z}bJ@wIZLFcMrl#hZn6LToV>V z&yo2VTgrf0Q2RD>H$!Yxbp5%nu(Q-2Pv}e76yLfH&5UcA!}Ry>@LtQg^3EThNn_(4 z<7*Q&2(PJeq{#kq?Dr7{B)F(rFl$scKpP{UjV73B?{U&-mS@@)Kv~sbpp%p#ij=NQ zc0kF*HG7tI3&L_}i_C-eR1FIyCLi|}r1kHdmw|Nl z$X)<_xw*hj1k7a~B{G1&O4?8L`mGcL3BQquKNfC9XhO5Ja$YbzQ9CHmvZzI{m@=ER z%Y;RO93jeGjvFE{7{z8_V%^~0H`4{IUsZ2?N^$!FCoZwreGd)qqY_hVYU{Ae7+#=O z<3x9mMCJg*U~;4h2x45D_U=_uwAQFunyCR^(JP-bS(bZ{Scg;}$4dWp-rSEqHA$`i z3NtER@0^UOtp{v|Mws#qgw?ip#2mu6<=Mu21e*3ZEwb&?OO4j928Cdig1vm- zl@=7Mwm5Nch>Llmnv{k0EIeLL6$|?Yd`9j=3KVZ_BR>c!hW+DNka$VTRJ#6`W)$kJ z@~2!ucW+_#P^k<@fKe-T{O(!9jdn|K56Sbf!buMLz>Oqe!%(1{r=MAySUS(+csiKE z7IJDA!~VB|8m(G-{C?LpPZ(OfLDsgVkF`YJ{=Y8|K|dkDx4}MkOk(YxYIhLK^%C4Y zM@Ri6xz{oGlVY6h(PI|?q2Nea)U7n_OuYM5m-mkz*8MQb(u+k2ZZlxz`KZ7PMq&fo z>}N4TiN3uayGkb0KQoCQ9VOdZ{XePQ7}>@QvZS9c7`MIAf%p`_`!RR0o`h1nK3)Tu2VWZrB=AYT=f=Z^6 z5vSii?l@68QoGF(@p=uzJl?!@rPHnrkA_0o(qg)K^{x;$Mh2Z$-aV}QUFYm6me%56;5?yP6U%D&y~vqZb-j-i1Y3G%rqYsP*3bh z#~pN=yAhrm-JO$~@NPT4JODLqowVZN)}N6)y^{YLv5O%tCGHdiz-V-z8k3H`N$}W& z|DYa)xeoG+W^Ysn$nu+k7eK{Wz9}_A!$|X^?By38zW_ZD7CI;4%<-vsVanP>68*8b=`E(QskH6+Xb2lu?+e3J04 zX?W5IRt`m~SWSzt&Ny`lzuZnM=jo+L>kE|BZYwS5T3UopD)Qn&fCv)1AiW?wRh4%K zxiBeVgf=PUw5*KHb)~rVz;8lCR&3J!pniRVP~u{Y zm%R1)L%jrn3#D0&F|n0lf>SAcuo65bhKRiZjTZ5?oS=6H67ICz)17tbFzi&h2AgNu zJiFmxu`*!P4!A4~z?9~#CmR;A;g?sk?kXk;dArScy`0+&|8}UcVjp%ro#F&_k3Ijh z{4u;aCM0}pia22gOE-!{Wc2-3Bh(gVh&lg_d`mvVmD()tJI?kxpK zA>8W?c!ltiaEdSaa*1qqqX-6o_`TXCGKxP%Xl=wBI+la1KKV#3o;O)fNk8-&yolS*0T=RS9aKEA~NNrR=X zM}RR@3Vqq;^a-Q*mOBQ|x233U?~b8vdOuuT$Zbow+|j^STs@A#!(!Y0K5T&TyrDt! z_-W*jxnBY6NF!zzY!(?Eq!b=4o;J*yQ%pIVm3MlI_oB6AOl!d^ptz$iVyq4KrExOk zfWABPkej`PDe=puvh9E0IoRKNPJspkhD6vcoX@3bP+{1qz zG|Lf27NlJQ-a;G=&B>OQQcYf9Pk-)4Y$;tWX|0>$5aXq-W~Nviw{4c=|#dM&>qQ z=nmAZEn-%SKs1MTlwBWq`^N4q=~i2~d^*gu>Sh{9xrV2nNFoC(89%@aeCIN_< zX&m{d7c>5+UOxXOfp}AFFpu!U?Ya3yvi40@;G0JY&<(`1uNosP?3vV4fo-7})*6=B zQ#~B9@T(|e`}Aos>{nXOb#nWPoT)z_)1d-w|6ukDVWzZq?Q}_rQ+uKJt(m46Z>B0H z%;~=(R;X9$n05XB3a`W=oDE9=r6sOX6Rm;a3y>&F&neH2(>C-mXve8CoS{bH%W3T{ zMhQMI2w<&GtPk5?T?~mse2i2V7qQoVD`jRJ^%!!55R%vC#O!*!ykWhJB436p2JeUnq#l5J_?Oy47^v#@nzi-36sn*3csN}1hxau8$Cm=J@OJTin zb|!UyCYEe%A$oJzcW*?|xQ2@647KUf*c ze5C^Uspt6wv|bhQ#rCx^5xtCMGQMb}T=#5T^D7WK4lr)wSwtcvfCv0PrRJVzX@QM$ z$Y~Fgohb5#4%TTkO7IDFsDbI2cvC z@Y;0=WrxKjQ1Yb2b~hLo+YLO4FIEFrmcPBKg~})=iQlpmO|x;d+gBGTYo^HB?I<$hRv*^nnV|OUv4|HK-82_b2a%`ikW!E;s6HJ zI@{y4bs3ji8}jR}Q{U<2z20qJe?o?1CLH157(-b|tqWUEXV6L56RpDk1l<)%`Q@6e zkeq1rgTN=2gmH#@0T>eKo4n1xv?qimlm_koQLh`It(-*U@EjpuQb(Ntu)dxYqQ~!4 zyf44#0&~%Ft7#}A;HRo1dO%#kpjgQY!nA_c!!P5g#ylb#5lL&(j=2w$@Uwj=I=(P{(e&k8eHrZUK^j-uSjB@!E(f2UtpB1JQ7EUqVjdp)Br?^Tn}|K+o+Fot zOLI6K391Tt&X{f2e8a-K!+fPG4A?aT@>dm{aV!mgL2p;| z;GC5cow6u-9P#=CcVW&*2tm^ixe)vQiD6_3L@|H z37;N@TB~nA=5h!-XwcOx^h&I4osS8acHmD%LJ#4oySNdZM<@Y%oYpKp2XFFUn7TEY zkN?DaNyVydS-E!&X8>L*n$L>^yeOpCg>@6tk;67f&7eEmC@egyotiS=BlaxqK!I&{ zk^NOyaK3&re@2{_55&vN8RF1E0PUFB)MZJ3?{$*CPM;Z2%4}``u>s&y_;R& z3dwLEb$#!W%KLUjFAr7~!ud%pk>@ZT89=9v81#z}aZV@bDNjRaLOHQ|=Sl|GOoZDUw{(3`-)^X^c{p@zY*5C==?>c<)itIR4=Y%M9*%0d+z3KF z64bf@TqnPq5)-Q6iM}D&z-IdrVDwG+w&0emqQfcA6EwpbO6U`U#=ehirVwDi*u<+v zf7#Hll1HaRl2KYNZES?1p?FkKZoF)|sO;ZpQFC%TcwcBBf;m$V#9BeT`SAg*CP^z> z2S=PEp*WGDaJ}5_klj(X=FW9f^GBsI$Uhbv5pO&Ybmpxg{b+n;yz#hmA+``jxkI=~ z3s|acuYgT#zmBl`HF?T$TMT-XgMOvZ5}*b{IZ{0B+a~F?Q|h|rc8J_Sv&ETzBdb|u zAdL!Ks)+6D3V-s|*6$4K&dk|2pmjfsJ6u4Gpi2G1O*jI2$%ME|RsE6*w{0`W=p9+> zr>?bG$0uyBfnjaVFcJ5azF6me1#z-~g5I8tZE&F$_xR3oUTfKZ8CQLhZb=-5A-7ne?TvYUC&1_ujuP5aXF+ z4x~{?#760lepPFJ<2HIHA79&rQC>h9v|`}F?I-7jzL2_Buj?F;IU3|pMrlw-V=PRL zBSQ!riF?eAe)bnRy;(#S2dklu;c%bHkH8X99R4EdPfPMpp#2Wibl5c2Ck~Cy(DeEX ztct|KxA&3kCfzCx>D2tp=1K2)yNN&^xSzu`|(TJX*S*LnP)9o5v5sB!^r-{ft0 zGXetzI<7mIPFr>eiowNPlI5OGtmN+=#iHKcsC@1Bm>#@RIUt{^ZDT7Qpil^pCk@`> zlnCJ`k7VhMSU=c>8ZG?_BtyH+swlK}s47P3emolUKMwI2hQH^mNM9480%aw-zaRKN z0$Q#u_!7THOn4>}G^VQOTWl{UiOFNny;t0fJ`z9whP)91dKM_gOFFP-cc!X7AZ`>} zwh$t`*NN{F*w5jy&qtVzZBRk|xa7qmMHxEZ36sw9)ev)dmx6 zq{EF>l1Rr77_R`Zxap7(O{!!Yml?Lw!@78VOzzL3}7OMhzLt+h+**er-vc27j6_`*lLx&Nxs1kUX12Q%D4)Me0Y8j~q z8hQhwF{EnSyzVyHlewl7D{;Es1QCay@fBi%pc0J``z_Ky%HK!?bGfv#}Au)@3%pZn#LgmK}dJydt(FdMFB`uc3jq$G8AdK(4>YwNTY9iYi-{*6uU@Q)w?19J+iH7~Btk$k-`S znG-@W)bmBhg!Ch@kmAs^1U<48NP~u7c|t#U^c&zH6%4-P-r5WNPeThHxP|JUzC7I{ z9=fD58{PNn0dZQ^dn+C?Fzw`_5T?Pz{j>=gf z{~$ zF33oD-iDUPEUe3Yi|m}6Oz#@O9<;JtsC(?(AhiI{q{_(mK2o}|ZxJMfw-|4#Wi^Br z`dtirJdv>d=ci~m&X;Mj(FR)&_@qXWSqRF_;3da7xUBt=W`tU#$(VpX#qzMTqPu%d z!0z@Z#cD!HdH>&_b-c}&%Y+31$2dpSQ8k!6h@IsE*;-qGYl2&^YYY&lhGzoVuo!81 zv=~_Yte^wBlQa07BhhtZCto#l=ow|WM&S8I%*~N2{La7b%-s>VGQ3}$4ywCCSZFUW z#}0_8U9bv^EM@rvAKvMc6D<(SpTL1V)5myh7%Aej&kruuv%q0g_|vumjeoc*Mq+w; z5C|M@wIJRWRGv!v#_8GC#Q=!yAp7Z1Gu97({a#M8ZOT8FEYg}WP#M^4F=d+!WnT=! zh{$~iBwD*&-@+yXdieD_Y1B|dRe9i?Q2356b_rvW^h(T6KuxKJ4ff_-6V)7$)MPZ1 zW$ydz51b`B9sMLlsUg(;vPk?ULxmzvy^5b|QNQWe6BMQodeN%KmN_-~x)Z#iRRSDG zC>l;=USee63s$&LMq#5y<(~=%FqY`Qqj~-@7;k5j1rx}vaYC1Q>uy^KT!oPYV}w!5rgp1TWw)8d@O|y}UGx`;-Lqi-I|^-|Let=8sr&8KANv#h zX1YI&!b821x)-Gr$eB*s1Hn>KdH-v_Cvx!`B0<=5lKFccZmxbqn`p8bidk-G`!~H1 z-l^9r$v!|vDgx1zZB62?CYGr~Q{)Yb8P0**_up;*v`b7@c4ff%Zc{ITz=s_Kh$d6& zyonxqNKjZdo93QIr%tT`A?DrMx~YMXtn&eEvjAM;Peem7$p!?7-jxW|L$PMoBFd71 z#%rel%87~}l}|$z$~kztz{NTC1ePbAy>jRZ5qx(OV*tu!2DX*XoqhHz! z5|sF$mD1a$-q*Qs3xZ`rOdsfq}9cs@O=TbD)ZZlzt?maAU?BEK_z zzMK(+q1>4`ui{_K$8b2Mr}Wa3P?G@rt$G3Kv9JTzl<8|Zu!~I7c(+!szupw$tca=` zcuXZMz`L@y8*7|TLRXv+RY;SVW$gl^4}?@F4^*oUMv50C#cfD06QCZw-|-&RZG{i( z%lX0)Tm4Fj5`9ZNFuJ2DiQ{C+^#wiW@LO6H27j9IA1<8_%>NZf& z`KKy(epwj^D%ZtEL)i-5kqSqCPaVg*3d_$TsT1AP((irWtJ#N{O8e70{8N$g6yOC( zK`TLczXdKxS97tCk6j8M6^V0!5?iz;!xH)^^6qJbI0%6}jIFxtLVAdPW=B(eH%$Sg zJ2KS6JoP@ZuU{MRqrZ0H|qrnK`nbHEhf&*s)YL-E^VHxckfL)z*O{rUZO0M)! zw>cSo$4oV!1WVX;U*d&_9@e&zBd$5&{j}V|@yM72bs1k02FbhOuMN23v4p>i1XN&RZCE>ZFLVo{x5C1 z>Kre29F%gLrAUFV`tP-}(R}AYvD6)o?aq(HZ~Z#CjwU<8U=(>Gff#B_-i>86T%@Di zSrr`TVz~c1$_$6lq@}2s8n*||2|G-ZyLD7&3_b*5`k=iA^BTo%6!NF}RxfVM%{ny) z$FgcV@)zXAQw9Hd7Je;9+!8pMi(my@9eCZa!Jk^L({pPa(BykOWnH+95m3Cc-caUs>7a_C)MY zdb}@es4nO&ay_6JM+tJ9$tELtAQWxy#Y&HG$iMD3HRs+SxJeE?6eiu%X6xqYG)tnE zoP&|F8SASt()D+WJZO@q6iODJel4tSNJwO;yDADE5~>aHqRU@#UWk`A;a;V%fHP&Sb$2j_8QQ7QrsZk63C{s1g!Pvqds3$7cb8>S z#Fd2`S=7o0UxrY+Q=DrzqWivACPMNI#?K_|E$+y&Y)@u)5$AofTw!jzaMiW0@~JXC z4CgzOv@#%UiRtDZZU=N^^LBvAiNtqU#(mI;32XbZh+yb?_;?X~Tp}bgd)D(E4cbyE zft`9~Am-eb{;?X$di4HqlW_*ZtMB8R2l1uO=856)^6^EcQB{Q`F zvVy;BU0lU!f^!@i#!AR}kwJQg-I$7VTa-=oLyz7>9>j|W^~yRFQ1p7(rCHiE>loo& zFHkzS8wexU?W)grua0e7KY6maWDM!w##7Tg8zebAYFqE`qfGkjsSWhyV$w1}QWk^) ze;{Xn?n7cEq|>y>?fVIi4O+5;eA!pFI^f)=kRlOAi7L*ydC~nJ23%E_z~1P0lZSo| zUZQ5X{QD-5gRD~09QS-dA@lVXW5seTf20tOSCyy8OO zq7s$3x4EW2qTFgutH5yAxAsd4#Yn4_&NNGSmZ+A6132*0*EvjS2#7|wba8&IIa?Y8WD)%p_?c=eP+--QxbcX3(Ob_5@7JkvQ zxX{u>zPXFe9!(s~apq)|CVYp4BktwOJ%K`;m?NDT5ke3Sg)Rf>h9kw5i2_-rkRdj3sVu@I4-*inx1ZR_sPb+)c7pqd+_ zVAOi=iP;CeX#yhHL{D5f)JzgM;~Y!-z} +`!r1T&}!JCmNqxADye`{#I}|Itnzy zvRtEf{O4E3F@JkOIb@SG>5L9@Wtjzgikclnhn{K#;ld1usrVu`RH%mS>wYZljcvRF4~0Zu;AbsOVn&-(o`wK_l6MZx_#N^x zRwT!jic2cb#NBYm^W6UFhaOUKqfjq^=DiCppOGnG-UidRlpy7LxZ_3pyEh3t*waFG zW`+xx!WF97H3J)hoZ`i=DB)Fz%yt zjZ3Ry7!0-!uD$W7<8z=Y?A(FXS}}kvia~oK%%Wb*Rjp>pA&VXVizwzm;;UrY>Gzm3 za+8%xYLJ|8o8fr`IsCbwyS2Fe(gNW>qfQS`z@#R=vgc#tlS!SP5#*~#bkxTAEQhXm z6k`SVac+ahVP;B+QyHnR2O8Y#c#JT)pj~qX5;eu!6L{HDgM5hunDni6@+(L}pquL5 z^Zry>zhWgK0MCtp{KT;#dBi(O$u<}Bdm|O)=n^1{o|ZH?1VEFx30fUT7AY@)-g!8L zjX|>&xmWpD=n6~=2zZlbubHiMjw{CJkco@sef^9P`PgbbKjLj2a|SaxltSVu#8QPO zMS^SMM#V&@>j!bjS2tq7rvsX_9%ib>!gIVuIgEB*Q9BwDyXYG(*t;ygjjB zTgNyO%90m=VV4aC4+e%1v7^(O6Hy*fw^Ki+rUkr|X` zY=f=2)-kXy&Q)JlTA~PTQro6)y53RRk>vhRckd*zPyhwE`7E2o2+plIYKY3IA#OaN z>U9GtJb4kM8&tJi-tD@C{rC=s?+_bY6J2LhY+JtJfU$xV z$MOGnhJrdq2@P7$$6)WG_7!>p1I2nNDhzbHWu%a}HFqyc-k@0GEBwT$AcPba((%@~ zNus3sf(nB~msHjcy-1>r?1C3^OhT>?`A;|aQleJspW#&!FAmZd&ffhm=<>Thk4ySr84OqpJ zuFBvRh{bq3^SImu))UB<`g4j!F7aiEcXW@WGQ!0sgRcJNLfS>?VwDQ-=siSap+Z!#hXft7BpQ1#$wchQ6hZ8&RfeVeV& z;5)adoy*esyF3+o=!P3u^cRpZzAr|qf3_Ke$SU&6(hdVA>qUIG`UIn%#pv~NLk5wU zD7|RQuKvsi#F* zjoP$DECN^u~Y*UuEi(@D+h70Dx^#)FJ=VacUi>ptFoF528K#F zZJHB~Uu>{07xA@9VJOj=!nHu`K;SzW{a+33_$I11WaLs3RCJI4kWk6b=DdlQ)<8mZ zM%4-g1@u}CJQFjagmKo25gBK`8zKhO!;bX_>g)oI)W2Iwr*&-k(~XP#%(1dcXDPCh zKFy6F*ms_3JAou)3b1%z{2GClGn3KnD5wj!`@r`Sm;(KPF)ZhP{qYT>A9h-U!%RVl-Djxs9wZVQH()HW9)s-G&Yx^$ZIr$!SefDFuA!bBo z7$Bk-R;`OZ9c@EnWFD&>kUVQyJKpnJWAhiVw>>U5)oUeHnw;c(OcDc25Fe&C)o{P^!R2+)(g34I858ewu;&Hq*PV%^Q zPfH0S59GY$SjC8_2XgaByJ5?lKQ+VK3JDEGm=FgYs>4VwtkY4A@9kJ+wALj&F)v@z~5taj%IADKsSQ!Et&y*6-?Jfx%SBD;_pocpaFk!N$m zG#m@*H|XRa3C$Y`(~DZ_LkvGOCfvBbm=1m9g*nyY-vQs3*oz59gU_Q|0{ReVJI+Tb z!`1!~ea5}?IPrckUo|=@T4>x3lO0{vYfycq+U9SY^_bI(BSnm{)N=Z zMMZ*TrXQsh(CYX2Uz5n)0oU&DO->a-Y|%2k^-h}z^mEtS2||%;${-Wy(w)W>wb?NU zQ0x{32a2wFhk!nEL-+YsO`ok__v5NnA)XjKm8$TyKFT=T&(nK=^b}IwnM(vK#kYYO zu6%+ld2mP0=y^B`O&*~RNtq~)65#%x;g@PIjxEkjyTb78^lj$Kv6Zqqr~u{)C*cjJ zTt7A_l6^@RwRr#?K;pkc6prta~GfNqQD^-YhZtOqys$w-0qL!+yFDbV-DHInhL+V)esW4cbnCB z?hEWRbL)~-=`gNM(=S`<|Ac>CX0m)sUn<^e?LhKrvusjLT@^8w=(+=G;jan^b;-Wz z^hNU|ZAOy~+VDti(R@I&dt1mXYsknXgB=?LMvyh?=I+rTD^IG5b-3*!zl9FhdmXKK zu_OrXPN;aBDo?9jSuAWhT-N?zy`xx<=jH%+RB(&c6@OJ)P1rM#@PuqGNpm<+5el)G zA)jyxwf&81L%F`rzByM_+eeSlE=J0V0pW0qV_?fC#8c1^6a~dYR$0LXs3wJ5WotYq z?fuq|K71dh2JHJv%QQK@zXUpsD~kjdwF~;kSkIJzg6iNfn(3&Q5U^(u3u>Od<%myK zL(@#&#eCU*z(hf^TP3L9y?UuX{$K!??B(7D0a4Ct@YQ{yjH8Cp8{GiAs?c$X@&$vlvD=7&>%l zW4EuM6QNQ!*26cktwg6P5^h?)#|xfgg!)^Bl852$i`)++(@7l|Y6wnfD=(D@yroNP zXL{0nTxT<{P07x6+m4Zf(|q~FBa+m{mC^O5PsRg;uk0PZdCD+WtVxXF?Syh`H7EWn zt$|Fb>)s%4?y|FY5q~TOpqUdc;1F)2d6wCgL>&UO9~j9x=;2@+OTcj0vVX zdIEJGx(FXuNPb+J8P7Ssx&S(iBb<+rEb6Jm59~K>FBIXs9tc8q+HH-=N$C=;gz8IG z`+f)>o2mFm%*-WczX|x0%_iD@0AdX4!xAD`uW7T+ZENEInQ16MJgatOXz$r_DP=k4g^;lJ) z)^sX_jK7qx?i>35nAYVSIhC3w0C32iOzHZu4X=_l*5s_-C%w@s zipYWC-V;a9>RfJXiVS`$Q4=CIsgLjF>(`Zde>p1<5jDlGt90zX(cN!1noIpeLcqJg zWR+d3DeZ*Bu?MM;gW{q#305r6L@|rnHQ{_$Ag*YJ)P@+>BgeDqzPn|qp;(l^7a9vy zic8q4N_I6QW8BQ(OqbW)2ZqZhPyHJ;CnTIV!H)<>EvyPU| zhF}edU~v5XUDho&gVvoqABELf5eD$QUy}k{N$797oQX2mPqSz!kN)V` zd#~k2Q3&-bIa-%Mvw6r?B#sC&yEUu}Y;v(3>){f~N+goFQRL}rj4se2!tWtPAaly6J3|(wfZ~}2F(KJIAS#@n>X;t(jAu)%tLQ&a9_X|O zE3=I)lqu8d---cQ*%%CtJX2+68DXuN5zUVc!*Z!dXr%S+{25m6ZjUg7$w4$dee@7r zoWwx*EHQ2?x0+n^t)1!5+m?Ba?`?^)!4s9Xdm_yHco6kQ8RxK)KXI7#Kwrxrk|wVE zC8?{JiBj#y=-hvZmX*M+B|yc%;6gv;a=2iV>8V=2us*jef?2*tCpG5{({{R5op;hJ zVELV*UsuT1l}f9qc0d?Mn+9qkfz}87>^~$y+Xc=v5?u%_#$Z**e6F*Y-p|q`3s6gl z*M_i*pjkX9^O8FVD!^~E2+^laqQ>Vja8_)OMN!;9`JKgnefbMT%p-=0U*lpvyU6I{fz=p-la4FZ{RJmC`b>iB7%$5GMt zfQpV2s+iCM?M!8;3COe!AcFYIsum7R=osvs4#-~&Hh*DNgO>|^=nQ2(56IZE$o!_F z$48p;R6H_YuOrS4LYBgsqF{7b=8$c1WjSCt4pZjC@`8e$>dgCo)>ih{ebW)Gl2uze z2NWq6tQ?x$sw|6oB$stA7XB6Ve?trCrj32lZ-Po)$zvB+ryt9x`Af1Gc|+m4kNyHfH2$z20cUh)fB>*>R#nLb^v4NA?r?07 zU*K+&e1B@*x?lltA@0uH%F6Xhj;yltgDPUXa~1c)Z^72JyDlDax{RMDZkfA!ur4YF zlR`xEhq{7oY++U!tAz#YO9`Xb)uX+d6hCGt_FFf@Xj)8uoLjV7D?E4Y=Fg?zZ3u&u zC!X+CyOZVU){?8T0=Bsg@&7w~lQ~1#oT?Y9=-i6oIjwbJ#1g|ZsFeB^dYn``f}w?* z+vhKnn`pP#V8IG#Xns4%5*^KW+wZiuWqYFcX{w1f+Si1)^^?Ypz@rg*+B(MM<3{!t zwH*D5X5FoUK$*+``K4{+?0iG;_5x!GOxa4*d20sNp!c46Q3urAthfRV|ebX*wQTowLPLd=0 zCMMv&C?H6=^iE*J(jK)fYoh7@hs`?uo`*ek$v}3TylT z*F?Q8u|1)^C(b313toMfVEuEC++*n@1_RwsMA>0PUKm+ns%t|9{9>!De!^^c$CExO z+j(Kdv#8kZBpZS}w{_25(RtN+Z>(D)MeFGX_Wp)@_20jzWg`|26$k>FxhNJOcF%`E z`fs2M$5>s|oNM_v=?B4*#rLfs8(%mQGvWtFBnt6RKX`5VHUO9eA$n*;=Sku%YsVDL z2wT4DWL(D03a@8v(;Ac8!QipfI0Ou{^--Eg$0J0$MGSqRzc()>CM{+Y@0D7o%E7>( zo2kSlm3AnfWQuI5s{^=|*1Ua!8{560dElhg)6E86%~?^`5UdLlTg3?u13-KF@~`*J zsvWJOjG_8Fs4qm{mw$(fmIriSmZB-_zg@34B%(S&-5j702X!~JirV7@3)%r~`sLEO z3oA)Qot_rMWEdX^QA=O*OUuJ6-4kyb{2t77vwkNX#6ES?BNmh<1;ll2KWtcBCjGOwvz&Ui_2sjC%%O%lekX1-4bb(8~pI)K3!;5~%yoy*5oq<4zfV3laygX3mFG zhwL}9ECZ<0pp-QFt3Uv5AS!~|6tVGUCM5s*N~4FWsAXnD{-Q1h?Xkm2xO>jlx7f^4Y*AXs zvQs`ZMcWCdHbLp_{xm3SDQ|TmzWmEx^#S<9zm7)A#L2gV_HCSpP?sOND;mOAg<0`? zKGf6FSY5*`PuT!b)ABtmS(->bYwH)jpn~(5_1h@x<)x$$^OC|eCod7JSiLB0DB9{8 z>S)$k>qG*5KQP}m*x6n8({|N+uc!R0!CT9lwNoLbbXMo+Ar?MsOsX`b^mKQgswUHD zuI7^x@+Bl6VQ!T@x*n$eff;@W0ZBS8pB(S{Ot#nQYEF#N$0Ys%4}@o}o4${iKqymo zdZ;m+4K2TIv6bR47RALtxvWbTY}EtyeD$?pwSPE7uzN7R-;rWzV>MJqtcbjo3WBwN z;v$Q+0tZL)nRW9;^yRzoM?Jik(Z?ut*OQfPTvyxM`#r{6dG?N58w14fq%0840=dGW z53j2PR#1Qzq?|O2bBj%wg>o8P~{0QxV?2O>Wu%y6NXE z57<8ijQMuEYshAM+t{p4m3Gx@?636pn3};&*eHBogCu<9_rX?27bBeIppw*Yzjrca zxA|F<{7G-5PM#z{#T)!Oz!rKWPGj>;nNIO|o#&cOwgsj#F$1_h`cd(TLlxGQ1v@Lb z1_p9*-RgKQT8}Cf5pD@sz+={l3lglsf{ar&je4-hV7i7Vit@@Dga;+qtds{xkjPDP zdpi5*HuZPvgXKm@WX|9Ys&bn@oy84YF6PgLwAk z*5s$e-a8V00pLaiwkCC0sVcyNJog)(T;xOW%<`6i%Dw=LD8*AM?yXIiws z__bvOghGf#7|Vl^_XJqy0p?^<;0>dc;;U^!&2@&JQjt$}l%w8N#8+ulCv3~PUyPM* zdL%$hxW z!wB+qp6AQldYqya!3T#q*dO3Xx48sbm6PZ?867o|aG(ZNBn+iKaz|0r{BI~nACnb5&~%;cZw#(2;N(?b3j{0N zVwh=E;$l#C%^hYZ-C|KN4B47bFg2mPubf=*SMHTa**7vtul(CxARk|`Ysy|;9JcDT ztuE9KFAxjlaq1F^db%U@+7O>C%38+(@y;dqjDfPEK#J!`jA^mR*A|`6se{29(&yn- zO&MH+4Z~6Y592q*7j=FTW7c{kyBA>lfQqw`36h4jm7+KbA>0C(PrLU!ayTbKL}Je+ zf5{8NgyD;)3u=zHx$XgDHR|K5l=N?#-om}6XL$(VA{YWgh~`@jJ61F=&-@e* ziz%7CKWzkZVc@W204G{z_6%)2+(u^Usujqw+ni$NzK(xfuZ$ zz)Kmpiy9DdX*IusJYAgN-?2d%!VaA~vSO%_^Yt^0J2QSX33VF>x+|WC`?B7-lM`1? zeupR$|Fgq%A97D=M@8>|W7K$I6E)f`-g0a)fFE4Wvu4AS6KpeQPnmY$CwGC0DvF}^ zvdKLGdt+303#$@GA~=)Hh!<98(E7i_e82{{Y9PP=tv_a!3ZL}qyyYIWtEkB;^A@R3 zmW8a3xy$Xgu&F3G2iBTzM0-Bg!gYHmGGl^{26vc?^fTiD=4NHzBvGk}^@{}=!O6XF ztipy_i~%7C!9A9LbxKEtAN_Q5J)=2szHw1;+(0A<8q z4G#XZJ>I&?0v>G=p1F{*_90PaKYzEF%kA9W`Kqdob8K9s{ZX6g)#=R_%Snp2F8GXB zRa+E^y^6DwH`;Wo_k42;2VC~vkpi1Xyn^V9uv-LbmDb)u%p|ouZ4=uCgVE>ESu95@ zuR(&*9s%w9nc*w2=8Z#{xX=C>WL4yxgh;teQ~bm{>A8!dI?+_c1AW3g)Y2Ao9YKkV zZ({}C{VY;la^NigXUX@X0y3PDL_iABGL{sj0xt8Y8g2c58n?E8I|3t*;nF1s;qPRr+<3Ez#up!Kii{~A{8<8RsHtuDgKYE>J ziaV?aF5gKSY3zb$$)DB^Jw@#yD9lt@l(T~fx>!eA4Vzs&;mI&m)Z$1O0W!`(aHhh7 z08cuEU#Ch$7z>-!Z|B}IHq9C31qF|U*)lvq8>cQy=3|kRvt-l*T9%-mB6MNr7=kS2 zt}nux%4=X(ab~c2seucffWx~zUYSyCXg=1NT~z>x%pxwDqh>J*HN9&yR|!d!GP0yR zvr+8i2b&(wqJ3_JIICAofg;`kD zS1m?|@GCY%ySPGdYWWiIc%siP=MH#qd_2O6g&#RYe4$+mQQKt)6ui4SuB0>$rr@>(4-B%$}2h(JFb3al_@EU>`dgpul)vQI9awG+{}bJ^RjF7 zBu#D)&>*b%>h+X@zSU>&?Y&R8QDn`CbiOhB(?+@n^&+fYH+n$c(|PTh4f!K-v4Ae7 zghe)NCZY}HW0`uF%Ky`cL4Z|M^qq&_F9j2F;_E!=N!+xPYq`e;S8&{RvB$oC1^CvM zsnuVb+M86SQ>ykJM!v)SjX9feh`#x6k5wySf+DKMkIpY4jmMY%_^Y%RhL)Yhnkb%= zQr}OI^zgJy(cA#sQZ;bNI4ZUB40jc^n>Yj_)4-=m=GbH(;$Y(zZ8wM|x!)=(_s`v! z+yb**JE5%oEA6ZM`f%Gq3efeYd{Fc4+JrJ#j?gX3{t2N66QS7K3CBtO3yAnaJ0fXE z-`Eyn-urLSd}S*01#FN!CfG>zq2lmC)<&9=#V`X0@osGl<=Nb0@S*cpfx`eiNO1)z z4Ej1Llx~MNB#a)ZBi=bOzLIFu$=fNujBVFhL^+k9XW2ox<8dQLah}H(sg?t2UEZecEjy84HgK zXX;nG0WvrHieLT<+eFFWNqu=uy->~oIY#3G7VkgWtO>~jS2%03*QO>dat%)VebkKp z5S5Brv!3kQniz_{xqci>a;R|3Rwl^_B({occwK>xisHGfu?FC6+rra)Z&(J!*>`Sj zpUF@yk9u5d(iWO9<;#*5b^q{&UpcjBh~3*aCl>>edv>8|2?&N@cyK)qnOY*6_gEYx zPmwHe%u}ZlpX8lhR#V|dp>CfQpf>kne4FkxX3s)Q-L{fcZ9Dgrv3q~U2Cf+jaq*5$ zIk%_BZi#b)^+Da}P3N3R4_xzAaa)kNI^Y7M#C6`w(VXU69bLOV`~=WE;?&T|g+M9; zZAOMT+a2HoZ5;C$iI^ETtrb&-H6Qpmu%__0^!|c2Z*Q#x3(~%g&?Z38KsP~uwX`#) zDGq@!#q~+NMKD#cN|R_9IHk6)WK)lWi{{c3lOc>qh8bU3reM3|f9Mi+QV4)7{lDx| z9d_%XZqt4+y(G|UxH2+qijZj^`r3Mn*c*#TZKthDX2kfE8usD*)g;6& zV&{QI(A>XQzJeH+SsTJ{K5Ii=2eY0>THv)qE*B<(%B8TWLI{_>xgH#VeNX~cnYVzS zUf2j#pqu9`a}(tPZRgCoFb9V3WhZCs?NVSgwDH&?Cfziuo(DXOKYdUygtqvcW@>s6 z5vNfjCXQue0q#$Yq5;ad{tpkYE8MXkH6W%ji-A205L5p(k@LK-(Q%qDAiXT|FZSyT zJz-#1>oqYYjrWd#XuT=BDD$X~*V!ebifCdl9&S1!2fIos)dxn{`0jS%4e$mqYJJW7 zb5UP}T6$XO1?03Oz_i%=Ql5Cto=;m?@qlkjP5>eP^BK;k)$rSc zEMeY`C>kfIosft|(ha?ulOhYZGC6soSB!9`j~|?E@Vd%}{Rbi@_b&`Xg$kU^L6qy2vb#5>zDHyj{2P5It?RMQwwflZShZUQzY9W_&~tUxrcO}8 zSY>xcN9h#7jd&dNLW%~VdIe0{Lv~CRT{{p&u^nBu61;)*pMo<*SH<;&L;gw~?ddzDn9-{Uatg;rV`r-*&sJRf3V~@0IGA9G{Ar4@y^Q>ZZJk0;> zRuaUKgUO>YJEK>dQubK8karBbWm6H#Or=%1v%U8U*%sDyozn+*pd1EskV5|)SQ}X% zkq11ukW+VL*zQd^uIi-9w**X)ld~v1ygXjza;)*r7KpCP1^G2xk$}~SOWs$@t>;yX z<#);~FjwIIF5xspeutml@F)D8rvfl&0ynoSu^ZF`$8xEn0zW#rf)VVZ95vsKmA1Ad z=8z$=&6f~`t9bd|1Y8|TrrF=^3l4ArZfF9p_#THA*)%o`xD^s4^ z4+;`TEpMy<&)xBg#vSRMFw`)yAG`u<8hQM<4P2RK2PDG81n}b4_$yq$K+12l)h#>D zo?ItQwl>Y=Z<_&YWf9|e{~VqmrXFAqHF-<%10@l18}M3MpY30Aql3vzWMl-q0#hv33xcSw_D50VvI5>%y$}N2QFDro8MwmZ#A2$^UjyJ3R3IJS?o?XRuO~#Rl47n z%bbl8LR1L{R0g^kw{hPbjW$!_ox;g;_>UE!-Y0bdQBm{D#!M|WWYs5h{ZMYIdx}xY z&+Ihqi=3A^HGF?qZCQdy0LOp?=GkgXp2g9&?|4c&8Cc2bs+U~ZuvV3`OvCW_J_(Bc z;dJI}M}vi*U#08*nP*2a4bo0*GiN@loMRe?JOe8}5KEYL--F39;$gJABh7Rg4?1Qr zIDFKW3m+=5fAA=o;z??@o*O58Z5ig%5Kblz&IAf8M2qR^_Mx+<$%;}1UCM?MuUHCo z?0-K9b|c!Vtp_%n-;9E^NVj<%o&}un&<0QJ$zqcsw`bR31y*=5f5k1Aub&rIq+XUP zVwsboPph-E$>!6B(7i^x;ZkUV9B}GsR9~at{|6{=`m|#~_cEYXg2`1a&wLIZ)cYJd zt!TVOvRc2(7?)gg-zB2dXp&w_Q;zvmRq8i6FmTh2YTJY%v-1YTzERWzn;@EEmL;|a zqkt2>=ioKCkykx8(VZr?*&v0qF?34Z0WN0X1uxCD z@p3KCD1&2Bi+GXN)(_pQ5>l{u{F|zf*xNSZCvP?cU(o@Hc}`zy9rf} z^@G}l$}N59d4{^LZ+i|B$mH$P(vUuD&#*|^&;On^syAzfYw}T#Nf#(v47+bu(T#w} z=Bf`r7MBYT0-S0d_N`TM!SJ$j1cjiengh2gPs}7=?MluCwQA(N$M#{{->{0N^I=xJ zBNWmy@-|S;K)wsg_p+0W!~hp8+Q)~NRXJil>>do{TLSnk8Hk4wHx(Jjt_Xd9gB|Ud9~vzP|Mzy>sk=lA zWnKALb4CLkkx2HRRX$lwWlgim84wg!&q#lW%GcEf6aWoMv0sR1c5vek&I!$Wg*LAf zXZ7PI9Q_S-)aEyamfrPiM=D}__)4s1^@x6pYGvS=@IN6eJ~)t#o^G&&C2J&Uzn&qF zrym1$=6YMoYxuobLM$BOafZj>$%Rdd?p#?<^$N*%N+ zD?6h4$X^Dr@D(%r*3SUl<$i@0q`<6P+i1@M_RIfEnlUi7#b5osgHyv-xZBiYCjoQu zaXHDEsiD@DX%hT#86af9vBLvCa6XxcLxZm445g9VwAXE<9)KLnt`$Pkf^ZO`8V3Ag zNl=LNm|d8^q!L^`WDzb#0e4tX)=`@7idK_yy0<( z62VRBkliV5w?DIk|K$v;i?^RA*9-sAZDGp|)2HHs#cCK)W^i zy8cZ@r60RX<{31kMTS(jeOFXKX_z2Rhg@-`h+Yw_;Ya>v{DLMMA%(yHaRS0O#2~M`}{$!{o|1 zr(-ipluMtU75yv%R{Wlc2e6Q%?APtVNw|fahNOiqiYjJ*EFDK1E(vGu|0=u(s9hWI zXi>u;u5lJ?RvaYL2u@eP5O^L)7!6pw^-S^Ki-K~_a1rhb$&>BfScz31;6c4u>1!k% z-GSQ^j=H2X_`2vjTQ&(BQ%VHR zHw#XTLG%H-|8T&`PtG}dxNe;-JU;;q7%$z`!1HUghLLQmY^QjA}(*dQ|!V+yr&QWq{ zrC*DPn?Dz0(=WzV90%e(RQjZ1kw9HlZB8Ob#Vj#wKY+UQYpYQxfEF5V4*oQ}48!e@>k{3?Jd+d~vbKK!3Z;5=YO0dOIBt*|kh zJvH4W6~!05UD!wXdK=`+@Kmafdcy;A6;pq7bAK~A`xgw&buVT6t>*wDDo^ek1vmRP zuW+H<<7Pe-bmT;8d1DoEKh;Dh)A|gHsj%qO#8-r?U3ZTM@~T0U_iH1(()?Mh&~d!@ zRBeY@q(l;4s^t9yk_Iu$=lcvlJ^Z!P{TDhEr^!y#@TPy}dT}iB z=-6ot1kX;&MfA;I3)^{K2a$71T4|OiuK`wb^M0~VZja~akW1;&@~9D2eM=2BMH*}- zW#5xT$stvMtHa3Z4z2tt=!d@dQzPc=9sGTA5Kqce?A4$r756sk63QlQkrWTkObV0p ztG;rJW*36!4E=AnpeEew1Er&B({4!tPLNnSLzrY!wCwS+r!xnqm$MK0Q`{jKKSQHr zPD~em4||l-2gBqQch7V#btgmOa<}TWaG>|+2~*A;cxSuqMog6{NK9q+k`VJ* zR{6={0AWC$zrjC)>DeuGE(E@MAmXEwc2GODg(RM5OuQj^iOtl=#WkO}8!F zN}JN~m>Rjcl2Wq{mw+h_!g}oIrld?A=|YcXivm@+G%u8Xc(nvYRq5>vDNKy967{07 zZN=#JZ-!P02hL%2AEhjbBtMTaPd5*Fw&-dUi!%Z{bx|&m{?f;srLE|v0_AQoHbPm>oi*NK@h^@c#2O&-; z^`Y;C?l5Eczsv}+xTj4O5AtE`?svwgtrgmJ+oJ~b!g1SJiA%#RT;^5rQU8no%Zq>V z@?>@Su&iZWQ5!cGc8%S@E?Lo@kLtGx+oBe^9{MhZB zxzV((OulH2k7KmIqRewtg5GWr;t%!^sx5c0nYYduc{A{X_H<*|flrkvL0_)VSm-|i z#$YT^p?0w6Ba`BY52|kI<@SpUPtrVnEuwDeFRdL?r*4}+<7q40XFEwXbe_vueD+`s zf2YvTlXw6x7blD8+b4iqkJ%UZf6 z(1ZI_sv|S1itz;}r+E*oiY|fC5k_=A#Q!dqf|?D(GVCa%A8?~-I%KwRDQ96K0T)R+ zz=suR!)!I12)**5Fyz{_F0id4@R-J>JOo+F%e-`xS}biTxj)qocriO;lD9qx`r{WQ z`7mZh9-W204|pY4LAa1Mr*?z3){Qm6jf2%=@1P@VEXo`{X+1re68YS-`3Bk%Iq_y1 z(M=_%o%FL~;ZA4~v^ZoftQ|87m$={dp{y%rxeA?LrB6$V1Zf(#pMxnH7kj9#3ci%JC8Zl{H((h2_WY}78s*UD810YuJqR(*c-CsHsD5J#-&)A~j z3^Y4#EwVZgB+C3`*l6JJt0nvPfweNnEp%X<8oQ4@_$~@KJV1ex;4D1CA6g&-hm=6w zb9K2wRM3>=iuM}V5(lFI7FNo*Q%kmF-EzBXo>yBT68Wr9Yf-ke!cK{Shr)0@o=vJh zR}I!>BlU{O_Szsr^bE@vU}4jy^g6jCka6-685aKD`fEJV2+N_E@;WS@E&00Sv?hc> zcojl+I3)Nbuv1d)+$OljH(2Zq)Y7?#$9IP%f{`BtFpPnho<75b@7Gj)KSFAibuT;g zs3?ob0N>(Yw#;HT;s9yldX)fsoM_HN_ae}jHa8!orBgD^R_#j$;XUs5MW?)-m}B@$ zqF{JLsIa>Erq)Fh#+`E?6_&)rTGXIs5w$(cDGEpm`?hPZ>I5DyKV1Yp9H$Z~iBq5n zZC=b7N6zElw`UD_<*>&0Q3gZ(7_qV96lWMGK!pWIY^zWsBw~Gwd_$_i`1XL>Y%cO+ zkod;T4f5C(K~rML<6-d6s44{fV0vLKtY%V#O=;SMY~Z^AqIwYF-u*)1_bM-?V}m`q z5!)o8H6P_?tmiZLWZdzM)V+Afu5xuj&dpSr3F|N?t=8&FQz~Z{fCM=Q&}UaHTrncK z4cguR1?i$Rsn*SgEo~d8DoC@0*ZcpG54&0jGH#vGh~D|;O{>V!Bj-ypDf-e}{m{2O z+f56};>4M*j&+N230hZWWxi10j;1gPJ5&!Fa5cv(16tA)nI?5 zUVr#Rt{lw114| zyOW4cWv%DzH2?&0z9oue&~jZdGz}{*zlooAF&tv5Q#%abTvb&7g6I{_oVaqX0@9V) zje3j)R7#I6G3lJ$IIIJn{18N_oOjSLg@4@Pn2OgKswkUk9Z~{2!J~Kwf;5C*Xd8WL zl&zn428tu!7^d}v(*BWr(u3UxlBk7mhyM}Bq|Cg}<7f^L*bC@l2Kf>FP>rfydMQj- zV*MyWduS$f=WB%zu+xR9Lw+eH#kwiKWQ?Jems4j1z-P^y@)o*ag1ZiG&Tn_^r5gIN z3#~{_%!c%vyTU&O-ieEZceAm>$LIJIjz{$`sUp;f!6B%VNC)AeEXHPyB~Tf8 z_p$=>C|*3FBHsCKfkUgtAlWMuQ5hR1d@X2#9-0jvjN!HO|U{VtLYcSA8= zx97~d&?2!r5B3_Giq$*-LOxgC_cJFU$Fh9xypH=R2;?>z8jK?!0MF}f?m&&Ry%-csU>T_ z-+6h9!;xGMx|kr@;b}rZfoD?cH}Rq=V~>3oyEzJL(VZ50>De`KYEB)-ACsZAGpT>V zB{P%sWSU>S@4&HCXz2Sd4b-=RCE(8$s$W8Gxc7dzNgF3x^{lHK902^%R5fttS$_Ge zk5S{{XtHH4M!l7F6sXUii()b`VU{ieGUxRs5#!XX0bw*1y8$~`!Ha{`xcl@3r_CWR z4S3NuG(+e+OCzcd@uwSblE<@cjup>>^r85D|1dRqPCh?x)24Eiy88xa=>f}^Qh>ld6yZ%Grxj~BFUa8KN$$w6DLA2+tiOR)(CQiG!_$W^0+R~;X&yD&u zmSzaMd6B1gRJ8`nX2EiSx$L@u$IApwe|4Ity?Y2G7r!HiNh5tc6{IBAFqPfmym~2g z+E2F2gb2XQ9NRK+P7%r0o!A=t4QHpNJ)M@|aFNpKc#=#?3I!$`L}f8flPyrvlOy1? zZv+!b2-2s#D=5wmOi%4!P=kZV`Ka@)ZNU3L$Hv}bWNas2ZXO8mdJxTvCPmivsdBfm+OKpske7#C>&}Bn2ncrUe#?16s9htW|4WRy@Nkoa3 z)CZFx;+Hi1xXIy{jJHEh#ts$VDxG6o;1tYzd8~Ybxw6E4thq!fpjnUH|*i`O!A_c!AU?9m$ocfT7MlraJw41wm7hT?kJQ zJW}fXuME7GTAHxnyf_}=jxEkEuKX3~C4@;~r9phzPbo$(K4$(s?VZlX zk=MpPO4gzj85`Ot%(GoEQx~f;;qakE4B#yQ;I#&PYU@$Xig%Lk zxY0!2jBi{wwcZrPKUXb6q$MD_okBEdeBZsND|*7@l6|@2 zw2i7e^A|WXq*tU#YDc<+0%}QF>;-*>vU?dfk>MOp%6vg|M|p zz5yS7)|Tc-Kyl75fRDHQV7p^pBe;jUs6m%xDmgC#j=q7jB3Ci2`!DS$f)G9~@Q_1& z`yxvI3Fzq@zgvY;S480-N`!vH7o=1-ztvX1Yuc1j$S0PXXOsQ38!J#PzOyg*-m)v>2^?QcrkMjbnL;Ed^EgNHBSy~)%7$6b zXP_H}gZ&>W1C}5ay0&%FY7QAs*|)jO@H0Y)XAtOQ^jbORz%2_6#vToD=cu15zRHL> z&nFK&mGL1rpuv6|%52?5@DAg&S^lxBza4=I?7h!_CCp=ol`yVX zHsajN@Oc0`mT4h*zSM4B;Y^at(7#0zMnhNb&nTWXXqV|N-s5kka7h_r@5NBonQ7YZ z4>m;FuA07*DsI#oo)N>&;**O_`ujHD2H*HA{3oFlnRQxReS__XrG00DA#N%{Q&z>e!M3O$lS|C@d=)pm|JB#*lqu`do>7(z3Kew`mcOH^V2!?Eu~LJKU0=4@L>LD+qw%w5C4CaGJ70NDNkUPIX6eK*%GQ;ZDI z>vJr_j}tP{PO8SX;F@yyOeH`sD~+FV&~mqR)Lpy2mLtYIpArSAIB1S_;a?S*o&;91 ztNzflET%fT?T954)~aa=`~0!jQwZgWtWF#*AFW5VNF;MrV)}}X+d3SB=#m8ka5*iu zd&#;aFOxd9J0!^-lxvVcAJ^z%ZAaOR*q2jQbMY(|@&E@mqxe?{@nOJWhJB5kk^Tre z%(ss8q5%RP_s{{#UuMC$CLl~eNJEIcBFHw6Shlj(#JONx--lPkCfiK7M;IMa*3 z%qDeUNAjdBR9-hhT=cGks9aOp&7iSj_n9r1lwe9WHYvu^Rwy+*va^06NO{Zny-T-& z*2KTt0bhLM%HJ}&o~u!atU{%wma!YZ1+`+yp3^vh)`nVM2dkT!z{M9_d$np9V3}Pt z24kB}IR-qMFu*jj2#kT5o!ijZhQOOzvDedSrcn(MB*7sX!$T>3_zGRGL$JJry&3SAhI~ zlH;Lb!EeuF<`&GX%3^xnAW?G8QrH;TBnUw;z;5^*=}jQNuf&f!!`&CrNhXIgh{%?Q zL;txyF|Z*A!vn;HP&r_11$jKXh9J5)7$+E=ryccC@xFs-lFa@9i#W53*9l{^*GkNj z0M+=x9>y6?jv6((gr^BjcQ*z-eVoE4v(3T+R4nX}UysPB*;^u;(2szU;{UOyiwUPE zldTit>j@v9AL#451y%*|8zaZjv08y_f<4vb?u=Ui@3XnBJJ1*_^r|L+su zi2PyH|F_r71Drb^!q1|Af;X&KA4D`_8zbzhWE1}eW*6KeORkpu52*JQvS4ssRs@bL zHb=Kr@8d%5FcnzM2Ap^XzCqDK|Bk=#(s~abN@i8iB<6^-Mv8BcV`NS;0aUti0=!z1 z{2r628@>^2bgg+1gS`#FX|4P_E=n)&5Q_zk&kp(YQXnaNc7Pu!Rt6CqByxiOWpGlyrM?%)~al&GYh2>C%4!yTEVVAn+}2F*L`_j z4R9z#qT0hF2kN%(Xb4NNTJEuN55rDOd#2y)vmkSeWN`3?0hU9*N;8V=0u%t5!a*(w z;s6~$;=gHy*DpPP*qBq$S~inp^s$|dPAmk~jUexe#)k?_kmfWB3UD)vV{aGbliyY* zOZLbXVJ5RloJ%=Dp)!hHK(U0Xvb4WRF6CWm8|-22REepBD*rxY>ZP9d>YIcl9Hab- z4{^ReZd{>fjTieWP15%H)3kYF)|KkYH~kamq?mywz%CdI3MaJIWb^bNZ!=D^h~ZlN zo;gU@&JKjz3IISiL7f;DXuC~J5tNe)E$BAZRVUw9$oeb)^sb0Mo^qO`|6gW1XO5nR z*~S;rNx&hKtQF6mDR$$xI@pFU5wxx*s4fFf+@H2cTUw&BiK77U#0_1eg{+)FfoQL0 zYUj>6Hi!_PDWKf`rnjiY=(GRVc)go{r`+Nij@$(799cfn@9rsg9^+gT*A>O#LdJ3B z`AKc7Cv0r?Pt3-#8FXSHqqGbd!T&?f3skAg1y6pfWwYn>ASpH>0TxD?|~ z2P)o1fi5jiuaIdGLZ9=)en+Y0EHv^qW%!jy=5x1V9UI( zLd_(t$cC|i%8=R5jF_xY43hUIL=u!n<SuL*=V($l4K>UC)rU5n zzdA;?L19XQ0mT=j%4z#39CQ2^<3qOAYH_tv9fCx*>y5R~+@tve4?l&Wt`Fe70ro|F z4X_d45_tV=8K$R|N(-O@-3pWC-JB4+f)|8sfZ9w^?)WXXXVqEX5x@a~V2bWDGp$HH z8vLsPWP;5}ymiLKM=4P!%p9rE(F7#?Z2Y5D>?Vge_{tW5r0(U}amw>J7{`H!ata^i z{VyJvAG#LXO|kykBOKIXjF6~yC?X$S`D*>qVRR=mkPul_Y0t-?jcM^0Ep9I`?G2@e z)kBmikPeo!UHu5~M7w+@8c8*mw`5lcJ%pZ0;m)oBq+=_(r*}u4@{LR(>KI$Zf1vfU z4=4iy8(s$$O{5y)bh4?$v`BCK-z{byJQ!`_3nN5zb_I!&3TMH-L?47Ky(_tvZ6&{M z1(#iYgK$DzM#|QAwM9^@MyKjiqqZBikv!M^M4L$nfSs@m!N3a@+qb`u?$#(KU-Oa0VY` zU^qI6WZ-#YhwauviDcI`7wANxaU;vyo!+`9){A+xdels12qAdzec#R20SYdF z@VmKcB>;+9mV&*tEpvks>hV5M2my8i?Ur*|8W)Wrn4o#CJYK_hhZf)<Sny>>EHdWyW4CN0f4#fse#;6F_lmv2bB8|91X zO|?$~1zl_jbVli`r4EHw9a23G9ZGPUyTGw&OI(2{58HS+mnG2&ctrl*46oI{FD`S= z5T^Pa=+;==2R1r{2EUD8V3`cbQPhe36i&r$%d=J2pQqHxy$!mMiTR-RtbK#o#&IR` z%;%|c&87j?F-uVC1!TSHkEU3G?8*{lfWzp=#F8X}+&`|1i8})$UnY|j=mx}@AX^gP zl@-fZeFg4Mn}X?|uv+~?Dy0VS$c$69YWlb;j~W3ro}4^krCEZa*O~CF&To@xg{Dw* zafyneV@lb)GeMLiD?{VrA*&fIYJ+}EPG^M!l2P09D z2pms~c-(v<0)gM_a|CK2Tja}%mXrGrt?sRIiRD&_D%M;ywjR)(-#X;|;=`g;=&DZ~ z8SzQHLth9q-R&Fxy1ECuT)L>sZED{|7B%v&vjDC)roZ<|h4vYqIv37z&03}G#qIK& z7ML=!Ebq1)VqF|-&@6Yi>%;Sc1zJgSx-)vAciD0va*KEO|#2uOo?B?Wu|*4 zcgUb-9nEG^JiX}WC|HBq4tpc!s=DJVZ38RZ1j|Ay8C5cXAnq>v8bjTAXGCdd_sNk> zZDR};BTC0=J=PiP6uH9k^+z~aBx303q6uyA57;3Y-NdJ7l_j0f^8d5siQ-Ca95^3B zBSvO!lxf+U663{V-Lsk|ZF@|Q<5&6XNVQtp-oel5!4x0b7lGuR1{0%1esVK8$zl5Q zp;wZQV~=W3JAN)PSPf1@^@l3T8w52@kT#nZQJ8qX7u{w% zTM=J&UCLDFp?mq()Nxp@w}xgiSYV%9^YAp2guGB2I|3)9`Q@~#w~VIjFl^eWG<(6n zNDg@@9^pE2BucjR#5N0<@?GG>+=L<@i5cW=Vo_3?bsAX}+)91X=}J{bK2pcMOA}eL z29-T{x>rL?dO^uNO-D1W{eH7JM7ipU`OSEh$hX zp#ER_^**Rp&DrmE8$d@C0K;TE0r7B9=7y!EpVRLy*$5oIU@bUala0#IS$wZs$M|P& z?whx`Jyw)J8WL3qa0FD{Q#ah8jYr5-LWx)vB8ga_k0+^a(|2YjG^mmyvU>#-DFe`(K)n5EwPGO+f;;#_uLLpN6@C6p<;V&`dg#9d&j~a9^u?` zXTcO5ArDW0|8?npT#U(8y)ud7;Kk>6=Bo+P4lLvn($U}*3J_D`c`1Zmw2$fhhOys4 zmD||PyjO<5tL(u~yvG~BMhKAP!^_>_N<_(`vepO^wGyfP+$~d^ns25NWz1d~{-&4U zY+aS$^DO83`OC0Kdx?7P+=cgXB*$8kZJNh%6`roSPrf+JS2Cb2NJYT^qJ6}*AgnH zf&$3!S?RQEs!D?D+BMH!RzBeh_q5RC?D#K;yGC>~)eMMuYiE#XdobvHi6dD!m&ZQB zi^u8V7+=@HreOeLW7%^X_|dVU@V1JZQV?VtBzVENM_k+tCluTL?}XK9s%njMzrMm) zj|*!5wV-;0x9^j9(;D$S@ZDdHWvq&<=2bCZ7~S|d7KGBE(z?{Nk(-Oqwh|KCqIMCk z5NLI}j4({*kY3~Zh3c8p{HRgg?W(tPYJMH|R$#fkMUmPd6sV;>QK?vJ+U4D&&?kWl zgXJ$Rq=?PvWk0iSs^Vvt0h=V&vR%>r5US#2x&|Hsr_M4!2m)QGim|lculRhB>Ioo3 zz7XLe)cvl=7yerNt~4_w;t3qsw|EW<5oyVw$&V5Vn4HTlpbSpEa-JfX(agr9YXE7L zGg!ec#WKRJ)&=ne0bTixXW0rx8aoxh@&2<7bDv>Bt+Q!6hPT#C5?IaowZAhsSxKP9 zwCRC){qrahWenC`MYPnM*CM(xS>q&OFeD0WH+GOR@&b-pbX-=X-I4cbq$9A<++)~_3%2^fFloGLGw>b{o{L#P)<5M^f&sBhL z>JPdkU9@fb>tFRp%0FEi+ejHXlJQVaSOxZFK%)Dd2FBHV64=#m6oF)aq#h-)J|xU| z;0oBq$K?F^u9o^{SFNb)@rjyE2Pt%F^)8ym)a_MR)6Y>HoPm5Gb_ZDm@~{I~$HCX8 z+9ek=cv6|x7vT3pcH=9?5QfI-r=$=f7bf<&{@)nvBb+M}iU&IwUUzn#>Sd&bONfkuZV6oD>Q z6YHV2jIy_R5$c=AKQt=l+7CVS5%be&+y3z})_KNwQc}*{u477yMJMb^2r&Ns3gxbP z-oo(fD;t&;8-%nlQ=4)wyf%n%jC{gwh&Cpil{oXgUlyh9N`-0<1*L#+FR`gY&$7lM%v!zhoL|j_cTEL|_7aS>$yFV)3Z0ZcfnjwfBq6lg555;Rl<4+5IU&^6~&oar+*7O&8TqqIx_+MKN?FFj}z*} zepdNWv$o=uo&*t?ouo5VZ+UcRDHD^w)CV%rRk@XHrENWnaW)ww`ikjN=k6iq)DvHH z&hJ(oO?R4a2vafgV-T%y3>ZIqdG+ij!Y#Zo|64PHe< zJy*Qw+X?28^=$ltKO|01a!mM_-gXtu4;uHWm4!Gi5LME%CT!kvs)_zkVWr$`^@Xu% zKsZC*3in!FfNerr@5 z#Bv7%Ks4-(v9VP#flUkDpM^KxxC0e>9pH5Uy8J|5J&y{N6&VO6ckZ4~gL_>+HVc+^ zkn6zvtry96FXTs_1 zl3bFs$C;p6da|%+7G5Q*?9;6ME{WlYG#QY9CgF4FxCcwd=ddV#SLSfpyznd{eCP;( zPGqfwQtFon1hP8A3uYpvdM#8T3X)w?l0{p4F?Fkip}6jp$+!Go@hj0Jqeh2jcPNI% zTEVQJsmGUbU;N}qiZtsF(p!U9A7&FBL? z_9MjtgcX5uOQO?00%>lfJv;s*nkR@BZeDeqPU%nWy^VK(T%!zQo&RE_k@WkAZvzuL zxY_d%tW>se>hfP_6tLQk>0&Zj0{cPNn(_)5zh$v2GJs?cft~;Hy=_QGy|#e8uZ2!) zcS0w7GBB7;2d79oa!IUA{#gJZ{t!>6^feIRdLbAH+%2@)a7>nnAXa(FV)@r$loN;%5*dT5y6u)};T{4cFa03_o= z!tADVo~w1p9TdJ!?R7)^e^mleY`KI9Xhyy5NM-!hiYL?}ZBpVh54C+!lwGvQZEBuf z%_3XWIGDYLxO4%`J1!7)X))Ih2jZBjQTQeDj791DPx=DPiC-5EPV-t0>m#Ek2 zFO!+eJILBRA&K1UT+sNG=LN=grWX3YXa*uIm0hv>$*6DQ`+*IR>niV2js62wVc*#7 zhXcKeHxfy=bx(n;{}pg)0WXNC6q3?%ukq1;`7XTfZiDh7K(ofwyY&s%p!=^cue=Db z^A7T25v9y>37Odc%U!|VsTQ{UfWjf}K#tCY3a8uzt)L;O&gS+cU20aax4p&jv2YjT z{~rEzaV{csFX0k9{S08sN5}Ta^)@ZR(KErih3vuF%DMIAa_ohh zHn%~fV}M2jIBKoE1;ADlPL|i;ZD_kWQSzR@D^NF==EqeuNDu9DG-7Ns=gYs16y=X= z#e)D$=C+Ahwex~2`!fxR!Ja=y$o6o8^E1~o)h@h}c$YYWy@Xqiy4V7o^Gg@EO5B!% zXGRyT%8U~LFsFSdBBhL3Hzsqvr&WFl-QkLCgd3c;j-OC94Uza-Mia zmDYrXfwB-Myr@=iS4vw>C_RckW+>&U&|fJdl*u1Cmn@CU4JjGAcF;F_+{8?Ly`!%* z>Un=Hq^b0hgWtn;P73IHOFYbxV*f?m8sR0qfbytWo)Cz}XmbBHRv)Mo`%wGu?N6nxai@Kw`5Cd5P0Di{ zrSl8rAtU^qV2fB_ILp56-CMgJjGI#|BIRcC`;fge2{D7*s4@}`#b&s`cX3gsR5gCA zrZ}YM5Cte~RIxPTsl@yoNF(H)BXb5oq9h%BLXt(%nzU^yZl5t?;Mah8D!Wz#(2;YP*H4kDg;QM+VJ7s#asjWQ&VY=s{j2SsaaD6 z(1c_2`Jz$YN%$~@AW}9|XN8&p5qYmV;!ZRHIB%`-|wf&uEmAy`eO?f7>En$2O85PIrRdvnE8;SwiA|h}c8efwy~NG-Wu)R@FD|m})oDofxX)(L2~x^Oo^Z$AlGq@u7N)S7(?_(KpT5%H$mD;NTBdN{w$N2~a; ziU9G3dwnj{)&}{W4>n9ElGcbIJXw^JmTaiaDb3gtoBg3RY6`&Bo1_)tqK#||i9OJt zv8ZVw<;MPHnZ}K@+qO2 zW*;zQw-^F%DfJ8x8I2qs zY6>=!E^kO+-cl1J;(3>awXv6-s>Saz}1E!xe31ObMcm zSNN4NBj6P&-ul0WjtZr<5t-A23s9*Z`CN&l(ION>_8JdKguz651>o}4Wa3&P03oGR zK6&uu-ErQ%G@__d z+PXyIRSVyaY@*9udi{9L{U+mN@GI`l(5^#ylgYq_$%p84%oZV%y$fVll{zm&J}+v3 zE)pDRNTjd-TWWR&q=#DTD;MCBXb=fg=3IsR5`=k8;swP?t5mrc6eSncdoA$k$eC&2K)}Sbu)w>- zpFh};^L^Zy-{jQ#`F%<&uzm?Zs%|%~BVOd*%x z8`|}OHw393x>RIppF5AgjtvFXf-d#T6Re84-vW&KN$jxp>huD^ZOugD6*c-wt@mtw z({D*cWe(HMq+&QAG0g?OdKI|W_=E_fOS!g(hDSJ&-j#jdNR8q?&`N4HKZvy8x-4Px zd19GTRV;kL^}Uj$bAY0|_VBak3%^|-(0)RdowyOjr-5A>d~j1L?93qf5y%rdHl@kp zVnD!MIA)fn@G)t48>MmUA>PT$mJ9Nb-CtJ!A>5=WLuINUhT^Pm_J3BQ5u74`ALU!v z#%FA%{pqWR$}c!_bC*m)GcAEEy;g~+VZOJcxEhrI-2Uq8Tdjsc;c%)d2OSSY znKjS^(*Y^(5ukfRW;ZEsA|=ppj}W#jsSew95}KUKHqmM zYMvU-L>hCzz#zlU`d^_rJL#u25EoOmOiYf?qzWDVz36UjC?-^b2E^gv2+|jmS8f%a zCeo+WS0^#ZIW6G(i#AkrT~@mnS2)tpFqA42avC!Bkj#Z*)-&L6kv9^mgUWW$9&q3- zzZ@8?vuTln*#TFr#RPOy%M4pL{VI@agQf0~-B#!`t&X9Wm;1zWuWpSeqSiK_xxDVx zG*If7(IlwIF=Q5ACTe?KoS`$xAx@ZhmRJS6ZIx4Jcu)TQSEsr^4Ij62gHU5HIqzaf z?EXEms%S2*whK9~300J~tBn1(2l4%~R?1H|kCN!pn!q@PKpX)Gqwm9+g#T8X0FCV# zxs9+qD}TX=R#31yI3l2&y~wuyYCsTLX&0S*J70A$R2cw&+qM=D^Gba5Nq6xWp7!~< z@$=+%rq4c!|4EuHDHY-@&+^4ecLpc0?zWCDe1xjTOo!r8P44!++E&4~Ud?Et+0Bue zrETo94@Ef8rL{dBoSJOIk7yG+lI37wiyW`)oVv3&#mV1$Ig4SqvdjWp>I9Rw4jDcU zcuOD;BT^#}>+=zQiY?H#9AdlulD4+Nsl{15#-C|@B zP}Xf?q;z+?H7M1&wcSz@03+@aqtQ@4#+#mjGW{|(c{Xd$MP*!v5Gi_w!5DpUKgIl= z{lBVdMnzct)Opo{1At121q4iT*8Si3a)0bjh(Lqf}M*9uxj(y2CEK$xz`2@?#is$Rwp7B%Z7$W`Y9Qj zeK;2jF0UM_;T0+ml_ntksU2eH<$kYUU+RXml+qS&FPm09R43}Cx6lDy4IBpmM07h0 zCWyXIV?B~lK@3gT(TMKoy-+kx97()I@i%9Z1J}7}Rifbt0mUU-KFu=19%pCfqT(b| zB*WF?~g!%N2rEosqz$AhHmYdL9qQ&;P! z8j`L((z6#@=zK9phgWY-jUvopS65i+w~Ap7w`mzXy}&jWha50+HucT1fB%7ui$UcT zieBWkY=auu$QOl$DvlM)|1B@Eji%ecGy9`|PP8pE6LhOlNE(3k*$YE=7Z ziY%EFpHIk(0YH0*0p2&)M@@j=R^a0JDnwAhk*H0;UGVl0l zkLw?OF157+WE5^=9Z>7}!Qb`BAdKUOa`&N?qBw_R_k+B$*=A%+<11j8AI5#;^>oz<9$c{bxUneH;{uk3Rsa^HJ|q5puS&-ZxmxGp3`D!#+S;O}QAeFY z70(9^Xlxob(93~xI`{^= zetJO1S8Egn9V$K_a3ipb&~N5%L<+d(^%9e3zo6tRw2zBgYP{!BQuN-u%7F_T*J z^OpsWoU5wqVWs`&GU@yep<N)7_II!qbXPiqA^f&E8%dmPp=r=izykGm zA56`V>QE80e1|T@3!}G}r;&75{QjhA1U{9yb6!}DeZpVm5{AX)rcanIH@jTTASDXE z2sY?7Cq2YH=QYbMwn#hDtEFI+caG`=uK11o!Z2*i%=lh8UUMGHDw3Hz@2o*?wGzGL zM?dZomcs7uziQ8v$7#no>h|H1kpfFtUb{t<( z#Rrcu+6q@QZ`3%`<@_%A4nD)xK%|$m^y;i~mS);ToHjw1+LZ}k0;W1#GBPbMux@t{M<@%it*WeZ$%Id#tCMMOiUUz@)k< za09w~5|Cc)eoAtgB_yFx7Hx1_v=qG&_;gg51W>Ue&&D?>JA?uMygu4*CPA=T_l;7)Q`_HTEH&BrEb0)~n1ISjml+UxV(BvHN{#q$f5Uy!F2Y>ze)2P~{ zB*?lY7>$fM1o^S_KXh9v{N-MZxHQD9CjyOs*Gij>Gj4(m8@jN2^qo)S>ys6HMQB#` z&go7v5mf%4ipC>F-2I#m|G>z1a{93)J*(0Au#+G(Y(NMmYR*dY?C#<%D7O*|{Lm1q z9_)*&>U9mvyp}y_Y*5WwqfFZsYi5BOhuYINXRxe3y4~bd?cpXe61obXFvOGq&7zR} zRS=%>3j$&w$mk(07<2RVc4NV4w@+*5uz2)n1Er(WQ!JV2oEXaFNPz8Y;2I7?A)edp z?-JyE(8?GHqeX*0gt`BhpEzE&{D#ht{o~pCa(EbWPfvndw{oP>8zYZlVmeF0reY;p z@AS&dUrM?G(_eoCo9MB1c7re4xlw%vNt^|0QDf3e*Irvx8Rz#g-ju7KS|Sq6+XbaL zPY`Zgr!oLaK()USn5qOin?HL`w)8l)ILxZN)e}l5aOv0^3WJ~;hMKAPG_~v+A(+~C zkm5pNIZCp4W<1Mg(m~{0;UCd)*PD#y0KT%R3}DYib;~r)FEfqWsPtjd4!cU&Kb!_A z%_$bV20!HuXXIK4qvd8PnS?F>8N2Mx!kYZ*@l(dmajBw*m2BKE&LS7VQm#RIm zVk)2|3@vbp`Ut%F7f`8~8yRMI;>{u6kwZ>}Jv&19eAsO9AVCstNBqMil771f(nW%3|8v%# zySx1e9cUb0+;Em3Ca#^RnN!+Ajy>yirug=iqZDrRjLhsiB*puhAQ6Nzc!xb0YMB>l z?p*!hXq#2PcjpMJq(YUyws8N=GWt`CSgl*OX_f2EBSRWA1O^w}nIXb}_iXiD{>^CE z{NCub^i4@<*MtJeq?c_>ioj&INO4wRM|pT{Fy1WcIt-LHu-k+P-(ziY;*8u6}!Li*hIF&$_XkF zl7r5NIdRZrzkC??FgO!#zPkDJFEW`q5jtC8W0pybYY`;JTTN7x4jH+nzIo<~Do-PJ*os#fW2d z2ID0_fv_c8{&Y&gP1BtPOrGnTdmD#3qRv^e<=M8_Shw7p(jqn6SbV?x|j}WKlAW_L*V0J+9QM#Iki=2;R$4V^QlK6@Vn&?l4hLuvoq02 zCmzWDml{C*b3$A0IMPW(8*`syf`X>IM`z|>#K7&5cs_FMC4dzYQ1?QFmCSwBXwFq2 z;t}+`yg+hbTFlI~`R4F>ww^VZOEnZJ;wXgK6DfCW>GPj#M4pfS%kl-J7L#vi*gdUl(OTK zw23m$2-1DladFcFZmD=iKUdGCVdp0$?b__b=tL+3OHb3giVFflh7&7c5{4(IuMq$Cl8R5{+%s@{7ovXD<-X~2y~0y*;`2X zifOXz-MIrf2(l#OOmi~!bpg^H^B%FSQbDnKuX!LxBqd`saOpNI{OIASNL}PDtPgkr z!x}?9sQ#>WdP#BQ+a`3$z)!e6!QP8zMpyHFQ?AB2Q#OYc>`Q9foyrYkb|P=w^VI5W zBs`TZ!jjDDi}lTBo27;LWsU<*jZXgC#}H`UNN#2$8RlE?XiP)zSG3>9Y3^n#? zG$5hCX(;J}G7wrcyzw=+<_l-Iu*P3U$m#kt*MFZTu*r;gjIq=Df%NR)fp{DJKWYT` z=%7!&@%@UJen@368!GiPwcEsy{VWVnD03@Kc z>jhl%tv${xbxM*<`olY>@4kclP!G;PR2|pgde7J9n2A&?-UV_n@2IWYr3t6; zZV~uXp^M)3r?Gx+k~8m~^wFy>q7CMYho3685z1t6at7E5gd&6ap)wi-+|e3?;ti8S zf(794Ni|C!-s%YPRrFo|B^4dc=o0`CP6^6G8a5!0W7yg4{8j%K7~=8H9Eb@6aL$1>vSybS>1DH{fVWWLu^zX0&d%8i0l7`UhKLyr4RO zZQaSRez90Zz^PwWff0fu3x{_H-9*cZZE77mH2==~V4b%$S_H_~3&_N9uuf$Su|?ts z$sM8(j41?GqKQKgr$&}f^ES;-DgPQ7=_lo!i#Uq}UE_pnX|mZ+h6T<8xbWtV&U<%t9Yc%!W^azjj z(kL^(;$2Mbp5It$Sgxfb>CQ1q501Tf0H_)1n zdiwe$?s!vAmU^E;R7{d z4vrx-Pm0h_K3?Q4xGssaF5U6bEhz!hrrSk|17(&VzV@z}`(d*4&%=5Bk^-PM+*k+( zjc(h$l?=B+!f(7WYP zmDoDRmAm6`?6K^@&6Hlqx}~#=B~!Xw(;r#?v)Q0%RM5&WLj$C}XkD9=C1-ladM z5>Bg*zh==kEzxyje}P5xi83+`M>{I{GyuDSYPTy~6`REgTVPnLTw(4{IrD(t;1dky zjc~0>zlC@UzGYtj;O*+3BGOs@h=5znX2)b!^=L5G0oM!J(NDY3Dvj29A#QiTzBneo zV|{uZze-9MpD8l>XU2{jE>A@5$GfqJ%6zIgVniZ{Dpyj|XwyIZFrPj*o_gf1ZbC0?rvJBPfs%I2?9>~OkE4SNJLIu3*_kO-J0 zZ#&K?0U}pW99Uq2xtjoTHEV@>&v5j#Ezm6AreMh%s4<+NB~AK4PP`DI`dRbi6$&8w zgshNjv(WW#4Us=)Co=H)o=q%}*#g`JN|2RmbT%vpr9FN`C%!5jtss7pKc&y`d)hms zXsqZbP|S9O&)Jp z&g%dc%?;YFOa_LRknk}y4j+R7kdi0E;g1vcd#3e4U`>J9y~*u-R_i8a>;tDE5HRiL z0)t1Dz))z5GK$U5(z`z%N{v z>F*gVWYc8-i0|qf*Ov_a@9I!gqal%TOC}}+=-Nbfp?mK1;k$_ba|&5h3~br$1htLr zX>-CBi?;H#)4`Z=bcXA7!tYr6GgU2y7Z&7UT3;Z9>&$@Xx2h9myPb6RNQR}g)|0kw z7i5B+1KC7~{6FRT<{hzGY4-2-F?MkYUgQ&>jtB=P`wy#^{-_cIIzszcR((oH87K-; z2)5dj$|2hg2a3&SO22Q>>h_yI=pYfLCn{1-VzI#zZxeET+%3A5WF7&ujzaRru{D$OE#$q9ySx)jx+{!FvRfI*2N zKy@EcxH{v^FeuWSnx^?DPLTs7T07}am|vxqm`miooZy`{fk~0lk%hM(YG;;oQ&Trb zlX=O-xJgln&C5hq&~J2jtXQNh4=4`~>zD< z7s#$>Ddr*f;#rC$eW$TAs_CAwOJiV#+Lu44zVl+)2_k~Z&A{Lnh_?L#j+Ms6Mho^* zPD1?k00kTG4j1B7qz>&{x!-?J5~Uc#*!<)RF=)03Bevd@*ducH@iYdD^Zg)4_PhnL z041k8IC; z)yMUYVnOS7RDYeNhwC=py*X(y%;I79Ozcw3IKwSJ7oFH@WvQl z{hH}t++I>+lCzKeA^w43qt6+>eOR-D)=?GyTP}+XGEdn}*n){I@Ek2AeeD1J`a32~ z_xU}!XD=Eso$-mo6Dx10g2-rxPMy(MJkjhXOZOT>j;$OTiiVgv4?# zt@w^4V7b;seQ~V1nktCs8I&I8AM|{RB5ZG;FKwvL5T-j@f%R3GTiZioO)WgHF?=GX zm%$k|eiWCdD5%F*<4%&5By>G&@9cpz|bpXDMSraT5`i7Vc$kyXkX0CYKbpI z@PA~2c5XOCCSV^0Taiyy9U~>IhoMC`qtqkvWr6fs0Z=}eSmmm%0KGX)v{{EnuLmJ1 zAPYKC0~YZ(MOuz(0Ff*`xP$EIF3P9Jm1DoGenV(s)*q(TmU>HYnv6@z1n(pJA9#IT z_%Q4@0QeZ}&sX03{2M7qq{?bYpYZ(1`ha6A}RL?2VEFyr*; ztFHR36X|kh@ujKxL~3%88BSrz47fw#98$mRpd3EV_Q39q5LZVHxj9NoCF?B2tdmSh zl7bLXV=tXm7p?^502?E7 zj}?pfGseN8Q@E;izToq43zql!-$v}M^Ou&480jI2&VHHO zPtk&Dyc4y{j$Hs54%yI_e8PmeN>K@far6+0v1N>HdFFGmkO-~ceh39#oXYT#D;R5?yDi4U$vlZYqRVZ?L0X=|_{y^V zCv;2FhU&y?xPIts@8IbQ?Pk(a8viydD!4}>n!2Q<6k`RRsLhQlb+zCuPjNiTiIIGB zz(7vu6RaMXh0+Zf#0GwV6|s&JjYg5+Emr0i67I=LFS}Cc?icknfrAiT^^L9IkK~+I zLh6Ta^j;UA9gE!8WEl_)<07e&0fcfS_(2LHZYyiUrYi$H{jMBPxl|+`i4%$Cz0H08 zctrFg!w*41;>5R->q||~77!1quR0C9QR0KHS)6sSaa8K!2o+#<3sChge(con^k_PYe+&wb0Jri5=Grpt(sB^{_07#z8) zbJNLkRb(i{s_$}*1#49Fl?#>V7scCs8UXYH>aZh3=G9yLk6)#V@ zd@5$$07dKz(vC3Z=WIVYl9JIi@6{`)_DyE4Vlmf@I@d0W5Y3tT*~D;n+^9)rnsF&& zRQIL9on(W5eqyhZ2&&qg!7B9d3SF#$**m2fcn^I{X+lYlVlx*aPaS5OTfd&95f-Ti zfgtwWEH#t549!EGe$7jsx^D%w{o@dtiv&=Vp9YU)*IOfBh^HoFTG>*8WwQj>){hNa zgtIH=A7n!>4^tab!VA>${16J^Z2|y}eEKpjf*Ei5_HJU7wM2Wskyx)E9(S_YYUuSyOFLlW=e|?!9*yQ?8AgqByg`kCx%%yM0Y`kbF-YppC zoY%K;|Kw{SRm-UcB!5INh8VZvFx_8ppz0uk2Jl0;O8>Xi80m1{SBV~}CR|Olm7_jS zWI)R9!L08g5>9NrariDjtt(@g6}Hp*QV|R^yutgDR)g#q%K_*iB~emr5`IbpB0uCj zYVj1>^w<3}*zPVMQZ+4BZT5DpMUUpsGa8)Hd4b{zEET0SuQ zGac#gh`ceHfA}GC`ha}saGc2aR#RbrNi^Mm)@*pA9$BKw<2#8K$|RthxB+sv{pP(w zM;A#=Jo&on$9Z(6SIry-YF08RYIi`j%X9Px&8dD-T|<_6AN?Nl0r}sUp-Bz>vob4H zKuPkX`mv%}>ZN9JmTqHD%%624n+7(!j_dsSTHWzPKgJvVT7duH8n<$+>n*n>dQm;J0QO~Llq7Svg)|GmX|U#*FJD8C)Z5pX&)nwSM!8DsK19aQ-4MA_2C~*b3#S; z0Gb-*X!|h3lS)bVkXi(S_o?kC@J?k1ZNJ;Xt4%a*$+XNbWfLBD{CQ|GL7RB z*I0kyU@+2xmF)>LTG@$VU@BW@IYbN*8X5dHgVy*(3q*ldLM6>~?nhZ1BNem+k|*fB zIx1t{a2Xnvfeh^gPB5|c@8f&=ObV1Tjhci6tz_C|j+2lTHMgBoujIWg5GucVC^go0F|{DI@eA*7q5ZOPF@-Gr5F< zeflmfZG%%$O?3IE^w0FAI!H*i3Hcm@(-VdDkrEIz=3L>bS=h1DbPVE}zmZh8sAdQM zDw*L(iGmb_vrc4!9(o(-nao#j_dHgHbAT~#oi~A~Muc(}y23xmd8xPh-PVtx+9sDX zjTCm`?V5ZVXHPqUV@E}8^9>;Oapw1QRstojxpEB1dXvBAJSMtq57*W%X>rTbgE;?> zW)%{$$qCXqC#=v2y7a<{mXJ-t<1^4MBOf4O($RQ=tl&qXb<|)?Q9-cbV(Nb)$6i(=aPU@(9=PF3qD^);E?E*Bf{Hci5az@TCSbxwV7fv}_ z@>pzmRxvn5LLeX@AZB4OFd!fxATniRFf!0xoYvsfrvWI)g*!P6r zT+5Eji3!z?cni}-+y(-8z~??0dvad8Yj?IQJy%d0iV4N{3#Wa2Fx^f&x!gau66ZxNyH6b|I%JI`L5&*}-gx=caixBAce`uGQI zOt?7ty>LPQKD{#IOzd>=eUPb?C+B#8V+h#`%T4aj(EhfUlBC!1MTq5cPo9#im4Mj~ zL#;~L0tUV*Fv^aOwQw4U39i?M>g(m;*wQa=iB}nlZrZ z?6aHG!WM%d0baEYx@;^@Qz`sO5MNgSa1ZTJbiZhPn3=#~LprDwmE+to4EPnN{Dq0o z+*}9%+c|12vSVL@D-Py2P+S)%a}5=%0|Bd5HX$rgocKkFwjbpa!cMX?+jlq8H?BP_ zhl9doYDZ@2f?q3P(;78MtWFK1Bwz?|Vsv>@!1&Ed(k z8ke)E|LBD8D3FZ_q6KSbJAND#P*L5?Rk@%aaQrU-rw~p;iymB&<6CRJp2<>u)*zQ@ z&qvROVupsip>p#a`q&-yH~6i?Fp+@}*HSRuyA}6i*O^bo@2H(H_ zktK~Bil zI+1g!9RrJ({hm&gql5GGSbnyPc+3)k0+`JX16~k=OG(^e+;eX@H*CGY?w-7f%Ge+* z50zANZIgeF&l>50hIjNIhk3^k2hUhdT2#Ali0CA*q%i@eKAX<}I9Ipa)G|(!m7*G7 z>j0P}l+rhmgf#Tx*M(OtsRFu7k)HHVL*hUIs1S@6`-pyYq3{ThOt?+6pemDV|Xg0xZ6od)hG`samUjGoQxuN{!rzqTqny`wzxJ;thi%p2OjW2xaM zkLz(c4?;X9ohcq4!rDPlm1;#ECbE_B@f5Vtp?IaCbv3^lm#x>Bv>P!_w1sI^x((bJ z>OwqPWC(%g{<~>VmIaSjRhggI@z3}gD}az~*vVw5bXcQ@+QuZ}>z(M1Phzu0_eSt? zfr$e|6BszgP3wxd66+O3`N4`y*_Ur;vuu}-nG*=_FB7(Qo@w!9`vQVXXvTAd*Q?=$ zI#&(y;UX)gFb{Z#S4p@g9PKIsWv)$+vPlCb1BuYQF0FF`nqSKGOYh;|DxDjgk{inK zG7uuaE02IX?w6haMf(7pGveQnbhn!w&^XXpieiasF$2o`+4-}Yd50r!>Mfoy|E?rb z0J}eM&ndkgEH@5SFu5)$yb7HBUcbk7>0arJkXwR?!_H(1xiqDlCSbm5aNg+Lh9X{_ zPnCr0547gHO^)I#=u*SeAega*k-Cir`+VZ{e*o}ILFX!;*<`k=|Je2mB|1J_EK2Lx zmLPO+NyB@Ibu;JRo%Gr^AKL9^|T5DVe~13k@P! zBMiu<9Kd7AEmT0Rl|D5(h(NC84W}u5VjeO~zN)=6l|JhkEL@po2M_%>?WmF(6xnZ! zofo*Q`d`DFMh$Zd11OR|H5|Cwd8o9zesJ)D^#TzoAkZ_vR*O^pIfH`;*@=U@N|#}< z9DQI)NV>+m>;sHC5{FyhD0BqNef(C-*ArneR|d8C>X=&4v0=y!fwAkxaF4P$dsN3~ zos8e@Q3zX0dR@SP&L9`;hi%B(7^X?o>~e1A&wMOnkf4W|UbFRR4Q#RyIG{=|OzZKC z5nbGnZ1)|#;E5wm3kOYlfo)T@c;7aMqey!1uv--%7aSs?4HzVS;SSV%wb2ddJnAuF z3hoco=kS^fa5d1Lr67;D%!W2l#ddMAgOfU$9A6t6g0V`ddnoRB`ho$;iRd!GJ9e zd6u#@W@b3N0_cGl7w(g!xmNwCrz}+2MM!(yT zROf*f0dsteK|b?tYW~A13JNp`Rn0`fD-rx&EwOZKpcwa4_&`-SUDJ_dhH#HAMSb{Zz&XKC+oo zT{@pBr<~){Y#Wf-bZTh@oddbdZqF_~(vq-PbX@@{iJhbrIXNT;Y=&uri3+Mu){4N$ zg*C0I(Y>T>F~z3<;4Kh0jsNe2lgqE;eiDR4fz1y!74%OA5SrLTbVgH)>IT|~f!Y}( z7#-GCuF#kCqt)FBZ6WnQ(X6h>whb8cvzq!nY7PgeGln}ZOjfqSVaUEQSJkGXoTQWp z@_}}GjV`+yff58Q&vK2JXI@ZpOqPEF-%kp8;MKgU0h)pj5(dGp9F^Z@n5T5OcTN#m|X=Dai;H^%ULvE z9^#ACw%2=#UY}kvq8y5mMyJ!x@PW@vG9d`wRoDnQ=j|5uz^$tmJ<8|ui2Pql3_{b3 zE*^I)fukHKvjy*2_Hcmvt35ecbt;Bi;Nz;hYb1^|S2=Vc!6W+a4mjPxKo8^?#guk* zO?wPpF(=UdR#jOZwr& z)?x{*+QH5{b7GeDXylAFH#E1@hk(u{9H48%8@@=QC@eY4sEXklj+RJEacBrFvhyBY zAa!moSYu6{LO_~v{~O9n-~_+zkIsP=UpfK;@XDpTKfL=^I=Lm#i}Cf5q6ecW ztu@Jbs!QaEL*MfJMHV9+y`C&crXA#3>=jo|p4KDJ9=XBA)mAo0orA7uGva7{LgtIj z(bZ|b!(mlqkMa-|dWdzTY4U{iweybMM$NTq6@D9UUNI^iU6A$J_98y#mnp4?=hRP} zQ@b*_H3Wu)#tpywbA&yq7+5l}F=@*3TIIuokT3Z*fsimEIqhJEkjK@fs10gY&3d&H zBpy_1*vJ$cHlWe6E$bjjv=T3($l0zsf0P&9aUQ_<5og(i9m3iINJQ#*`^KNy=uJzE z?a)nM_e_n1$fKVsdn++y$MFZ@uUzc4#J4~>3* zjfmC2;HwYV!qmX1N@~IgzBAKA45l zbz6yFrS3v=^3&lfa9to!bzJYy%?elh(Pk@Cr)@J38I$IParN@+{-r;v&&Q6!^Fbzp zV45(uYI<3L|5@xb#^io_h_(h}O0j^`%S3WXPmlD!%j9moZGav5q7Ja8FY%oomFa^d zGxqT8u9Wy=9v<0ugXUKb`+H9XmHOIkk~Y9l^r^zCXIkR+{&6B14NT9=k{)}0gk|~~ zcf<%NpV+33?ezzrFcXe56t~5v({cd?8M^ zc-Q*;`5t4-Nji2HQ_eue%BoX}eYis4i`bzv_?|M?t!KM+ny zU}x|JbM9jER&Iz??-k;`d-*?B_j&(XS|x^}PRJZv(wTBggBE;DuKb(D_D>Oquyeb? z6W$C(x3v0oEm^2cmscfvee&h~11`eRCNAHs5*xyrX;u9rni)TE**tC->b&w(R+t)=?>P7!QFHSG(4eg(k*o|k(ER*fac<-7V ziP*u`WVQl8+(&m@F=vbI{Mr(5E&Lv%&cLP|aLHKYv|0_$`-7v@lZ;Z!#SFhX7GWO>GNtF}^Ag?l`8Xyj}aw!=;cXtFN| zid=Td#nhAJ!9cqb2M|EEE^{ob~d~$D#G? z9cv23u(CLuMN&?)rDOTZmYAoPsh65-2nfk=lxyn_GT3|TR1H336kMV&wsIGMy;xvj za_#TZcR0>j??g{X{B{IYQC=wIGPl&%Mt$?PZJb`yaEG~OGdH!=ek#H{HuMOnXASm7 zQWN!4njWHJd`lVm?yZU`?wT<09Pnfb%AgInx9B;kKXtiweDjV8e3wf%9?dx?3&-99 zl58eCg67QmAn9F-kUWnUaL0{Z6HKD%2U86Dl!qXUk&AY&K}D0^n|L0?d21cd?}ryT z1%89!h;62tQJqd*>0B z5f>^6J&$OJchf_UVHYbl>y}J(BmXE!d_r%}xsC1!@90dLA-sJf7^v(%jmShjS?QmC z`!2+AF$6NT8^6jf!mIiMQiTt=j86{X#|z@P-^G#SZlu3#pj@c<$sXq*Pi88@dexKm z^rjhaG<4w=G{xh?y~om`Z*t2_Gs+r0eXj4}eO zSLd91ivhu2J3K8~ zCTBR7l6}a14$29;AxPN7d*p#Y8-s6ZYmtj9qIR6A4X>p)3ASfyD<8qAiZb9oPbS;{{*W5Zgr8z+0VXffC z&m7W$_Pl`;@@!@Yw01Cot6V-{E@AP4{B^WXaquz>NNMEW6DQO6>jb7O0h+)=U$Q4B z#u6Yv-`O0$(-HI&GccJbx3Wg3bvtf~z~RZ^jf#=Hu#kznZ=C#x zoVCynE{W2<4KxG>iKY}4+k6_ju7u(sm_PZc62(4|#ueK)>ulH`RhynDjb;)Xw>JWV zimoNvhO`l_LVlMGT?n{YP|%vht^07i3z%Aga2G8YJyHJb_$@?B=&e{fSL2(iA-m3{ z9?DXzw_jOOIK4&PXbq-Mrzp4S%q*89NfZlP%3K=_qId;-^>G=$741OC2q{VLR+pww zpro|2+YJ zxcL|84{O5TN3!#qo@O-hjN76}5ql{Dj0_StvxGakSbUgTI{(vp9CG@w!>V-*|JTD6(ukP^AFs_c&kmI%5~1`X~N+R5cgo zqzsZ5>E!V-ZX9yjB(z8+cM2#VZ`CLJrsbXGBB_90^fjieU{H-)G0;&#D$Q?aiBOu% zp8JVIx|QD@ognJ2O5^G_)(3x}T@h)ny9Ux|TFrri3#j?w&F{E^H0gt~;!|kuM4t=7x_2ljonsBZ1LO@%&WJwL2$9 zyCPoZXU$LzS*IQ^ZtIClmH zU!&BJ;J1odwh+ZkVYqCL3$?y}F3G(K`X<0q$7F8xSjQLx>p*~09(1nT`%wtGd?!GD zRjc$Y>NBQi3?CJF$aSF~$ldk=%zCDup+R&9smX1?d(B zT=+TG%>IGG$`pUX_uO{uLn@}r;q27DOCM3<;X;H@5st%@C#}`vXJa&Dv7}*JD3MXo z6xmQcN2)7l6dVp;)Q9a%F8#;0ehG40Vkn=A@N8Au5g59#lrPQ{T~~^T9AV0cD5;oK z6;K5B@!9H3!h&Ml5tP|l4d-Fs8`>LC<~qT)+WC*v=)nEi18vmgfqr%QXr}$XOk@Lo zv`?skEkxe=)+Nuf`pxGSXTq=s8AIOfp0;@*@%*n5pHZ>4a7!yF zv}*5$02tE29m*gkhj8~2W{T>h9KH(wUs_Bf2FRUS`cxv6}71oN^I`g zBX#yTm*xohS`R`c3yqdIc9v#Iag2$P2G|$QYYgsa;p6h54c~0sHs$=#h!wu^H0|Sg zkVD1uOIzZzF>2((h~>p0>1E{hX08mOsE(s>yWfOsRv!-}9AKhIW~BemWVtiBsIRlK z=xpfI(Bpiri`;06%Xjc!-+2jU2*|Q-sF3?-udQ?_TS9R$H>?}Eozxjgj%n!|{OJ648kDMO7M8~pp+iz^@FWolq*(yGEp zj=OmbXHed?RM2!qlkjShJbpRMLn;kemkoJdawr|$VXikVM()I~qP~sc_On=+r2>k5 z^u$R+o z3BQis=>g;y=J&JOZOWOyNll1cMaA18A9#Agms;ZQF^5Pehck=$q%_LgQ#9cEM5E;@ zdjJ+&ai9~l!>l~VfgGOh+)vpq@i9T0HIBQ@it)77h53}UdKi%@QJ?@PB)7$CUdU!= zl##Q{NxBWnzln~ZEN$UJh2Ey6cTC&8jxv^E3nIx~&PE0daVzl{uo4EgPR$Zjt64)PcYGpR4BCZMht zx}(-*#rCIYB^BjhUdXmvvKueIG!^%6Uuug@7G5rv*m-;cwpi}|pYcIO|2YtnPruqd z=eW|iYwKReT;5EC)g?$c*UXmv9aSA+dv{^mcFi1w$;-q42#3~y1q*t@2Cx3|9d zqa)&_Iw^BnDR?^F7EZDP5lfkgw)*Rg5(0!?Tw;Jb0X+=PHN?x$*4=)pDkx@aq8I|C z1n<1J+0~49;b+-eAL;K4Z2zkOw9|N4)Q(>`@~Fy|87zuwdFJ2M)AjMJ4=O$F^##y0 zMtZ`m_E(e4A{JD$LE9-PlDrxzjory=#}8Z--Dsdzc=hbbpu4lNWXba`dh2K1UD7O# z(n)@gKCOcyZP5IU$2M(bJxeBo~B(346&pPO;H7ljgO< zy5XZrRd)vF(lojfkRiJuR}hL}7G4sd?KBZ(1^I1bH%Pydgmu%;={@} z%@u&1F13ylbT0`T9U1FGP3oqnt3S@!8#PWX5U}5VBmv*y0!y9z52UH_zXvk6nlVFCbHb;L?v*DQq zu-|<;G`oyOozreH4~2I#Ad*C{*r9u+enwLc+xU20f=aon*Qv-?~n zN{aZT&MOWFGmdNBR5hy7c`amHeZyItn&=D3$-?PFm<97mN6hb}NM_u!)&BCJt-QY;|Cp|=Q)UakrN``38p z19HsoZyLiH*^gmZz9_E9q-SVkC%;{I! zFB-+bY3=vH6&49`3wH^Jgl-e0oALVGiB)Z}y)a*&EuX)TavFMGia26c zAT(!r1iRjPtZ>KUl~%eg!CCmmyU*c%c-Z5GFeE#{`?Pk38 zWTvQAWy1$p1E#K2%8D5I*la-6J76VmAC4zJxs3gZ-kjofMP1qmDwyp*G=K|VW&DL# zLo|o-?iiNS-Vb~H36YCVhhNdfJP5~P01&w_AU;)U>iZ*)Tp)+m`sWsAYQZk_2%-i- z@S|H3dou6HR&_=$mn-r`-a@}!2Hd%?H1vqW$PtZM8FH(o)-p!u-(SUw2>%T zxG3U@#!|FpkzSVn#shS3LtU8XUCI02bz z;+AK9{IjYHIJTHH0DRquLK0s~$>+gtI*Ka{V2G;Vw1jOoLnsIL%uPJeshQd6XqC-9 zhNeBAJA+)}A>Uq$Gji2`6^7fq7-nulh0O(Xx256}b&v9nF`b~itoU5^6$sW_td=0K zUsJDPPPTyT$xaX$>jPw;@~NWTg=yDz2rZ@4Nd0XM@S#4ZBWcSL!((ZJ6U^U&lxYiV zzR|Sf_dF-WUK|9*X9%@E1}1;}3ZUNS#e?k@Wszp+;NSg73|w0kCd9?Xs7mWz+t1;6 z>?}(gQSx<((>juR$2#C<^{G%xQiQIatIH2iJPeQ49uustQwSPWsmqTkp%9&Qx5!eA)My6NADpGWdx;76-D*P0Xc4Hg9UJLFEKoWGjSsGNn(&jbx%4 z&HodVpH;of`hf@NL^blVwyFDFz|U6*CWBefj5IYZtBM)l4H$ONxqDgi1Jz695jw-a zW2j{u$EaK2msymnD8iJSm@|nBI5S*z5e7Lpa9-B@*Hq4>iBs_(*p882mKWOKc!gzu zqK6~NnKy_DU@J4~;j+fG4BZ_O)NL%`#WCoT<6Nl>I$*;m{JNG7FhBFNjg701sDQ8l zpGm8>Prc@SOhM~nILH{oY7>Dt49>XI1+%Cd=J6Jp_y@icmS@}4=);|71^fQVLIAW5 z^l@Cww3ZZM*B3xNX{?Lhh1Po}Newf!Q>cK`SWT1%1ADhj(uT;k!I(?-mY;NkSw{^5 z<3;+_Q9*{YMUnQUil)2G+F8!);uKTseYH^U%N_MFX5#?V!9;M4wr^i!D@3)0rrf%b z_xp5SK-opy!WACrU!a&dMwv_pYY_c1>D}}6vap4+W!pg#lM9(I!ZCMiOAbJn$ybhG zE7!VWBu7;FV$zu}B<+zU^M2v&K1^G>kDTasF*0 zi7>!Iyyi?ssM|rn*lO4X>YN^{(psI&Q5M&8q*lh-PY1SKH)#c@nYZEw#n~#Dq2_V5 z3y-RQ8@q>7hmft6@^Ap$njw0LeouOi#;LoYmB?ZsRT=Pw1HYs~cRIR3D8e~jJ4xUO zv*j5XFOxNAE? zsg^G5ss3h`O3W68Xb_|S7+W+DsDOVhU$sjuN*_DwRrA*BAy%aro~U+xAjN@IYlg@} zwrsfv_dDd*C%sRz7Mx>d7HqU6urVYtflIz3E+VxfB_3O*t5bXU+S`C%j}8wfwT}?@ z5uoT(>*i?*{qDk%_MMJ%h{IdBS}t|O#Mg4hnX^tAg167KW~SCe>r_x>hK~_v)Y+Uy z)-r@9pu96*+BDcD_Kec?qE}|f>AoqL##!_Q9V`J1uaSONj=6p__bDn(5E7aft0GYR zm;lZV7wGWY z$##V5v)uiUB0?_VSW!=&Eg!YvI%dfGCLm3tP_x z2pd}RQ#;B0@*?<(I7)kkeZaCGD*9ZhPMy(FyNXt)O2Ay0mxN^hH$ngR z+73YF;2}#})6R?$DV7{&Y^}p4E2BR zwC-nC5H5k4Nhr%xQQ+b+XM0s1N!{(|j|lQoV>#FrbYjvEHKUm(ZU>ovLagtZse zIO>!;ueywLqIdJ&iFmLX;PV-&uBLkTCcyWhb_IQw8dj0R2n2lVz7GMe4icCU1qP6IsMqLSHnH!?lW`?R*c&T;Z17h|x@N6Xw#&^~21>1e&hwdXe>Ea#Lf5X29M#5f* zvlQNTg&6B|s2~z#1E1g;148toSsdyikQx_%kh(J`8+$s1dBTuiV4cs6Lw6K-`uI@< zad)5^R&D66PRR_bCM8F4E|Jcnd%;X&`GQvtC^0{Gw@9a6rla*wP-^(#Aq<$Ds|2U_C9(iTYd zoWgr_I868Weq&$U&?+f}bUC4Zqu=y3FJkKut^vkRwlg{K?ci8!cvdkuMM5ATARuO8 zFfbq>ARsa_W-$BPzt)Oh;Eb%*>k}2u1jBGfA?ceFGt+?hI8MiGZ)zy*U@2Qfd*|OU_(&Sa(pd$AJEPqr4mmxf^FLkJEn9LPqkOK z6`p1`i}Edx$b8Q!{bpi(NwN2*NmZqsaDHpz;tqm8As%F68%Ra}Ey388ZdS!Rt`KA9 zC@Z6iRKfz6Sj3D3h5jb+71sXiAKiKkHju9G6i?Y(bv(TBP*&wdXv-1j4RP3ivj<1* zroUJD_km-V&*T1^y{6!OaF z06W^sqQDypB#Jy6j`dI$BQ{FGGh5Kkn&ocmuYxv(DK z-;&}Jiv`?p96VDDBb zt}7M3|DaiSGG>?;*vi8%{4(Ymg+4R(g1&-4Z{R@Da@bVsUA+4;Bs(B~@iD9qfh-d* z)9mlLy1jC8#C7@oXj#viW&GZB9zrm?mD5|;JR}MWoU&Q+xPrJpJ-;*VPwQ2!mYW-ZiUGSx=#zv3z&bc zu#ruUjj(RXz^dM<{J7&ELN`_ZQJ}@$#()v`;$YE7vh{H~-ks+C+9Gy$($i zlYz%Xu~GHLe73YDfUK14ZXn|8q0ifv7zq?yME$FXT(Y@k(6M{a-}Y^G@0s)*kc&7r z29Su4t07`F9Lu4#Y22ZBcUHN@(r@iTtJpmn#^`P(&(-nSewtUT0T9qGTm9VE(+~9d zqhqnccc@CkOvz1KY=DlsEDDBSxfDFmF95mYCl^&D>-^t5i)WZ_d(cWki|M9|ZJ0jH zz3Qhal08yFGI}E@n+4gTZhY+-ND{DlX5=;H)UuyoImYRrih==%=75{b$QrH;z@caidA2AK<7FHC(l}!BYyoHHbEpq*hd`O|%|a8YYLXyv zRPcPAy4H?pPZ9}aR-ueyEL0Z>wBz{VZYL*vTNL%fNOozi+fpN1>rT#2Uv^8P;=lQF zS2fi}k8+x@RO;XZlVj%Nnyk72Z+VjO<23c1`Q}z~fTG;;KlKrpDWYk@F8K<+osj_IjXA1x|u2cxC_yA0x;kHGZ=BeZ&jV|%2SE`Z?@7lU9vwofgSI0}DHdq!a*)*`Mct$rR z>~+!1JFDc;&CTkroXPRLu4>sIdSfW3ukw^0d&oT`@u!s>C1<6SaQ%g&n76hLC$U^! zm-TuuKSizdwxb=qMh4zy!>ytTXEGG=-akeHh^L&?LoF?1Gsdz=qKE2jtg}z9RnnHg zhSd_h2>39Ix5Xm#4M$M;QrWCq3gC)o3dRWO+)F_IkRv_dfWUL1WHO;%ODt8aB5!Yc zU+3(pJ2)v!jMC7XuSu?w+gD=l2J21w&6bRwEU;L^12IgfRvjh$3I7fo+PBspUD#yW zpAukC7&>_o9}7|G1y|cx#MtGXRqj)(;TZwWQJ{lcjm9 z^4U_d1ivM6Wx#TN4{}7`>9eT8-+L8wtlLU0s%GCseXQ^N$G?!j6=ff&hM(!x-PzSo zdYFYGs$|?I%EiGSl!?*hANCQV>Pcrr-`Gf@d##j`p4DT}keYcYI13t@Zcf^DLPSM2 zZ+vZdt=C-}$mvjiA&4=#;$RNZ!qZfy&Tzz!PuGpusVUrv(O9o26KHPGS3eOowGlN} zKBCpbA0MCOYzo#d{;}>X$k=}D#6mn`u)$ozl99;_zmV;Nkq+=mzF5#jbYQkd0Ib=K z-Y9r9blL-wC<=l^(XwsZwq37m+qP}nwr$(CZQJ(r0{*Px+>A*6EjhKNS|bIHDU9m^ z?{UKpR*`8pDID?{XPf0&((~ZcI%Hio~W(e02^!S`#Cpjtto^b+3JJRV9r*0 zl$b@vG%bFJmHXjAFvo|O76aa>&g>uU;`&F84Kzr*?!#kZa7n=))`LLpUq3;tnz7MB zEIi)Ai+DFfJj~E14*m#)#Rn#~hrAw!f4%Omo5mIl z^1EIFDsrEEVV8LJdX{T;1q2{qJcP^eGlf5x`98k!u>LC2R?{A36-yn;A24VWtJl1@ zUJkjhbr9`|58efVy*L54`MG9<S2}~<@e$w%s!SM(-lzsrayrm2%VK6RZ;4O|=V_4~b-Dm`Sw?B&d4 zuPXE8A1QT7hKv?ZUzpTWiPiN@H7-fSJ)ijw#ZENVAOo!sgve_g$5%z{vNvyvle`GP zl|^ZE;l@ZIQ?vc88X(kvB!49t-6NIPSna4;j6Tzqld0*Y<`ZWj%#<&ciQOd^%`)Eu zXOi2yc`TR5fjcs&kP_pqlywUe?*uJ3#VxYZaBICpF`#jfYO@gQNG2ZbQWmnS-oPGp z&_Jik#m~1wf!@VMKX7pR?6?+l-Co#+2CqKG?kKnJ)5&Nq5Wx>yEubQ{I!c!28yRf^ zKb`eg3;bdu#?&>@kzZuG-RQ9c*4L7MdVK980<6QocpM7qvr0l8e^#Jpg^y8Qw3C-D zH#0A!M&66GyfHye{9ka7&!UEcb!@ARI|O7 zf<`9cun!9Vut3A7cv3kuYdzK5zw0Ca6d-WR#HGkC!)d3UOYloqYRUJ5b+|Cb)@75h zI|fM$ofhFz4vq``v?$TDNViJ#n=0mlzl3>35kncfG`wz+JGN(c9zEKljH}kYWJzkk zPpa2qhXap`oBCIBp`T(aLMDaWw$)I4{kaHkD-?sdhQOkZ(*Bv~=-t0ROU>a=M_6qE z?dg{yMc2gZ#j+o8j6I%7pmuvsV!V=JL-*ay;C}2>cO#(_6gM@|`nxA~@g*7B>FwlO z7kNH>22ncm=V_It&rNNghm}09YxfV4*Oq{F&F0{?_xt1)r#NNXV6aiIV}_x?HW5sgR+Z*-Kap9fxqV01pz?7q&ssc80d!zo` zrDH|K8dpuBmo=}ib&bUVdY@~fl+Hh9T%ADjs9-$%HAdnd|1FFYg#Bz=djj^SoQ5ig zPw~QA%@QV%2jqz~MDiRRB$mwlrfp%D=n&Xg=QJG3be<$UPM`81KkP{q3g0!%o$({=N zPa^w}#=U3^Tke!$iPH&vSo)U3zrSs2P=_?3^3VE#{29YnR>V)f;+g@-iFTzGk(|t3 zDbCpNwT6a#B&T9kqAGFc>7&wohq}2u=Ky6g)DD_hG?P~)sCMDe^si9w#N23QGT(Q` zj&Gp2aH`a;)GFW=K91h{tY&@gzjM*)Lsu<{8Xi^2_UafmSYCjE9n|~IfdM}_W5Z(Q zTo@C44Gq-WzKb&a&fr7#65167i(`}xD8P@LM5KUn`JjI-^~5m?*xjc(lOzfB$gvMz zOXy0;?K{}Hr)m>UD{iZmqwj!i9ptA^eEbdg724*==mE&%{GjU zVc{aaGy!Si5Cn&u>)cAUuz(Pu?1`S6YgHXpDzFF>ZgXDD<4CZCEueD!}7(4g9f)W zFGV{r6QN9?UQy2|T^^)YQ>-V7G%U+1HPG0itb@4nLptLSfPI?_6$JNiA;&l-fN@69 zUDs3IadL!AH{qLeg<9#q zR=bT4A5isdlA{o&N*L!|?K+-{UtgXRb7! z1#Omb0!+Z&a)D;+#v zyXcBtF4BJ;ycqVsyj<*DsHjIK)3dp>5S#%(v98MA6Y9excMYEIc5Rk*bY6fFTXz_; zl*}96)a)4*@iN@UA_5C-b8Vn$`U!?bjEV7mm|~U4g5QvjwkA6y6tRdOH}h?VRazf5E@KIwnZz8Zv?x8?TU zE-;c7=V)qZOJfC@9sO;k2rx7yVDBrpLuMdmIF}QT7b51p#pJ zA%(^PhYB0(Tt`-iu$!(g9o6$ZG=p?EVofG5XBpu4K&ZZE)I`W|Q)9!Df~lt^knLdJP~E0*A9UKCC(c#YgmqzjMz<`%8;5gSF=U z!(((58lZ^8xUKSy#^hg@F!294_k!FEZYYtohnaGe%oH>2WIfc+HjY)r zkfBIqvl|z%6<}N5-b%kFf5S$z^^JvPYUYb!LrLu13N0(Vd$rp1(5r(xr#H3>E=&2w zh^QQe%~pdG*T7tl`Bpu~>$r^;9z<6FIpuSsP7VnqLIc7>j|&rzplRduW0KJXKV6$@ z5|mpT-{87tVYXC=pqiY%ZC~HQsaRpnigyDiD*hb6JJgmzIdf%~PCV10*M8lm>8-!e zmgKq_c;iGxr4cJS5b(XmhsC8eX%SWp{mP<-Dihs6JD3Ek8I{>Kle<_X~~r_f{IMsnVWm}ToKw#RJt z-Wi3W$uzMX@8MCP%?bZC<->D%npB7yAgx;(<~z&O#AI`Pak_=k<0CM zmp9r!@!?b7T(n<(C?32y{~T#E0W=Bqft|2I%t1rflyY47-r6*C)lEnUBw30ZA^Ss* z5S}|*m~J0zTYu9yg?mOey7u^KscQAV4Xr_l0;ZbJ*TPbzE?pNvtK9qcldD0JOV`Nv zFv)dCzQmcx+dYgP0A0f{wz!2q=)xkJk?wr5`T|Qq!~1p=&+%op?5fUCV-PNG*o(D< z;k5_BV`{m0@{gJfZ&sF0TP?DHN2Gsf;4c6ZT*mHUQihB*E2N-pp3B?vnCH&170eO* zn1Hvbsam>vCC8@gh}E~HeUcdb8m5w5x=@H?xJ&b>95Ct!dj162c63ct9o;61)m5vx zy7X^Jnl-6$(z6EcMwWA;x#}Qy`XpMb8x$pHxQ4d>;GQ3TObulN*aQl>6m=_~ekApa zhM2&GmpHAOLwZ>CN2WHxDJX2(8ghN>fd*JqQ?b`K2Ilt?5Ly`PbDqA~&r z05gM{zr#nPA!i+YA zfgM~j878{LHn*@ejt<3M^mvm?Fjr==W^~DBs6{ zv$LfB-dwlXY0yuUw}itZ=TKlxLL2|i$K55NERKdhB5i{UU$J;!kZJ^QU(hJIj~3i^ zWu|s8x({?Ji>#<%$s0)Ac?+kuz72o{CkV`Jx{4M4$2PB(9%}~%Ha}2D-_0-61d$~o zu(E|AvyTG}P8Cz^)Rx9x*P}{}1)crxmMOOsP63_pOC>oGwg%ug+P!n2N3h9>hOjN> zSJ??f`LaD*tmrJt!R$jQ-;zwo6}D3n8nA$=eBFSa@E_$aQTI$c*cu2v!HHClq6j>~ z4@2-y7Pe~5)3&MNzOzUUVrd)T#$VThyrA(hD(hCnT*%1YWX&nEzp&X6mtVoIvbLJs+{2sq9xzS$VV)(nKe*nvgR?LF9ftkz*I>USs{-j%J zkPQFn*;=tC7ROktMUm(?9hqlX;x9uBp+f2;HOYx^epAHr6rAb}!vY~U?P6zr>QzKl z-lW)0L-|D-z}p~OyB=Va`W)$b$(dqO`#Ltv#-CBgJO{k?aM0TsJ$#p( z5uds1zAR>GEX`|_6FAFFt_I;eekhp!mk|@ z$wwh64rC8oyW^aOrw0g(&J{#6_UhiDBW-PbR*VIGm$F>Fx>(Eo@`ir8bOaTG#T*dt z9RT?<13e_*g>GD?v0oS)nv?R$h&U~Dn+bex)+|%1NI5FD_MVg6XE~(T(fp*Wm~b0} zg9DOLT%lD=KE=cBmDEJor^{o*Iy2KhvvX1M#!<3FGQC#YUerzTvMnOUH1PXC*d!k z>>-aK30i=N+)ABsL20;i_XH?MFdrD$PdB+)0`x)Ip{_S}UsGv8vs?Zh#}}4)9BU;; z35gNn9sFkpI94MA{3YAR4<>QS$}>?WiIqS8yw@R&6!4RwHVC_vV9GO$nrT>M7tHT{ zj68AsXXd)ZvHjdf(Xw#~novD@$Zpsnpjel?$u~@h?|q@2YcPt<&S74jUm(|bk3fo$ZMikk7~O=Khj(Qw!1T?9{h}GCYVOqHmx`6FItA^uK91nS84Ak~ zea5>4LvrtTG?)tHn%nAg*f=JI1zPtZ@>p8CZS(zG*z`~sYvunspvCqInJ7`?x86ehQ}oYG=vD@CEqv*L zuuu5-g^o>GK}=w~tBLLN42s*)^+8x$0SlE!BHacS?B+{fKRLL&xV^j*uw;c>LBHBR z(`y&hhU-=H{7J4Uw1G2x^lrU;#z_(XTF{u z{~4bQ;9pypmcr{SCS^J`ytw;(h{Ru z7Z2T{^4vX6wz3F7h1+Z?IpAQ8pAEwP)Nm~K;3J4&zfYUE%gpQ99UGs1vWO#8EOi!E z)zSXx!YFWxce)hCvR2ZKWo29D%ES}~lX3+7ff#`R( zK;I6pc0?I<78Ubv5Tu#|5_KsDjG^hEry49W`A`*yptfjZsjGiN^rac?2FCrb9}EFI z2ZOPuq@{@j`6PmlX3!Ci3It-?zmr&Lp-(An>E6#DF`Hi{0k0l55OM{00$ds{+EU|IWJ6!|#Zs*RGdV)4Rz zK}ye^hj&>w;Czw7OAT01LT`FvP57bZr?|3&<1FJVUEui>)oADSx4V09%eoS3B@O*c z5D{u^I|<{L#a6ROudcG5q}DWRdd{~Em;!p^aX#?E{1c<(DIP}hlB)%dwxNb8nk{It zu4$&eQ*cu^v*F9uF35!;kIqdE)WZt~Mx#j{5q-oD!zIv@0)K#-pUK2BgWXD6lB1D*A5Lw|6R7!2GfopO$P2*AfpR zsrj3CN(6`uUW%<4iMXqFjzw4&Y}r0FCm}BvMU}5y=GgZBFF_ja;L7=j#QwEq610~PdyDUIJx`1MRjA_^CXJzV;X$+-cheb<*;iqbP%@~UB$ zc;AZ12ONr^)$CJ0raybUrz;hGF(GxI?-p{ zpoN}FcTMP}4q2LAKWbEL<`{DPsp2aZv@2IS$L>MfG`vDdWY2WC>0QZ>^8`(5=Hg1i z!1U8RBrsV=Kl($OSO0+?LY30S=jbd~SSVj$(Mm$u~x-@XE~C z4F-;1)g<2L-Y*3W&0bBD(X4h?APvoKWnSfye7KdwUs@hm=(ii-5bXS=_d6(R@-W?Z zbTjkKPqy3DF63!=#Mp4ThqSPLUqxv>_82x%QZkK=5@I-VAE9G*zuRS*FDDQ$)pHYx z3NSIACJ$N=MrQGt(B2QsFzayqb{6vWu0VRJsk)8Tp3l*Sr1wQb3?4g84eki8VO*MT zw%$2;s;H_ub(VxmNu<7cE4IH7Nt~u|ky{v<_YiT-tR%3}CvThx6+I}(v@%$Bxiklr zn8b{@f*?XVT($ngr+1(AO|KGHF|WEk{PiV~o|ML-Sk%RV!SZ|`T+4aCQ)4CQ`}YH5 zfqj4iMXpRGVt&)}k}bcQlBD>!8XIp=aD@ElnA)~BY_`qpdcdWQB2)JM<}wz0@uPjn z#);k1CJml!N3EX8x%6zxT8=DLEVb!^INkQ%5*`zY5lA^Jps^o}*;Z9SeOT>jGgJXj z$02wynPWF)82eM7qEiK&)?WgQVe*3fY#MRlS-G1f(ywAm<{$oci!&AgN!Cw2AK?Yg zJ{~#j7_pK(e%!iYXuV>ilc>5on?Rt5lKzJWP7D|$2L3N-Y~HGuN-9tcaY5!OyX~z# z;=bz~!hdTG)ebBK#-Oa{R5I;8BmWcf5=Uu}S|&?S-U1-B-hP zB~joj&3q)cy94NnC6mcHex5}FBY7LEu;U6k`xNPOhQ5rsl9uJgd+KPiOJSYBs--C~C_@dj5;0~EQQ ztYRi*;Kp|5-CXwbbgYj*KDzZ*ktw1W^w^9-Ll!pNCwzXjqY=t8DJ)3_DpONYR9ze9 zwVAJT{)2yyUNO%-DJ>f)jBCb~P%!-M+VO~Q&=!cK?9+|BwH>sS!b#9L^00=j1UZ|a z;0OahE@UpwboM;_E(Q7fDJddglmxhT_4W%94<;R&jAB z)hSK+Bhy;JR2Yf*>Gdmf*`alC)yS{Srd0AK`TLUECG}CY^@*+eQ+q;9@@VGAmCL&( zx~j8OYh96YJ_lP$=mpYb7;KglwNah3DZi1ip66MNUmzJ+>D3v$iIDmEf{BY+!{)R9 zKbNrpC{}qiaFcPU0N-STQ~+qs(~OVsyAJxA&P(XCXC8|ZmK+YV8(99m&r-xUKY3J< zNtOL|htee~Pzxyv?#RD8B7E!4cqm(guf>P}hcJ4d02%#Z5yePcd6;Y>QmtM}rL ze@RFYH4tqj1p3cPSYkPVFb*`Y*>JcOvvWeS`k3Nt=mjN%s<`RN#paI#cQU{>QWb%i z;2TTsx$VoEbcV!GO`XVNU3wun7}im)f16&{pRp9EG5Sl={Z&KI< z3jlzp^S>mXwU?UkKZlnfC$flCg=cUaQez_8v3{yfho45`Zg;p9K;e+qoWq*@Ye2$` z5T>f^uBcalI51_m`(S5_o8sy!k=6B+(~uj%5xyu;zhg5DX1vI&79qJPLk)y$q?FL=B_rtWBp&B&ZCw%woFSWtt z09!V*N}dZ{w3Sbi*ua(Ip|I~VCN4>rlAvGh{2jDp2UmlunQ`;zX|^Ep!h3vGBWr9R zjmhq@hrf5R^v8w1U4Gbh`)poaqh3*M0L)V=+f6`|>d(Mx{)^p6cjz(@O%SU9F<6ZDN#KrnlfT)8S{ z0m=tvRSEhiQ1Oq2QAN1$xPgAJ)^qlRTh=9wCrobd@~Lzv>0OXk{Dgi9``H{A-Ov$Zi z?!BB0L6kJNDZK<5HS`YRk;s$CaIPV$cXok}Zcl!-5h!+a_dDR;g0&*snq;SX!$Hw% z_!YHfdL2~2ySkbUCS@BCjTH9OK65_2Q|nf|N!Uylr_tG>vOm`<+y1+%JBHaFYMkAQk7aDz&aBZ@>M^x4qy0Jb~d!j_fCaTys;Th-M1mn zTfBCzH(|$7m4w5jiFlvu3qk=2picd|Iv&<$NoLv^w$e|QBv6$4_fP*tbUAxcj~Y?| z79Blg6g$uqy|hOrbF6I9r|`^8AlSJO@YDWV`PLdUh3Na+3f@?HGNM3C@=L@y(L0%E zk;b=!u%*e{%z<<~Q-+($A=&i-v_?x{k6`f^4J`EANtGST?T$$ykjFg*)Q2iocX@c!gyR3FG0^kTPahfy&T;~q}gfZ0gzkSBF{u@7&Lxyh5OpDEi6$}Iw zahR4CW+H*l9x+(D$_obFHq{WH_+KG1O4=^W@#MK3!WocrVde@_fW;S2S*XLhay()I}l+sa&|vp@00V0{rIiDmA^>0l1os)K+U zrd^qrdK!04ulL5TzQoA2{s(MY>H092^T^aVcc-nM=Wu= zY8<=L(7S}QM~@l4AR5Ypwl;59VZwGJxkxF}sGSh1y4qcnU$%Jzw%cQl8f2L*#!y)g z5vM|~huebpXHDo2RXt>mOaPjpSWpTGjK(Bup~H2p##S@6g_s`t#eRc}k4Tw?Gg!f+ z=}+?NJY!IqNbdE-Y}KD@=hpzZ4x%zG5B^Uo3no)pNO(vQ#b;z(_d6zD?B=u<|uxm99Hc_c9j{$Rl;+ogL9@5CHK5ABiU^AZsrvcpD7R~59d z{UrgQ&E&K6x5z5;7@|I|XPe;V+VvuvOW@eU*Z1Rm^l~`|rpPa49vN)2VDqTeNZdLn zyj9@|uNO_4GsrFghGR|!aRTuWVc=!TaL?+nXM|Y>ek_5IUG-oXHcTWhV<5sN>F^O< zK)LtkmS{ZBHV#V#vyH;DP9n6@Y2jbDnKk9VM`gVOkR{Ky_T9E^+qR}{+qT_3ZBN_o zY1_7^ZQHi3ug`nFd;jOmtsNB`k-yAU&(6rqRZ+RtbCruIX9xviY4yqO33w5X&ljg7 zd!11BN^kTMS~~bshXE_fVry&a@q-*=_aH7HAdXpU+6qdqHji73sd58Mm75rRqcY4b zs~x9XKJ1keWbDfC;mUzp^xTEVeQ^nFJGOyU@*as!4q;Ky8z*vO{9Ef-o@1#~h_jO| z5-qn&Z>FarE@c2tR1M}!iad3e3@?SF>X}@`ruQ}m$&9xfndzW;TvNk`L>24H%pjd39|E-G@vWX@iDYpJjA<0EGah3O&EP{dYOdFt7qnu~ z0Dn*`Ts0;ZQ6ZPvYDqfTmxQWKd#cKAorI7J&Y^(kTH^f)sn)6JNPd>8c=}3_B{J6% zYXobZSgiZ&Y%BBz`L{{jT{-K}+UvfWgh}V(JM|cFjJg+#M6+~BtR)47W(|`>C3{@enQ}^VPhjKO~Iog zXh*3^Ox)bk2t<3x0ubKLv12x~&Uc#9wx+VFffXWKEVk5He5gDMT-mo3+NR1P{<}Tg ztQ~LD$$~}6lMm{shiN6Lft{`*T30o_Wqft?Pq81#AmD}b(sjNWA5IJ~lc!TWJg#W#czUgEzvD6{#2O#5&k^VJBN>v>X1QAN^>6KZ+~HVNG6=R>awPgx=8Cq6^bDca1nGR`V{AvcvJYdq@uFSBWpGdI|S{w9=Aftr!)pPt}nwjzyR`K`~Pgvq@8d-Fg z9^8&yI}h@jPHy`W6VY*$!nHz!98*=W|2&f`c_@w17UNMU=*UwdIL_R6kM&Tfu54_H zsW-t!*e##K`pGF5x}TaVHJh1j8g3cx5Z&05Wjcv-|C!?lJ9z<8(Mvb$+?!QH#oEkG`E`4PgRKv8W_Vi6^iZTqdIim z<}AxYb{=+N9CUKdcq}FQ zocCh0X8g-Ja26o1s9=}rUfwRma0!{LL=^!JQW#lSq6-`W;L|&kX)qApYGLD4sr@hC z1$QtoheQ8pJX84YR^W%T9-y$H66Ux{^be)~96~~$Paiac+*3L9zfe>y6up<^=7)*s z=at7A{8OO5{+=eKYtKSgWB|TPzzZzU7>gh)$KRW5;V+^!+;t4cA3jghB07N;Wm$&9 z-##a@HPR7vT>ydz26*sg(kkpB$(kOVk?f+9ltQ==`O*XacA`q-<*CsY0KhNcpEAS) z^(dmT%&@tSHoJVmlD+)kkU;6gbLJ!k%=%!y$NK$HP(b?|t^2rkY^xM`4?ZNgwn@+^ ziCIf!t(k)t2CP#I(Z>bxWKh8SnxfKFPfpVhIZm&L$&zL580z9PL>{@=OgtVpNG=Kl z;7oxT|MB1%oeilY2MRe85C{0NEeXo^-}q3~DWMh3Ov2k<5!x_6G#5b*BT@vQ016}2ik-dCBBX(JDp**vZn)ZFhh`q=7g)l!uA9c16E`#x6 zg5XpR(26#i9$$}`PzH^q!1X}$OB|EDQk4XNbbStnS^m(JvUvOAto)F0kLR$Lo$UK* zqYOJ~cSS8m8<}6xhiO%iabK3@tS&%Jgr$q)t=G^NShOe`38b0hFy3=UVb_7=&HY<_ z`G&JK5i+2)zOxW#RP=ISZgzEVkXHFqeT=*SL(2?!Qt|Y5Q^0z!D)yS|dDMsz4h!^} zibw>?S*Z?Eu~YJk8X2@2X}&hFgEovazU>Wb`}pI5zT`gL`x@h(MQ=Ll9=sf_iL{Dt#+xV3XYLz_8?`gy6dOYy0Mp9 zkQWE{RJ-UR^Zk5u3+(|tfFLw5j%QNEHXa$v{%LCle}95*9kB=3VIt5VHWz;M7# zCG9b{l6CT7@SL)9;8as4362Od=ZHc?osgMV-X~#)(kjjzLhSJeDnTvPO3P!$pB3~{ zhwDxz^)p0UD~EgdeF{O1NODsxq1ApT;IABAZ%Kc(ry3r{T1VBTH*!MjCGUm+T3I4nPDiSe~R>rNL501@t?0w_oVMO-G3qMeB@kc#-aTf|=_W zWxMylq5Q~EcC`?6Kb2kB_Q{cONO~k*`$6RUaWrsYmW_h9<5Z?_oT~Wv+gKFLlLcb<4)X;ca!1t=V@=(c5ureoJ`2y%o3_U%`EiP=D#w-zDp``kcXYY(dcX zJ6L5U%mFh+br*6L`B7T^6pC_57m#|!DJKcWh^FX~-qS_aq*W4VukPKMuqU5t3y-CI zBQVU2c%*ZD(a>QG4E28J?+Yxy1MpJ>`8|J4eRW`||4`Lc=LD!n#WZrr3CoCFcX|8- z$PGH@X&(RrE+A*pCB5K19Z&%8(bB#wIbf(pV5_ldTsvtUvyt_L&cg_4-idItx_Di+ zZ4?SFxy5+ya&H)wDft5606xsMXCx;&7{Di;Wi+ti7Le{USu^QBZ?k>HO8POVz~9^a zEHR6kY_b&rP|R7VZSvCMtQwx5`A*_ky<=s^IazQ+GqCPydWH~(-Zn>^>H>m(Fqj7M z0!Aer4)su{a!l=*04@;878zJjJMh2<#!9RpBY9u15GL4IyO^3N+LfGmofxtU7J_>6 zix^MgX)(o>cbnyUeBmr$4MF%j*oldd>x3$&@UMAm1>C-VJ;J5$4f2kDST|Kt(Jo%} z75s=^5TzU?xr;Gb-nW+^Y=In|ZF(qC#C_eK((25$5QkXtR2nIsIY8Q**Me2<9YUZI z6wK@R4df!NvmvBt3T8Letk%|bnBFXftgDTFLXHM;V`G~FEVF|a%h4m}hw8_=1;n?l zr`Bs!FXBb-bVrvxbWcNZo29-POd-Nj{!G7Uqw0gSNEmc!p+`=-wT}C*G5|%%D=}cQ zkmTML!Ca{Y*;b_c!u}Mxx|c^-g7K2_(Gt7`fSVRF5ATPW>2cPYeWtWq2sBA1zxhUJTNkZBLthi8N{IU$F zJfldUocw2aWS9sL8%aP(&y z5S4Mn5&53BX%+S%p}&-9s#r)0hi z+1fg!b{}Susp4{aT2%ize&CGq(;%Uji}YlX(vKaX3BhdW)NMR42nbQ=eQjQUG~=tO z@?}SIpj)$D)Z}_W%i*Rj$48{jj&@u@aXf@|TZ4)%bY|I3caVFK>HF$W4vU`m30V^Y zVJ2q(^84Z_m2aefz^%--}CL(#)Ag(s4{22pY)P9dpUOHvdxdrAf+LLoD z`=F6}>)0mHdnLrrsl}nrwkv&+qilua7s3*UvKE-*5grB4!RY$2{-~M-yOqrl59=h9 z@VeMI2deJ++h0q*--ljkYn|cb$>s=S047UD8dt63<#msPkOccBK`dZPv@u7ZG4Sb; zy{AzZi~VO;GcP+srOJ|uNlMeohk-kSpTxxj0+MzEa4H!}ntz;xF*+sU{Z%dd53B}g zY>nZMF2beF;_Dus_c)Cc0T}JNXU@RXD?r*m1@yobIh34P)Xh6m^-hNT$lleZ^JkJb z^LtZfKsR_iRd^4z^BY8sJRh_~9P%%No7;5t{VT~!e!q&$+{zZqk5Nje)qlq$-6r+R z@O#C=6uSq$aCOZurg4)#=3f^lMc&^nzffcNx5#9cajxdw6KC2R#y68WK@5LFSwO7b zpt5siZcTnC_A+oKk{bZBSgezkY%CsDx^5N8&c2i+J`{ytlWBI8t+6{>i>;+dO4*Va z3V$PnDW_VtJ=Bu8s7!V{m{|G&%!-$7RHN$6_v(4uaqLPDQc1e*w*-_yvo4MJlA)UfkbR z=wdHPyrpdG)4K(%D&^gx;qz*Q#yW`pDeDbw2i6d8534#q%|XL`l6T_4p>~+pxqD0; zxAcI%Oiu1@dC@z^$h4e+GaBxPja{`B1Qk>yTtt58cSy87Za1#)>c9^U&x!D{Y`0Le zz{J`xf5Ng+Fc-Bn^4b#FhsgI_TrY4Pe^6ypYDEYv3>FISkg)#^fi@JHc}ZR;6iD@!S=!X@2^XF%g~FW*b5?F%P@cvUeE$ zq8L*7Al7#Dn3KI&VyrtlfN z$gNe?iPULvE zbK+!UxkgupVu0&#Me3#W^p>e)J*s{P7D@zX0d)!3`zn_Afj&tA+gO9)l$s?7YL6wZ z1e0uM(t73oW_}0dcUjV1EyaOMmnB5YA6JdhBF^}ruohOehB1+mSgr*g!(a+Q&GCL0 z+RT`VJvX1)I2LXBIZDX$ub<^`w4F92*&K^&v1Q(?p%9Zt2NlT3rHd}r6n%K zTc};39@I3ih^iTmN3>;~&C@=Ba+Dy7R`L62A0Sj^M-cO7sl>3)&IY=AGHdx{Q8{yE zNUaum92xF0ZK(+P6!8=we)3N@zz)IZj$?!hO8tU#c!#^D~`$>Sw{NVt?O=Ih#o2~_4UI7pjW)N7Y)1Zkqf9S`M z*sF{{GRl=ONpZsL0gnK=U#vc)?h(+gb)OVSX2Q5}Tr`dmN>v60cR6wyRumy0 zX+!+PQX|y9iwU3skD(P2O{@CIC+;Lec1K{xjLCed_stl{!qpL%Z_ZJ6e;STk&-rwk zH$AP<%mLzmH*p!(@NOak$AuQit8r-XZ5TP!Z1KG$l9oE)dL^>ApgC3LOYPBJAw++d zW4^nF;gQBZF1XM8gN+Glv&{cvJ9x-TH-pq#8M_O^H64vqh)15#w}+9T33ESKdhzC_!8;%g5jpcV1`x5n(?n0^vrrd2v&f z;lW^@2NW+oI6~cMR&V!7AD;tjgi32i;tM?=QTuY-cebVx>P;x%6UK^$-h>R-)PbIk@>7r02YPI`8d-aG9(<>gmnxH3?N=ezg3rQUA1Xh3hlyhd@RQV*I4IKi;EmK>l9P{Yw&C{q- zxgS;ZibC$;%a98%Umno#9~f4D9cEy+PB*X2Z397e z*Km}=vHpN_vGa%-eN{T1l9a`SX$t{JXET_(OmiH1)OM@%ZV7WUqz5yZih&TmYB362 zl~ev>=d=^{YVni-ypy&AKVJh|Fq(#H0aKHj$`mM!{^R0B0TKt0yK2aTRFck&E=rg%{?pQ<%kxP<$1It)u;Wm1&|CF{SMYL#EY&z3@JsG_HL4Yr z2cS=*#67N|!~`&cSkZ)eTlV~l`U;21H#X8<<1W^_Ry#POtZ;^GxOx>1b ze(@Mm^CU_Fm0qvgZHjUfsrf`QRTMLXmfC6`qfHSv+FS$ErfS6ko7#}%3#%~wK$!NQ zSoEZQ+ZRC6GOn_$wKZTUdG%9NCsL*4qib@a^b%!p_wtNB;~rBuHKAS0>E!Yq3^4|` zja2z?1*+?nmv$*=3-B@p&;H{wi^p@8hnP2Fl&E)sU?`G$wG`wy=`=}e)Sq#Th~3M} zalHY0{-nWdD%A*on^beTe(TTjs_qgVkdHbvA2yI%Ldxg@XOso&X9cP_b3!+FPQAQ79{tERkZPF?_w?|AbJiv{ z*A7UzbD>SrEa4s!JvY(r3?eTRj}Px&p1&)azeUvCTAKg_%1KFk($&US$aZ<-x#qTeo*n+;NX;ZVhkXYr zwMlPj;e%Ynn8O1ai30EvZHPNc2Jqk&wyGn&)1o335VY&kp+W9gbyHkwO}DkBfl&I0 zfC%nHdBhN&At_$()45{+`QtAXU3O*#T&jbjLE(z>w)>I8LzOw+kqjT8l?+nA5;(|% zcBEmP=%iTot5>Oc%1sk<1u#NNm+vkF1{TNs4IW=#yq&QZ(wB~?07w@Nc9Hv6FkDol zcjA%0J(_JuZ?t1GQL%BLy@WSCf|KroR4>sd);rwOv{+LZ+9l*0Hsfk`jCX%e^Xr3;nXRnV}eDh)vH(_M-JlT;w1ho+MjLvgWgdk2o&b zR1+!R*>nVYcs|67=5^CcySsMF>Ent!4F+j0a8MGyQ#;1^;CBcE+*MgbGC9)x5P_vq zMYZ&@2ditc43RVL9h|=-rU)uiZhhy6M}J8>qO^yw&bpe@(ip(qg}v<=)~Cz>aC2;Q z4(I}HK1mAgnfVz6E-$`tRVVhnf%t`y(#KS4Z~5+c(TQC)L>wD>6e+GBo|?OFRByjC z)Y=q@MW|)4>gG<}$#}GVk;J~exE z#6TKq53RRbsraG3-RVUMTt0uBadU&ii->el@p~4n1fHW!-JL07oeP)nA>39+KrTb7zRUE87O^w5QP7(hZ!I0U=(3Id`hXFf-t2lv7 zK??dHKOD~91VcC14m?a#elU2xFXF8I{(w}V4RcgQch8k*PmEYKDYfQRnf%%BK%X>^ z$4JgJEWEOPXMU6+5?9;_M95DyWRRYQSP}{W5W^ud9^}^)P7*a32n{Shj-P$6)5PIW z&Y@~?zFl3?kB{$w`G;VhLym5t5o1-B2{2QiDVPs8A72!) z>WVU@B+#vWx8WvI8}@~ni~HN3S~ufqpfkpC(rfi-`W=sN=pK*AhlZ|kq9c%_&o+($ zmg7Nb?pXtaKk=8*#31`jAk)VZZIOAEG~yF zz#1P8m_dVVt+tA)dFLD%Qy1^<%8AJ1Ies5E(~JGWi5AG|6san zPXiZVWSzkpcAo3ji=}gPt~EY}sh1dBs{M-~cQ(Ad{&sZCfs`{leO|$IOd3XwkMYo+ zDn{012uZ;BRZ5^)`^5DUHB2fnWkb!#937fJx@@3|$a2Utzrj9th5}O;XNN#$s5;F= zLlQ*2D1*#8%+oI*blPt_>mXzQ5p9Pc51NAp*`B*+Lw5=*YTRN0#NRU!NXr z!&U}m@i*9f>n-igf_u;K;v@AamKf1mH$EwJvXFz{X+#!2W*{u` zP#E5y(w7KO2yNGR+tCn3FGZoY;f~cWRebsrc|=a;b~2n%6F|TgGysIYy!e7n#AQm& zK%KWA)bj;@gg!YJPTkLxCWEvx5cs3=&7tyAOnZFmo(GZBi}tQOp;GZ|`S7DJhW)eS zr`*H+tJ9FA;gV`j8=Y)#<%1s3##H89e6@s;*`s{|d(PAcb(RBm`s9$ge}h={e$vhs zJuk)OU_jtj3cAfXe9(N6tkbkQwD$(2b!Gn~wUY-Wgg!}_5Y=@|d|~V@*SW{6o#uu= znN-Z3l;l=b)Mwr#@7u|7KhzY&ffs993JiMR)*7U`#GfZ{k1xe}CazpENS!@XZ$+Wq zApsI#WdcLNR)=@@y2U=-{BQ#@J!v$nYG!)=nXM$LihY~1rEq7npk zjOgQGw_JenHbW#DLm)G$oLqzU&7Wl1gb3Ag?r2l8u~j01k8B#W6g7zScv%l4Gzl+G zu=A|E5a5iqo-LlhHyM=|nTuWfrI3ln7)Wgil$XYzz6nf1fE5CnE=#APb!!N1zl!S6 zDh8*Km(oHDcEgpeLvBpWC9H%QBsFZmC`;4TvRWDZfeXR@0`ZRg4P_um(BM6Pq6DGG zgi}d_m*g|D8l#z|FW<>KTcB-Z5v-T}J5t>AHpXwyL!#}*Xzw}@&MIwXDdYI5RzM0I zq5)lfxFxyk{-Pvia{caz`QwGJ5G~Px73={@&m1|S7dIwUPip15$>UB*(AKe1j10ac z9H$Y4^)J;~U5xTpu4^<!?DRY{CQa|X`W*@U0fWTple%W9TY1HRjHe3&nn|0;E!AXV> z8z(8sw${LR0wMSs3xpCJOiX^>?UXT7r&(Gz7>PPhf8Qj!bL{WWC~H*gh~c$G8}WB- zV17){PuPDtChd~qfr;RBgtC0$@HSug&QuiDe`WK|DF=CoXSgXcIWuXEc{9ThsvnR2 zyJz7t%=NBQ{g|^h7~Sm|(o5LSbgb&qSd9%7n(ONicuL;XB;6j7ex`G8of^qdLPL|3 zeoH_0xNV|-#WjIGNbc_4M!1l!yg1~K=Ca*_>lVRzChY*BO!__4HoLkDGm#evP*KEU z$D1vO`hy(z5d!*fRzy_zbT!h{56V>Bm;|lgv55KvP;*rKVqe9&A%Im+s{FhRq%~Dt zk$PUnnawfv@ov+%0K%-{AxnwS>&<|Hb>PC>qHRx_CmR@FBEf~6%axvv4O*3A674qL z58ybHQozD(n&|o>3qpNhE)rWd zDfQP07%dYZRM{lh*W=F}N&664yApX8>5?2vX^uJqKn$p*4f6}7>Lk~}lwM=r#N0XXm-qOLdxtND9NEw-T5 zGv4|hBgh$=v;T?;P{LPFM7*yc-=I$EG^z^1@W_(+N#@LoH{y(LobhUR(g)<46PiM9` zx41irpS#y&E=1TSz(R1EJ4Z&man3pwxubA@u=0FnY{w<{7Vp4Q z58n(R()3{&?kS6rbw{V-8q&`&AoP#Amm7vDyRQ=IBMWIPKVX%cjZj^sL4w$EsY*uin z2-=F8awZ;Qq^(eV3Z$9)_PwP;k$$*cQ*MUlEhh9-FKHFXc!^B1nXGgPZF0^+NVWBe z`sndl;$wakSzKZDQLUtNVb9JVpy76a?!r-YL!#5EYk}GYA+rvtqVDW@pykMA$Tub_ z1*Qyp5MWdza5NLMf^tT4U#c71l>Hb*6n6pwi_{jdXQ9;xdfLFqVq`GQ zVNwg}(eNA%PFS-5kJB;{Wb)q6l9vJoK>+{&fB>*HBvHNuV;JHA1OR{p1^__({#8}% zhn};Yy`G_+fuk{Eu$HsiRc7m~C=o-~=meF7*IAmm6o*qmGjh|ryD zS3zRhbu=og65yFYq#zNUQZ?}TQS8$--ay{orz0iX=1JhdKKXoHD25I>6&aD2zO8v~ z_?K-O-4h&>nv{TT1z7}n->(I6iA8cOQi2Gvm3w~<;P~>>@*r0IFvQJxHZvNnkOq<2 z)jtr3hfTKa0GZuF9M{1Pt&F@1!d^sONIiwkqXoO)r|6IK)~oXbUE zO&C*i9J^05sW_d-35qJTJzb0D8cb3tZh!W{|4FT>1vtQY)t87=3Mw$VlsrPhKqAq?i`xZtoOZwQ_ZuHvE^1>B1>UAbD3{SNZG0*f7q(X z(CO%~<45PjfG^m%+{Sl;P`Uq^BSR>|53_qiyo_VlHmq!-5 zu`K>W6@LFt6?-XLnw(I*HsQmZDWh1wfa28w1Llk9J_F*!nQJn{0LL3HbVdK&iVgCz zAH?&+7N1`v0k1RCw)pOTIG~$V<>B?YP5XG&Wa#I`!<$`oe|ej)i$;jrgDzd*mMR2g ziiw3m{Rn#+h3oHB{F=`mQKr;Mg!_K`>6%$9aZZO>h8uQ?9pN^8k=Ig8;LQpI*=H$T zci9qZT?&pd{vH9lC(+79IJ&kCZ1WwdD9RQGfw(y%gs0K-J*zH|TB)F^vNHJsEh)4ut!W@h0U z1P)O*ilsWgHo?*$5AN@II%k6+w{%oO*}xFwWmK*_g21v_RM}ZnqDgTNQcM{qEISoJ z>c&_Dx@GA=#CTvA@<5IuGQO81?6N- z6}`?(k+bGpKT>!4Y2c8(kT<3V6*zc8Enp7uArdg=V^~05^-G*eV2hl9qy-^% z!IQ->K<~0(jSu~RsrTT?Alvb6f%EBgiLH--55)m*M^k@~Go&YpmXv@ole6+*aMUBk zjN?9^kcW!oHUm8Hj4r#aqf>4SkgSqyj9)IzLQX7t|M8PE5$8F3&{Bq8cqK7qH4T59Vpsh(X059Jb<@8VCpmmKG zG1`p9os0Q;07sj{^WYY+0VD+u2U*fVMNVVaLYJF@Q80E!367SRK9@B7`2NK)QZyq_ zRekj@{UeQ(%T&fZjdu%V(|F`Zy_GHT4z95Njx0)lJa;P>``glPu{s4M$oQ+#ujpZ-}eP zxsI^FtI|{nH`&`FxngbPR7tpy0pC&D%*mtLH$;@eaB zE!mhzt7T^)&?i@dAW7)9Tpd?bOW=60d0Cl&t^DwVQa&J$A|Q$;w&ynN7^jSjzEGe@ zMAoC^8UvbG?MzRG@`4I40-+i-csDmCQ-Z6u55^1eM6JO`LXSf zDNZ%%crc2sw=8vFLGwOA$L?uoS=XZJ)ky8J(4ZYQOWaLth3n{XcuB#CnHrv&b|HU$ zxOH(j)>>HS7Q=Ak)|(Qy2GRKwa9{+zS^Qw44}`AF@f*Ai#{8niYD1rMDSJE_Cay%j zouSBk)S9o3!^ZABJ5D)neBWI1RYXWW*C+97i|%bY-)zr-ngp(XF!OgjjJl0IcFmP@ z2bn*^Dmr-aE`^MwRC-TkQI4Vc$3)<8dL^a{cxuwf1_QfoKRnS%{fzhqXXxF00!grf ze8i4SiZL#aB%qoJ{}^BiC)h&(mh-gkt^(TpoQ8`6m+CeUihYHxM%f|l7%>RvBVS~d z>(a<=QN&SM!^$e3e_^kqDuiAONnG`yI3>$1MDNO?u$mW;;NQ=oRH7ZUMyP59s?@C^ z8Wz(LqNAb@^!e&Vhq5HLxLd;+&0-UlG7lFTLCUnM(mwJO0;3*TqdJT1;ac_VQ5RmC zH_Vksu9dZ`H5J$cK9n0>k{+=A==Y_m(Eh+<;g)3?nqbQLv277WYgO;=RQqsQC!(1& zP#1dot6%Uzt!DeSfMS2v8P28RvJqKChI+ z#2rZZ{;$!l*%+3?YroJbHuDpcqR#xauO~F0P}}yOb0!;*Qyl8K?p-~Q4{>JDc0?1G z0VL9wc?#(pa-oD?kbI%}7G7VkrJ0MG62#J7kGz{xdCMQ;nsWFao41#~|Gk{h#%o71 z`ChTXeXrio|6}E5A#d*CBxmnT=V)SYZQ!wY0JV2e2Qfw?B|V}>!OXW-O$nnPzPa!HNm+--%H8N9!3y?lTJu zVR_CN{1bRrWcfERSAtM3ziL%I0)i92!#GFKYB0gxkc7{o*cH~~I2}}RSm{dj72A_i z+2C*-#A6-?sd7MmCDpIS2X|7b#XkrZH^Ptyam4`&k!gJTOY7Osen*r?Vq%L=B~>2h z3Q+rGLK_{!bTtl|2d$`*k=4m`)6Z-Uf1IF6g%SrLP4IR?dPulRj>w-|n6TtY>#FK9 z#ON;5iYMwfhLPYARjM(vXkWcw_!Bn084hRM>;xZFW*NG94h|y&ywC{k?)$~V^&AFx zTKDN^3h@Z9J0HyuG>3=wM%o9jjo~ z_b*BBs4w?|G%A!F9wplH0{VA^AJO93toj8NY@Vpz@5)waE*TpQ(196o4d4Tm8v3zw_6|-)z?#V>3|r5KXeJ0f zHZS9kl=j7r`WtYA?8db5@hqEwc?%2nKIe{n>mU;Ca@E1K-8Z#U^eCryBImu!z&5RMw|uzrJ6s3BhM*r1Y9jpie7{oI>~T@DNG+)^By0 zKmh>2zkBPyj_nIa^J_lq0R5N|KEwC zGch*(8}xIl&K>wJ%=ujm=Ko>;1Abrt|1W4^YvlMhnWAbaEdlo31IPjXx5pb8%mq zDggtif1gnQrR=2&?EhY+yY1hm{_S-C3;nk`UZn{C!~Nre|Be6cNdAK#mHmVNuUq*y z{`bW3KZZB?v(^8D|22*LUCG~L{698K|3@YN9-;nb{~pi&VF?=lG2nkkH+d=0?`{tO zfbjjQ{q9pKX8WLsku({d``l*xRX#dXAogUaTTGR`SNKdE98knA-*SkuS#E5Sr_ZwB+q7( za@`eQ#?~j+cL`x2(*D}lucO=o=d~afNZkt6?Y{833XMcfyge>Iih0Cn5R4JR3x{V; zocWP5vr)p8)7LrTY^jZIbcb?soF;k>E5@mRdvifB7+=2YI*;lZ;VJ}5AW1LZaE5xG zz9(ni;Fz}Q(Q#&`*4^TuwwX+~wslX?*L3jzy;o=JKrc8hV7&os8UqRt@1Ze&IXh;~ z!2XdP{c(_o^y3p<*BPlYy@-}a?`{Gf@jD}janmn`WMk%_OodW2{-b;>+1G&iW*^X} z@e>w4XPSw_H_yx@;FhC;UjV9p3zn(nYK`FoMU~<*-?Mb` z>CZOghGg&Cu4AZoV(lhUTE$kqrnJub?2I*Xz&bnd-YGNW`U^W1UVwzsX*hG-V8foK zXNO6KOtJQgRM(UR%hDav71s5A@A356%QI$bNH4qc|Aua~tdu*y6dYWa2O=B>3|&nb zaU(ZJCpHUn%Ts@Q*VVR!tD8G~rogss^-1~nNB%Zem88pxP1{R4tD^&%85RO92?s+h zeipRpYkD``qOZh1(1an9ezpH_{Z5fKUW)up>CAxxNX*#ROW$8as2pV^=`lN@=qc~%UQ|(EW4-ZTuZlt z^z7kpX{wUKnd_R z6On`G?@K-jYo44C2>FJ*t==>wrlzF%_Wnz0E@aLlg0NXvi0x!i`ew0C&DYySSFMer zRle+I(^mBP>fN(fd5xpd>(c$e`D+vu-z#1Hr_qBwGyBSyR%4#HeQJ`YlN>^`4$@KR2Q=YA)yT>t$rf%){v2j_>L0E<<~EOpVO!%%aJft?l@e z!PVy0U}@(0{O$J5%JpRG@lF5euiyO#bjX8b9EWMn6eNZQ^Enj1j+AE4TKiWny864e z#f=DYZVqOC>z!KicLCQGt{g`VB793xLK0^$Yi=PklWzQXP63=%laQ#>elMM2ddc5?k(YguBjl@5 zoSP{OtBoKh-D?ue%@b5 z)P)8}B-Q(N`bw{PIL*Cee{ayD?~sY`9f(OWrLbMij3M`F*urV#X(ufBtpbFz-Ry_`GqW#4P_)sb$0G%8&VAPHs#&lX$11Lf6L6- z9C%E`E615LzctAR%Y8qJ-mzJM9Nj2=dpt>`7cj_3O{WnsNgvfX<1%yhkK72nGiKDb z;$L_rGzP(*XU6+1K8>!w1w*RMCZ21E8!qlIQ48Y~ zf3BSVB0aM_YHjVlxc`A?s?5#PCtbN}lJS8!1oL}Gp;qb4c;R~QvlwlsCBH@p14KQ9 zpC(d~&kp3HD6Vg0q%X6dYD9e%D}}fG$`dVkVDe$u_~vr(la4$S!RX7$sf49PQCiWB zX(M@8Ofvn7kr>ITk*@pIb~wbR-Zf$V+6adf-p{`PNagTZi@y2vX8U~p_hU)R)7ImW z11fFdY|KpM(lMFEO4mnWHzy*tt+cTrjcS>W5WbLg5l1xZljGUOvcLtcqZTg?G&ZXB zS6ZrVoV>E5Z1>U&l^O86#K^S7$oNFz5I{c@pg#f7j}9P#07x{5c;&e4)Qy*7y3RiY z%BWlpc*~XONO4UjBv@;Hl^;(vsYLJB{19G^4p@Z%RyBxPK62Tmnt5IRncvtNojUw+ z;B-Fn;_d7fBofFHdmH~zVpM8#`2mGqMKs$m`ltBK#% zu|%{|oSyAOHKV!njetv|klER;?TN9vwn0SOXm;gv9wWuM(GNwIdzLq6XQWv_lvyDH zah}{ZOPfM5xrALr!hu_Gll?i~o+|U7(q^-_XBTS=L_O4xqSf^dojT{yeNUwclJDBc zB33W-mp2Q%)C2jdWtyu!1D_+FA9MATY*qs)zBq)ZrVrB{BIAeAk5RA{_*@yV)lJWP zV68&PD`FG``>QOSnem|&x!+9|d=D7w6cH%tWknoYT6kNo4X0Dj>JCdO`JnA=Huq?+Wyx?=aBiY~N<`xY59LO&w9Rn1vT^^4ef#Jc$c$i66DF0DOnqwT+S zd@2nzh^ciP=Bp2X&*XM%?|hNkVdD01DExf$Xmfck-F0@g>h{MXO}~wN{r&dA+0p#y zsN)B=_ksS;S|Hu$`#%o~x^wHLGZEH%V+YbAzkjWQea@{P-O=zoAsP4?^2%|GB`_mN4pAT5S10{G|{Xq&+e7!5q4xZ-P- zrvGMWVKQ~%t`uQlxTe|1!mc?e#Z)~n>XTaa$}IMBf8w}d^IdFh_*wVb)7ilvBk$!W zy=0UpTu5=RGGy z8?`6|?JcZJtekJ|h?)zNPJVWHo{CbulX4wLUP!?tM>)O8xQ;1(zRJf@13)%t@eWp2 z?VNet9eox`@kPcfO6%Vukj2*SWY*i&*;MK!tbQw%nGn_P-->A1tJK$YY4U}j(AL)uL0 zxA^$x?~5ehTO-L!M(uXXTNo-%kJMKc6f~X~+83HR8Vt+gIQ zP+eErNUo8aZ$H3M0RX$|P6Iwqh;cGF0mx}b5r$=CIVaQr6jX!KIi}m#s&;#fyf8vD z$MhIR>@&8&2*n)JeQec}Jw^{0VVGljrlKM|UfMm)OY;=Vkn&k|`p?}|BTC|#yk|eJ zvBhBGxMz+?nx7Tg9gRrQA#<)io=b|=YZfb=I2Sf6 z@0RabyN&bmYgxOlDOQz}I`38;f0nqda0RMqDoT9I+FiDBO_??=c3i;=Fe^$L*U72o zwIaq~@XqzPZj>8q%(9SMPH#*q%zDz%P)}2K*kR<;e6MqbbX9mCa<4^zh78LT;8pSi!} z?u_?mu~E!jN?oI(xUR@M#7bu+)`7cK0r1iJ-FN|`!*7<4)=?Ka1PabDmYiDFbOShw zM0i{^@>!75;z3@JDIQ z7Oo!r^y9j7$md)o+RTmgj;Kl$y}mZQbNnKOY=O*Dk_BzdzWJ|zHE_yI{&cbGNJv#8fADQ-7)-haOxm>L_tOhP`q5=c6QcFiH>^o zBQ$8v1(>^apUwuIZf8j{&%YaY`Q`DEB{rUFGju1r(ORO!x*I~_sW_ex@_;Xff!-s~ zN=F;SugJJtXz>afj^H%al#CM;o^a;bVp4%=6&y^?-aSAAcPjT+LmB58RqS_F8YSRe68U zKz{fw!l!u7O=tZlmEm}1XAG7xt&@#72tfEdN%GrCTP+Wc)e$#caYSG!i zkJXo7v0stOy_(8@UVe&MCmv>YzZ3t>M}W<|AW8-38Q<}iLl=3?xMshJR9%IMl%3<#=y)iLlXm`f zvM$=)lY^%M+VUIZ%B_k|^E?R=y*38`&9D)@{+I{a*NF2@8o@S|5W<(`^cRCfO5?l= zL#bwrpW+?eZ=?fll!i3k1nw#55B!Ya_=Axhp9?s5Cl)3;44aZw8u?K@v(gV{ca!#g zohuykyH7*P%LaZdW27IIU0)|u%V@ER?$W_ypRRjFEgUmOi)6}6gTZD-z_PhOww6g( zt+8*Zc`L@?WAWmjPuef$?ubLRm{yNWG(qc%mGklotnedG=x{f7zKbcx=q3hF*6x=^ zJf^Rp5BRTQ%t)sAHDz&g2A{;9sy@JRGU%-$i~a z!~2#x9XZCDWGYQ_1ljF+KG(AGfR;d9l8 zHypoUO@`?w>s?)cb|~W%GS^#1t$QrxwRlZBSKWp>j=#eZ|KwP(7c?`V!c=}BL9V`@ zIXV;6r&RQug96CyqQEMnC~+Q z@TLXU!DXn6i!MMRv4NFnza`7L25`<3yQ&K_O8{op$Ax*(F;2W6OM z%Prg~_;0%*iyv2D;0SH&(&n&_{33^hyWDm#{|aI}%dr_LY{ zjkjdiSK-~=x6@gyas=4dG6i5Jv|{$2Ms~`No5@(-n7cfQdgBT{=~cXpqaqA7oN5d< z*t!LCq`0@Ol#6k3nKV-|@ItbuQ^yrb*Tl*E+PFl4U5pgSy`%MbYfHaM} z5C_ArDkg>&@9S!A9;>Kn&QXGCW1mcjklDkPPK;(RWeI+I2-*vdql(BZ% zrf0`75V=>nwe>EX&b@;pM?;N{;GK)69e0)w;ZWrQENv9QESdg1G+=E z)}OB(+4tc?Z6ZY5*P8g-M`62--N#&q|3g}4b=>PDdY|X7s+l+J#JL*TFJ~qA%oD;zr!Bc!}lM+0h_QI5gsS7_(3)d&|Z#=Q@>eD7za) zAN^ANjfcmhLR$=+G9^X3(l=a}pVjU6YL3XGFGty$a8jSX{_k~gECs-Kq5n))1whAd6GHw}x)2^%u8h-{J7M+HWWbzXuICBh&t*!@g%Q zcRLY$P6NS(#WAmX`tee&sGsqre9ogC2+9gxCmeAPX%7GDlz5)W zkS~44Hy%61ujz=!lgo(I4Uh;e7Y<`Cx zsMYdxD;?TBf*^?b!wfZW%W^laYm7pLFK9KT)@Jcfj_7IK{P8u@cj>KKv*|y>bAK2B z9%s6Sa&Y6n9PN8wua&LUE8Usd_=9h=Q@a0Z2>jccw^lFuw-xWc-IFm;z4tYG2-!oj zD4l|sNuBSa3-L>L&Ltriyaxs);dO~C#dF8KEDJE$H&dvEH}Jj9YfpZoW#oNZjDR1{ zdvT|e6l%wXP)~P~%v$E#;D-#M7M?))>Ms&TH+y?u7-210LM;M;@)C3wtHIic#r$tv$P$97y??>Q<~ykW;VH{=`(7p z{N}6XO2x^LnL8rRo?(>8miK0#*BgR;31D3dHQSGxyaf0ja5KSC*;e)`+j~EI-;O@AX|0wmZ{8_ZiyPWCk+m~Fi`8sf_=$k)Mb{MgwbQk( zhw94kBlJ*ScFvg3tsyew9;YGVaWf6=hb zT_g{+>e_U3bh)OrzM<(eX%lGob#xoeF|q_v79cVJ4AQa{HSOwVA^xAS4JIs5o@vmn}8zLb2vW#j3N;{GC#Y4`EL z%Z8V$O?dYcRaK_%v`CYo-v<8+ch&H~8ubB(KO-eYo!`?LlkfowVqvmAqzY(L&>R${MfxDtz8(&WF@hkb^2( zBnoYqL0=~eFyJQJ{6#tgD2DkuLUgx3KuG&Cw4p<~l!ZSHu%L`*E)X0EJdu2etDq>fvjX+cuZb%LooXb%D^W z?J;Z{_J8-SiZCQ?TXjW&%~(;72a~rK{@!{G6WIKPdDyzluU(jCdRN{O35j&t2o#39qr=IPId2q9`!wc9b&{nO4lKw(}r=EWSp}>fehFIIWnNjkZ|)|Ed0Kh6(z| zCFvv@@g}Z(yQGcMTL1IE`_&HHOta*M@wY*mFsWuz_}jd&iz&7qeArMN%m)9f{->FX zb@wm#4td&d$`8~YwKId zjOVW@qD7~#4{s&gpTClSf<~JK7jI#}4F$8qwc)nIC1LMklrbSDA^ulg?O?#|ePz$9 zc%$+u@n0qPUxky%4u^WKLH`x5q z>p!)Vt*>VVl0zaXu#rvdux<5fSCud}ml03X?~W;0mqiP|Nrg;{%LZMvY^3G~{}B0& zh$tInM1DM8+c=@*PdomaR+AxMgSwlgTlx(P_T1(u*L&|+@enwz@6hnidBUP^ek(sR zS%V9^{_DMa!Vr)QYD(WvIjN)>#;|BZhKH}2bC~2;W#P?wR!pH9b5sQ|Uv*kSIXKie z(YRkB)`(}rX_UK@I+VJ(`mIXM5@uTS0g z+k%(82nxkMcKQqs@WJ>M>03R@4OEbR-~XvZ_Bo9fq%=CDe%fx)B4BO*eALYPwBC{T zyniC(JIw5nrk_sPBitbN$C@kWy<@s$Eif!DOne4V^0ft1m55JUyMqHw>oQH^cdA4? zLF6}qCb8uOSurbK#>^ZS%VJYicV7n-YQLCdk=79GcSB=2tG%ccW){<@#-U&88}>ao z3X19lqnivyFE1KBKbOlv8g4EOv`LDTEVFsicp=ZdE>9t2S28R1HQhB5qxTWxSFf2D zDXw^2pWN}~7P{;8U!-^M3v(R*V^=aXDF-&MTQ%QP3z`4d)S7ahL zUevKDJjx+KUh%Qs0u%3eFB@%7o&JQ{M-U6^j9>$&WYso3_W-XP{MS&XuXfm`b}5u% zQ8M{62vk_>RHGR)T}(WVc{x)-nHoLSO!E$faZ^DZ8US@|nJ<>mNNzI$gs*T#JtkyV zSXi-_nc~!}`E|y~y{2pzk$+=*Kp*SH+0B;w(~w5;b$$WTCuu7JRNxyON-)4QIInIK zK|H<%=@ZTy0@N`vZAu0tli<87S^~_%3lk=P$N4((XR7z@qTGWj`TGachXb3roHCVRPh6)l3J@$)NPOC2tS53HNV(? z)Fj}KlCtkLq90XN*Rj==O@bf4mBRchD~Q(QTqR->!!Lt~zNSkJbsq++yNWUE+)GSRBjWb482_J(5 z(6n*A<>H!Wk&-wuc6CaL6?!sc9bBriAw@A74AX)NAqWfarIXAsr8k#CfaBO^*(G~$ z$2dBI+pZa6{Q?|sh?7r(=)xpXC?kKYWK1FO1Iw-Ki*_oy;yVpk4>Czl{c4JZ?U`-) ztmVFak{g!{slx#>@}ksyFa5-p;bIIm!FNJ&m$g(yy_dsUulR(8k>AJ;yktbjyf_ZC z(2;h6iZwBUe2kJLZz}RCjXPw`leQx474i|)ozV>r?bG18iYN1DD!-AohkQT5Yu7>| zE7&Wcv!99Hr2%SWiQkANBwsWO*zLLQQE%CtLQzx}K=(zYuZK1_}GR>-0)t^cHH9j?Ss~FP3u}`mLw9aVJYKNpvd8#uH z{-aK-x=`eM4qd)q7zQGb)zdj#YGm*(8YhE4Hn;G&7S^s(L!H11`CD}%#H5n6#Y3Mtl((Qx zbwl~g^Q2g<3~t3+1d5_6;Isf!XmTCgL^@LXFH&6@z59wTNwsFFRmd%}g@iOqlP;(K z{FESWwz49MJ>T#LrHcCMLRSCe5(V#Uo2Ib6QORSck4DATYCgKLEl^=^Fqz|FrN@fC zd-gUf69FqME^LpzJ{lz(W9r9Qu`ms-2(EqqYdCa-n*iU;p3MFbHuCJkYA)$~SkE>| zqvpozmY*63$$cGa#nyaP@lg=D**#zt`f1IVaGgaz(oix*Z z#VcEAA4F3>s+mXmuUE%+!UnbVw(+Ipi1EH6nU$FYvt;YVV_ZHF%Ps`$I@MCz%LvWA;HMO6`=eP%&g$u8~4A+_ZC5HtWNC*d->B7 zbCg48nxqr&nG`Z@>*Sikz8fn1mGlo>^6|wejBh6;JXv#pT@(pjjQUvLGGz7Fz`Vu( z3>2P)u`r6einR3#x&I<#HpS116bz&n$uF-c;eoNOSF$oR3s{p*ZFRP}VL(kDTH~*% zep^rB5u4RIZTfa3hzOeUMmzKx2j=~CeprJ)Y>emWf8)tK$S*q;&(_wf1ZJB@xRphW zg!jV2Z~4EdxEmgTc@=#m?iy2g-u+dRl%ZM3idWH81)l-KsN}K8tMwtgkG=ylRQr-z*b+Is!m|1c6}{)bUG%+hQgp4Cuo zSK~LkPUA}PAGS&=pnK8!dKeZDD+^#%qh`K@)=H!;kc=L~>S9ayKR+I8B!e-En^qUR zVEoy=3JlQd;=J5p+kb8pn)T1BOg6Ru3lQ4El$#N8rE*R)PugD>BaWXP+rVZ_$Oo7w zS5~7!g1KORv@;q8DjZdU>}z@}pubR&G|B!Sgo2Y{)9;VLzXlli0W1F>gx;V$=sGk0 z^A;@HeH#DG%-E?*4WZ*0f$b)|P?oIA&<209zs~*MB*0Vs{;v)7FnD3HFgpv2g}=<8 zl7F$_jttJaNU*W{OUu;}j9q`IZ%OcQz2>nB3#+W&5MM2O8+7k!z;2y{df(;ae=P6K4bh>%t_o62S?x!(g%<)IhLbS%(B zL5}J|<*6k@dfubdX>po{JEI}i;HA2S(e1P^#C(Aqf!~h3f^#qGQ69r$r#JFI`g56h`Oxlt&QvvP+n*^MUtz(_x%KHjQ)tHOH1X#+W(O44d=q#!-)AygJ zVx-gVfi=$4B%`FJCb{cZ)e6!`-67&ZRg7sYkO8=^cx?&pQc5LBhyXSI-8Vp{%PL7E ze+}6C@2PMaVC*OZNKhzSvfr5_BpkgDtmWKcAqqNC1rS}KEAoX6$%-5keK1OfDm1xs zL`Y|LsknqoC&E*A4D%#FwTrE?2Nt!+Vh7|QKcowwb@62*Z+LJJYZhcBK4SSS(4yQ| z6vh*UvHTi6{4Bj1>;g{Pv4A?sCCsv^J7dK%P37e$TlLIWbwZmIrZY~UT2gMfp?aji zF7%0flZHg`LSo07Q^^uZ73fWfxJ z0{w;h0w6)I2wS>*eKd!+Ru>#J;@d=LvAGQ5j8&`OMGrMlc;q~xbYDn;r+S0=Gb9=6 zg+2W=#FH2pI%|I>&BsNGwWKo84k+=x2wE4eq(ayf3smGK^JhGqlA=OKs$7`fCb~s4 zn`mIu9S#j3x6!MC=Mn`}MvL+!j^j@cH6qFwswFNyk?N$!YlB6~MY@hC$K5_fnOjKs z9t!{`IDuKoRfrWdKqLuL;IaU^0YBa>p}}W9!Iv+RS|sxMxc+Sc0B&(SVBEX_=sN*c zY*X0bcd?)95d;l$E%Ab`F`;Mo9!)~n<%P0J44{>XukQ;}N}wFrL;1mK^fSp-a>WE8 z)QDqcJv!uAu{jFvcDHYlXX|?-!!smVetkN&A~1K6%xC2(Vqcj=pf&p{Ug$Np6IO*X z#<4%DoDJvL&}Z(prHPlt3qLN7rbAb0hDlufUO%`P^~13W`NRkECwLVtJc_AKrx1%o(Nf0C0v9muJ*U|y%0akhCNzgz6cwvhH;P~%LK0?mX zRChgjV1HJCq`UcAHW5gj6&auxsbXUXfvq!QG-R|tFhR^pX1T{(C9nhck7Z|cO4QJ=TCu9UcoQ$3Gf zt&Pe9*5d%#?BP&LL%&rWx@>Ea*v|qnpKYu_rHAWN5@Yt`01g>WmA?*Z$i^sOR#+Zd zQb==&)#yxwImAS5LPsr&3o!>W#^Sb+bXCS!o>&4+s;=c4XEAKd;T|OA9&B!eUWP(H zY%iFV6e*@lBpyRfS#a%ir_)U1(tfTG$2fPb>WoA#e^S^BWvs}y_%!(sHl)mnLsfkt zA^zEayT^y)^lm|>EY_Y4mv_>aG-st(KL3&;IKG`2pIBN+e> zk4DRaov@JDm=@B=U?F`bd&|@aC01PsX)KzWl<<}HVR99BD`s4U>4mb?WRqnbdZeK7 zR+lPsMkuTN)k*MPE2DvR$s8*WHcFZr*eL1#G~|fVxSs`%)bU(oWP3|^(s!C0(E z=t1&Zbbx1jegf8ScU>%l(`8vDMv!jkbpA|Tm}DWm$mg23&2q+VQv8V0>}_h5*I#=W z>}WZn4){U3;_~?z`-`kSbE<<(`vT*DhN{wBu83SN4XI-*!Vnf4ea6eFq^ljZ@(`sN z)m|ivBMW=Ru@=9)x$;tmG+kvjZW>mFe{d8)J@^d_0`LSS?Z7$=cPoaof<-9Rm zj2sob+$BKhS83ol zQN+@<+qO_5!WkKm4c1;wzQ*igG!sR*{KFj~t#^jj+avyeeD0r9Ac(0X26d#rZ|c z@tD!7;V7=sDAgqKi9_4QFoxCejfwL-Jd3881an3ls3eKI^v)`MP-#Ijo``qDv#^5G9KuQ} zvBT&oOPor3&#X_=$5JVN+{$1wyNrkQ;*RoNr^ zSNhbb_a5o*5qC@R;OW0Gg5+OR!D-LMPzHN*3DTD&ZyBn#h-<;}EEC7JYL z7&3xZ^k6My(Xt>MU<7jbB-9Mk_ze4aHU9hVM(w2NW}M&UP4$XES<96N-Y_bHPn3~? zu^*Z~fjLL{N8?V?Z1H}yGdg&zPjcYfYxszoYrDJ>()Qn`+XxB@<-Ircu(Ynyc%vHA zYBL*Bl-s2L6sp2kc9^u3|APU9LrU*ac7zA45R8x>lgp29;6lg6b2-TxYHA*8G642# zYx?b>htzo3sWkVS9x+UmKpAeg-MW6-;zja>g@24E0_hu$mkDMJl!De@ZdY1uwsZ;( z$x=(yj*xk>Rf(2%@PNDmX7kQ6`OsuMWKV8%gYrx&|H34EYr*2G=h>$Eh6-`rs;a4A z;^Hdh6FeXjb69xn5NsT(;Ib-tz7QP>WWZu7w{hG1tiybcXX73x9#O<_=1n3FeYNQz zm7Ag-*mj{N66=T+Kr%uR$Ld5vX*DcooQ(sXumuATxPpX^d5RDr?Gb!vgnxkBfWFcBm=qQ)cAH9-bcb0CImrZr&Xtt1)zCLhYC{oMQu=KSeS99t{F zdJnoJ?fwWwWiY=@DHPmjhlS~$BvHuMC*VdkvmH_M$K0zA6<jzA)F>GeL zc^E zZ=BdHijeSO6j-u_r3I%>JR3pb3EkQD99k2f)ew)t{{4={*iKKa9kg=5qG?h5XUZ4;vUHQygy3fBwkJqKEG%JwqsADlM$!igqBcaNlzs${^Myv0AwS9j(Nkq50!;y0If}D zNoZyK=v%$v0=JRjA%=Ifa2PLSTDjvkW8=d8i1lOyx#YjtmsPi%Uok|Cz_#g|+G31H zEne;FhtH>R_oB2+kOJMY8mSq#YN`n40re|_xC18S#G=8Nq+#K7Q4*5W{rU0nCQzs% z2kcFq{JRP4@H9%S*}gO`J6#447b_`HnhgLebkrxPk__DFh_7Hs2#19$W{6YEd_E0S zo_UV=crD6e^-^un_sZD~ZS0_*TbCbX!<1;@aX@Qk{+pN<4`?qkmcJE6f*fnO$mS79 zM_|l?{LU>>lKSsot^-(T)b1&RZ*8zb!e=!BM2hdzVw({9=y)Zo;xWz0&e32C@|+|! z*-@@wqQpU|836B|iImxfHrHOP%h1|NyJe(?ev&|VSBiw>@kn02KesnR#J1@PF&OG5v;C-1s0mj!51mZo+=zFPj3epYTy*3xWJEbA|c^tON1=b^Opy_?fkj& zkjj8@lbF{7^o=k>EJebkGYJW*H5PpGT1)_>eipE)t-&Hs$O7Ry`W$}j2>b8wnDm|+ z3HG=p21H0>!lsTi2>{4O%0;4p6skE4s27m5v&zIjt~rSP-1agUvP~mrd8GVD{^?C3DGs0H2COq z4;-ZuMF%~AaNmmu_6OxJ^In1*LWsY9{1QeMbDRUkQURMsIPE)VovhhdgCVXDH8>XS zi1?)%2-Ksny~Jgc9%ZFTOGy%Obv<0VW-u)!0|A5B7?F4(1M~ur5`I#Tyz^bnIkym6 z*Ufz(N1xTpY1u2xHM9n(XTmZIjb24zg~qUk`BrfL=tHJ;aK`e2nM^|#s=?4X4+Za#y$)C0LM#GQWBt&i zdX%K{;wm^$8UV<{gd$D0ES%BkX+Gp)>^qvTf?@%cRSut0XqQBEIV^|DaT|k+C#|V$ z*-eH5M*>YTW-7r{pLY2Q5H__6Ttu)x#Gp|)H3UmYuJ1u*MFl`$7+&_MNYcCm#z7+i z7Gi871SnAepa%33?iGfdrCmfsMwQ#wQRQ~&&&={wvF#Z4xd zOfXLJb^crozEo|}_&E9Im_*(((p@2wxBxhUn~+9`=f=fLLE$i+A799#z1h^hFnAQx zJ7l$@25z%u46C_Zz!jc_5WTb1BlwW|ng9HQ87UAgL%bhTT|RDm;vL#p+B2L3fn@$x z1{_vwA03v#;O-XpG6Tp5DN3?m#DgF7ogIBrnob(D#*8DueO3jn8!cB%gk}$?9$pxydOuT=pPB8ba@#GU1ibbhHoG&Q2pP zsMtrVzt)9Kj~k-?PeOuW;bhqolAEyPJH-J8n-gJ##lljR$0rHN*CY8VAu8YlQAW^Z zE@?ZCBr3xn&Z8ig`#6e=UR7 zXd$b0@6lq?+g8wM0rUWWTuBWJist%W1a?L-xEr2QsDimO14vjTOQJt}lGqKOML*OO zjxY)L&idkDqWwAkaN~A~0NKFW`&G;m5yzT;9DKi7Dysvbz?&iGKEeS&iwzpU^U$nk zuzJCNrtE?XJl9zw<>?_4#_Bri`F20W`&n^kjZ0|rGbmHXRt9u=PiwsuHb&9a?Utc67Z-!wnaq=I`dSr<)wZFS_(E)XJ zkYlP@BBTXPKL^YpGWG-3uz4G4Hx;upm+w}~UAd1RJSyT*zpEgosN*+c*UPQtrGCT@ zTNw@&aKV*QxJH&9dTzJXU z>7aZwlb*;1szFF-*bH$?I_;)u_Ugo)UX^R+pim+5CL?A}ACNmd@dbL7*T25l{>nfJuoMj{Fr~%bSBMXDKw< z&(YnXZ||&nN+Ipcw^I5ol$_Jp?h8NYh!p@#$3u?3OztZ{A(0Sc(=t?VtEv>oF?GXZ zSKEb(4MxAqIggRo4pLwb3ol8P)biogSCY%mU*3l%=U{3Ts)7$(h(K-v0HStMm}yur z(;{G6>$=C!1F`R~kH*($P9H-*JXKC-IsoH4f0l>}ZrZQ%s2g?9YkQd($1!Bpr@sZT z_s@hTdSZOPZ#QJ>xngCRahqGLOh;tbm%HmbtawYI#Zi>(|l+hw&Tai42z zGnnL#k29$Iu2^YQ$*y=NJbzzl2rM>1YRs8y9H~BUmDN%`4KHf#3c-egL)Hcf!;UV5 zgy-`a8S%7zI6el>|F|bu%Pxd`=+te2j1o{;hh_VQ`~VVeu6n93H?hJS?JxVDoX%Ct z1f11Pi>FVGPn>5gkf6)D@`*3Mt2Ar&1 zi2gOP!{}7)@6+V`N^VYfaOEsf+qVT}=qE|;bG;bt%-K&Zt5*|Q#IM7xzeS`_LN2pB z>XtCfoh3ekz7vkCGDSS|R;}}SW+0FV(wVT$H>{m89Kv8i&SuTh7zjM#F zsdd#|sC^6LgfCTpk3Pc=dxQPdNJt?*T|q~B1}vYX*(b=7J8p||3*X-#vOS~~E|%V0 zX4Nu#Ov?kVyCZGuGihT+V8Yi@2{=>c>G>=e+9~^Z-#ljOL`n__bPqTe@DY7xZWrl}aggD|=&SfKqwqWNUesY14yXGe0C#d+ z=ZDf4qcd>;j%LRO)`bGF^L)IrY)E+zDH(kd#CF8kWZ~)f;0~v4=J0(vugzaJBo0UEf(?|F@CD;@ zv)M;(ekb=q|Ie&}qfuL>>XH1WHWUq}hBYfkBUDtmhU})#>K5pOdL<|>i|iKXqE!~4 zPgYFoaR0y(=F2jJH0`Q+^C2j5CL(Jw!`CWjS+t^{!Iwrkn@_)9s9OaDZ22&*Kwi1= zcCZ|lHDnvBp=`p?1MCdO8UOCR7#HVPGGoS?ZL;i(gX$SWt1J?-Y#P8TBZj5f; z*w12LwA$#-F4Zk_ks24dX|1QGu=Q;1XkFxfL(h9Mtc)mc;hWA;;e9zBrvdf=qc3+e zm$t%IO0C2mF5*B^`M2uF;L8Q&v1ZQTVsEq_q76y+gRBLq4kbc@pXV5#9Ud%G!kC9O zQc5jlx@@y<)><=-&x}K7BbO^2RmhqjP3f+@|h8 zicJ20WwszPouf_+w;evaB{(2EhZ^_GbbZ?#dlI1O+;_BK( z^sP;oSUz_RDY#M2qm}ofsXnPKB`5huee~40yk)(-0%wN)iX1+}81JoM3$|Y2=sHLV zq@zpyIO3{bK$SUOeeyjz#X|i1KQzXUxpuH9gvhTRlS;kd`n+r@8}%3@FW7l9Z{1}A z{pfj~QlCp-y)FlCf8IZO139Vz#ONY}ml2^F0>n#7BB*vR+Y6ef2NI`0Asf#2MxzJo zGk@o*JbkAhUnikoXGYg$VlsaoUv>|CQik9>gW8SX6{uT~H*)iYI1eQjt!QA0CGV7& zB|YpW|4D)3Xd)elwwqu0vDVr%{{3};-{YeLZ<`N4%5j%>ZO5CNr|*T7YKPCG1@PkP z+!n}|6YVJdcF*q1-(@cxgZ%vDl=*t+x(*tP^KNqWZ3p%n7`E(sbzOy1 z_6J@Lyg~nm1S@8^U;7}5Rd^vObWr|Xf)yJFGZzbGG1~!Al+JzZ_VDzS&RDq`u9CKS zGPx;f-bjXaqXEk#apNsT{e4r^#4qVH`AKL;PtCtZ0779N`yHQx??-Apc9smGEU7RJ zsAvUV_R5O39QfQwy>WY?P?qOKZN?Mc9DUgv!(Ix?H;t7#_E1?RVA!p-EgSm7Z5<{G z5LYX$Jl)p)#B;ID0dlGGx&$zcc|l7vId78G9O_gFflxIGJ{cDuNM_9Q6Op$J)=LSo z(0EAQWtB^MpO?gwtSbI-=z58-#+mc&&dpj{ASn;I1fdajh1 zc{Omy4H)%m4X5;REl`{W&+p9JZNyhh$kx4$##fgfz0%4axGoqiYm$P7O?FMzk*@`V z@zba`)zJ*zH|UVPh_0@wL3En66TX8Yj43iuvAV?KCa9r^?dEe|m%1gQ0Apd!yYnBi zYF%Yz!Yn7zmx;}`QO)oS;p3$7`{z#MH1h%k$cL`r5BCJ49yBCDwgFn7cs$16`=R%I zWZm;65zc58ZZj@FO{;9eyV7-mxfJ3i5GZ>f)F5FlI^ApJATr4D!r*SJs$TNhX#7l4O>kYoI^xG7=BXxZt5CE zsfDjSWj9j83{r}!A~^E`UOdHRbVsKJn-gmy5SAl)la`XI`g|N=Q*vQR8R@&5G!%Qu zeD81kg*B~z*uS?2)Ezh`;c4!&50)kW{<$dLzP<4|3Mq;1bKzK|K*3zHhorBALwJ9= zNyzGuKznya*u_~^`C^(e=SynfDf1nyvaRIor409l{TwfcJV>h#N^bQi(>JiP#!t30|1gNmVBC zQz{O0BZ;T9(u}ZPBUof{E;$D(@q($zK%}?sQxhwZ~`~PjCcQ&TP#` zs?&5a*Yqf+OqW_Y)THFx%4S$fEHWjRQOR=NDB>JT{887^)RoXv-EuRE3#ewz${lmI zs>GP^JW=5);goiRq2O!QU*D@1f7^Z^9;bgSwguM?O93sP>0NRM4iJ=j4CLf*kmZ0Sk_r- zaBzc{zTw8K>zAZV_YgonldXwPaNJ}&#LxBXk)3ITB~op`yMNq?le?|@Gsxb(JGXuJ z4)x#d#IDvRP8QXMh+i~+E=+dXC#9YKhTHzq6r;yb^Si4vO{zLG<-2(wBLaChl(d)% zrc?DoSy?N*r`^w126DeYw5DcJ9nIxWt0H=*#?=dMKRnJv?y}q3JRSOFSM!?9CwZ(U z`5LBtT?v&K$4Bm;5%=@?6jGV^Ugzs?H`PJyI4nAw`ipg67J@>NwQm2YYX$UQ&S^37 zSzc7s1HBkkwL0u|@go?QxXz>bUYdlb!QyaE)T$W97acHLa*_u z3b-)RWJ<+8Up6oq@10S;oxWfyIZFUM0Tl%e79M6k6*bP%1>;Va{B~<=wex+~9z|B`r;JMKwfOlq7AL2Yl7Zty@b_bQl+Q{&Tx<*(FADLb~YJ;owInx%2xT}77R+ZM%<#L^wqFnM%)ulT^$k*rgdYgA!PDnun zQuX5zv06PfI)8q}zBi1DZ6|N51fhNFIK-5oB6?74={Qmg=kaXhj>iFQ6ZE_~odXQL+i^{@LdZb5TzUDg_-*qM5jZGRVhr)OsxG z(6_{fvavqUTsd28vc{_^?u;O5E_rAw5)j{PmYu z!RZ$BuZ*1?9Kz`;zGI;Mq`b(xf^=Y$Nq*-kzai;aQQchG@k4zroe|xMXsAQa&Wgvj zRg$DkI+>(%_fIcl!q#m99tAvK=#dqONy(e)^2>*a6p+L^iJj5{&r)*>PjiWeND4?V z!2d{;SeJ(%UxqAJE3+15)_gC(CQnh!Eo}tf zQfi*kk(;3~9*5_otuNAdSW-jEvK>2vuxdnO<>&-sP`XL1nGbdX_4S%Q@l>hN&OuvW zrbEA8)`NFuuVxi4MeY})wtd;npoGv2@ltX9ocl)Vk-dF|V~!ksas5~?koeFe4V8<) z+m@~yYNaTZ$kVs773sG<&ibdQT@zc%Lu<_sAIJEVy5+sFN77t#)>C8WvvntIUr8MP z2*;eFdb#8#ZLH31%qP>tUC+Tmk?!;=vcbTtm{5sMTu>SGftXrDCKgAXkEmCB#k++!5;I zatfkpg-i7jch}a)J@_3zt@TOU+F*q_oTW%RibF*&r3#{ zJQ^i^fM4mV%43LtuudlBl$Hz{394YW2D@=CMK%%1V633_eM8xbA(}^WWvCv{P5POJ z`VY2+jVk|1YiX}smCCpl_(qTUDL2o#*!Iy&-|ghOtas1uUV%;}^fx%WUiRgJZPL8D zIY_h#xD~<5ITeob=*eZBvb-zqw%QIXDY(d`WzBXDK7Lj^D8h)lqY4@`x;IQQQkQCC zOvT;>?ZRw-!7U2I|ve8zT+ z_hifu-S}Ky7w!NXq?aa1Up-+ZP1t?O!DR1gD(AOg22J3tLpHXDp|rk8#~L);BDR>< zB;&^i;#=Swi320PrH%ZS4EfNnj>DXYJF&ZC8YYi;LnF~jM@pC!ITD>t#2Z)06vP8_ z0?&e27Jhi%0~My}=2ZT*5|#))WBMHn(tXPP)GzGZNo(-VPllnm*>5f4XgLZOI0JO7 z*kLf8RA8L<0H-cOl4sf8pHN1aPDx+A;^ANVzPWa@o3a_@vu6&xY6cCUkQcx-**yNN zT*oWzJ%)Ov1J^>mQhrHY3!;h-A@anq$EkxipvWhnh9@6?0wD}Hm!H=U5o15h2%>#{ zQMIwP@-z=Qcb=Qy9GFr!Ea|s>e;oRBw*_lJ1@<}5|0)1`f)azN|N z(Gs39D|VQ%i~t_muqbXFREV zb*+x11Z#&Kz2%`2rhJp8y6Jo@O7yO49eu~Il(<^`7I(}{x=w1@TMC8K`vldEao)UU zM^lEa%=DFXx{gn~Ot*aR$ZV%szxA!&y#sLNxa(!i_e^uysewAsmE04Y4_^OUMX>= z_kOlKYq>WX_c0X-ZpI(q!DkPtFr=9p$ZUZk(cYBHvsbiUoh$ zW_!#!u~v62rcUH_#`$-`5-~GRp?Yb15;e!v>s3Ym4W}IzYG_>w*w4XEaW=LynokRa zobB96XQAixIs6M@#c=w}L}*seOEfX(_Gps{Zi!M9^6hcrvwH}kK2 zmyhrotq(5XmblK+qYBfJG>JTQy|Umt&VtDD1jV#*gm1)O;}{&i%t^MDP4ZLDW+yO& zw-78!`}>LLNBth_M%7=|vy2@NL9qNWLpO85!u&fV4gn-*s#oHYSdi1{z>EJl;>k|r zgq2a26q%pYcQ>Bdc_M({KJ1yurAjlJpOj!SAorN4SQI1TL{{8Wc;Olpvvn7^l45*M zhF)_gF_~dKqS+V!B*K+_{Wd_#LZR)TJTmiTgd%{o3bki9T<|=f)a6!Eq6Q^Q@O(c$ zX132!1}^19PXMm5V%ht-~i@ni&YE_aI5p()ERUn&$3F=@Mc{ z)XWXQCLpYm^xFF?hews>iIB@{mZ(1f`2-^{Wg0T9kNHX6rQ?|}sVN1$nP?x})$HcY zkB6s(iSZ2Mgh5K{W@BB+IE~j1-`zrHTU7az+(-)CQnQpC^WC^l+qKpC!>^y{a*j*j z^S)OrdMf};IAv?qv&W^%c?IUhN$`a*{OkuaUdOj^;G~?m>vXZuBf6kmY6hvG&Ht{J^D025#w5i2eRrduRwIFtVBpZ*4@2qItUmdcR7n zXXDZTq-E_K5V*|!c*^}uBk$T_Nbv2np*A|kaf!ZpAm~SN9>-eD%cZP8EymiSXx=8_ z!5s37$FcEF%i46QQJw<_$=;NvU_5^26J^0$8pxG_6Mzc$-qSliOt zklyMt8=M=iL>j(o71;~_b21$O8;lYZSE<`{PkT&*}m>jwb1`1jUg{C$_c zG5PuAlkz+OxSmDxN!vDPn}tbyKCbnKiRwG&xri9;-xO8syd_S0RL2_*wO{1&y|&yt z$JQA~a&!q}?ECp=2jkuYc(1KQCi~)AdX#;lg{|`+JU1fS*^%OOgA}%d=CDKTt(Je2bY|FV%)M z?btk=6S#b5t zE7Qu-%rMC}^k4 z$AD+`CNjbHzyXN@{pFSj?4JJXg!FcY2(8M8!b-ivx#vo_!_f8j`4>UxH}<=^;Ssc2 zpBA-DWn*-e%wLCQ**k43c@5CMpkG2kOQOPlfZJ6-){mIU1a7m}*R^blPAw<>jiZ(Y zl`kU1?Zb|heZ!Dz+}$6?3wzK8shdaUP?Wki6m)H7Seq_N^RLrRljl}E9{Gb4( z5HuaSj1qD-#?zYv5HD|1{l15;D~BW&!5dHSs$4bPIRb5WyFFswL~MGX*PqkF-nP+pty;%ptEHx}>QmT41QZ%z>?bX}QcHAI&_RSS1*9Q5*%2vV5E zSk_|pq}pY_Idtb9mdxpxSYPO)ee@?y4zr%S`JT`NTSUswlg@LWFAyUXA&@J`UVDg& z+AJYqqTd#*jbF`<*C-$kZKd&OsB+XpM~gb`B1o`7A-!3P5`i-~BkVO?CPGXrO;rS# zJtO?lX{%EJ-9>Y=;W&7iX|ju2w0 zvECqsq3VW_`Cci#WX3GEw9N{_-C+f3|7HcH?XrTF_8|2GNc|8}nu$Mv#y1ZliKHLu z1>uT!r0{l;-SBoRA*67Fmt3=ClFZ{N?zLvs(U=pix_Lf8>-b`ljQ-vvIS$%Pg&!|O zRhT?PwL1h8w8RmcR%2$S(w-iw+Fb~tDhM@0s24(@P}Pze1Piq&3JNTSX!aZ~I4V;} z`4d|@;{>Vbvrnihb#Fhdx(P%j{|8XGZ4H|c1qLV%HG0;WziJHrm=z>r{~=~%woXpi zIUM)UBi`;6gp!=_b~&8!cITY&EM5auEU8UVSu4#*bnJ ztxMrq;7~-f=V3}`IFl#0(JtN$E4{P8p^s)Kg?OJq5R4@QDXWo+#t3|8vXMprmyT{_|h3?C`IGGVXAwg1GEhVCdpo3 z#52gKlkp)JYuyRYB9%9q-Iz!^gAc7}oGe_`GHHPJiZ+COK%AC?-Xys#g%!l8fM?MS zQGW$dAB-Q2MfgEFBMo9k4-@2zbBq-LacUIeluf9rWeX%614uZvY_!hCCds;WtRNzk zg7HZVko{*o3nS`ib_q!5N=WC`5Y;`$ep-&(5cagG&1=;y$&8=qMdSMr4{ir&U7t;o zS6*2`odI~eXKV<&EL^-s-f^6&RH2Y$+@z2<1wV1i<-N}+YafO%t|+As2dEqtL`~3R zM#k$10x$ z2#`S7Ac1f|2=Y)$0+k8ZD`lX{t4^SPzcGsR%s01w+wm1w!9WHWwkwn5Ef)mT+=>X? z-F#mp2hk5=nSVZcq`E;R>v~rgTs?h)OOtvo+?aJHs@K6d3YmjpV{h?B)qWTDWzhilVsWc?B$>PWSo&e5%5CpXQ-D+ z#}eJj_otq?fQmCJwSh=ak5ci9R1jUSCJH?^pY&OaqJZJ zaxogI6{uE8C*a*0C8Ky!>j%||XbuGlo?C-sqsuh1tG>$?kYMsk$z_CQsz__7X%&=s zJ69yuZ|KObCQwnQUD(NkoXyB=XsC8^Y%_5^Ezt!nFOE+FZLFTdZk4{Xq&oAJ@pcOgE2v)7a zJs>r`W+-@>bZ!pFIy_p5OwynE8DPrf1IC6qZdBA0t20_NFAtQXo zUoX<_y0e`=-yMd`8@GaGFyapO zA!VK=IY^C>aVFVn`=KqP=!;Dg&vfFxDrk(?1Lm!X?#n!&BaWPv(ID&!XYv%o!+I867&^z+Cy}s{jW^c?6DNl3$ z`~321pVN&o#ukrZVN&XTPynkrmU($Ew zuIr!Chb*XmZ69pcIHEyrRHk^*fNAvI_2Jhy+~cx)>DV-2>_G}V%MH;C^hcu-*M|jo zmOCO$_-`+{6gW%Xu?PMU+O4Ka3Ed9AQuKcNuo)E(fbSSO_4e6)qL!@3o~6TyH{r|iZnT%MA#)SCxn`_L95a>F>cgXFM4 z-ZQtYUUP6O9Ho5xDIpKiDuiL2||0$`}3`6eRUbGqNuwIT^}GLw&4GuiEn&GH1mP1 z`%mPG)Zo@f5ZGob2^`x>jr&4qKJoUy6&dj%F* zZ`Gl<3wqh9VBVA6Sv%ow<+kF?BB$S@!;G@T94x{;pObCdLw|%`VIeWh+ZAyU>Z5e^{3-r)FF9@ zxrK)x*1Hwmt=E%mT?&yBvCqMg43Tjh@2t4~zPHiVz@tCmxK@3Ct)Q$|LG)O9Ty;KtW zX|u%v-5Qs%-K35PNjG}SPPD#J6^v_3r^KTGoM1yN&A>N`AU@AhFP#36)qN1MlUkd} zQLDFIj`w-!1{1p0#20B3@T&$oltS40m&5KyVeB)W3;7|>K_A8SQ`pb|R8m&w77)@F z;u(iCkALV{w9N<44&80z;TX*5RG5=v(VjrhOxOxMmI0q328?h+CyBaV1BVFPW$fB{ z*wpGHom{=fFFzyaMrIv=X}Y35_tdv>W@S(w!wf@x4BPs^E=(I+sak#@&akm`GkXlMbeMAXNK;=AbR*dm&)hge?2}hK1tQ5;axm@N(z| zMJg>=*K?vuc|kbW3;Rm>LL#)`sZ)By^iqchtrS~capD8OL}FFQ1F&7Qh#+=QlRE~; z_np;duB=#m&bUhLdc(fTbm{f=HRCS}c*h~H#)6{)|#EX;#Ac&AZ_<6R>Y0Ab+ zfV<53YrzSHxye?e-&Am{L=`ib3Rqa({sV?6Do;oMdm&WnFRMkIa@l_NlOGe)Fht>Z zdVoRtZJ^*hjx0GG4fv-P#V9Z1@CrA@C=xFw3wE;(g9+^G$WaM99K(f1R+c1|R=L98 zcu;A!lRQLro!E0tqneA@O;6F9OKP)h1c0hI}q*|9XbF&h1M^RVkBSWyG` zN8*mY5jq>fF}YMRhirY%wwMkK)vPCuC9D`2h>t5zlo)eO_iI(p!*y!9C`E_ti4mrbII>Cv8aiD{NcUz(pPqO;qRNl}hf|224(_gK7*- z2!<5raQfAz3(l=tV3#a;O;`h(bI~Yy17ho1Twn+lj(Z7YXR@o-tf&ejgsEv}BER5$ zR{2spp$g^j5!lhxl2oSz-dNPBVA!S(VGzW^#(mNn+7vv7b3 zic-V}f7B%=7h8|)U3z+Vmw5fiHM-jTmc&UH^&9d_*Ph^2<`kM6HCV`&1nxJjW zz$HlUKGURLcdZn9paz&aE0TXvg!&vYOTG|}8(DCvq|hND4!LusBJnE|)LBb~M*jyW z9!MY6yJ4u7RgL)!9~JN#H@3T{j~dt=QntQDtd;}lQ=IS1dr2Yfny!_-F^eX;(8`E{ zBZURbL(0gXb=E1(&ZB$bs~zaUx`8x0(Hfe4uC~Js)h$QS51p$#04}Zl0bH1F6U~T$ zD5#~sR!*q`_3i0x(cdz9^K>*5&s_sWnz$4c`A`t;>+w{F%Zw2^XCdpC1=+x6MrHV` zHVldB+_!;BDD-CQd5u0hsJNz(irBMSWZ(5wN;aSbIO-SS44od;J$<6fl#e4)C!=YE zL{8flmX6(w%Klpm?4mB`JlQF6po~7}JpM1kz;NA)LZ#nlh@JgMs3K{$2%)l7;Vn{2~jUEBr*?ky>?hDh%*qF}IT9_@qwu%rd|^L?*y;^h(HN8yZEM z9srvW)KEA>^axL|D*938Dve23XRE^=f8|>RCgNQl z^H)ZtL9*}<*zpq)Fuz^M5iylC#7pE`w}bCR{_z7dL}t}%l4V#bG6(XU-#K( zPVM)6d$il?y3pTxbxEZdH)wI#C>hCF^Zavv5}T8^;co~jc#QlOVgzd2=WjecpZA_b zI**fTAR-2DZI#VJSK{4f`!wAzNyfvQk42<|Lq>!hR~3Y{?XN>QOFv&HTDplDavaOkY4?K+levf=Rw+cNM6SZ59Ir`l% zxW;dKC$Uqf%Vc&&FDs`!z8=G9bI;II?Funx_B<0~^L=P0{*l3oJr5Umo*UiA$Hotn zfVb9{Jdcfw2IE)x^nlj!x&gc8=JC2YyZa=Iu*%M`DMk*1Q!d|0yZej!p%xa7dxEXD zaj@OkEl`^xU};LM?o zYWA65#zds`crx$psKXYf!$UG7Ad1=G*aMjIW)|vV_5C3(%681;&nl+P>lJwpQNjF1 zw=4}jjk}1^_oR)qb^+W&^Vi}(+EH#xwi_FeZ<~z(=2^p9fgG^T_f9c}Ic-``>5R6h zjqi+oBWi-K$(o)F+c?y*i1w=B zr@S3=(fCzV%A&b+hBAwmUIXNL_8y(XoJnn5hORj;=g`E%XY0_*3R;d8otjR5(70Yf zCpC!CprDf*#He4;$qcH3aD+is#^2|o=s@3zxZT4Yl47>FiIuNF% z?O*1=Sc=OEk^bMhL)&FoKqTu~g8ZFwz|BqG*<;^bz(v%SbjB2I3+zOV;absz=XZAB zghc#E?k(f;4SaxqtD28yBR+1UxQ}~dMxC0EVq-?9n$M|)W#+szQ;+dju05M;F`(h? z$ue4(yt;B-v&Gm!OQAvMXLMKJWcQ9s!@^|ul}p3er2oRMWlzq_(b$Blz!O(m*3;?9 zl97Yb+DdK5x}dF9&#TK-a?VQ_-c^;Nx7?Hj_kzXa)XGZvTVqbnb+@$@O;_#Oirkr$ zfWYC)$fT0@PB7r)JI@3JHJqOC7&zc8FMB5Ts0j!>RMs~n^}s=ZT{8r5L%?w(1PDU_ z;a?7-fWVlm{HnHYF3MT7;!UOOS+Ix4tj{DwRbF$$+-nr1_S6osY6R)FiGBhxGh<^$ z({%{pXVIKieoyVNeOLflkdhM4Ju=-9Nfvhs~vh15R$ZR>-y88^$ZY;QG|`x75_{T(&Z zzfSsoi^;hz`HN~Gy>R}5;+&j|C5Rr!90-S7;femR__0j!=2-6RJA^U$*Mc*|lbjZa zC-9IlxMPFV{AT|S62!T@w7)F(tm}F<1$OOPf9S)Bzk>z!H;4uZAbE? zcvrB59CmwLHn%;NcuPMQ7>t{QhCX}NI#8FUa9g^pT9}ob$`Nw#<+QLR%{>9ee_!)K zYJNY5J}d1=X!9h^aoXJGsq^PHc<)w@9+E)X0Cf;aEeJUM!8X?f4N<~xW=36#zMMXK zSOlp(M#f}hcXxR!9j?GvYIlca@7e2Yd{(-G=x*$TsMUu6xAmdVQ#60UCWJ%!SL6O) z+f;vzW%`@lfR+;He%CEb{N@~h2vpib`c6UsJOn`cj`u44wU!Mrv}yO(wp_zoHrCVg zQA#aE>C`HuN#U;}C4U|H+fE8$*_hrRS-NC8m|3p3K^pb!A$;uF6OmGl8pE#3o8OHq z5d9s0^-n{<@LvFe0Q41zHa1AKLIAVWi^Vgm&gwMylN65?(Rjm6WZgEkY#P+9kyb6*GmSetZ?cgC;A$ZrT zY^82Sy+!rql5gI=G4}0Gs=lCQ5)q%xTR zdHl%B8sj(HaJOh~fn?^BNr3>T{hG74bDj#rv47W};rghC%wO@V&)YP2x|HFv(dxNepm=?Z9(H}+9c z8zi`%S1}|MvM*DtL}Aa)zEs?s>dsONC9@21lA3^=jcixBOx_>eC$r;Y>>_O47Stu? zJI3BbWB%P>o6p-DKu#$9*FRDBTOeswAMDglyg3i#b|_>b&HCBS?r&oP z?rU3KP6TDp7A)gwfL>W+{?=Cs(=^`6KizVvJ3^EwV^xr~1nsZAaH;NNBO2E5`OK+ zo-`kNrA>Gz;1@0=DtvWP;>s>nHHAw+t`)upDf^laA@q3~yXqCbdMQ(7mqGM-n!At| zqZF1h9Ak}P7FDndx2S^Ar0x+meDBkI)_L^Ip?8|(NR=lGjN z`Wv?S5ZhY^841=W%*0RlYM-!YKM}Kk`cVIg(B;$8Hs=+QOXmX`-zJnM4*&16s5)#0 z{fODriu%thpdXsF`o*(a<@I_iwsi~iYb3?h>K0w~Twm-onyHHcS{70DO{RA0%^nOT z+YfH>x&RDJTNCtoF(F~puxoqH?^a3lS&%Gguzdn%FR%MKpi78HE149B-yt3P_Q@`b$bKb7fMKj##L#s%vF(Q?Ca!BRj(qcCl- zCJ@yqoCmB3MBWUGI!Eh7KI?lrfA5PxDwdtpfjKAvMJbL&DS`A|oZ!0zoVhrTxdhs8 zagyKvyNFBGUkT!?x`$Sd&*3@|9sAatFgF7|w}pVD!e7z1DZaU~@qY`4kqx1g4f`k? z$|)O;G7`c#5=JxTqxS{L@3|c;CTqV|*SkNe`r8MV8sdBMSfEgr(F+lpW^93vIPc(u(^h_-Xq+5Q8Kr zd>a$^ktEploHsk11}q0e$_=vuLkS{J^b%cM|?0dyhqi zm`DbzMus{|2F*?uCGhxCGJXV|ErfvHH*k(1h!oey2PB#t_;5}s2&+86;q*Q?bRX;m zd~Xzz2DSjg8ig)_-GR`XAuV7)E5ccC$2mzSI%#kCIp$!sl{p4FVmyLeJPKVrl1)4s zemtUXJSty2vR6DhtO|mZ3JR49l7$KywhE%A3M!WhvQIwRQNeqH0>sP$SiJ(&)dI6F zb$?4`tvl!D|K-kSLNeK_+X@f)DGabb@Eu7=IM@UTLlQa+CK5#3-$n#t z>UTXC?0m=4uW-)Z302!~ch2AW-mzc*oZBG86Kn`X-wa0wOWY&;S|9)PpG>dZHM#&Q zQLRee%Kw84&q)-9&kNPy^f9*2nfE=-_Q&x)oAhuFC!9ZlKHI{rq$FSAx5lbR4G@mGx54}mUyBuu>)6V_t@cj1+5y6I>P}e40VyE-X6PN*@BW=| zNZcpp{m(IAamJ34cTC~k*}Xeg6u~E4Mu`}X}^lo2AR?Zs?i3!lzo*i3$iT> z)LVvjS3yUeMo^qaVVXt)OrsG`BN|Sl3QZ&XO`}7zB1o{JP_QDIv7-H*v7!9CXNrS`-anb*)3wj;S67GMRjL7w5@GfNNmj&;T3J@X-VB`x>Km}0r1xOVIaQ|ah<`kvi z6ysB?XM1trny;0{_ohY@vmFEPVXpX&E=Qy3H zxZA`)+|A&-ZRmRp;hmL1p41&b4BtGxFYJ)>bDBvQUWrZmG3p#3y&$Zuk97crW1W?Upk$bp z0>w4SM4G@d*+iOf&vKx1kX5Sg0WhVEawQN3$qj57K5&(HNfE7dL#C28VB=AV9_T$E zF4|RbqTcLp4-MijYm}%U(rU)EF9LCwH11Snt2B2l-_ou57w2f~Cf5`9dZ?_R(VaHZ zqcf~U2Ooz1Yqz<7b;c7>1StAV-?obZfFCx4TDHkMq0jn#&V_y7k@m}A;12%!`p*_F zqD!VSte1mTRkqN-aJw{M?fS3S1_(|#_2-9)s+Gw9u09$!F`jEtmTNH)l-^G$eXP;F zw9$RMpL>m#;h#*fO2F@kLL^vG!%g5~dl`vB&76podgXXwU7ZN_wo$S}eZc?P5l5-U zIc=Zc`?#>>zxXzZY_cICNGVEADaQU?l>WOIzqu&2xfu6vQRd%b!XHJ+K8mp=i_#^F zd3~E3*CN&b?Q}Q(fBT&9zuv$eI`z-mQa3LNHvic!eVk+2y>{j5GB#%P|GLVj(Q3H& zsuJh>>GS0+8!SBd6H$;2FA9efjO8{hQSgovlu|!kdhi@8x)qpl9r~`{&q?IW$dFL* ztv`Ml|L#9r;|qrb!o3HovCs|ue?K048lqGkol6?kOME{6^my>2VFmRf{#`^o%xFAR zc|6=<{QHb}*p+zbws`mlm3N^kFh5nGidEotRo#>*Zsw z<`eVhbFAA?+h4ECJFdi0{ckSNUxzfrxaLKfPD~{3=myqp(*vy21I*LcP_E)ouar@e zn6|A@t{TD~_y(RkO&oZ}iNo6FSZmqGD^afq209m6YdOY`QLo?zI%inl=2?5br1RkK z;i6tCgn2cX_^+_`n5MVj?Nx_8ScQ3InY^}{_%E=&^|QXsvc7>>-&QUDa0^n{^Zv8D z#6P>sp{DWSoFsJoaL%px|8O{b_>!iJ`7432OWq;>KRHW5^A{ku7r=WLpg)?tgEK)0 zGl8Kl{Cs=eM{d1_S~5(Py*3o7m^S>8Y|X*RN0?&KF{+lcMZh3;%7LR9UO{oW8AO$7 z_jA9cE0B7bs#zgM;iPU*Nx{G(qLRruhDz8mqL;ydMyk%;w~NZ5ZZIbGUR}Yr4~s|l zS5)eKB9%k)U>ef}w!*q;gg(=SRch-5R>13pe8 z1?0JS+a}j*(H#?+#_?BcTLjY+rj)OcWzO3~(d%ojlz=>Tdll@%`A^j>TIhW=Rw_Zl z@-5*l%l;7akSv${RpTf&d{w*O5*^TM8+Kv=3ErzYzkyjM7;@1dplPl9(e1gdK-;n- z=(t7sf%yFKB2%Di`K)f~EdMg=;&Fn1aOS7~aHqda@|JV$mRvY*)3W2_v8VV$&h2B4 z^S6emmtW+KTb-9{fSh(AL`-rv(*)zhB^F`v)KR0Jd4z=KWtNTOw8CfhPJdlYa-Sc@ zu}duK54+wkmlTqHraj`d#<`aamyaou-)4XMTNw42?rQ(Jsf@BR=m^m!N;{*5KONvUL)=B2qE&2M(l8C0U@cty!g6oFzG00$3}q_zX|GR4+az&s3T0=JgAOZQL(_ zhnotz>YjZ|DHJGB9Euiqcemga4;tLHIK^qP z;BLhog1eU%cXxMpcV7B^_y5+rZ{3@foSk)MuQ_{W&rHtmWbYYE8XG^B49s=WAI~8? zza7-kMiC?DJHb9zpIDg`***Y*zV{&1t@r~#(V$a^`MIe2M~qteDK?ow6G|Ce#oW)3 z=(*a@DJ#xEvm!Rt1T9gvbzV_2{=Vi!N1$#YwPMO8P%A4f^asFjtX^1UegY*yS|(qG zayi2>2%<|@E0>=LY*yq%mTQ@^nj~gCtd$dwE}H#LP**H7Xjb-hyxdka2k1(u+TyxD z#+3fhD%Wyzwg)uc3c;X|n#QF_Qg3%9QL-yAr;$dU5H2*=Z2qx$v%wp1JN+OdQ>3;xQn_XG?Qyl%~n4 zX+Z|64X&d<;B0pp_ZG!N*E*=1eyKcK%rHJO8i&*(Zild-n}kaTzpvTQA%^MraCaAmL%L+b1+B zEdey>B$Q4`Me#Bad396SctT0-cc$g_ipLje z*hR?B=M9(v>x-&6Wg~M1Wosq0Cdae!4Q|vHhcbjt)prXDxn-{a{Ug_w!9JAf88Fyi zl@i414zg)jaZJB-qUWzv&?yWc{Sm12?_O6*qNdaw`ds~^8yz58nJf|iJ}ei!VBnCp zdu^97`{|DWdeL-WzH`dpBo2cLdfOi|6jnlp33OEk?8)tv4>+IFx}`$o0ao#Si&;!M zT*nIT^FAuN^$ON{80lnxEQ@1n=>m0&Cp~`V%VM*A8T>od9D-U$o#~Di^N=BB({j2? zwUpENNu@r?Y1+bUY1%NL);|`^JAIsVTsYZ$4}|0_A?w_2r8{*xUH$F|1 zB|#j+!V=G2rQ`^h^~TAB7VuSbR9LgMsjQQxEMjtWCu(VR2a%6su^HLwv!SN>+CL<7{x#<2kaQtaG-Dtbk3_ z+67rc+Ee`nN*pvUxpb*&^QA_0dwO*eVKWwo7F-<$~im{0q(LR|lv! zQenR=nnd2K?TG?WiybvN*>jA}_(&KfU6vhm-M-c)E4kd#zCJ_JprDcNBVf>wI27l7 z!eXrovHEs6T~v`Hmp1CXW-SkddacnaE+Q$BI)OvbX?#Piw$(RtCdi$#DT=&vE8zsg zf$7@M)nh}K&GiQzCNL#$z$EEN4I-D#SPPCeJOrn`&!d_4-9xbUYoq51_84+Kgw9=g^&r-N9(Mv#q z*f{qKakT*APK?7K!^65Miy+55BBt?^*i20q$fRA;o(k-#vd~|kY}!uuUTust32#a? z7^pE9N`G0zHrm*wm*lcdqIv+!)TD_jtFeNq*x2Qe_`I>HcMzA(l&rURWYkrA~g5bfV6B$1H|aSJ~83wLX!z zS++d3fZDgFZ;>JM87_7EHxhTraH1=ndV;*-zL|1P+CaQ&6CHiLb$+C3o0i&ohuT4N zovdRZeFGm+v0+V-MjZk0U(coKRp0K*^{BB}v=OY}tA$wC>@C@RQE5n z`ikSN&6%~Gbut<)L^4x8nc974X02cje2jxU(^@7f+TtkSDcUCONt)C!rMD7wI!Lcc zwBX-#kb+n7DPj#8=%w?-n3)&a_7ppn3!Bx%0U&UzTGMNHa9J-DAZ7To3@wcP5?>yrfKu9^j(*0-7Xh zZU7crMVW=17$9bFyO_!i+=HeZx`&K@ZI@(D2AFyW7eb8pV>22@hJ^>gcnr}Sitoi? zr%{z7bk|Pg0JeLQm+Tn~suampL>_7G1%%QN+V`<$+MA`U5;2x3hY3xf6#CkxaV(Sq zmlBIuI$lzh0mSj$vV*k4AuQX1giBQ0gQ;pcnaN4xP`hdA3c5$N`3ii(G36k6RvLo% z*(5s)z@Rc?Z|xzv>d~aGa5))~S`4ZTyRIUJkwWTZ07oyPu}!OoITgJU~g&T!kOKx)p09uzzUB; zLcl1K7PYJL4_Eh}NQ(|}S9%xb+aeIA0OhZ5t^;{d392z!9=U|*9N zf95cXjz1yNhOV7>d$!Cu53~rMU@MaF3^~%NV9L5SvS{P1BA>wKg={CsZ@Gi|l}59# zjXD;$YEk)1#E6u)!>#Tr>(i8)Hz5KA1G({Ao^3n;s?eD*OF*Ybj8py~d#zqP8P!Z! z=O2P$-?JmvFdnxf|COlTW%b~HZ~FR`6zg>;T3mV^^CEXXELHb=H5{pAOq>Ty)MJ>^ ztDDBqeOSIIP}{-Dw{use(-=SkszcC#&HYxiT26I#%A56xyTth$-}6k{5>aG>JouJ# z#urjWn>7*yA>Zw6KdCfBqh(R`D&r&922Q74(}z#M!c9BYoy3M0#O)f5gvTXE zN$O>z_QeGR`C_KZCZ!#Jhye62u!g7B$2=Xv8`tCbli#0AGc~p?8;%yz>bvymT%bU& zaG(bAr%O(vBYy@i)44dIz=XD6G&D9lV@XcpAip_VpqLyKgQWbe*d|A0e-6`1C#5<8 zQVmGxP8#UO+{GzuG>iL0rU5F}Arzfzlz5w@nX4nu!)Z=x*V&i zQ#78|sM9nNh1s{n8yiFn&UMfl==VOToScxlCu?)2C38E#lM}+DMij9BCqG1qy7*_0 z!+X-;Dz|}0)`qiAJLSfNI`85lu{=FG)JCqK;~C4@WK10O(!$?2#LKoQEK-V0im2(; zC;|_*Oyr0V`#vW4k9axP?hAF z+|LAr9w=Gg&(L8HY7X)-9wux_V$$KawjE9h?g6u*c(DwBZz54NpFjy3!5_s;SA)d6 zm5M;W$g>^SzXXOVe;tEuJ}}%CD(fIp;k)Tva8;W(1G)ne*s~q?i9TZYij^H@M3?u) zsZ6}8LMIr53Daq%0E4-vBVUh7JgF4f&A zI8+PZQY3A%&KVFYH1k`j2u~{9NSyEk_9eJUDaNZ`@=|j21cl5_{3zLt)~1p#HOn+7 zmLU*@%+lYDRyrMoL}0>w!Bv!><;(zQORru}_7_MS_-i8(0Q%wUu;Qyh3D|Uie7kB{ z*^9|qQ8mw0n;FB!1m9FBa4y5K-B)jB0=hz~#X09%M6Yb3FBc0H-gALpP#isli@bu= zcuUD5if**t5_ACq1G~W^cApOwt3m0h9 z1OVO~bN?c#tFLH*OG*mjbZ%ftJGQzdQjhg_c{Tjj8z&C$2CB@1-i0`v(3pSDXpx~5 zk~tEtN606I&Zsh|c&A;%$45$K*&G}TXu zPc<2jTaZ{ZQ3a?g)0_`g(<&PH!BPk41jr<7=g4Z64`6z>L3AiMtYTrs zum9rB#8dtSdaDndxH|fX`8Z`k1q@S#2xJZT8y-Yb5n0~YCnuxUWQ?%OVsG%~Y?ns5 zs|^}A-(wnXG}`Eu+a7z!whUP&-Lp7oOKjhl;R}`wG%{B2FY7SuexsEEY~aLHN}LeI z)=L+f01IqlWMme}R2nq(mtkO>*QKafWcO!s+==z63(_&RC!XfM^GHsbjejb`rf%Ko6$%~Jw{Fe=Pb1b=Aospx6k=-l4JP)orp&#+rpKaI^dVN&gskZlCPSPszY zCVX0FBhp$YV_yo5E1Tkl3;|6uLK7=;8U+K_b3QLU0BWS)Ga=%3uV1jy0AEZY3>v0^ z5S?O=jT^P#Fo?Z%sI{7If%c%F_RPK+eusp2Bd2c!giE!|v?g)}pGmt`E|sg!dOlmX zxkI9mSfk-U5xUX=IA1vMVxQvo$DTFIlPk{{`H(omf*0b+MaFnT7Zqc>zY_Es+W6gSdfkJa?(#Q1lem zi_I+?;P*O=+>AF542n%Vy?y7nJ>VaBhq1I1C^*(yIi|&lrn1d~*sM7MJN0Q5a21KrqB!IiP442~Zml zh5;~dR`)m;|K2T=b;L^<9o0NBoD0s1TvcvIpilHfmJ%Oi#?(O01xNwZy~5-9~{b>Yh% zXoD;j%EzG_wezK`Y{LMF)wZ({n#xh7!21aFw(Q!(!Ws++dbVXCEk3p|p)z$V*AqIVp?Ru52PoUD~k ziXAa2a={pQlP*W)m%&ejf7f(t>W>Kkjz>&x-WZx7#C=OfMm}YXTaZokCnP@qqiD0CeJ$E zTl7oE2gy&dm0BA4j8C}w&o=KKTJe>cn>|gEbT#P$S)(Km_ngkA9+JyNiH$&b&HlX5 z{kp)q6JmrgJl7TOX3;>qA0>x57U=FFXJ^LLiXh} zE;lyw;FA$ngC1yyrEU_yY*=H-M5GJbY||8HnAd%Gyxj=rNlh`~j6MwP%Nt0-{+Zk% z95Q?2D1Oza$L6BjC(uE;t|uGD8H6Xw3Bw6sh$qTZCs(G@63M@)3!OnVSnaG;ucR9g zH-zn*WfIFb95vy@HmnE`IzX--AV6l#|JBMv-rh;kprJ7kX3eESIIdsI2Wh8pkssWA z2vesf??ya!lug~K6)4jk0ff3AJF2H{lrI&WptmGAdt#Jhooi?_g{TC|Pq1mpoWN;> zUeT9*43Vpd=gvu^pT^Yux&4(onH65+J<8ZS#xW}4=^spc--Yn}(DD2c=ibL{aR-88 zXW7Rj^`b;kF@Gfq2ax*?8@@{GbQli1!y;+ZkI5!24%~YTW*-x zn7SBzHB2yBTm6^|!kGt2BvYqAT-Cl@45vgpI3kjlSiaDxa#wb5({7oj_JXvclcm%q z%e~$?){$x#I~EU4eknxrAL29$4|Ju)PZVKy7=>>Vc+}$5L>;H)z^+YJJp59dNTUY4xquskSY4GQ>$!|L&zk) zKS_w^(Ew^CL&*Rdc{Gw&QlWNT(s_HLM^RX9VAz}^8IQ->In*L(zRmsKh&jln<)>7i`h8{CDxK@sx*G_hP8nQkEs(+rSgoFK zhfS+f#+gP1>~l8VKrb3`*R;jvg`NS`0n67r${bN}Wokg33gZfj7->1MF-KVGVEMv5 zF)SVl?wloBR8p%nBmD6-tYGvq1y=t($53*xVIiI=iOU?V88811mK3^^f$TzuXX zWFsnwyifbiI&)`NoTSeTCf7`hma+2Bt;NSeipf8)2kVa;+A} zSeZHxypz(q`wPP+E$7J{nZEpI;${%ISY;(Sy3nD2kzs)+Jy24uS2@83hbWEM-%O2K z8EA{6n%2WU@{Z}izsQp})8936*P(co0oCDWfE*iBuyUO(j{6cSKX;g84_AoGo0M+2 znFg(+9WJ(CT2oEj$>|5vZNhZ*mwa}J?|9^;c1hFKu$(4~0!$z?tYELB_mz~F;i;&Y z-bcq#s-GPA363dWa2D-O!h=)7Fvq^kur+`w?5xh0U=&0ItRLQW z854I4es|veijD%{K`Gv9{zw;+C|?(+#vAg8zT}y5#0sIvkpm=wnL-ZobpYhRUD7Y* zh(%c*Z|x8pDf>(%3RMu?kd|qkYxW)xxD{SY8o=b>AVwpc@n(rybQ--Kv>UV|j*vYv z*5`|uKNLe*!XL0HXt8x*WblJI%#2Cs1=D}m+$EqDVrJ5LL2vPe+}XyXL-oVF7##My z2dPQUo3{XCl7bI>W_8wKJhD;n4PfI#@nOabZ`$rp^skhicp1gDi9_e;+H?i^@5qqF zhy*hV>o)JUCRDi5-y0ybqdxsSr|qiGZ2oj%)e>8X^Wr^5D@EGCb4N8+V&}0skZ$=I zbQQf!~4XXd%-qNve%v91+7<$yU7@=$cuR@<*TLd4{8fL5 z>1`C}U~!Wig&uEs;HUEZG-|f|VKv3A)QYK9fm zBbLqFVt1IRF~J^hs8~6b^MmYZIW+#b$ABy=gXwCNM4iL~hW_|QqCMZ4On}&yWqNR= zmH|IY)?DmXNM-F04JNie4D(Ft54DWH13Dt`hW`?al+93OBymrqS{S?iL)caGrn)$F zFb@~qI-v3#J?Cd9vKY1~Kht^ItT?nH(FIF3pQ#H(p>LL@qrqsAe%HT1r^?ijeoY`! z?{-ipq?L2v9lBG-qM>ZS+^{8eJUSbROBi7UG;cS2U+x3?r`WTEIYmsf-;@n}M@!b* zZn=A?!$}Tb;-T;UM^c%^4|Nn};9`=zdnzLZLwa%tL8WdBcCe20Gm>=HvvYa4y7*CV z+1pFI;*NX~E|_A+UU_JU;uKd9&JNy!#OCIFz;@35!K9|t4id7@`kt&zq32*NNXz18$0DjC z?{mb-UGqtAHD*-iU#!5I%0D8ko0Eo7)NiPMVFz-kNIl5p3{yK>{Pi9PSz=}M8 zD`3LRRG0mu0ktR`>H>J%w5K8eqSZw>HNxSp! zs|q6`ZU~*T6ezwdN|E+QF-k>oGIhS zeQ09#btp7n?)dnVNWXQ(p=Oi0p~;vx>Um#|`UUyE?M$3m+TH1{u=Em_uhVW3i=o78 z@QBQ-8E?*gAD&W<`q*SH2ISL!?V_q)StzizzC0NTzmgGfr=_Om8!Jq>EFe%Pl|Q zF5w{)&rI8fee0%EDEmx4exyJrpXaum>4U{`v*0iCPS7rbD?x$r`JZM_47?Wwo=Fe>4A#_TPE%{xcj&BviQ@NPz|3nOXQ2PXs3oC0mlD+!DgKd_1Sp+ zCXU$`fkY4O>^AzY)3er#P0Fqou_x3~dSL~zAHKedDF**x+`T*ck8n`3!oQ4f%A!w) zS6!6&?h@n*$20#brS_EA@er<#bJ^MPOKGfax!Xq4f-t=3b6EjYR{|Hz%*4|JUKG-1kWT)BsUqb)Y z(MH2Zz58>IXHU<8MlYxYb=wJYVvpDVU0tgR*PYD5v{3o;C-$guS7oP5kuPV1POpPxJ=>{p@W+>i9p3;n%f4L!QJFWS3$p7%$ z?JDNfqM<_a$0^OY8#Lot#a!XwEz$NvWVM&n{I6WXRpo-yqCPjZJ$|}tqV4<0t<|SP zdnP&S?4$6f$DpP#25!ZH+L55fZ4%fk;)MH1Nz?2|DsQRxUQ+M9rTl;8djHC`TpbTT zwfOB;JL~4$=f<(l|MP+<;y$v+Tk6qU%IR0GrIaQhsoSLu!DcA)%+o#E z)p7P;xw~FcyWUdFkC7Q?M1sfs9y4xNd8d28RpqC$m0S(4<_`_;Qlh*p=d)B!3#5Og z=Dntxj=O!gcS@J?`PHc0bzVc7hPx|Kc)?XQLb*l#1MS_#q$n(C4f)2ROz{%Yt*D7f z47h2oTC@*Np7UIVje72_O6}s4iua5=o#jCf6n;A1e7*i7$lWiDyBY1PJXbSvO>pax z>CbP|Z{Bo5%7qc8*@(hFWu6$RH!YKiO4s8divZf`aVT*e|>-*E$pqf8f|xsTjl#hl1pSP z!;kXnvc3Ups`wh|3X(ejwMy{FF6g}yxunS*c-ftN=RUPxY`B!l_^fLjwu%g`poDSc zg2JKY%OK2ff$JktZJ+RJ>n>bQ-?3?R=l1NrXF96)(mulI;BxPFJquIlJDZ@~;Q7N= z!|$t$2Pd8Tjms1DsLrmN34m$fO{XU(U07IW#V;Bl4sJ{NFPwjycUta2wwH418MB;Y zgHlvq9loW`$J3AgqK2N*pT%}p=hZnn7))tL<$;ZOkRncMPkwRQaY4DdA$6+fX+7@7 zc;fe{rZD%>eWvW#UY*u|pZ21wA^A)mHR&qK)Sm+7x>z_gzj4-XoIZbRA~S;1vQUbU zQqx_|6%x&Rx%eGhc{jYVWtx4Cv|X!ifYEfDeNLydss}%kvteD+nBZ_m09DJl%(m2p zuv&7>R+FDFcvxDUX*7_pq^`yzeOk&`!@TTxZa7>wy{@6==6r5As-4e~dw*=R%2V&L zgnUs;hsavMx~yi#we_-QhR^z|`SIrYh+>w@*2pry@b{78h7s+V??7|g!(kmLr_$?( z^BFBqeru9Z?Fy3n#I3WkhUr_wmjlg114ftDLt9&ynT3&#>zew1S!p%;ye%PLmB!sM zzZT4F=TqmmF5V;>T{tMMOAox)()o8(3`2?rE?bMQ9Z#jd+OITvt<0gkHvdxVYgmM> zOKNUhXM{2W?-BDNpYpnYrc*K_{lfosi&l|K<B``i2NL)WTFir1uRu{G1ehc6;ae z!)GhQ^a^L)l*d568LzVZk%b$|6+UZQ^Rh>Vum4`O)Hc)9dvDh~|4{0+qsBMpuv$xh z*`-^y+6jdPl8OCw7vFx$@A1HV`OzsMGn!BR#-$s?;HZ0SrM=#qQ{h?;BY7RC!9VMl z3%{fx?0&sVC#-N2r{SBK^-VWPLU-9kLjM>98-76jx2qINV};Mf!6?w@=7hT9C9!^% z)34;WqwYAA!JFKN{*rX--^#EjC7G=cP6_Qr_N7N9?X+jj@GLrshoQd~n&9!K74@L( zBJu5^TXtRYOPoy$whXq|jxJo{Ai2r+X z&QaIFcJc3JN4@(-IM<3`ZlT{S@=LA?Hyh~k^^mUJ zv@&{jTKH0X%Sr;}_w?edA5?M8(SMu20^?p-LHop3{q0lozxUasYi~Dl!_~a%Puy-? zz^)u}xGu2@G!{WWE`Py}gpow9jB=fQjvc*hO^MHBEpxsu@Y?t3PMxZosml2HuLq2_ z)ctGRQMc~6nnX=5TCEeddf7OjGOdrlUAkBLNB$0;{=WvVA^a%dTYlkb?&^jnTwbF4 zg&w5QF816A)!K=|M(@8`JMpRR1Nz8}D(cPdllYbo=9HiO&(_mEzIS##-LQSuP}^3n zKJ^BqapZpV*MQ7@&HiJGzR*ls*k-KyQHe;nmGuL;|(%g=LwiMtDNbwO$z#rzJ&2KQwjRR>ESES?U+Hl?y zd+9$`A=LTTD$Y;LXVjq~kKt$(+WzAyP_2j@^fC5sB-lO1K+W;z_5V6eFP?lY{9uyV z>GA^X@)G@QALvwCxlx~Q{u5QK+=R8Z=w8p)#q@0Ts&GMNMKFyXkNDA08F4S5&EH^f z2&L(6w@*3WJy=~@fb8pX;MefSYUU5|4W{1QqU-(ZKz=vqj)UvBLTk`uN#%p(Kc;mx zKsx^fCnu6hClns5-`>|wB%15^FDMP`4vo{kuEA`Y38ERFWpVS@5!Jc0;7caX@ZuGK z7qMkeCjD?ZS0k^u|2++ndtPAHFtnf_8SZ~iL*!;+Hmosd*ZCQ(_0}5sG4ww59YJxD zq*cC;uek#O5crXi42HMLKr0!>_0!X@_Fsbf`YPX4ILC>iEEMV*@%JIASjJ)a&QiK{ zS*?~Vk~-tM)r^%0tuIT|mI3TNe%pGQ-eo2~;}!^LSBihjU9YUA zX=naBT7adSPbum0BNy8Xu7}36^`FxUKSqWJD}xXIK6GxIsZWF=A6vot2r)!XlvgrOk&(2@VlN5%5a@-R|;7^e9|B&RDMUS>Cn z=j3J@w0jX~5T8?Vi+D-emIWz=Rz+rD7h9J%Z_3gy9zx_fY3wkT2r+G;XTQ82MdhFt zPWJCTFe&{7H{m?=7BZhI@W*UJ{k=h&FZ#Z=DmJBCebYCORv4@X$)#kI=5QXPD6>IC z_9%h0LcgD3DX_3NJs)9*>kBRXvd!R^glllSW}4g;dYFE%MZYAlW3ykLnE9ZrABfz5 zp~(~X@kj)YkhK9g+^-$VWe;|439--6mzg08%iorbi-Y6a!m;lAZv?#wJwNEiLe;+GGwRI9)-uTA$h=`7 zBOT;I@S~tW^Mg_9j`a8Y9UFlB_Ui3t34Vno&r{BF8AAS$I1p1?QcWCB8*ZU)e*(y{ zZS{WTh>1nV>@$;_Vm#B;u43NGi0A3+YN|WCe|LCf0J&7F`14D7K|WWiE$oBwC12Fw z=FSrFNFDC;{B*xNUjs9Le5!(ZSWA*S=w#()WM%c~MyTh+Li3=}VgF~)u14i(Db4xz zRJ>B!M&JcQ68KRSHrt*BI}{nvRKjN5Byc+XjbBo>Rq0?&uv|z(|5QXOE4f(yBG9oo zx5IqlP9T%^L$}yTDAKC(h^vcVld+f9%Q%-{hWgWj9tK-}q)HW;$(_o;a!l$$-xjmt z@K(8e(^|#d?YNP4Up>jF8ILGnd}T%S$@ja+cC{Y223B?J8p^}QdM+rx%UreT2Q+W} zU{a$Bd`_rIezjZI^miXenu+3z0413S^`0J)Pn=khiim+%6sLmJ@Q4Tj8$ns1Vcnm_ z2ya;wP489gTJ1l1hVEQp4VrOA5d(>3v{Ds+?4!n){ouAg$S80$rOi-Fp6 zsdp0Hf`a1FusA*I&_DiSVa20Mcll#W8|ez@1ilgo7oX!xFbwHdR^wpWG1bDY+9Kk; zfeF$T+PZQ6_)&Mi)>h_A+1Zg_todTh&*tf6D<{^KY1H7Fg`AZ2iRVwitZXR}$==W< z;PyQ23c&{l75nm?i>9}ywoXn@=fycnH$h}oF%%lIikBA^Z!Y4-(;#LmC zN2gNxIb(E+20TN9PMRL#rj5=NSW?Rwh7-FQzicCgU3P9fodpv%Nq~J>K761299h_z zv&Mn2xnCv1gYwoLi5Ul{WMgB-(LwV56}?v?+E@Amc#Gou z{m?i*(her_clKjI$$@9EdKVL+d#bqsLjMr#pApY&U*wLB(9YRuXV2hL-P!qK7*DhnYHzNP!CrA;??mx&NCc)@oCf?yly#bJtV0!9bj2SjQ~$J5o7}atcHOnGUL0 zdu*A;pA;Z*jB-lEbc%JpSbIU4#_tqM(inDRh^aCi?9v^>6zeGz>sl1+II(9ovGyf; zjSGKxlaSv%i7MNVs-W43|463z_Kmj4){PHd3|86gtCET*Ge&)Mz;1K^zjTL_Oh-C} zA-zmv7{$^Tj0`D^6H>%Q=?>S}N`DIHm;k%jF>wsn*Z^G$LvEQlaf}m6L{FIxq1Z7w zj1zLiQEJ57xB%Sf0NmIBT$zp$Vy(M9Hna!n4xiXDMGSc9j?XeYA{eN#0pb*fUR`@VEfPSQZ(K$moDz=?*9rJ@$-7<{P36bPd!URqPpC z?Ab7dp)50K`&*ck%xj+r2+O(CE8UgJKi`;U!_F+<(kI@iCVRM8X~!k6&0=;o!r@=NHLUncj=%_%@cTZs|-5O>I!JEt2zz(jm>}3=E&!jPq6__B=b08|w(CG>ID-mM$^i0OoQ)iX_P zyOf=9neFP^z3z=3bwbzRU0Bkd0W|bqLaSNEO*ej2NIhyEJM0T?(J? zW)VLlGVB@ZKzEy)@NMPH`VW~ zc9E!avu{tt%{M7)&)pvDLLaX5a}K|L?`uy&yRQ*F_I9o-Tf2F_ zmUfB`O5(JfgO?>KDy$_+^NBvGg}V|~Q+y?~IFq`@Kk|boDW6>fiJbLbnH;@)j+&bM z8VFBPmK3Qwwq|_Ia1<2al@=X+DRPc^jdlCxN^v4PSLRgpXF#q0K;b?e(b*mQm2>0T zx%vKr-J7Q-4?n@ISNCQgYJr!x&knc(FJ#XS+}~bR+5lI6FG>3ko}?(gV$$NwMQvgl zhp-J5Gfszr99|M}LEc$c(;l+B{*x8sm{lZK`XzR zW3TFh&psAs#~vt8V{81^`0qZGu2ND^AcU@#6!N?YU8SZ$6{GUW>hD6UeJ0JeMc8`< zXZXQp-5CE^5dD1<=i%_te?t*5v~%s${K{TR968{MB@DMg9j z-&Y`90APn`&G}b~UV2Oav~yLgCDt#1xLP`2JbMgvjIHZ!SChjfJBvkFi21OP^9+I$ zL+^t8L)3LDj&p)wE7xL;Wk!1B$TEPk& zvdwupm8Fg>)_CAp6u9i9D*nXuQ4gI3gx78=Gr1;tzR~-z$_cEACb6bGYl@UwYxxDO z)T^xTH-1{i)WVh4#5P9UwbCSuPLR}T$jx*afo6e(M>XJbOU%{x!|cy_IGX~qZd>|Y zhbE6EE@yHrYB!3cQS8Xmb+JHs{@uY{-YS_8aUBc8pQH71>PafkjO6!n3R|*d-)f^C|kv6!#E6gl+VE0bh$6%kq z1`T)>44F5{k`8`YlJ*qyqSA7-U^q5@)c&oXf{T~Yd=XEEpiG@_lCAN{A{KbL- zO*SY8odsV2BkVoqnVba=4k{e1XQM@$9EEp(j0uMiRu{VOHwv^+WLd7+7>p_5*e$Yg z3S3l_;MhNJlEUdK?Z;tIAF_NAUJef5-^os~Ge|Zm6FY7SUxq4zMR7P9fl+Vm4y-lii-D+)bzdl9x@K{UR_R zek&TXt!+;A&D|?BUqae^0Ho}9LK=T7ZN_aibW^Eo@!R|&!KR#L1pDsX%Px)C`2h1Y6g+D~6rtsUDhwhD(44;Im&ZLhU;_mv2NKNNu;mu-e ze~0-4ADZ7}CNwj}@0Y5b7@|exJGnPy)JDUr(+W~W$W8(2Evjjx?_=p&k~v@=bQI7Y z*{g%pD8hE5Wr^j{HU`89PmD&g__v&ly>yD%0)M^z-bzY<_J=_j#R=;`JoXO}09=#L zCX6UJm8mE`AfAoJ-EZE#om1K#Os~e~E`-7`@hLu)un)>G_Mz+tNJbf<%f{@!*vkQD z8J@v)IgRBfF*C3?z{H8#@=2~v-n2;|hN<&g*_I*Q&SXw7Lnf2rktnMew9vx5HD%JM zF}9()Gw28U=d(IWbd2-B{WK*8`A`>8&IdQk@VctqkX+$Z|7)1iQUivpenC~NB<&_k zp^pmnk8lXu1xOa$h|!9owvXkmBl@%nVHSq(WT04&(vkto&`XrFjc}BA*E0Orv<3UR zRhvXZeK=^TtNA)-k4^oFn;~dQ=DVLPplu8)klqSh`bn+iYcZs##l^*2@|5{=Ugw z(XT9t_#G&svm?` z@*c8Bvy~sD9^+!axik?PD2ubLlyLccBWh`-iHyN$ksnB%tk3Q@tGQ3BAD)i-?va9v zSMX)2W6?x+QKFtyXUH|gcFNUeIe&BS@#~wSu73O^Ryoa<&PXrEDMf%4&4p{>@QJ~K z=||*;jl6+Qk8%I0_ft&xJ9`@#_EkS^!PK!Mrt3wo1}tMkN4XD+R1br@lB%EPf)g7z zx2F2HHYw#2X}%=v8vEI z9bxhCDUngme~;fc_UN0(gZPmfg@RqeDGb7Jh4vimu0A67 zX5Y*g+py48dAUe`XAuY^!iXe7@J7jIF4IqUW8Hx8*v5Y^u-;OjH1Hb;XHCoU&8RwD zMjfXBi%X8TZ-+plB&_C$nt`Q?K~>Hu$EV*_U%nd?t>Gyk_xQhjet5^vh5T(OSIg8$ zx_IneoO0%Cmb*wv8Pdl$Y*A(rFdY0?7F;kt?P(m9%6WV^P+%xNG>>Y-f_dP`3g;r; z=Q#L|HG6Ehwy_X}Xdb0n+jXX+2bU`}6Is%-3_^Tsq6 zhDHZVNj*#n;}4jll2N3(A1iiCS^$Fx4@_M9My3XaVi<{{6ueb=nuTq;9jCOC&@g6uV8eN{?Sz*H>-7zdFg^ z-DdAQ4z8LVT_u(niyu&vW?&TKm ztX=P-y;|M3l*Z52?2V=}%;GNVeHUz^yb#f)w2y$n^42EX`fBJ{%a^+bql@$8v#;G- zla?Lr*l~(bhU)S{%T!M~toK*{5Nc)veCeq&^)O$`lD!^wlpn3Hdqdyual-x%MQR*< z<41+~JNA2w{aowrvT{Y-U30*Zd-x)HAYoXKtQ>i>V(`UiLks+J_`Q|BOq2&%p#kW|{~= zhvceb*0Mp|J`V6Y413qBBgt(w{7lW+Clq{mD@p9!8?Iu~iUT2^hruh?MquUc9mBCx zN5wpC&TxZC$*+D(J!*k4}9%^x_9QujrDT4``40XalwRYtHO zHbxZ;#KsEsRD*&j=DUMJ9XKqyyv2n`$FT2Vzaclg7xUYEnnl|Xc32Hs-_)ZFcw{+R zej!5-w&Soz?dP=sfd9VqP7 z7&2t|@L2(R1=&6Lv+U2@mmUQd^mdi8UxjWJ)Xs<-U?f7%7KXl0>mM8w2vOcbJL=v_ z=CO13TK1KzNL+nzq;SDrhs!d&QBAQA+g|s9A@Ap>%W+I-vWUUZ3FSNHB*zWbo1}ec zbOvkRW3cB$Ohx#9wBak&W*WJu?Geu@BsgezM~F(Z1+z(om~GMM!52cUz{fIa+V|Km zyHazZMx)5AzK&MPdp%ER6l7js(20htfCh@uRDs~L!53hAIhoSryKD6%aKLvN9V(ak5+e-JI0fm+bU8_bzZ~u0_fNA6s6uy*nWZ{S8Y3kS~&XXuV2) z@EuTMAhgoadP#7vEwWHx#9o^`ORU|wX^Vq(4W!>z~&!%tLlVi8Z4=UtmX;SjH$L#I% zhY3>>v`l`hm2Wb1^U;(TPkR}WGqa;1&qUc)PL<@tZHg2`#(@kKpgCf`jd=JkkjJFp zIEwaZvH|OUOj)qf$xS_hf`g0g<*4*vOo>*2ax0WtNJ^{7rFH7)YSLScKVJcLRx&b% zlxkD5R}P;#qChV1c$GRfXKEsXGUu$ujF@;wPQBPWorV6ketZ@J*$a+O|Rv=7qqU3<5Q%oQ6E60_#{uMXj zBiZc%`7;Nod5wUZZvD#sK~?(+Y1&F{MM&-lw^Yr*n!nTfxRS=motVt37pM4L_Ff~p zmO5Q2YW>7x@EIuWNnGCUJo4R`i!gN_PV&a^E_F4|)oFuZ~$u$o_8OmwJni(fjBD7UuWIFoi zKBi_y!lj%&INgC(6>nih3DIA%Sn2QB$x4(=i6dnbd2}0yAzw1b683tR^qj|>hN5O> zUAB}s8H{iMKT1t417xX6zuKBK3whM9WO)VJqi#H^ZI3TbPm^aG?RPrM%dTsUZ@k^K zy554{3nVeg7dZqwSgMHU02U`3|5A?HQ!D&*VHq4lo~9gZO+=`ekKBf(Kr|a;=Pquf z8`=Nj-4_DU|BW$!0(9`DI+*CY0%UR}%NL$s z^Tr=+j%A{(jeFu{_o%(Hqxz-{`9B+7DAHF|odu5)q&gb~zAlOn>?vIkekWK_5w3OZ z)HFfbxHk909^GCWS=f}zFQI1p0h&jJTwee=pH3>rOQIZZjKbVc!m2isJkKClVrxV3 zDVC!#ox(2rgOfo3z}a4wAJ1(MITjzv_+l6dP~_T_-NQ-b9TAh6S>QVbWS{+X5_Olr)amSkqu|mD?Gk8$+oVh)NU_=a)j~AH%*` zcJeTzD{J6R6k;j#|0OC^@XQkVBQcS&d#{nW2Uqf8Mt_P~?z0%sB}?v0GQ01lWR0j( zVU?CWw#`fZCD(A|70EUB?yC^xBfHVQkqUq7X;bOwbrh6)|KFVA8IKrgx`Lwnj&GVT zK=q9ecJ56Js6QEvu8QWJnX|TJhKYmpfPvE4?l!xg;YOlssJHf_L#DFK+|itGORCLJ z=~*2Y$9>61{1fW{BDBf1P9uxKg5RUpq(+uU`5cd5DM&Aim7-{9cH!>?L^0YM(~@MW zA2UnK*dJMgcVTdD9{Tps>W>l52jSlGz+DXZc@GNCM1PW_X1v#|x%yg# z>7)uQ<4j3Zju3J_D#EwNmLD7w4=Z);2Uo2`CqCLk-XX_{6YBPa9v`#A>d&m3*=r}xh*xd-&QoHNCn7jVn_UiqIP_L-w z^=oo=RtHg5I}`pGp~1cZm#?81u%=aw`dn9aJo_APQe}my@fF@0Wrd*%<4R30gFuf1 zH3)6;<8-;qlIJyJg`{kbgUth?-aqydfDciw=x$W8GlW5(B3ZE zDTlXk;QdTR;}w4>b#vf@HMVvaf7y0bF=U~r-NG-=@h}{NJH4DhSI&6#gs=70A7P?j zkGLcNaDU8>@Bv*;$fCxd1U2HBt$?{S9Us9%bS3-u)gf-J_uxZ9ACnPY29BR{JNLvG zx}6o^54jbT`41?||T?&l$J!p(Xqfc!^Yj9-I*l7X(m(&M&Ilwkf&8 z1I6g-vkPcWaGO|xo|d33{bmxq<@IO`UBK)=RFf`bd*g*D%+L;zn9qHOHpN$MlRg3I zj3nK{g;$q95AKmg-#BiCe{!&GSfq5XuIpfYxX!zk-+07+)78-=84Ewrz3oUk1QuSk zZTalFGP1V9AjU_KhauGKr#5%R5^Ai=!0hC zhqf)}gy-&Qfya61&;}VW-DkrI8=zh8*z!0Akhn(q!M(ErB~ic}-~d}M5h;2tFu_MN zxW)`$j`_ zw7g73QzT$QMVvA?+)$ByIUgdPge;BivtyEj&H11KUp=kpb}zON>X%873+VavU5n;f zrv3Ui<84PxEt8U)claFK0k)2+l3o)&w^cFOV&IltI#qCVr^vT^+Tx;1RqwVdOvtR5 zQ|^mDy-yYHa?8t0)LFWPBi&Ugwq~sQZwb;2w@_ls#l+nI8{gy~!D)c?^q*aUC zvRE0_L+;&bi;e#BR{o*c(q)nR+8Tj&@5YcatUP!MIOs9~IM;}noUJA0d4-aGR&^N> z;N7Wy;@%aIbbHRlI(yx%Vqa~mb#FEQV#2_6c?`#nz)(TDg1aa{=P1vav2l3osp2ls zSsQ_r**#}K zm{gmhip2ak0-d8*-S^L+<~M_PW%$2>%Hx3g$<@bYKebtlPwh}wAz;Hi=%trYpnPth zB9X2kL5~284qvI>HHu*@AKDlVPVNusP}h+Sx?TP%OTX(W9!2!1$LdBmGby*vkbuYL zB%3vgA+^TtcP+w&uyxET6^8Q{y5$~ zEs$udzh}KABVw*lG#k_sXFkZFE(Adbas2jhn2&~85j;Z(h`P51Np`lDT?RMw!lYSg zOJ(q`2LIQ$aFMZfp0=yv;nK1!dPeU+&JL;2QgLwgxFyBDKpf}Xx2(5Hi~-jCGcn+y z+nnNC0X-bfjqe!=%1B(bkqQIz{u%g<-`AT@^KW|cL2uAdHylVwideJJb-(Z%%#B!A z@o`iUq8`MB@RbWXtAqm|#7S4r@JGyT%}JQh_H%y2UPSZM^s_E!g0dk#n1bf68$ zS-;$h=N&o1eaM?PJN!aR|8HAUdAoUO-+*%>UD>eDHt_qN)M&tH)l>wozQ@yT8}vPjUe2Ei+{fG1XAMYAa-!C$YyUQMDkd#NOU(t2PugSG@uby1C?%_Pa+a_5|@Ndtve)@WhPAS&);Yxh}vSoc=?I(^6#$@1)a|$TN{xkST+#Q=KS%0X zJvjiG?QB!Hv?`NJR+*iRA?kJK$&J4$jo1@k-C{K$O6b-jO=&ueqx$fxeQzOtMQnIZ zlKSSd?5j0*R+M{|!1TOMGEyBXKkIVFzCt2szY3V=^o2$#y6w2)PpWo*LXp+g-T%L9 z%UD=A|FyP^gvFTCZ8cJUYBYvvx-tR~1&A^+J}^97o-m*6rS75b&Fd-aHSaO+-R{}$ zMeRWyj2nn6#>vjn{FKcmmA zufGdx^wkhGSzqP-x}zW2920VeRy4KamFha~nepn*IO9hK2ipdEtWYdl)YnJFQ~&q7 zaaXRdo8Z!&c5&=XK`&O##g-?oVM-_jB@2)ksooOQ_kD_U9eIs*9LdsMMoDdNTo#PJ zPBu`QtrGUzxg?WNL+}gK&vHsYpfaTPLw3nJf*Ewj9j{C))fAH5vuln6lQzjD!aZhY zxekPG(K>pnBVT}lp{y`O5bU$-+dd`mL?O=2EQHye1YAvrM=YW$N?)?26t;r_0MRTKVCO>j>ngu z(LT809(=7iv-WdOctAc4-zc60aOL~o6UQH{htu!7pihWPg>7qmH!1**v`Nx(NA_GY zK8fhw7u+bl)M*bnSuGSt<8G%xvHs}Ir`j-j*^oy*>zT+!Q+(?y%kJr7*1=PD7|vLW zul&cMuS)j0SX&Od;_uhDj(1C=r~}>Bhj+uXC{vVsv-(^fc6~*?{%p80rb=p=%=IpADy}OFV2fK-VX*X`S`-H?cPxV%z(d$UkLsc6@dZ0!ia0W_0d>6CYV(TknPV zoq%kRlVhf6?T7O>YAQjc@XycY`bB)i%}*5l!$nJ>P*Y3xscJm44b$K5b7iiYlfe5$ z>(T>)ztgswrl{h=?9h*Usdjv)$OQ=MkNevX3IvG9TARmCPgghza_NDMcoU_?bCb;m z%f5&Wkr4=s8D&*2D;G~2?Pq`UD|k|I|DLuLnlBt~Ce9vk!p2S%efJ7Rh`Yic@|19t z9ach$EpJRh-BDd-LGux#8#PYz{+ynlnVP#Ydbp^6UE5k!_Y_;cMf$34G?Ai-bjS@U zOi?GIVG5=>J=P=PYEj1TUY1Xw3Vk<}CIFHoD8yfr70%}4QT))4W@<{9iWea!bWJUE zEmTg0dj{X=aKTatji@7NRz)T=`f0-Ya8+5(l#J9?fGr!d`OF-G<*}mVb}}{msf`t% zwqtfG?JJ0>%?aWc#U5?5;=JtSsr4I40&7#z9{)|PU@67ly>F&JsXS*nMwF$>nAbF< zTE;1MXr8`C6i!aSN`iH=gj#Z>p`m|uNgNG>hd|H943cjpA;(ACHD}|6_$uiLa-EDs zIjD5fvA9q|#w%-oqPYzCWngV&41+g4wh^o~D$AA|(0f$JGrex`bAhs_lI>9J5A^LC z9ki(ksUetiGeqEdiX`9i&EI}x$ATFDP29wSpMk9my?OMIU=(Ih+tkC5H(jEb@QTnv zmr?G@zrh*O+(`Zkaj&4212$wZLuu!;2t!R>vNI6dI^Gq64U_C=c#S?5ESd2^f-jNo zci@+Pu;RpI54oY?>=LsBKbd7ilFmlxrPo1X8->u#8+@EHAZHcKoh1Ll0VAt^Mg;=0jPeZ5`NKp*?@xNSGy zLJ=&aWpujAZ}3j@s;}WVyT5}vIICFBRxDc7Gg!WhGIoRiQb^)K^7u7TtchekG`C*i z%=<(HJ+F8<=lU^OhWwQ;@D0|QCW1#)DLX@wagu@GXc1?Ef8u1I={iDwkl|hnyB2ME z?PDcqYE1UGuNqlmMhgAFBcCx&5m!E!G)95f8}Ejt5#|gEUSFm$~%0S$?`*3AgZra|8hEK7hguYE24MQGZT>=DK3DG&U&Sg`%kj+ zAN4Oh^HvkZUCZ;{;AHeJjHl1qQFpJnI(XjT+IbIYmV=}-=^>E|*q&`n`gwy>gZ|b& z;LxDeXmcnSXT)@l85fkPL-<-*myXLZUPuAXP|) z4pl+t&_NF_GOXh=mU~KI@y$Y9U*<=M{c%LIr>G)D~{6`tA zE4nZtNcP|AUo=@MNRz*-x_*g1R1fFUqBIl{C)8A?fVdy(pvAn_ConV6+ZUoAJ5L3U z4-R_}dF`IwQY!JZ01jnqVH3CLP)Bq4As}96;J=&U!q&`gVW_jpK%?GuQy?k+e24C@pr9k2VUMG{85w1`E3v~#pbA&wGe61JYZ zNm`sb6m!{4Z~dNp3RN5h+kdLHZ0I=<9T&QUj~9{nc7mjukRNaHKa7v2WmRzWcTF)7 zxlLzavO=6x{!MmHDIrQfmX)fTxx1nEoQTMW*RCPF-G^pFY)y*sb=*BsXc=F*SUrgQ z5;KG|0GxIPzJG%i(HJs6j(!S{Id3zK7SzJsX%Hzj8R~>?IeudC0Q(#`eQr-=hD2@v zziL3`W(Ad-4G&AUMAO6{&K45^xa*d95h%j?U#X0X5k0Wc2EBYg6;1r1Ei380H2G2^ zw>)10YU6q)@#sOXkO5gnR0tHiUY-RW9RhKx21zDNaFO+2eenAsZID?Qvj5TmC4K`X zHdi%sZ5m(Z#pV4k(lXYq6^dZ8xd3I&k+*)qVPQY|cok&9L#4VK9e8(7BoCPb3E zEqE|&th(cT8Qn%$sV+E@Go+GV1EdpfGzgO7?CkXc!NF4S9;*pzl3AumSPHhXNrq^q zeEof1SXxjJ(lhV__pGSKk9l$IlXyZP!4*KQF$Nlz90o6`wr)n()c>3aBcV_u5NZJ* zy+ZxV>g>70q;FD`^cUsa?69?t^1v<|dUEl4XtXkbsS2o1Gm`#Z*V`MW57ZL-9z)hs zCaP2MJ#JG!L7X0f!s2I>pz;kZDm#S{)UM6-f`AYHsmzFo2>UNEP=~Dv(z!&5&|6|` zs=xk+7S#7c1I9xgSZG38Whjuv|I>{`OaNUQiV6gfM+PuTQ276X-C6LNLir)!+xT}y z+#$eCcZ3t4Mx`s!_a&vusqfBnKmSzD9WtyW@zR$43;hz&%S6sz4-K2gW-3_=tE>Yd z@+LK^=`dF+g+m8f8cCNXl#BKfY;5vZAIxcJp9agHk)5?HyEEIVT*|HZ9Ad!02HCh}~#XAaOx!4QGAdaJ?ROleknL>g!>xRYD z^KfxMbsagLynP$Pw;Nn!46+5MAwE#4G}(Wt-R42zexc;!h0QiWt$48$6|Ergn@al* zs*G?rSbI&yuGsO?S!_C(+!XHRWQq1Ty9qo3PzE88C5IZKl{6`xJRItuRXFZPbwkhJ zwNH`22KpS!hLF{UKbBn{4Qn^qW<~@35q)>y($>x=%n1aXhIi|)-+v6~sT*Y~kW6%i ziRIk^V@~Ca`0&KaVoNopGK{1qatQPnGjrakDTu{YD*g26$>q8Q?%I@V5MY!U;P*NJ zl;R+J)N^^!vwgXNT=K$Et!1_Ex~hR^1HOb1$Tovf3fX4b5l=RdzkWY_{&HXPAuCRN zs9GtMbqJAvIn^7600wdQPcLbZk|iis2G~O}_!;h3j!B0m(fa*(&58LKA`CR1{1YLT zMuvnYNbHTJ2u_I(I>xDZm)Vsy-*&lo1R)Q^VZ8z~UpO@=;t71Zma3rokccK8KFzpR z$-cu*LYD6aMIR5QpNSO{)PB@JeW8i8*e<-od=|+gU>Vnq(yctpFs&R_zJB6?(xsSfmwd4ntSH_YluOmP=lji3yiV{PEfo*sMl=- zai=<(syh2Y3R@yXhl1V8cg*I269~@dx0$8_GKaX630D4_h<+_vG-d=Nid}j$Q+JfZ zTV@!@e{}g9L{54HAU~Zvrduru@W-LUEahHPdDNFf>1XzXpTT$htx_by#&#G-R@}Gv zNKVVl4dOw)gnm=h1yRrD2a?|rq@?gURJ1Ls3umUDg*pgy_MarH8YH`*kwH1+R91OU z&x;`ss0@H1k`duH_=zcXz4x;Nwa?!?W6a!zT`wMb|BMh1d-Xn0{@2#h)2lRAe@L)E zD!l)>$d-a zYg|F>BuKFQP?GuNs9(R%kqALp#6kEsFm(#S`wPLJypN||<~U6AKTf5)-!@sO;T4=~ ze@=I_9&NTN`y8#ObGr;@yJmUKh7&e#xenjT<*2*O{+aGR*uS+Ati1(#UbY>4xOg6R ztzCBRrSM+5T{Apx**}i{uI@V6)XMc8hHRd0@L;QF%TE7kW;&DJdL08}(|Q3+hgq%v zv!l$zruNXT#(xy^+H57@H9ygWy=i}B&2|B_vbCw=aC{t1C!6-&nTT1l%}zg=Zs1jO z4N+@`VS7a;PWRuk$+4J347KTJ>rC&BG}EfLDb~95(sgxlf&M`G@$tD@|Nc?K3pk#f zLNq;mBotujHfm4QVpD58e93;OSp<5H$GF!tn0aJBSb5Gkb{|h#@pgFo2y*7nTRLl9 ztnA4CmOl;0kD0jSslDv^2yW8K=XF$V=LZ1J5k0IQiPvI)>l~2yEfpLDE+LNDD?Xm4 zVK)zCp$}7j@0YWs6!PPE63Yl z=e7fjlXvmwuT`}m@43*L>v^uA{Z-GmhSqGJwTm`6+?LJ@uT}fwZMa59XkclePx~Ta z8>cz%MM26LqFL4jQfMc)`9MrROnZMYR@!0SU!V4kvo_P|N&0g>54W9x+5VY_rn7bK zr53s2=(wGUsljX*&AZ<&zf5Pcf8D=ca9?52XA5X(mpXX_PGy~?cCBqriQc|q$vj+we_qP8p1+(0`fmB>FWBB6 z@?7+Sxy)6OP1`*{uEkF5RqIS6nZYnmgy=9cwdt@WRwBG)V+nJY~4vKED@$;bX;A`U0-eXr~0_T4!rZ) zKX96O-Z%A+ea4`NhwEAfE!|V44?P+fA2UsS1#7 z%jSELdKDKhTjVYqHWZhj5UK>7@XruUL#u|R1{;so8Bg1P_R^qK@N;$dkMf)UVRM4{ zvk9hVMmSBUg98MH*#&xEU-jh^0#(2W*8;&;&sw^K=5vDgsG2Ty(n5{umhA5zH*hjC zvjaOjZMPo0Z9*q6ThO9x=)n`P z@Lpmd1n6%Z7&Jk?2CCWF1Y#nO82obCmg8pNx`p{&4aQSuj8tI&R_Y4aT<>y(WL>Z` z2bW8Sd@MAm-m*_+Yk_XeT8vJHe2pdG0So=iyO3L~cjR{!aU@&j@VV5*r3ZfW>E!7E z+H+CX4czRAD}W1oatS~@xT6)uvKlpLeg+gp0sAL$^L;RA1%wW}B~(`(ur*HCzc7is z0qX|~**76Jad*8UFt0t%QM@OJ8@*wvLxG_%d41gd*?+WcAGhmvIqRaCxI|krkhPf_ zO?mCGAkg*fL+r>~i4oUh(gO3t#GR!A=HIP;FS!gWBXn?eEJe#5XXo<<_oO>ku{j-4 zbNX%BePmxeY&)?8wFmV}9HJ0%!<((;pTW3F+nJHIK;N0g&@&P&!}0cIYi~$iI<4#5 zo8dKQu2ZnD!y)ddur6IRZR2gYZg@m7uGgci+z}J|s6cG2|MJ&s^1)e!NFMZDp2# ze$zht8f?!C3zYQ6%F3DEJKB0&wl4&lM;#|LN>h(x&$_LCKLtEb52}3ytzJk^72aUH zLq7957x*lZxaGU#WPj{z{b(uCGGoJ9w>&EF$yu6y)M^L2hk5}20tKrbSQUztqup#dbRkdwIHe(t&89cu{Nc*y-+TJ(6K#Sv9 zQu`xSz;c$FPT+h|W-&$89wNs1&b*+!J~!qcUr1_1CZT*iXXme{tVbxWIDU6n4wK_L z9`2dT{d#VWvHp4Ngwc?~0zbuw4`r5F2)1a75td3(sBd{7AxuXE#pGkf3jZ?Bjh&^; z2|vXm0bVgljmjh=-dmDP40Yj#(XoGhLVZkcgdv+89YEuVk+&KJab0JOVWs=5I1?_> z3(!f33g|s&1rgLK){GKBm2nmdbOp&Z|BKEC z@Kbd7P)F(iF2HsGNWjsJ9jzwvLVf1uC`CJ;6>6@n{|Er`2KX=fJ*iRZ<42GN7^(50 zu+#p{K;HwT0SDJ7;O{xbnk>iOz;W^3z)3|vOE&m{@dQP?ow3*eT|Ri9bD0Fi8C_&D zSx^Bi{-XdsV^9GcmBihA>2RU?546!3=|Su>{>^?npH2||tN4>06(Q7kI39nI6$anH zf270y^F}R+vqRPiDqMCg$Q+5$6BRiK zkv9ScBD%e1n3#qCc|`W&8t!iHLd$HMAGv$?bIAM0+FlIG`@WAmoXzKK7d{bLjHi6A zTlR@XcT8z4{q0?Rcm1Ey1XD5^>5HVG?o9m`;*G^>+splVg8LVBxvAn-9?#%IXdQ z3|z@mPUPHv4AeO^!1(4?k^9#$DPV^sUi?Flb8h5$SPako<4KaN*^D&WE5rbm-Qj6Y z5b=x>-Y5~$J3+cn=BEJC=hWVo^^+^_$A-Tz z_SL1_TRXxhaHu=-=@I&^9p)1_*!_BS>GS3Z_DM9*EsyeNm<&B9(dp(Jm0s8lC6g`W zd(AwP$UENx3Ng3c)pj2;Ghw(a5C^HG5dG!Kbu9zxeyGe+p*A9OF8R8_j$WrVn2uOp zZD@XwZ7zAO<%VrOnKw^>PNy}HPLNlp72!0s#1drzy?hns{xIAwieP*1B}KBZaECiy z9H#$Iy!ddRb-Z|J-$lH*M4uyBbj;b27#`u$bSyhh)nypy)@?;fU<31J|9(&_$BYvND;KFYvzy%Tgr(ULfmK~H_{;oSQ?nxmtGaX$l>7I^v<+%zZi1Vb zoV@bS5cu^xx3HnRnD2tQ^;%Z3tFD88dGCPSO>Sc6oFd5I2D|GmA0n)I8-y~^KlRD! zEgvAXdmGGR+gt_nchxLmKRoyOyzz#o($f;$LABT!fHSJ9`~5=4+LjK2Y60qlGjgc= zMIvBrdjvqW1b|RHb-(d1SleTMP%T*c;Buepery6C?JIQ6`UU}VM^BeO_59EQAA$88 zAA!p3AAz^59|UqkPbgD8PbhNjpsVreQjU)v*w;;UeYx@UNc8p!@#e|&VLj!Ef7=1z zz8BDZBWKu@k3^Z-4QzO#(R^cP5cnR6GQVqPeqG=2#I5(Imj73~HIBaqKyW!~zg8*D4SWGG^mk)3SG3IFJH@+OfS<^R4$EMOD#~?hj<8m^x z2Jl!S+?yx{J;U+T)f;rH=kO-4bcX&>J@d!ilX_C-Zu7g3Q9yq+V~)BLpsC^a_jD+z zM?8K9DHNMO`$Pld2O0#Vc4|z&{XyrhKnr+8LASZ^@iYXkNk=qrXuU&=L@x-n;K;}j zv3a{JBp{SgOo^GI0@~PBm^Msl_Vqp2Q>feh4jn@Khl~xB5X5? zXkIO!IEr5)9EEC4dk%Fa1Z>t<7v)v+eUa+rtbQO0s3`LW9**Dz*!?D-^wBn{&pwJp zxHuppfm-Y17m6TCE7H%cob3t1D_>DNdEgh|9&pn)J+blj;!4<^hr|7;zup@%BHY4; z31e1LN(w{Vcl0@0t{1qa14gIq_3*G4`HIcrA%w<&Iv~7f-6#Me#{(`L-p7rIY-%zp@6?<;h%Y}Lo!-pLG9HlP+%ObP8 z^z=IO?On2v;X}A+7W$KUE-a1^O&w;al0g(_Ebbel$p~s0t8th%E^AO7BCerU2x2dz zXvlHuH&L#MxNiccBdE-5#$kD&}o_zJzUx@RuwOkvZpbH>*V}hhW{D<j|s zRM8-j&MRRLLcZVCZJ*>FP3G3;-ny%11KZ`PPlVd4q)+aXH7>izDr1%7eYi!8`W-IS zC9+AYL2MRFtX;SP|N9!-I}MF@l#FMoAW|`bbgsVj)?KcH%!4AH-TcDGNv_e}$BE$H zod1bn>er8jKdyE!Ohx;EM<*Z-_47UQ58seR(Rp8*;%SGItGlD3J2j=7Gs5(bj-)P< z;_kz_*^}=0xh?6F%c46m8gFgs&H2LlZrbARqq*q1PJ9zjz}SOL5wJgDYx6&N#s>_| z^Th`FTDz2GyTuD}@qV*wqSYnk$|lPS!mzRD|0k4wN=f^84x9WdlzwXb8=1~S^QY+U zG#h!y`L^a6Vs(jI_ut6jUAN?@?PWSBV(cM@=EA$g>c0_+!dnec^yotl#hPb|)#d+1 z2G7BS>04E9)i6>SDgGQLuRNGb(5t6q_ZTDlhjAm0XpU^@5mO1MQz+86 zXi#u=H`n5tso^G(s`MjSx{B~qyu_F48>pF}eEmqo`V@=&EE)VPZq)AlBrE=;D*jBK zlhF?eZQsbr@}QZ}Ps{SaW$4PeE0vY=hD`1>Yrje|i~G;(Qf*SW!spJ7ud(zY0>mGPC6ww3Ve!uSRBk&DN&Ef~zS zxXx~PV$}2kFm!=d*ySU&K6E7qHOFs<46aE%>3-E7?~awOD%}z;aZT99dw3`Bh{O^U ziX<)?Y`3@;ZFn-!>|fl4nlH17>b z0t3Y&B&XQGi7Q_o)Wu9B|FZCTa#WMi14TlaO~Y|5>sI6{Jy!7nYV?rKBGj*B6veBm z;ErX|J?obGLH((#T<6*@DUnp5NzQS%(JN|1kBC0qdFpeH=sw*@1)KqlV2wzHv_{x} z01lm$;&LP!yeEr@0h2g&)IB-%gl14*r5FeV_Wh3TLq~#tWnibyDqxl_rGqz1rp`k6 z?>iE_a=Gx1s>qI)P9gJ_{uMveme`edV7Ic}l2-4QO;GpMH0gHK6@ctv64t9e$-}W= zGb#>1wmAvw!bZ9g#SEy&0Z70`vNWrOwKs|c*XlV|Q<$ybuBVo7 zD4M*=8LBZ>p*KAO+CyhLoMXs=*@L2Fr2$g2;hsuPyEz!Lk8EBEbCAJ1i5Nlhrr#A# zB~6vxdlNQo_qNSx%b2Z<zc` zG%@sgr01RjunYfvLHtb|z*djkMW_Vj{hRUBXE}^LmGaa_cir-97eR&3dk>*_Hpr`W z_6x6^{vi74AadpLcRUJesWdI{5Ee2RbrA;Q(98FqDH8WSbV%d5q$$I5G$zlPP`kJ% zq5_%aKBE>FCI|JnCopJpM`_cC^bc60hPKJ{510vqT}rUNa3^O5WB0%haIc%1M@5Y%;+^b;JbFSdcH0&udAB$Ds9C@@$20%192}e_ zrSp)hsYi($r8A{hhz6)h&@4RyAq9z;1e{QLuGFUG zye=}p&xI2AF6pkLL~8n1#$5+iJW&|UNi7KcLi-o^nUIi!O*VIH_}xP{N)qKvN;0-F z>`pxr1VZk9X5NWVwtnkm%eqroH7`p2gLiVkBe5R0i7W2${6fy(wfY*#-G`L8nH9Im zA>y$Ff1*QGPT)xvXuCHJD?bg`S2A=OSji~aA`%i7*7yLwfsyYK zQ?LmIx5;@6@|6Ou3rAGgUX)^W4h6^blr%h%Qt(ZY-jq;lg93p{g2NuF<>3@%91un z#2&F}+PWo$hq;ImBI0AS8{zm9y(+cx(_*1mik+%0q#rX%oT|l=kPW3zD#enfG{64? zVv>uJfP-Okoj8hZ*ksbf9$%%+7z&*Jl1iKX$-gUCExDs4UQ_T$jBTVQlqowvDpo#0 zEO4U#BPcyRep|~MJm(5me2FEkM?X5;<_kbAZuj*hr)$@$tBXuX?o_F(^MqP`9Nimy zrC{+C`dSY|!Sd=PH9?dxaK3XuZW;^QuSXlcQkam|sra=XAZ7TF28xt1d>AWM>ZIc@ z#5>QW(f_0ee>Fo`_C1lTnI0;BA>cJBMz5bnZQ7!;@;w;Dw9+WPgiUaU3C zjG+}-s;NXmHrOUOd^*am=y(Q#25(1l76mb}fC?X^Y5cV&jcMx@jR`CIW11uPAC5Uo z>__elyL_n1Q$`^0m-JttlKg;=Nj&RlFzmJi$DZhKq8-Qzk7}{(iGCp+{oy>~SXmCa z&9JH*bazjTE(Q%Qa0lI=U-tRBR&NK_^}5llaBgDY_X)8_+LcHy2g;=fiKJM7htg4* z+DGNfT0=5}n|zE7CO>GKkgGO6{1HE~b+;CHCY5Wm=P(RP<4DQHZokrGq)N_~t1CIt zreI`8Ko$GYHfg1kB5pb(xC<*e>BeDP5YnvjDx6a3coy@o(%n(hv`I+KN_|&i_fIHD ztSUM#qNBb~ta=|Ka>l$vkWy1}I!Y+uO~oKPfrlnL;lW9EA`GHkMpA0PFB(a#F37{r za)KI^Y(ut2ohCmy{Yg!!BP?sM{tIuYvjhatq5{+UxImyDtM?yZL_rhf$r51CB+d-{ zKZLz?Sd?A&HY_12odPN~zz9e;f*>_CgTN5d($Y#umvjs;bPpgUEg{_-AiiYfwA^RG|-q%X_u(y_yfY#J34r4Z@CO^->iZv>jf=(b~~fW>I%~{=Xa*f zfKRQ1C(1_4Le95vnY+lG zIm6h2!2<#&y~B)?@h@~LLOTEh<8Byr(ds9z2-+_our!Pk+aOue1jA!MU4&h-F2-v{q> zP6M~q_Vq}lNfdcT3bQQhje-<^1euReW@&FR<<3m<5sYowbCHJv&Pw zZ>EC8HM51EJx;jlyXB{C;DeMlQiO+T5o`WFOYN~QxBh*m7qm!UFgpxDsq8-hgM=>{ zMpn#6kbL~a(_vrA+HOD1_}hZB!+x6Px8eKW_M{~QAJsB6fXx;;Ar*_pZh?zfAI+?9 zP24hBv=>{yW45T_c^R=Dc-OU^zeHc=BED$RL6xwAnmv<{I{Wb_zi#1%&GM)cE@C=g zldFPNPPob9^T*SJpw275!{!B$Ijq&x`q_YYJMYc{F4uFklXCJ`zLgKIba1nMPZeGP z_TrfClS#g2@i|^zt2*_qEN#|!`E>*^^gNy=kRr;BM75$3F0dZAQ7C0>?jb?K)y22r z9-+E-mOOj~*@fGi0#Wvc6Wg1$IE$~8$D;s%MU4H03OM;<6Ln4+6_e|+X^=fkEx^81 zcDqL}$i7ry``g_1w7D{^tC{O->%1I&LBYlim7WAef<~=u{T7MwsPZ!}o~>OWqsmK~ zKji~gOy&Ml)50286yPXt6;PDQ*JGKTndl_8+f*kF4qMXv5A=3FjreYi+@r>bTlSql z_7GEo6qT$>f`%>ZE=l!UCJ{P#9q7(>YE=eQ5QOa~hC$izk)gO;J{RQn5FJ>QPae+{ zHM+5lGCL@LO~HCAt^hFJR}nE|KYG z>okw<`yV!W7KlxqG!KNplxF83HQLo(MP9UtQdabpGSib%**L=KTm@`f4I~CTgz1T4 zB;$V@OpSEMm^0k90+5C2$1BLsHoUU)+{*0Exg2&MNttbV@YJ+4ZB%+*>Ou&#VvXz2 z1QW*W@MT-w8O!Bki6wPjaTrN^rO1}q;-9eVeX&;5qE4gNpY&L-A3uj!Jc9~@UHd_S zVa2FM+h$*3z1dC>xry5l#$|2wSlPktqAxwG2zBjzCe;I zpG#qC*TWdF$CDE%O-=7LM_Mu@ZL5ob3KXy{Ut)r75|(>a=}K^8b)P}e8Y zu}*o}N36+mn6ERDOKtI!@UyyR^xIOh`^hAR<53e9LJp_jxB;raMX~dRe|F`t5Q;mg zhhwPO4>c!ZMoNy?dx(K+*VM<*_U@Nuzdg(DCPJa3zo}vA`tk+SZc++c4dkH7=tl|v;l)F1-fy*RH^(+w$T+|(NKU?NtIf$ zv||yP>NT?oL zuy70c{4%9mX86}Xsl0BPVeG@^3HF+1?6c$y_zS&?(7tn1%uB;a`sE0cb+eba>(!J} z61F7k)!$fR9r`UF1%KXoL=Y@Q-hv{d!z0fudxkgqJB*kh*ue^~7iF!udf8au=o?ts z#l_o;lNrOeD~%y^SJOAId%7MmI)T1rOuV)Oq2`_{N3W=?NzkRXRhmeNJ*ivwERX}D z9Nz-0(>}I@kzz}`gbo)$Lg6hQ;XLjaLY5Rm>73rs-Z|sLz^5k0PGZJ~B89k=*mraB z;-~LbEgjveKXn8yMrHsuTr58Kco0cn`c?3wwAQJm({Ijq2h9($SY`Qy!Kv+#@kgrR z7LL&Ap!S^NqsPy5c?rynHmDsl_t~5L{K#+DCBdG;u>s9Ba%69_#)}=>g&)e4M zXUm1*1fM;jtJNnAnRdKZ7~gLOC|5Zn=;hX=4YW!BpGPY_8=q7TnA?Qw=Lict?BciC z5CHB}OO4j^;0)LEPnIi#MdWIi%4)Dr=YFBkhRc;TQOo|fRb(>TbnB7VngX(w(=uh+ zVm2RC$!d@Et#Y-kC!b4Z*uOo}Q{q7KiC+^gB4n=4r$9>NH9W zHlr`*!!@`Def@oOTf+4Y-@jtfl(UZoGkr22=(A7ZJwi|6AxH4d(UgRj>evyn6E~zqTN-+QfQc{gI(>syKxz>Sw7;C*|$Dvd!+T~eS=D~VBrBm zzv%@Ru2Zu_Qa__Z-@B^5x=mi)_4%VXjjwiLBwy1-D|3PM{<-t70OvVZpEmsR)Kb4hIeaDTNe`gn& zH^C&KK|x2q%160OdB0MSMLLL6^hM$4S1du z+yw$-ZWdy?XHx{d_=~T?KL(OF0}n1f2$eXr?q1fQPZJpzP*{MVQjSAT$#PrsV%^ZJzLCEL#obKtQ%#j|{l>FIg@bcdRM; zcqr65L5}-qLyr6RY!F4~7pn3KMn-FM#yTc4ZS_1xJ%2!Zs*v9m5Jj5kO^x%mdy<-$i^!cCE{+UarUR>s4+m_}|JI5Ti+E9H^f zzj?r-pOnK@G2poqe%Z0?8qkZ)^|$CbF7_{)lzdKf#e)|FqdP4Y67TP^c z7?pjEfMz*>FOmB%rKBv_;X z4eM>DTon!lM^^)2OY#qB!++LOG0~ly2CW%JI@0Yz90C5-s~Z6TR<90iZyM2zkg$(M zzJ6s8jkJ5<8bLIzaCH9Z=QWR1`{7<+sg}ITbgmY{-Xl;eZPvF=hi+f_7cn9ZJiHaF zJX`GRK%3B6vs6-SYvD5*!2aET`EK?5_0;(mONW|jGka3xF3~#rp=7dB&*|c&LilJ8 z$bBy|aiqS3cr}v!_q&mL=?Njx!7Sg1_M=XgHN6BKi8aq%crIQYWiB4lDjOqTVrOf&g7HAJM`I{8=+(}li(yBB~`*?#~A!E-T;G#-f{8S>x>5GiH#7nx>E zHscHsnWjnBf1hmYTtYA;&@hFySwGpf&@)_iBrs=`*jf0SqIuP~?435nHseU~ow{MW z1x#;7ck0ZBSmk)mQIT`Wq1ZK)m3C& z2{FwDm$SC1G0l{RJfYxc)d1L%`~%wXqe?0!i8Ir#Uc<->>Rrf1a&2ggZUg`!F@@SG zF{3sYvkt4uTWfsGKYkqWB+*EGT`>a^H4-B_qCYM(z23nyB;hd@+jL|(=_?D3>6lwF zn_$^3rJK3)=Z>|V-3HJM$xM9RdzlwSBj=k;23Rd$6VJh^H?NA+e2nblv;NMluEv<= zujAALiE`^-$9gi}fWztq0B~4;0dQE}fWrdF_NfO?t_0w)B&HdEnQ`VyOw;_*fB(z2 zDwp6?KzBUks(w;^S#BVFQ|adFqzDp=9^ZwFI^UqM`<(wFgZ{SPs|gd#?{PTSk3lm0hah7t+r^!kuw^eI1s4Ja6`632#Tlpn; zwytCm19Fr zj$2LDh9^rfRkpm#1WhvG93XEMj-^`Rs))g=mE}CZ^Q_n+VQZ?~v_OZ!rRwI^<3^TM zzNle+=$yLTVu=&Dxe&PXuw;EmGP&2tBVhiGhpKz4IIGvIOfm_!QC!KlsO`_pQ9iR* z2bzM0g13b(9!7lTCt5?G-kB7q7q>kftZ|2n!#BSVJ|AhGQ+y%Xf;-%&7*ridrYW`D zZXLzNrP_r(^Z$9Lzr)4QNgdG|^r%zDmmvJ(^fvuS&n?|#gM$FN`0b`LpMC}ZW z>UNI4vAOUFJUA}Oa#!yj^$Ki1C%=2qaYfGCvYgufI8;55FZ{IdY3WH}tUu~!7>;C? zjxi4c!Ar9GM(TiTeej-~_oNTbCTuGaEZ&g&`?^*VUg6+&=3f3uRPxq)x#sf4t}i`*Nio|=fL{(zGog80(bu;I#iab$OgNK2dRI^*l5{&Rez(re zY$qH~0HKAv+ef@PD@z|J80NT*J1`nia(r3mGCQsFnZdLYZQvippe_Hd|(=+RMDuXk#%+EKUU0SOmpNobyK|DdgNHI%Y(iky%&H|*?#~A z8SpTS6b7u=77*fym$I$`$;5y2$uuMS??-H(-r55j4xQTy1CFagj=xMgE`>WU6^*tn z**QHm`g3VIGUMRe{Y^qJ-NHBt?)g@3Br(41!BeNIQ!C^}jd(R7`t0JT ze{G%ebHLUa45k*g?5fYT`ZDI%XrIh|bx|c##6LOw32HXI>JA=7kIMz)BwTrnmeX_+ z=`895|C$9syYg4CshP_jKfn2fiFc{rVURPwqJw>ACIRG}8Ne{`cPTv4o@c~Z!M|dT z3XL?$6kA#BV%Y(`$$^Z22W%f0NTwE?*s?dfPb#S@c@gNjPw!yz`HS+y7Vlw(S#-c66xz~OcdkJ(=Q{LzDVw1SLb zv&(%O8+K%_Xq!s*lst{s=a~I15^>e#H4Lh)9U;QES7{#UKHcSyj$OKl6plVbFdNl< z9Xb#3WjC5T4{1NnClNoZ@^ZPTbBSNinE~xzeQ&6^+24S>-QIdJ4_U4Sc&R?ALV4&| zac#Xd5jy5;8#{@LK5@8tjj^Mz^0St$-1X;&iAkkno-Yz+isDqhl!v7X3@sHY$VTgF z6-}d=808#4+u*qwMy>!c&;k$xi4}u`#Wa}e$pixa zLf!yr{jn+LzXAjcWr7O=VKZ2Jsa+)x-8|ym|EW(rbi2F?5^Fg)*2*Yf^IF|xuWjYu z&n5(Gys`=G7IVQH)+&8@Kdqkour%9|G$1EJ|t5^ZHKbI5fcyg&mX?fHQZ^5M87t)Qi7PF@GQ zbTz}wR~HYg9VZ>Fg_MSW;M2v^1%_xj-P99~FG)3XFtshy1Y;)4a>@nzB9(UsU1+=b z`fEC9x|P|B!I2SPOblcQ))?iuqiyhj2-$ccg5;l?1u%F{8cftw0s()aaDbE=$O-)` zKoD6j7@4)$R=?blLbztBP*D3K(hRqjWnv(o52$j~+!>3j@s-^{eH5!oeG~K(GR>-L z8N09AoS~(@>4i0!o~4^OjfGl)C|45jf9;rhlO^hC=T_))z$ zE6w|zJyXuSaPrUs2Mv^tTw^Uelm=Ti(vf(I9J`r%H5(uUC#FGxZ#2ULbLE8DY=ZuR zLLx|byBp_U0a`kP_jGp7)dYls4Z8T)X$u@lH)kVkbPL1{KfGRh6Fs&9sZQK^7)tp7 zp|bT{YhSO@#NSZ4sT49XE%m)HgDgQmVVtWXN&XeEWh)VbKDjCHx0n)q93PJL3c>%N3W*jAvSYZ8hTbOpDUm-DD2;o- zt~6(aa1~7e*@;~bl70)nl`ZnQIul@;sH>1ewQ}s7a4M}n)MN~6ZI`d1-kF~%7$Ha1 zRfLp>WSBt2I^lcbh>o?PGzc%Mv3&4-$S89ezAgDgqzmf9JkzFrP1U z4VZgj2`;r=?ba^$H`+ooTwF^`VT+6K*x6_jjlaQVl}6!vLnntluXT^N|0_2 z`Y9)SMw_67ta%5nZ!K-tKfH=2vtBb5_dQEx>0cb(>bNuN12tUFTq<0Q_B{06OHNeX z1GJ+7|I^J$*n^wah)A4Qg^)eU4d!MAUSLBBS1^k`ixYL!$$MK+-`6-}`E?~4s z&j}g`{Os3^dE@J?6js$_2z(iBF35NSB;ROW0EWRAupEGIy3tSp--OY$XMG|03(vF= zZCO-I{|eZxIMl9|Lw*V#%rHA~Uf9Cx4UXo;@>U#PGdo?1?r|;TwQ%B>y4W1mJz#da zJ99X~tLcP8KK>BxU%q=UVR%QGD)zMJoyy%e!seIfRS8QLc{hJ{&Mek|^(x9+h-2%% zY2srF*>ccDUFsuT?+~DjR^UH=cQ!9~g>TDzSB1QN2e}@E`wRg+7(ma+*}T%t2fz}# z`FmSJ3h8xGE!E6w@mP>6(TfPm{vTMqElk1h?x$B=#@CyTdts*vb5y$AG`AeBW_K_{~^=HtZM@piyL-0M)gh=wk zG#4@HSV%baG+RNahDshL<1aS>hjwg`>?G?fJ7+C0o*F+>`hR6Ju3sSP#l!Q>1wbaa*t4KZz$KyP$N?iAf%GD5l! z_WFqcHgcHu5tyY?3!%3Ma(K5NX*0c~%e-rrZn4K-UVQ86bFuFn&FBYZm9q0*`lyTh zvvo9Y3|%yiCG4IjiTO}+gVgw9%K+YW508oDHeZvYa{iT(s7m_!lL+Jy!Nv^d>n(>9 z;Z8C!x%8ZVQd(ybGkxa_jzhPC1RVq@go3Qf3}VKjB&sw7A0f?qBdK)rwqf`mRjTf? zQn*x;83t78j*RCgph|bgrd@z4T>z?d52(_87|kJ|N`C=Rr2{~f{uQvhaHzd3?!h2fXJTL)x!8tf^1luvOA7X#sRV7X?4qTe9Nc0Vjmr*(tTTVRWpb%=S2Wz|8|Jt zGF+Rc5CcE4;|ekt1Ijpi9cB|j+U=lXTViAS12vejU!q7-2K3k3tDRcEF${|XT3mJ8|%rA+a~m-0k;(!`!# zr2MUdioaEyh8^t+n0trB2_U91bURz;9RF}l12wq>CFm*DF&t^5-99B^Tr6&X{mg^2 z<>R;eA(1FikyE3~0k1D-t;ciEiig)T`mdA1f7Ca6AE zPM5;Zk)Hhbdyhs^H`y^3Czpa6i#BMVeD^)~^Ir_~TfDRmSVR~Q_9L305KX0)0R+?7 zRm%bvr~G?k-iahD1qLM<0$oO%K2n|ljyIZpN2Zv%K*3}%g2Wt{b@MP9Da03&zd)&l zc!i*1`d7e?-nN#3-GP44VKp+K^f$kMshwS)4JygNhTireJ-gBSzN0$ITHFY(VK2@z zsyWjBhVCPpk@gZ=+*O)olV-AU*+ma$a^zGb^D)tpk zNG@gA7Nh~T&>7G5IQmEjC$QhL`65mVal-DQiz;7#2Wgc~2HoPBAY2(K@~={w-4NG= z1zm24ntcvyzyh>XBdg&YCN8sX334jowd*1F;YW(&Dtscg)V-EDS(8I=lRnTI+@A+V-$9X^b@OSg@O-M?vM~w3L&62pcu!HK; zk?(pylQUfPe zC;=D@BrpuOBk(;p#EE7w$Br9oB@zuU|LWj8a7s<0QPh}V8S^X&bbH5uIQbqAgS)Xl zd^T>XeD38Y;hib4IPw0GawF4U6FXADJYvpEK+efaF!?LvLw8(`tq+@iy7 zz%AJf9lBvjxn5V{b?Z+vR~<+*--{FKzwuPPz=M>COT*6hos@EC=@vI<`iJ^=&A%dM z`hlD7;~P{rWer=IzYhD`mi#z#?%!Nw`qA|Lo#6Vjjg1{~JsyjNL8hJ!f(Kj9_h`GD zvrc)ANp#OahW0-O)VcV1S&u*@D?+_*(QlM!=(!X90*Wx{l|jZP&(R1qaYK`Zng`z8 z>>9mScVbsRY}iGFcQzjoB`xCzf*Yvhz>QQavdj4Kx%kDlP;=h2>vk=ber&8ab1I4`XY=c>rwFOZ9#(|B9is>xM>jHl0ND4Q1%aLAr$eq zZKTsZgcZoN2vpG{ilCmB@*5;Z!8$S!>WX5J&2m*3XMF-aKu%13xHtD$G*Fp`%y1PIla+a4BpQQ-(teB^} zd|;%t9ym`hPGo<;%S3+;!CZe4=4Zf85^EcY)AylJ6#Xb!hlV89KGaY7>pV^)Q|C5j`rwaRe)Pky*zwEMVmk#uOphKM4*(Ch3)R#=?kntZdCfKI~LO<02{`tPS_f*i3g3oD}4O-UqOkB;v z1{^W}e7OdbE9sT5F2$wByzn#Z_iJ8st{AOQD+dqJXr0OUSnEJfN?v;t@ki!HG~`VO zt;^Qg*;jrWI{^U*1MC5xZ!d&9!k^MocGl z5F&I$SEVx4-O3v3POyAPNz-!NtvTuj@36fXSl1OaaS|G8e~+!B6iZgVC6->(0!Of)ne-Hw=toK^<;(^IyK`d z)xSTo-pInE!(1hE12*G9{D$)Fg-qTE21<>ZqP_16&a#8~n;;{X7mjtYjLLeG zqq}jEH*HHkXmYc{7)*9?{v_Y}aHYOD=ZD7acJ`k3JyU(Ox79^2;-4Z_oT3@HGD`Z2 zwFOggZjwTEh0~A!wAfFX#Y61kk)30Iw}49Y%q z5%D~uzPX;orIwW>0#0&pjs0Y*xnSe;iKA?li7+bYE+6}8R&ycDnQUC~_3Gr5-I|cw z6)&0e$`mp|rIZS2*GV^g1nuibdE-Pm=R-5=2(DPlK(IBBQe!vA4rMh`e~9!OOrTbq zso`aMRu`whWxpn7)+$M-kkEmLT-(;^)5lrA@YhzX1gBqrrj*d*wvn=Z`D&5B*78ad zdPSYYaaI~uHYhdu|Fe1OnUCZP(EijB_pC!X@VX`3F55J|W_^X^hGYoPTnbo&KkxGz z>bta#9k4rR@C9?hEO!=Wuix4K_U08jdyzu>36)IysegSk3&o;%T&R1B5t~)mT?G~{ zNd#_a7=I_-eDJg3ku+BQfA&i;{G?+g?ByQ{9rBn=+Jx)AQ+^uh{s!*TxnI^`=;!_* z-oWX$GAr2}%i`C@&~q{#48A;WK5jCe30|^8ed~7Pn~!>vYin-*Id}v>Z>LZu}>XJFDkQN^*(@5Wy~Kq6SXV4?U%2GD++VyFC%g7%dK0tAh+#f8;ga+u9`z)+pm~o zPoo#M<6m{tW4sUJ+n*UIaW0R;cG_CkYS2k)dVYRfBS7KVmvq&$z~Rky?(D(lRwAD8 zU3Mw)y3IzGvFaCh*KViTcZU%Wd8KUCamLYaF9h&%W>_4~oZPM3PH{5k_g=N5fKRrR zX?;5%>hce9_2P}nKRI_xaMuV{Mx;sFcD-mUbou>rW&&yV=v_3iF+ohOav{f#m$;JX zvr+g+Z*>sz`yBD-4^uH_H*z9Xf&HI`GdkdVVb2( z_YjP9lTEB-xJetSV2u6{{3#X(WQEV(uq?!h*8LlneQf$N&iG>`dvsQnm7s7-=M`j1 z**KijOE_eCV3{ou$o|2A`rpUTLEE6sFIZi>j2M& zPvPa)7qsw{6Baf}COP6Y+52^ZBS=*na>dg-S~poYa~KIrI1oWvUtvG#h|b;*n}T&^ z=|KAX$Kp#zqt>P7d+z1FqLSwK^I(F{*ahwDDW7>lK^ap(C~&Ha;va$&l2ioUbd`oc zZx4F*|5B9y;cI-PFx1~h^a)5y;2nPd>j&D^5U%6_pr|a49Un`GJOd2X0(8O-KKNnh3#tqLmc1~_8ceP_4BFY9? z2Ay1p&Jy5|P5xIV#coup#;@Vpr&ZWTW{MAiw#Y^F1B02jprySvoIsuV;baE-m+zSB z=Q!C*^!ujQ-@>Y5z~eUQo6AhrcNy@_WvvUw7w^rwGr#r@P%FCOChSqNHEU&`2zpdK zo%PPoDlPO9UE9PyIv`mvFdWCa&P5kC8NMi+ujsxwwW|oDNq^k>k+#V?v!e9?pDh0I z4OecjRCce6s+i}^tuyHZO=)xvYf@uK`~#&_Oc;u}4bFm=@M~i284^6YB9=`0FVFqg zA*y;*wOWywK8n6Ik?=NLr*5qf6~?e-9;rZPnBeNS|4>k6&keST&OV7jrL(y~zC4~j zm|suTMG^T`AfG)jfjoVHyXZ&hKe{sXY-_?&cX34!i^T{eOqp&Hfy}{I>81GcE!6rR z>Ba8{eoVk&{nQe2EUc8;t1CUaX8$T8uJGijiC7sh-WZ`!rEK}273$zRqhhWj`slHaa8 z2djOazX+YV=MN5}KHx>efoZtBiRFJ)!OTy_oG~R^B$0Hl>!FOMj0<>Yjbu<1@b1AE zmXKa@7>eg15D0&`Z))^?`=lbo0UZd0v8)iTM%CsI`1Kz7-9=2MNYkMKGh=QELuK@m zUiFSt1eF;(%k)3k9ZlF*)Bu*>4UFx8CM=6+}~uNf&B6ZK4Jma@ettDMXh$P)-S zf>}l7Yf0Je`X8s+W(zboM;p1gwH~H^|BhLzh6fuZfo(l_b2!uPNShwrqJ|oG>-XA; zfA=P;#D(|D2O_r&>fes%Rie3hhinn^-1gGS6l_)XqxrSrda~FtvCLD+oH>%~%71Q_ z4iDkvQ*=m-JfUS}W+Ko;A21drgSQxwqAFg>SQkgeqbd@wMiY9)n`;9Kf9P~i8tg+G zL<^b4B>8+=#rY)aOh+TjdEFd5y#^M`*4`#|WX@VGyzjR?gI|mmfR)MWs@b%5>=#!p zp9UnqgGs+JMMxFhAs0szU8s{u3C$q!3`z>HArlS;6M$wglmTD92yT^<~;RY9#mzws5Lxkwp-|jPF}W%-wGdz9mVY* zglPQ)*Hxrc8Z9n*5s0iNY#F|D3?CqpzXzgBSa@^$nPlgsdrB~#)u02VUKmnWj(lgxh?V}5 z6bn-4uJ(faGVM{k{giy-){uKQHP|HZa4_JhzzeM^E_PMKc_s3R8RVEoX`6b2OA+h#&ij`}Ha9m2SY10wAQhk*6$a;c zJerp)8!9AxMpR^$Q__|o-19e&@`qY!jt4Quv-doqFAwM2eI*^NJ;A*TeijNMnCIBZ z=!FxKR|Ki7+P^N6u)Ej1axH({>!j5l-U9l~q~y6?ZQkWN#z_B!?qX}wzbY9x4JtGY z+VZ*opr<-2>Ru z#$YX;CMnvs3#^w#;b?@O2^8hoCv5DN9VXSpYkmGPppi=XpLAjXD6!x!)5iy`?#vW# z*&kQ3;%vRzJi7SfruIg{Cj!{+xqy*cS6Yv))2p^DZMl7ceJX(cs>MZ^o8f=&y^Iqq-ZOo1T@?T0dLLKMWS;S6jY?^nQG zV^yY(LG09z>2sE;3P@V*IxbEoeKO^g%ep+eYAoZ!y7<%CEl4}3bwom)XC#ziNcre}#+-%^FH(BN zv4E0h0cHNxT!ppBou!cfMeaI8-V*KNFfP24ktL1qxq+cV$67#KH5 zo+j(-OGXucKElNJ>OCsIZs|%oI9Bv zvZtEhzk{V|(ZAP@Tef_*#%hw2B5-`8OVI&!i8Zl)P}WvmVf(70H#39O?%KWli9|Y` z_oFYET1ssndk|ce8%pmz>;h`n-?eSrBh|@$Ekwwc{3u=;a642W`BFF_gpvw4&r5DI zV5KDz!*{KXQJ*QuW=$bNnV>~?M8%Bu_d}SDH{IRxuO6{26M}tlH%uV!2}21Fk)9E( z9oPsxE#VgiY9?n?0ese=(zqHph~SpPq_ZIr_>y!UY`lQ#k#Yv|YBh8%E|Xpi7em<_ z8{Q1{0a+0{=BsZx_>FMvTZk8ky+G||)*J!ls#a#!VVE)bK`XXi48rkYS4o31w`IH< zz7fikzS&pvZMrASLbs$vPwGO(q6H3QZm~9dkim$ za)D8iXk@VU49b1P1h!mkMFX1+_+cpE+)agDEc8G>F}e*Pko+w^=JIVc5P5(D1%MVw zICi3qtyM(PcZ;7bUWA01=c2m>{g>)oVl4n1v@;0gom_!r)vc&u$q4uWne6=w+ zkmim~1vCn^_u@IiFPp zHnm}O3_B}G4%Sg=nBZiXVAJ+)q}6L78QR>U!$R4bRa*HfQ0XdK7*9CpR+|Um+5rS} z8XRT)HD~}uuUSnS_(7`N!tnRchiq`{-0&)0^?yK|FuMbkkrSYdai1Mj$^Hb7quE}x ztO6tbT2P6mN*4}$^X)3C_c>^eSnu+vCQ&@2%>$QZU$`zAv}lTOjnIX!G5m)QilPC0 zFvgUzTp@$&HzSPcaS6vx_Sa!U%`Z_uw`T4W(Gbi9x zRP^31{-;laGzU+|X~}55I@D(Zxe?pG)7{&*k6325`cU1UMR-lGl-+#_4{pBNWR9YG zh3$#q%S_CzVpkkSBpcP?Lfqy%GnW!-_}zalf3^6TB*LD+YHZ{lzOuQx=#fuBRl`Rw z-vw-nJse&Y>JB6SLCs~QZaiW*<>eeWU(f5BIYCRIh?_w(T+XmiYwF+#qxJOdb}Kwt z3X`A|ZWuR|wd%LUnl4u=tzPOw<9fQ;@MLwq@-L71Xg_6Xu_>Sr3o zquu3dTRE7^?dw7#K)zLTj$q=1% z69ft8^^UN5M*25Dd;+%W;e7l`Y);c}M*FPn#OWon20p%V5SkD45&WEz5$A{scDGGy zY;O4Z;Zq9IUTt9g>ifFK?KS4f9+wxa{2;YC-%Dp7m4Cdb2r{p>Db^pbJzXGhIMqLW z5wTpnwU<`n5jAaW{wcRfZJ!r^s_NHo>*2cXcheCo)u5)AT>2jkT@#n1&Mo&}FlzlR zt=?VL72phfv7v-J=6)?EKPK?0bI)nl=SL{bzqB8Ag({C3ylR6aWdre*FiJr zRkX6jwSI^_oF9xMQN~@YK*q&^q9Qr0fim2dm2Sso1yGof@7ZVDv8{9BzPy_K-zn=3 zx$1omNGrDQxGDV_t!6JeZ$Q68B;ehF!IG0h)Bw49bD1Kk9?hW0;ksE`a}N2Q!%bSD zzFB&+X?mu_X`IJgROSn&O+WwON%gSzzV3++h;)10N9`N_GQOxeX>f9hlegBbMEOrM zR6^}z_Z%_uT8N3y!>Hm-^lxpKb^LcBd(8pxq`ux6uCnB;h+Q7_j}O_5l6|~yQBEmv z1M7;Tj?cb*Z3Fgg11hux?45tE(gq5D`h!!#KSTK`V#r_qr%8?qPTp=h-=W8Eq02o) zoY=O&q+b}nF@~tsn?sJNloYIo_}Hc_7x&Y@TsGUmcn;%0f0&ehnjPSCcGR@{?<=yB z_AU>8oDUKp{bmYLdu{=Fib+7)IAj-0>OsPA;+C{8&3#!`Aa21DUWBbZ$t zGdJUF6vvD$Yr0W&g!jKNhwMuuBHxBmVk9o}I#YGf{Qbxc2*4i$wob{wuQ1x#Wu3D zvMRH)XtP_F3h$Q;(5^hL-W}1g?(%N$laDQfvVC7a-kvyaQ@#?y+1V#WErXG*@+@eb zE}bmDj2OQ7HuzuZYhNj?r+5X^(X<;eU~gT3m&%UF_bE;82ZL|jxT0>++&5^~4}_~o z9y}mN?8mgSB;mI2r^ZsZ9Uf7?VnOqJIiA$Zmvg_i5%2q>=t%g1$eTx)6%*nRYVoMn zNvtwM8+G~^3BeY5i_0bTY7>y=En|WD^;HaYg}&xYaFb;_c0;&f~73)5M=4g)ni&b-XfWZ9OGsB zI)wAQTFbqdU!PRjf}&{6uJ#!?Be{`hqyOT`qI@2rA5*wTD6^FHUhVqM+ zB{1zRru8?dT8ssSTC|0dnqc|xM9$@YzR1?};U4#TI+G_ydl%`KbRe3vyM*dXWb7PX zLlJnoD%>Cr;4ep2B6Y(-!%&XL@wVfpua?B1y&da%|EDQ)jkG4n51CdKDH9N>;|rYD zjv2Q5RkdCEG)%WtC%%EhK%emQRAK%>#0EEfS@E@;CCB4MgE*JLpvXOV`aGn+;Vr93 z-FJD3n3SoGoP6go8niJeuch$eH`i%9goNkiMV#xgdrsP8b`8W-N9tz7Ag1=yb1Lg% z+Xq~^6tI3;n2E=R$wtNwM@N-)WJNA3X3M!HWR%VvG71E7A^~U*L1^TIy&bm zNj(540pk*YUKQm8g^+%!vEVzd;FkcIkL!!Lq#t#8IR+lI+h3ACZ+cUWh6!ta@ucnT zIF5L>)}#=m8jBEthHa_l{p4s>2R=f|rRlhd9xEzDI%+|@2oPE<8A9F5psQuzl>us#{zxa9w_{h4geK71e z=_DPy!;Wp+wr$&H$F^=-nsXi`Op07JnLCFwKi(+s#Avx*O+@fWnQ{Y z_SqVYwX2uuG~Uq9a390q$3A!Q*RK!#y2d|a2EaDN?yAO(gjC+P|2!5Vd~#gvefZyZ zzX&~!r%p+hGh~=ePg!y>c3%(deG%ULfeCS*;vn1Lm``(R+Lip7?*b8p%jT(XV?o=; zefI3I=zOf&*vZtlRn^qYPI!^;&6D?)bnhdpmtD?`P(B%8?f`gLb~&?4`D8wO6-VC| zmfp3>`sQizT&HtmtCCe#=4k^G11_FOG&WbmpMkxu{Nc9E*>XqW>N(s_af=6Xrj5%; z?Lr>+#9m2?&0p(Lco*qqwO3D_9>!9QO#9McVeSXAk()EASe;|G599%}(P>jBTUOCmn@|5kO&n|Uz&X*w$m`$omC;*+wn|Xi@|8~_)N{Ga zgO*BAj72JGu^au@jp`FAS|sL5nA8cEO`|pQ8SEpkMwr|QmrtTK4QTBncSo3npUgZA zvztVoO52rwWnj8YUE{4vT#1{0S}$=IViMY}Z-K5qj~qoHqF2+}!wkuNuhxZ)Jn+5~Tq-Ez(>qpNU#T@!BwL}|iS{F@ccYD z-c0}UWy3|ZWQLXMNep&U##~SZm|8`QDdJEcy|*v{%xrKqT#4wT)@wT(2wRP=eQ$H>p5S_??wqF4FPf zx0!o5O(2+7t!d*}ev2z_iazN^>^0VHG55geRr+JD=`A4=>Q7LtfzD%jxHcPSC zY7D##sJ`^M@1=0H;&B?d=ii_U)ITkm-|uk)G-!VRs$rXSVVz_C49?xQt@lsHcJ2O5 zQ#yQaU#1Erii{~Zii|3FqeYpsm8*-I?Cn)u4!o2TymT~pW01*YUc_^rP^;BAjs`Q9 z>kk`mn6lMOk;yAgbLV5ZcIJAH=(J6@g^Q_tV;5Ye_WP2}Op!}K&;=0Wi0+#N5y8*X z$UwI1KSsFp9o%Y3@5FSeSJ}6IGT)fgZnOw*UoE#|@nE_#t{&RFSZqlzM6qKD$9Ab7 z`4=6r`d?92!M+b9OiC5MYZ%U3VM`3+`uUlZ7+({Eu9Y8Yi^2b>PV?Yk1L`!7$~)+J zDr>(qkHy@k$ueCJehQ1zX^?3cgDp%yOTcJr%2iNyE3UYcRy(Y$(`gtxOQE_qZ>qql zH*%Wb#~J!n0IrncUMy&nd_o|KdG6fV8Rzan{P-k(cA2=aPEp#dA#c-Muw^aQxf$o) zPW{G@lO&3QY1gc(=q5 z{Pd_D8L&Jw!%SuU{j!%%2G?y(EtkVT@=HHZI(gy|N?}E#<|KwOBW&c|mawevKFs8~%9f$Zq3xBM^vmo`V z^TtCG7xvl{9i;_n)(WBQ!NHqeHl*R@!B4|%yuWoqAd5xrz6|dg5kpvG`9bymByezG zZ<^g>csYRl{#9a?z3{iHH0X8V@n22V>_3P1RpF2YB5AzOe(IM{DM+=cg6hFy<*3<{d7J_zVlK z*WXCjxa3`^uQ+$AB-f7-Y_hj&cT%j<-^EJpxHk)lL&Cgd!!j=5-dU~JMLPfKO`*R{ zw_K!VLr%(&zKpN_>wMLgW*jA}v#}SH{#NA$N^DR6<1)QI$%_Kec}Al~Jzb`u8H#2) z7YB-&uJZN^Ng2xG()`4cG;G(a#~!iqT9(hvBVhr=Di9uM;hIQj{VxedDI9tbhimBB zjj$=RwQ$admvtH7OOtQCUMzceH+@?{mm>T%WJ}{q2)!k$E|1P)#anWx{hjqFP0B%) zT6*V-D`lRiN-J+DO?*LCs(Srwcf`zTS~|wCCO-WqZAAjY=<%j74h-T_DBWiX>1~Ha z+O4HJ)|d(D!G}d`r-%Mf>~#yM!m6|XhB2OZWgTIwQWA}IqEU*5(Xe;<#fnX$(U^wO z=_P7#3>E0mkX3+F$D11365XEFzi~wOQ}`Sm(V%^7rIg;eJYorB00H(~k~E;G&p7k6 zW0HN|E#0Z;zKNWtk|DEM=|YH=Q^E?x0})}y-e6FBK%ikmwHECbp9=jD%=}Y6BC)&S zEiv-w>zUc&k4JjrpU%m(KU>C^J~rid^Z(N7pSqjX-gVZjFMa6L-*qCEzR><=`qZ&q z!W&$Qx?{EwaZ7K^@0eVZ+fqIZ2WV6iU;g1SAanwePzrh}xkCqK_>!f+dtsTXq!0mS zA64ym{d}qS{x*l00qYe6%fRAcg_*J6cdPq2v~~_ zHs7c!pLa(^%4 z6+_}R69w6>)S<^Dw79E6P{^SNoV5ppp+8yszE;B zLfS*rcGX<(#O^atZRt^7akjbGwD}2ew3J(R?m(VjB5`e@@LeaJbdK0c z+81dVnF32-AqdcQZ*4O&iUJSyX6K_(a3UV1Vj~nnqKt zcSbeK7g;~EZ`Gx?ZJxVYn4URTmlv}Tu3kC0QZK|^YKM?Y8>C9l1bGv+&O{TTeQ{lid&25)bR?C*t_jK}g$Qby z+wIpqyM&G`eSs)yt}QpN@lwPt!h9iJ(8F34AsmED`y7O*`2aTbU3c$|?F0UCzUv$Q zXzM%V&YEMul>wyBl|id|3=eb6H`~06Q~E1}LWAfZFaUn80l>;%9o@}!sl+t!OlKQv zPW^uncA8$9Firoh?gRaZFin8vf7A52cCbQ(ihzwN(?f=`0F4FH1!84zXYt}o5+8@< z=&jM_NrWh~E#=jTD6_ig`;2V=*An0|Cv~`e(Q;uLk$r`Kq&7g>FnD07>j82bnbg{9 zAe}qdm;QEYxvqr^LZ;oe9ZW~lZ+`So2j~3#*f-kbt5d7Zx{7*3y#zzL4#Eu>CF`E; z(3?kaLDmMeN9V-X11IjK)`|myE(WKxsVop2*ce6TuupE-7v_SyTH_r~_tJHKlK9D% z1-9if_Pfg22Yr=a@Lh|~908l~Dzb~=nWmUdqcZ#nT{rQs5Ad@s?O~Ljph&|OzxAR` zDHG74<1cLyG_3RgaSZ!GUeS`X>R=d8?I<_$$ zWKEF)%ZHF(+aq3qw(|QCB)rxdk3I&n-tZm2CJz>W9U=v#7pQdrIbfcTxdhjc@Eef5 zpKmK0xV~{GZ$`y>r*&|uY_kVWpDH*@b1ErvLZmjt>WNd#U%GOlfCZ`B>{Jc~H*EKy z!!bRhN`)I_ADCmK11TN0-_IFasiuD_i`XPLC>~p>qE~KmP`1Y$Gd=L3v>2IFU2-mL zR5Ht~v}ZHCfhGKC=rdQQP@XrcO`Ak1UscktT)X~``in*Rl4~R{5vyDn_F!f#GI2{e z(&kaVNx-wZy~g6bkNGuB{`tzT{XIrwWELAEyqnE)Ao0jd?gg&w$OhGU7XY^%-a)ulc^FbW~``-{yV=a#XS`Wlzp9jM3(PA97TJP_l5pruY0* z>8(HY%%e2eg3BY0S{{WRHr(^stUGJxH2!LC!q39@>N}BoKcUgJs{O}8`ZXW=SCTUJ zkqkCG!sxC#2UMMs$k{G`H)d|p%<(}Fo6hcd2SM0?2#4QupoW`*n_p;7fqZ6XevTJ zxkh>4QdNx;x#cFbMs*)*78u!DUp$bIhAUEzDZ?~U*X5B~AJ$}jAWNDFlug8R?Ut*d zo!;|a)uwZ%CFA*q@}qJ^%Kg8vk(;AAA?2(77cI{Mjke2v=DYAg)0|1_E%#zmrs`B1a=GRY^x4W( zt0#vq2{@y|G{eaOqRj58RgA&r4|g4_o z3wu&thLm4J9i`C`M>fA%9%Jb?7V5D#7a*uM{s)z|xsujrjp{8xq%4o>jm;V@lVbna zlea97V%%Q0IUMj63!GTS60pMNS#V1&;XaPyXbY-Q(o|>FKjZI>G^OX z(4YLt?sVC-CT?yF(kaB_8zdKdlF_(3I~iym(e8Bi@%i|&xkcec6$3)fnUa1Z@<51= zU93GiKmp^6861~6*h%DUE9{l`jSCB6t0XHm^$uOGXQofX+hb~oj5rE$-Lxjmep%a`CV5%5`-G>Zgh{`EK>ukz>_l8V149osn*I z)9}{%yQ4sGaqVNU2;bMT41A<0!PkjywM!Sq6~5up2mo0+LM1J$smn zyN@Rvfn#WkGEISyh9}k{*L?`}-ff7c>SJm?Va%8V?r1G}bZITSEsRz?^+s!6`{MNO zgNXZ&U?hSko3mik5oj=&J!n=Vg*EgrSQ@8mKBfC>5XFO~BP=}R0g$pVTf4lfhR9~LT9!vOKDF3C7jv<5WPNm z3uh5uY=(N;=Ye=fje9&+L85*x>Jlm*QasD5?0sVyH)3tbm-Bu#vDU#-8ip$kn!!>W zCM)P6uvn4fHM7&O>zzogI_c)d*knAtB)72Xd4?-LIQxszFqrvAYY_Egc)NZei`kHV5RqCe9yR0f@LnDGr1lo>485Rjz@mb) z1W5G8Dng0K6Jv`d+7c=C#VU?o4)3lfs_@@g~y?{NOx;sCHIes6_beiv#S^`ftw#*BP z7r9;J?U`#+S`h>D6eLju52!Flct&x%gR5X>IWYf0(v@ z`1pr2ll#2Oq?l|vcyv95rrH?I!RR3AL>X+p37q9TzSVU7R04an;*|lOnS}ExJKS9{ zs;zN#QUp49(gaj^Ik%<#+MvjS)x$SC9~r1KYXKC7%Yk?7Q>V{&f`?L)EZ zltN@=QX&sd33|KG9VHq*D#df1eDqc&cuPZ2hw{<`sM{`m>W=`2 z9x)$$)e&ym6X}EFz_?~f^i6kf4eH1P#{`=pdAupk2^^%^;FBOb2-JR_!7qGv-N$K12Oxd%K}Y{ z@Y|MUCmQsNcIO$?8{;PbE*-ZOOAx&=jCA%6C1|sgwTBaWr_mg)rpzG~n(OOz>TJvV z4rM#&Hjb}^! z_)r))ta#7Y*H(MtZ zsEDhPI~8c2tVh)73ZkRwXWFu|;5~%ZOk%qJK}otX^M0rEo=JQ#28h!=iSu5&o&ZG3 zBWs_`*YEEi4GyX8uzpJSVjwXAG49i0f2D&U%ET~!rs)YqIolwLfW}pk*DrM7_ z0c4|k7g*&+TAMe4m554Fa@#DLDkcF*DAd0 zly9NU(suN}DN=*ItY!&h(nDRgab(^flb3C|jbhq3m0!ltEfBezxEi8CXnk`qkhY$L zSwER8Xgz;u%d*QOdTW*x5P#Z`0D@9?IJa9n>>E+f?l+eb<3S%(=lG=XS2 zm};j7x(P6G86(s*YHJGjbz~Wv<8izWciD&PVWrrWX!7xFrWD-g6DlX*o3v=kB;W^H zLI&xFt_~1I5_R-=YyZ+`VW=ITz2*fb>cST)7^YLBj@63M)4|_D;r`i>^1c&^6y)CJ zkR%G7jEr{s&|b=iSD)@64c^o4Z9LBm;2`eP+<%hl7V4JQcus=|H+78b6)g&EioVp} z;-wAd&Iwo~L#foAbG3&Kan3{SjU@<!-e<63FZx;L!X5bQwp zL-jKR>w%l)6Y%D;j+%jz{UEh^0Rl)VGhpaJv2;Zs=)u)%A4J+8q;9X@gZD0YjK-Qt zj0VG9RyRFY^W`V7$Ok)e0rcA;UVlsW*AZOezp1J*M!%}&heJ?d3I*k$re2B2rtlIq zt&g)1t)zOhOORfzT5^S;=q(U*VR4(f6ndU4grds2?23Pxf)&{UTG}PB)>WonSo0(p zl1)04`-VqyBIl2YeNVHOLDs3{4nu%CN;d#djYHSDvHctdX=k0U$nvb>tY<_W0h*7i@Gl~^8Q=;E%0u`H$S_I%MONqf|}oVBJiTN zTPe2mCgWjHqz+A)p}PBjmFc7#2ozD*roiGc_}zouRM@S4U?}a!;XnnxG(}dxA<8L` zJyo+;VuMhF!b49!KUWTaft|M7z)=F*%@-lV(ET<$4qoi#Nw1F7ZcAN|<;db4K%QP@ z9ONkGTCSl$1@fkm6x-k9%ovE433R)LQ%7jX(zuqsbh8_pSuEE(>CyLK+nyD+_uk+e zo<_jslq{-7skTX26?GfBiHG0*vDx@Y6Q;GOCndwCT8{}FmZS8YGaLR2pz2cwDsz)nM2)=tYb&fF9T0usqL;zi9t_{@tf^O6_0qTxP2n_HjiUkx z>TqQJuMFw`Ki0Y8_Z<3uC=1;nqhORIr;M6!%76?ZYk;C}WjS_poBGHlI2Zo<+D8K0 zMFFL@ge(3ZtcA8vb`ybh#<6%Xiy`XQlHfV(0$?(D3J7LS$UQmZK2VLI#DSY0Wj$g# zQVGtQziohM`ddg3^R8dz-$MT7TYFSWTB#t?jDsGJQ&deV=&K`wN-hAbV7WtHQ8)07 zS`SnO@QE@@&?YExXfL+8kKBs$?r#%B zw*Hm~xZ5^}ueiqYDlkBXRPy#URrQs1)>M|)nA2FEBQ-hw&8z_^q)=hp_`C@V{O-~QcK1H>A><%g|8&*hof28Bx0=ohkc&mJ)a_XvuR1OZ2oL^R}oM=Kf%YDbAIVA%ZIN$I-S02osp=6`3ma;LQyWR5&WTQFFO zV&_uCNc#S}B(p#~Xq?|^F&=kV$F}#!gV@2rQ+~0Xa(nOmVNCowTqbo03Cm{Bsl6%u zJX|_;$Pw*kPMCVw^IL1I|0rB^A$OR14W5`6QllhuPshEdp&Q_z5YDN&pRajYhJ*nzwlQF0_K<9hn^z2scvj}lHc95Ox^6LcG52L%UZ zpbiY8?Qe(0sCInKd8t5S5iBz8v3cgX){E!Lvz%|%jYoy!AS+Xcpq9y0x*R%n8*ovo zb7!Gh1e+GjIUWH(C)$qz{=*;^++6y_toy8Yy!xz{TM2#*EN}328dE8odwU7ZEmla; zE2;rCCC#4cLPB6_bHZ^>eDY8f$jZZObkK+?mqX2+QNg+418<$*EdJ zsl%7{BhAmlk9bNr$l9JVJh}6rnZc^5;88gjJ8$opIO1gAG{na0IMI=~VqVv$%#aEH6@BL>pBIuPvpA zE|E#HW(3=M^qlIgb&l>ICWgM0I1=oXPKFwzU<0CHcC!3)Rr@(nr`C8~!kG!qi@*_v zl6b}T*KP1Ps(Fj$Y_JE4`TarHn-yKDhzS>MBJ^mkXM6(2hXw;{;OrLv3QSEY&M;uXqxNFqTva%_qRtUEv2xl2&tHUA~HHS`c)zagxw&TI(wpl8txV zfRReYsyIgRsJxn zci*z6@=D4*ES5u-p1~A@CFRKDhD!_dnRg+ig1r|@C#+4IP;YuQm0i97I19UqR4hGV zp>n2hLzfMh?}Ts@huW_-)BO`;*ZU7Ua*hm(bP-fq^pc z)6%kofg%ghmW+d{$pwOhzXMg?3)qZStI3H&pS4DX949wN%dldMDQ0o_6K^u{- z&ri5uyZ?iqaM_k2Lx6D2*31#hdO+Ehu&Gj8*b+-`Kv{EQ4z0QsF_!pyf(4Xsox-#) znd}op$pwP!Dqc=q`_WWH-cVy)i(O)b1@SG(sz2JTld`m!bNXq*jee0rP2MHRbR`-j zhgLj-Jbjf*Z{)d_?Y>tnCOfrp9-~+p<(7Qy;;XNAzv8g+*VUF< z?u3FG_?BfkDHqYoy$Bmqfy54rOL*Dk(*2xW3zUV)s5zv8lc(PhH_j^OVOA3SEdtJ0 zp2B}Ll;>aiWpse9TTj$DF=7P&mc_(+s_N@y{)KJ%+*jzE4q^?FNbk=0wRC)Bl_Yer z`6PjbSgh{o5jFB7wuS`oyHOVN%(TZm)Tao%IyN6)l!i}IakP|FlZFLV-Wu!d{Y%Os zdaW_r@&M6%*}INlJJ8%>h28g1J)}{yJ_pC-5pksbG+(OGN~m6Q^oJmGiE<8UpY3yrmRT$)e>BMDZtgF#v4Ucf&GNprjpOA2 zCy4LUpcZ-wD!zKHJuhD?9CBRdDh7~=*?MKzkwM5d@DNh49tjwjl*_>hQ55VOiYxYV z*Eki&S&Swoc`_n6j%>R*Z52ouaC(a(TvCT6tbxvH`tFtxWZr7FD!hBnq9*#Ir>;Wj1$la% zNco=p5XiSp5p!Q(zUR?3qhl8Yqdk+ztNCk7$82v1@{D%GlI8j9=p3}1tP~I6p~E@2 zN*^0!x%Z;Gp^qOx1aQm-?{%&UC?g?dbhATK|L( z&P2tSH>igUH$272TVC%JZ-gES)wnaLJW771x5JOns-3=*-F=Up%Ob0jssVD-c{T=# zE5NQ%7%7U$6mX_f&+dkR(?(+9B6e|J2%!gkn<2cS1Phqrr#<;UlP1oL8ahN~klnxN zUafhjrONT1+n>*@g~DGE*OnYCpb79DkJFoT3Hi-Rq(%X0#`!<47WSM=^x-*vjYoR; zwJ5t8=ZJtd`Sdgb9jd0sl2it(@{%QNl#o0QcyQ6yt~^oGcow9!gUCQ;H^N-0t-mIH z1!==57L=7e3P{6fVi0p{-3*C}^U`+l%tKr8+C!Vb<%4<*b5zRp8S9`Em|a@?x4j2z zHNRD=de!t5#tJD*Ok-5K1)_YB$-NQgQ6MsDv@=5tTsxi>(G>({q^E#L*!#dwT|&M8 zI08R&pn;zP#`?Tv)m9M5v0h0ySHLZaimwzg@%6$iwgX$0QIFJBGtPziwbn#SB(R{I z%m{O-tqfJw|6>p>6q19LNF+w858XOYz&MrG$x5bEr^fzqV6r@JMqs!@+lcr8XCd_I zogC4zt#_;5F|OC?ojmICPoE3~PkvWybHC)&zY8sRj;tJDXY>B_e7^-f_&R@k@+%N$ zjU(R`Eeph&wi}e`*hK0b5}K&7Lu9bj9Dv0_qw8N1qGT;Y+Jy?eAHMIuPFA5qHQKu) zA8vt5G}s6pqq7z`L~qW$1LYNN-IR>4a$u?!6N8(O^bL{a2p_LWg#zr1H3^=S#P2Uk zWw1*x2^LErK`*})gIV}0K7=w} zG+62UT&}{k$nMwcFymiKnBzVCc-W7gMWJ zkbJ$J+-DKeX&wqvp>y+22B1MviwL(C3+#M8v$pf!` z)dQBLUA6Ly3Sv&cNq0^ZlbWs4*p|}+HmhJ2vx8EeANQH3Pw`kaADSVIOYL9BL_XAa z$5nquuho)jleq)5m&EQox&sHI&7XIVzPqoZm< zw<&3FHBeiwA77uzXY0sf89Y51o{54!q)}Y=Ie^E&nlPQ90z0UEkLG=|AwEhx-+_J5 zZ-#+=Saz;)B1`UOsdPbH+0{S`S zckwE>%7e6L%vA;Z$>_0Trn=&PTON^`hx<(Sa6A8k?vdc!;%s*##L%_wIM9$vG9BuE z`8?Jk$V`>~T$bLRTGCC6A`rDO8ZU>N*!!Yb`yPV~LDOJYh|0eCS?X3rF?)U0N<|RE zx%`B?F@r;``Y+h(Lbh{aaIMgSV=A#>+OCeLCp4`KA&=FMs}7(DOj8-J*}hcAd}{Sq z)J?E>___{OH`K$O;H-RN%u9*``-zY{U`omc}Rys#keyPK=s-tYOIlUv@Kk}?u83!zBTCs zcCE^%w>}PBH$7|(&pB)eX)rI@-D*s|wS?|9Q-&^;3sv*Zz{v1Jw-s0UPV8yJ!~rAn zj>?tySkf;_M2MXa6gcV6O(r{nl5S>+og2@AOQ=W2Dd!uCmyuqHsZg8w5mV)eRV&@0 zEX-w<3GcGifGMU8v7fa`wOPkktrwKjpO#6gvo$1&x8=kqy&A4b(V!4(H5VigzMTGw zEm_Jg@KB$VP!^-9If2&5reBYWr;}gBUqZ&~Qt(2e<`dUUMIt%FY_Nji*+xz`+eqLC?0!3X2OmcLV z*4w-!Aup;4fFx9>hOlsU<2KQBb=yqz8`~rwZ!b<6nd;*N9%{6UM|Ljm&n}JDW*z#Q zM38Cxn*>mepKhf&lqNA_^~um^9iAv?eiCYyNo2HT#KoMT6`mQ%dG$urP(VM?`Uz#_ z)%JWx7M~$yE9G^3rGO-m#wS5(e@GW5H~!KX^zZcXjFG~>um73pM8H7cO|yATwbr0l zjvy3l0_XU4`@Vd7>Lk>?6h`vv^{pFzp<4HjJbHK=;YDC#9v|tJaZZF2P61KIT6SRG z7VDNVEAYd+Cwh!!><{`qW8L;2X41tH9Uh)49facf`QhQ^*WG(Pt4BA?z|>*BIh*@V zczzxl8;uek??0apPj_9Y)){MXMr+Xl*h73#`}i*V_|2hiE&?g1!L)#-{%o8k`Q9d^ z9|z6`r;MGD=828*u@G@O+z6`q0Z$h-zbD5a+R!zQp?qU$3u-m zZS+d(E)H~5bl$2tOpe^xku^&88>0qI)Y4!5j<;58q&vLld>m=W-C2Zdet~2GSSY=S zAx}#VI&NtT@|CksKm%opTPUg=vVn2LtP1;cjnJ@iaadk@_ss~H^c8xx6g*lfy$)_y zJ&?py&qO1`qT7IPbBd}bD_8Ws&b+)l;MuK0Ujw}EGl1pWF^Vh_v2jjd-0Ro&?bw=l@ye*pKdi6&w zpWC>D%}Ii2z9>b_R!oUgSY-pg@Aa7BeJ6{mKZL7?@@Vhj^sLbic8_A-(*?G&-aQ>g zM$&GxDr4XwR#upHGJyzi%07CSLP>>@Wg;{ccv0H5mK0_XN9sH1G94Y#3yCM}TpZY0 zEVRX0LNcu3-5e0an#XU9?-Q?V;Mle)rx*7|qb-;XpY5c~Nx`|gxjj3(wRqeDMrS;? zwusv8^lxushoCleuOE|nzYF?6f$=>6lmqG#*yuT6k9zqz7A=;{UP-pB!h7w)7v2=+l-vg=9c(~>Uw2qaKKO64<$E%Y@t=alk*QVfM~xSZ)yp4@-D^Es(|=mHJ2+?WZ*=f{ zy0WTmXdZN>Qu-Jr^{OfRUL9Mxy*Rz9>R3NNPdP<(u+#?s+}?O%5Ye4a6}oM*IUzng z$lbeRNZ#iQXPw4GkoM`=EZ=+o(9NZwZ39Z4?|;8Kw@SH**e8aSLEnnB7t8;9JhQAw zUnEqiE)T+v-W|JfbSA>|han~ehD-UoUTC`>WTnc_f&C!rB)bEqoM-Opsu ziFekX;ls!0W74)>sBiDLwwpo+KVpCVJPy_TX^$5h63Qujpe1NtExM$jA^Iy%Yvjx< z$xva${8_oqot=2KWg;YIv8%|iF`IlV8o~-=G*zX9(9{3@%`gytg0D?dw)Vlz= zwHMViyhNRMz~&=&($TPXA3ZD`f@s2y7a13rI+u#$xMy}EoFo|t(1-S6f0v>%np z`Y4s8bibFT%JLeKPRq0Oz%~xF3~g5wKG5To(($OUACE`cQSkHr5ESu#`S^A3dvW)CWp~oc%lhFkY1BR6 z^AG6g>hH_h=QM3Q--$;UblCBXOYalK{p2;v-(TAgNZb>KFT$qmNhYGO}# zRig|YB&b+4Miil;?)&+6Fu>f zpDrJ+PA{@*Zv*9%piU6ExTryDP&mzz5~QdI+DOx9bE|+a#__XE4aTJtwV4t}Rxt#PXk00l6 z=)tak+_(n`w6~^=zYGOVUgP0%yUR+yBj8b>e{1c~=EkFGW}K+l?0Qb-p|*+Eu^BtF zq}{CBRS3^(n)>qgdK&(SrzvVn4Rk;vJn@`G;%TiZr5Z6%)Zl*n~obw8B{ zx38pe6oL}D55vh?y)eZ!R3Ly@uiqWfBiX=!iHn~COxZ5F1u13kw$ZWqs!S~YO~XSo zh*7v)>$R0mI;r3Jqm^R|FLF{(8* zv5OD(Sc%bEsQFs5+^mW1=Uf8q==vy54@fzAUpf``w|S~??_YD5JeiMSB(khb*=dp4?Xgj6KA^)kRk;gj%nG(&&I%9}c6W z4UFx~8fhb}ozeBR6XDv?6=;#eYGb0rOZQ`i&Au-8ZHYCM9$sMX7mfp*zeU=z(P65m zDcscqL;^bA=K`{#_~Hl&DZP#e*eRAGqr#6}B;DRa5FuZz0VQ`9n7@FWNghZm$(60+ z$10f_<;jcAWZp*}Q^Eu!$r1*XGR3Fix+uId$BNjcsZu31N3uFaj)6FHStQCBNH{wo z&GyQy0@gopujd`wFL|mC3fV9D%Gxf)X)_%{ z;{Q&r(+`8>@%|d9Lt%eTI0x7+*u zux9K#opZbpz0gnEz%5z}ffKlT=D@EVfP&EVKgx_qk=%DLsb7$J(UO4M67-%6pfC@7 zw&1fYsAeMq^F4?me;3rzgz-NCEy54w)`j-RP5>_zv7WX$>e9gS!d-U89gkqHj_{9Y zB#Ly3e5wFwM#Ak%!hA!QBJYX-n$yxUeRRv?p}&+p9xq=$TP_Z%Uwcz*?ZAMQ9GGA? z&kD+U?e|~);TlXhjWI7P%yW6H?v=BJP%0DnPVlG%>MiO8=Z|;ygn7!aeVG@$Qv$d*$=%;bY+Wy;|PW&7;$^ORM|KRXy=KIwbqgV-`;;aE1yl?AO>f z7wpH>HWy`tK=+*q3(PS%l<)N^!))-M%S-pyKkB=51oCH)u?``4w*1yhWfeHP2Q|>r zEbvrp$wRwNN*Z?6R;~o!c34wgGA1mvPe5KG&L>{=`3n{hs~$2E6jRytZ3aX>@xyI$ zZ)E^?mbEd|V$&Dw;)p!iwa_o8^6~cc{&Lq2S?s_}^I1|8(uPyg)s_U{rxL#8ebyHB zJiZl1Egu@#6r?0%#`l(dmmuhu4=459Y8BNyD8S1j6c^t;@B~LNw_<5Ykc`sC|FBRh z43(Io)zXwG^|kpO^TKaSvXtkGFS;y2hb@fyB%es7JKRzza=cqZ{n+Q>LamMh-n+@!ipAA-?Q_ z$cIF&Q|(K!BI3PfB-`Wl9^Yq7pL47L*GM?J4n3MaUnF%lf8s=LRMXfo4kOc0m^5`( z>>o9&OK^shf{Ywk->a}U%yHo@k?lWUtA0;O3{iuE!K~|JI?%Y+g^zBmi|aldiId- zj(_7S-wuE4ROv=IdzSm`Qs`ECHT6XLD<#*!9$!dX7dNY=L(i+FdjNPjr%4rGHa(~D z9xeZ3Z_=@#Ib~JnHq8Uvv@@IIZWweDp-rbO*7?pP`MZkz*F_uXCjf_KU=3t`G-Z6K zi@M?FGeS%+c|Y`LUrWEGD+NrI^_nPksU=2lz}#vi(v;qj;^-7+D}IS3%OvY8+9*F} zE4>;kb-C$q?VmTBSoXzs0-O4uG@E4jeC)3?T!lYgVl-#d=i5xTd?I}Hq@n4l#SC z3EjY+2U00r&Wje>*CthCZ5YMu)(Lj^lRvP8nU831-C;$Hxukp zw-HUz!JepI`L;Uw=&I`n*O|}r70sV75j&LAVT0)m%zBdbAa)m@>^Jnu#3LQ@;vR+oMz zYE#qj8`JP-(eN+PWKNHDXAM-3Gv6HQECw8APXdr<36MJfTbdArA5G6yA34oc>u6DB z3BhG^RA=}+I_V<_1fp1NFPA=#y(XJpc1d@tOaVsfoBBf+!y>=AST!FFf4g?zQ72@j zCn9G17ZUx2)4R~gXZQ&+O;w|y+>XsIpf&`(k)Zs8qsZyzpmthRP7j#BT%@+iEkZJd0W zB-=Vac0dK)OBQ#yj|w~ zPxuQU4`&Zm9xb??Un|F!|Gq!bCR4!jYvFPI*!ahimA?~V{o|#B&-=zUx63E7_|v6B zvkpLgmS{L>p5FXay!cu_F4_io-kw^|0onifakF?t`uuVKc-?p5cC-DoTD^SPm zv1mkpK*}1I0!{|8c5d~G+2$Ux!8>S1xZ?nO#qs}u=kxZwTiuP~^B%_M@rK(t{C%#~ zGQZt@!hE?0cR|*XUi);Ar%&e%mhop&o@U`pLalYUJ=^ z32n-(Yt~xVE{efDZ;3l5Lh9q@JM4!4i>`Nojx5+3M#GLLwr$(Coe3tkZCeu?9cMC` z*tRpVJuxP>U(dbY_rHI=wcc7AwX5sYsjhR*uI^JCHw1Ui-6XvSZ*Naysu>`|eSQM{ zsp*7mLwBC;q251F##%1O@Y0{g<;KbxQJ(P)sQmq^VMF9R02%8WG8S+$3g!^`NhLX= zfXf}vFH`P-r`bNiLL%65!35}@X1c%2Sqn^L)ZH`$$r;;*+tnESUr0Emp`M(`nHk-= z>-8EL>vLSIIS#Ry(k3LWmHd{ec5Te9xJh_+J;dcuIXyVZqY1`KmX#?>@s?BD2k;Fqnx!W(L z71(tMuyZBmE?^-X)}%y1B#OGHFeEZ5LR4TVC9*(zFwlp{WMEL%bJW;i7aLRcu|Ahf zr(MrW?!Y@==ItJ$#DL2KJwt=3%Pzm|%8BPL&yEvJ*MQ4XcR%2*?~e}$65dKE_CS`} z0?m-DWB`c_Iw*zw6>%_$V!i&nXvl^-A{RIV_+;5g*QybhU^6nH;iPVeHB&LcKC{ox z#|{(8V&0VBmSvp^oo)2L;P=i6CYn7;qC84+dw&iZ8Cj?RY3QV<7$izbG~!Lz{|`t2 zR)g+J;exb8kI|EcRCp?#?r3E!`?XIXXO^gDwHZO*zbz39%G!mM5q8dO!bCKYs$L6j zm9yI!A2caun&|6>l%{52ZK;5U^U(3knzzdc`K>fj@&P%aycB{WOx)pA@kX)(lq#7M z6&aWIgwc+FFKkH3O3{l)WyHp#Rm~_!4+d$}_`s4=mE!hG(8+m0iMo{dSd&x!N92W0 z%5~GwIDq_e%w121{{IJm>qdVn{4Y2q0~)l#(K&P$43A_Ij%X}me0*Yj_%>b6QdE@< zaSa5Cl#ncjdLbM8e(89Ra)ahI4>ol5&dZN8Gk)T|*NDH4{PLyoW7|=EeEAfC10nj+ z_WbzUB8voGgiZwMmtvI4Js#Mk2-33L*r(d!IUGt>yY0=zO-^T|=c;tK2~~yyT9YqM zkDeJAYd6{$ep`gTZ1`8r8azBTeYfR0(BEC;2GbvNr$o&$Wis^TR@P;avisilsk@

    -Ho*OCbbsDWjJm6{66QsTD$Kz1TorRqa-Cu0&|9 z*GXu$?<+LwY@VRg!%)9{t)-LqyjQ`<`ShOav*Jf8-I1OIEsSP={&| z2f?Q+nrKv02Qu3jcnC$AbCj`YIYS(cM7kx0iOwnqgEd|{2auDI$)ZBXw zukF&g@T!Jg$nlp#xcqZDPZUOOdzw42SwV?EtJP4pb(>^GDmpDtXU+dDz%AJ)BXr$t z#Bb-nR5t9tJrLv{IP(e%cLhqi1O&|TmOZ_t01e%?==jPpftNS8u~RAUj=b^+32)~t z0>(VXE3&UOf_=wCxM5GbI}M@yobN|UGIN1ed-vag4^LqPF}<4NVcIZ4>XBq#{Z}!Ak>@I645b$XlItS8{y@@T@C~-lX+d!`yMp^4 zn_eRhqrS;>9pMI3v5)>`3NTkCJn_@1q8Fci)}l1So#z|Hof@CZ`8`3hF!(Q_^W6t` zK@;AkCrS)qozzi&I{n3+bLlC#Oh;+W{77gZ4Sp+%k#HlYr_|M&i=Du5vyU60r*vUl zJmcOETA`}1qh0iW1%9sjWw`D^+k5W8JtsbLF!Bo$9i%n43V?cfcn$L_raC6*kcQn9 zkGx=&d^9Td#h4QmI3a3rL$+#{=Gzo6xTsToEI8?T)1V~T*sJ--`or)o(s}pE()<0k z=OfGbGq)~~YgKsqFEIGQdL~t@3w#hdd=?-S)3FiY7^mj_!?+5I;#|Vtr%&_ET zBHSn}q1BU+u?0xe@y+Z2SyI0DRpb-A2o*jHpM;fBHySL&bo{{dRHeTt(khuAM?GoT z{mf1A37|i{Bm*rhmcHjbpokt2J<57tL7G=;0WQxwdnSu|?JO9yxCndl{dsjtgZ8u# zelv7wg%4_u`vf0h^bH+YhZ{ZaTu~QKPKo58kjC1eEhQI9l@6zPm)V=iBm5T zz$udPE|EyOVTmClhbxs4qfJia`&JH8q_oK@F%+p}a24X*F>(J2zmvi&3bsc-6#fG< zOuSGb97*q4iSLE)uCR>{M?k@d(z?J0yTt8ta-|ohpH+}dY@%}`$+>sGDX9JV#t0Sj zK2xUL-^;gcuCNI(S`4UxMA~(q_m8wBlfy%v3@i1C@|hVzfdYbgf$R48_a7equafwW zR>fOF_V{mjaxr7kxkN%rj%PI7@T^Lvco8f1wh!N;UjOsN?a}nsBJSBR{8NBY11y8i zI7RunZsHpWVu{Kqv3o4=wZzamQs9TGL4_B;2c+~)M58#cmQ;4ESFEg8uB?9uSpNvJ zUIEUllG@BhT)fi59GW7ZmA$i*Ce-za_H?F4evVnOIt*SgSxgN1eXYjU8Zkc0& zEPAQh6b$sZC#Nu{e-1GnjF2TP6<_$B9;?Fu*IpNP)f<#4fj6b1S`&pCFgAC)@!M*;MhHs=+A#oOA&t!b<=dbl8VeY-j<%cR~aFC;G3kF;VsN+jk%TrWR5 zMVce#0l&(pDPfmqMpoZVQJ<8tJk6XBPv#YAl%GP%!=RbRL0+%ag8G&EH0qbSpTyD- zxWtkv z-!{yE9)F-F56DUxUCuhMBG5rT-0)w-RSTXcM+;@UCD#GE->y&Ol*1(k-S`>YKjAh?;!e!st=lv5w9D zk(3()=S>wkUpn6NEvh}BM?TN6>wx^8>VnH|OPZlct2crPxk-Z(h#}VeDt38uEo(^ z(plz_QdvVWQdyMWrLw}4q_PfDrLrV5rLszMq@LCG;eZo=NYi<2_(X&}&1U!sYKrIT zB<1SHWb4M9bCcyps4R`5&u`4;WeqQ_e0Ed=D(AvRiv8?MPhzE?*W}PC6WAImGk~8% zaR%5w;=Ts|LOdy!Ry27TQ~yDo-JWR0`e#^%h}Cy+7u7d`hX)E2YuRgvG6x!N4qRcw zJ1kfCv3{*>a-XXt%T0S&8-e|#I__Udp`G58YE#ire|V9V#fd|1yu5tUMbdnO$tZ)K zZclECNn2w$E!Uv>M;twGhrTK6NPD-j48K_~!1c@XM? z&>DnZ8QPZL+v@&jzim8A%E9hG8*fsZK}hBY3gig#;tsv-T=Nb4X67t^zTQ;YjtSn% zixX1`+*WFD`3|=lcY%4J;tngITBpf}VmANl-zoZZg;fl~DRKG6Q!32523Xoj|^$J2@Ly^e}lK&4F6qZ!0 zs4Z}##89|PCbyHx8YGoe%cyBn(4Gzd%6pdUv3_#HVc*vpMx0M$6@ML04BcaK5Ypx* zt}oeaf!Kl+_m2XIL~Wbq0tBO!zvL5a&>|x_pltI$_4Oruxmd`EU=Ew_g?29QPDx?r zrA6^PU34f_YbED)=_a{IQx9;gc@m5`XnBKQ#&4z2zdTEJ>e`g=zh`2hG)AOGobZRh ztj3qcEsHN{wDrG;bZ;P!>zXxAeCHW8CMa>ps6IGrX7pS^f^Nr$X(I;6Y8hGo-Y_vG zt;RCOp@~SG3RWD6Ot1n8IONQirOlrpG`_}>!q_2 zmgCuoL3vDs1i8kwv2;nUXbkbjG5}TjUa8DT*)6^xdNeRtYNt8?1mnVDe!+SWBD-d@ zA-#sMBfXxpC%rCmB)xWVCcPGOCA}{Ht_aT>D;#FW@PSfa=e)jyOp5=1#3%7lD#SNZ zGt>B4uM%b0=|4=Crl)kKlcwgA)W63wx72Z8HG5PY+G;Eqe#8-v;4F^gi0k8;cGB<3 zU7X5I1)$Y{p5Yg|up!6?aSr&vP1+Zf>?pVklS1as$uGwk^R5catX&Bw;tIs3FHB{Z z;@K~T|JB=8nXw?f&Cv+b+fAV0wX3X}Af37IC*j63lQaXt#c@5=auJWDC;-P`B#wUR zceb@MGni5ffi+=+PWfFgjo+*;*Ook-A^mpPgxB%^V#B(!7EO8%d`-8U!w%n0DNY!B zhRR1BAOk-MgU1373WpqAmSgCJ1v&Kte%iFY4K6r6M_2s0L02C*pe~D;P*y<70A}(z z^SAQft>-DFIm388!8LgiGeKhRvjg1+pzMm3g_K~NSEPU+&Vv7;BLAV{D^eP)Fp+3Q zTzPl85b~+*M83XEmil?axG)u}7A8wH?5wt_S(%*U3hMagWfk#k^9xd0);8Lf!2Z2M z3)@`drn!KAZNtfZZQoSG=t@I(mny+=?At}h+{*2e&l;}YN8b&k)ywZNq3ZVei9?~+ z!@I$LrgiAGbzVQVbObaU8Hj#zV<9i%$3~vU4~e^1IsQ7CGAo^1Ij`pM=%A0^yjW9N zVXt=6XLTVb$KyXw8)gbDg`rG1J6F)6Bb*RG(q+JkWtXi4Eu)qpIwv9{&-ej@0KuT1 z4f@+#HSQw(hBcbKV~x`-^GQB2^T{=F^GP2`^GQ5ua|qi$Ju)(`TBlBLauY}dPh7h^ z53Lz4u3GWVVk(n?rG)$Oul5@@80q6#ELclfEDpb}o4wxX=4E@`^`7gLRtV$LSgYO4 z<6aGL_dw4}>vNj({bIF+*6=yD2WJD@@PQpCCy_?6K5gRq0ZRaZw#&Zj{W_)9QZn+{ zU%}lSROy7)TUhICKC!HZ{H)wT8Kz7pQ;LMEFtKz*^!&!rZzlaIOFe!QJ=;}z%J}&B zc*~;W{O9uzfBhx4B==d#kWo)K69b8+)geA7f#p_af8UbBOR{)iQ;3pWC62#E&kuJVf-5ATdPZ1)Ug zI#;k+FjU6jesT@_fTua%)@@0ddziGvJoc(!ll7qf*=H5gXPV~xzp&|s=Om>k^6GQl z;Js3IpjH3S7G!Rv&wb6 zA1-f3${cd+eaj;ON7*bw)Imn_0xm*yKi>#P;$Oj|J=tiQ>)hk}<8YE=@gtaL#<*wZ zCi!_@`%I?igNW&tl7D<#$1difL$G$HuZ}56+w6suO9V*Eb|{T8;)Ub1Tw5(yY}Lh! z#d&;9CKpiCU1Q^eCrdCWAZhe=$1u}Rr$El_C7E+3?K&o2Kkmb42)(1@&G&sUN!4i= zl{5WXUPmd%oocTC=oV_9CLLy!=p3u~kP6AC9jX*uAkJ|d7Ur2touJ4JOb|w^Vor-* ze4QXRoObLnRBH6P7ERy~3E5f+f)YFghx2JG;t5IKdDLeaSQU@>7SoH^^O3ad*Xm&S zDU$%7$ysYPy!QR}-Q)q09nBAU2U|Uhuvk0fUI`Unrge54flAYiJD7V)%sAYKTMy3Zs(# z1Z>$Tgc9GS2iTdT`TKApaNY3ho9Fwzo4xb1=avD7kuwL(6X^O)+{;Aos%g?&U7+}3 zlThS;jGnwj7fEl`eu*DS>PJoz7ZD^|+<@bcMgec`WwfM#h{>fbH4tnlf{I}v>K`Kk z-1PwzDJLDzKd>;Hv`E{tazp1bKl~MbF4?Afo3jvkv1gkN<0N_K`YPL_s5R%9l6JRl zM)}S~O8T@JtYdg%XJ)_@bJTM8;Kg~E9<&a#VbFWPESX6@`&3dR_$p&Ja-+*}_?=1G zarrqHNZe(9xCAl4`w7b%5juz&TX?w-w9@Dz?RCJNE{g8h^ zAs9M*j-7ax16nc*;bNpy>p7$cY#*HDO+dTEQ#rjcd58e+jUH#oi?b#M_q1m8jicYV zvR3-;#tJ0V7a&*5@5e+oTbkOi*P>FM0~un&MSi?FYl%EhF@PU%#u8;GVDaf#Ig;vC zucq4qc-LHhgOVbS45i!Fm2R&SJaUb6{dRVg?`d)0Sa&(MZ+mFghtaDJWnAt{KQlsb z9-UYvyt{h4dANSwJGy1R#OIv+k8f#b<3oSW0{f%0kC*>mFj$Ip-9@E-$320Db>9+L;+5al4%_h?9 z+L9Ty>ks6b^~cg@zdr4a%GJ**TW>df9z@Z_& zR}KxnQ7z}hq1gscy#yQgggW3?(le~lu>@EVe^XBTp6P2T>8g^)<}MiuZmnX;fs+Vu zCk+k0`+<&&SR(z?ktLCcwx>_ThePh4yqEf~IE9B;0wZaNFWu8{xHAO`d=3)q z{wt)PP5lR~Cfpkj_|{wq?c2UL>r3lZ7c*ktV?wKD%q21|7xK+FMZe6>a}Z;W7>N+d zr~n{OoibW3-6d@xIR?9~QbP6mHuR#m^xC%9m)ctFy&NfhkQH!C9a%_ZPvHRK$s7lQ zdfK~o2GI@flv7J-JnMfx?IJ%L2tTGho`ly)UlAvlvh;03SNrx9zPI=N8l3JW$P4D> z1HGBZw69`Ultl!LOMmI;6L}7DbplNF;qt&(?hxb#|9=Fk?O7udCY%?YKt#YPAflVy zI}rfso+I)+8ohvnBUh*tQh0|LF~{zm41hc!H=Hvn@H4U!3N3s_j5uV+$p)qOv_aHK zW3LsmG%B6y&CVOs$3q+qLM-e1KJ40&uiTg;=k2h}er`4+>RqYD`hiJ#_IdXL>nvmj zbW$wwH z(%Q-x0{H_`;EDZeXSz3381nxXQf^IHRD^aka>q>BKzGKoA!x*qO5?aZw3atWNTAus zF9XfSukG>Qg@uEbXna=cYUpSp`~<7A4NQ@CPUR!3f`6mShS{`Bhg>vEXq?j}A!Q>q zSI_0p2%3B2;thyGzX}h%to{nct2b#1;G$28u#-58cV$*fbXQzmkrTyQr-9B=ES@rU z+&%QK(t;k3z5RgY+akNDKl^@QAfdVp~46|T@TLmJYl5Z{dun1t4qtRUTJ;-l~y>@OCJN!yOA`r}>;|8ivx;JS9 z$B4E!R=3>AdT=S^NZeTY3x;6>uonnrp(6|gwp@v&uk^oF5ckV;dC7x^hCw;9NhHh0V!zs|Mh#f!6#2CON0)1r!WX z&0%iD#qIx*jzBCS&N8+hIJ<)U6ueVpp)240fr)*BJCYSCO%{; z5T<%|ZH*FC^pNTOS*{t^d5^x!f-8g-YDCSU7LujRONv9=r|`?d) zvOYM8$(0+D=JW$D!4vLvKU!K1YJkr~nZ~$>)|i>z7|8I)T4dK+B>x@r>D>{TSXuj9 zu9ufzz?u-RZF<=s7Ba=*_bBg=FK**mUMOEGqXp-}-j~B7PY3tf!@VEoFb`h*)9F(_ z9@;J&^zm_{K3*A?AHPrms5{^@70 z3>m|qlc56eP`$1|2g5=hyb4X+`=`f}@>Bk6nV1%AkP$p^0y^23dh6B270{rOgiLVk zcR}L{m%H&#N4N}s7n&$lp_l`GL}t8jZsDgE+%v8B1nN5i)Hf~;+&yUAl1YUxLKD!& z3)i4JULG4Ds5MxG`(WrD=nKaU*q9{T8G5GE=WK#(Z3EV4=L4QEYb<^0=+*CbDD4kl znwCzlTg>x}(&4oef88&?&fkwrm7h}k%R|F0{r1z3Y6sdOJ=MZTioa@y-)3+?PA`C;`@hh}m-K<{rhpGr|wPV~TUfKGU87;lXLbJ_N@~6LpL5_wRHzgBnD0PyDz8WWNed2jTzY} zeo01zHH{|LV&TFgI(zcqCiP{e5I=ocF~T^=I3?%8k-TM13PO_VuMpNlKAvIC9L@N? zBBRq8v>4Om4hahnV+R=y*&N&tzAo}7$iBd-mrI4m2%mfp1NO*}E=44z}WZ%GIRtlog5Xg-} zr3&z%G4r4F?Rx>5*-v)=VOEmlCZT}*r?)EYKeWJJck=ld)j`0y@a&q#pp<42Zs_!l zm{hM+{GdE8I6fyDvjvo$2Kh@Tah)ppK`9D1TTQr`*2}6n6>38h9I0LoO1=9b{p!S7 zlh_6TE@3YUx{K3{Jq-UFt7p{{%kzHF7 z!57F6o}Ej;+gkapSn+^*&38zJVlYswJfeTwFb~CGk*Yy^G(q&EzbU-n?B4bqUALSN zA%A6c_S9sbM7DK07{_1&j9o^YCS>nS2{z9?!-*t37u#k+kE<7H?nqwH=3g^~G;Ax5 zZ}r&MB5b7AReoFH|HQQy=Pb7W(WML>?ntj6VRL2@(T*Iq#Iz%IXw;1ljgwVkZkC*` zikqG!t1Crijr(8ue*{?W({YiXri1;Vdhl)E~#83I1X+wy>545M-jEj!+13&FQ zXfkY32OX5kH4eN=_Ghtwh^CHg4TOX2419zBqSd?qhOD>8OIT@!3R)RGF?c^Lw`AtJ zb(oq|+Jf~Y>&aUJ&*f@;Qre=-6{_)$n7>RT9`YnSc}$o%J9%@v`T6#7=JRo|bn-B8EdVbp7oFiS9X-?(e|>-7!Y;_)ghZ$t7vNz8M5I-54{-htq|KNlYi>`vAUNdC&gfg?LDTwBVBlyde zcQ(H_O+3GR7CHT&$OzKEenOrXvL_~8_P-V(S?qUQIe>ml-&w5#hwOax1wh5)EyLJV zfn%nB)N7);Kj?x%WCW`q7GY*J9GP2Yc7Aafezyw|ZMSO~ZFdj7oj!Zt8^41AT3E6m z}@PL|z90bguSj%V72JNaW!L0iN&4%&_dKclpo1*@a~ zN#$q%Q%UrNIT)MRVL%aS-PaJs$tjY>PuPf1?kON~g0S^b@xjk)`}Pn8q492tdXn&g%D)DwTE3K6rEWXARKaO>hJjUEOz5au@kI0z6fZaAxea5d zf4Ejfg5M<8`Nz4jf$rz5m9(}-@vKt)52<2BLe+Sw9N8kg+2_8fcpD7IAYA*Z=u>&2 zfI+Ey${t~p;+Husvf5xYho-O`wm%Pwt+VJ3aN#-9#!07c?TCSn0<^`&_O>j1bX1Do z2V-~+;5V>j5I?Mef5vz6Mmz^X*aQ*BCH!>C4mDTU;3E4|t#2temJV$XAi3sdW|xj+ zCRT>vSMqvd>wQU)k~}*$E^@HdSq1np14?Q;`a%6t*2!d;G*Ii1@!OP z7lqpGd?Btu7+e-?F(2!L>u;9`1BF^qmjGn<`l9=Tc8^3gS8iU+NJyJIgvP{-9Mcwp z2-r?;p3QJlhK`a<9K`v+rXp5u$sgjP1tU$Se@0#OMhV`MwL_8@@!S1G=ztV(gj2SN zVYPw`MRoNmV66=u2u*eF?vu%TBFqUwvOC_{IkvL(&>#E3B%1BXQJcs8R@Ze<)wQ~)V0GX_temrQ z{oBg!lUZ|>v1n_%EPiJdv#3`K=F|0gN=D7MfDde>&WvScybTQiC45+A-Y@r+Tv0a- z&IbInMLmsfLTC4hmREZ$>+adL8Qr=TU=6TQ<=*LI?~nKYad>+Fj}KI~1DsnYrHVVf zW`QEOpIQrT(vkEB%CnZA0KU8W0F6zqQ&R-ppDG2RlZ7&-7kC#=7)E76^+aO@16@%Y zT=V)(H}YRYa@FCC$h0(Ym>0K?CogxeP3cV)EbznfK3!>s1`JS#DII%Yrb-K@npR<=gWXma?pMqG2Y zChH<|quJDwB=PRMRGh{`lFpk#kh)qykS67bj7os8Hv7M50pb>F1wY~)>at|Iv|@kHCS zI#PNw`Q=m_^H;UP65F&m5iS?>s3dbZFuA6sawGtFtZr-F8bG$$;grsf2>D5x_mC=H zzS*c98DBdSN-hmgI^O{{#Q~Om8^xlHbaLx^aM$T^-|b-Na{^~{!>MeV{$AHR$jS5L z!wiOAuATUoLQu*@td9UKEf2Zohz(=XuO+lGh#FCva^+K0vBX|=)NHuX(~8V=iqP`N zbYq+Xe=5WoA#{Kh5Dv0&I;EIXdxfml1Pe6x>~aCj z=4NxsrxA}Zt@4f=|Mqqn2}el???WFbAH@ZIy&=z9gZHa!WIX|E>+ej3+u3e(vbvu`>a}3@!De9isupKZ&zz1;E%KP0ZK>L z1DvZi!poktg6LOcxTgp+Ym?vBpei9bm4Y9`b#M<1Td+*QiQ$(e^p}Gpu+n>^Z`h@Z zsNEC~zX{G7^YEO^`<@UJ9@b0f!{Mhr(i{vHn9fK%= zJba-YIM%&da8YBi?-Ed-Z&9i44Gwb`Hv+Wnd@NtSJ-GM$f#Dz2aQi!Ax9S(y9NG z3}KCD#BTuZZ^}MTbC_OTonU5}$+U!w>l!RrOq-y5nvQLzm6r%CVD$3&T?}0-m*vCr z2?P=Y?=iYj_siUWOf)M+zvOZZo)sWe9nQ1!ORSen)>VPLdPj?lnSkRWf_#;kSRD&J zQ436UB$hObQ=xYoQ3M9IF(ge#w4*Pia7p**7-YdCizf~^_d;<#y)W}^{Gw(CtNE15 z5-C;Z9?if9XpgpzAb!9G>CTl#Q*+Yk5;PI1LdRwPx7%VqD++nD%`GQBhuD=b~$q&E& zYEvnn&6hx!P4&W|(%-bE6F@|-=Hi4VikL-srHWH`t@5+DDehnKu+4_h?Mx~d!mseB z5S3bk8{QcYW^HvbLaZjh38jbmA5ny3Xi0rtXS*zMoe$m$qfRUIMS{CX<6 zL6}u;o{?p>p&eNJXbP=hABli2D~bRS%R6SMR7l0|uS|C&a2~Pd1?^pza1@$HQ8YF= z4+Y;U4;2gU$4d}2$AKrdM6nHuSpI0g_mwXw+)TM&;{me{-SNA-f20ye4S8(oqu-#> zVZFtvP;Md=NCYoYprz&;wx*savh(lLe^V!MTgee(DNN5n!DU3^Ri3AsHPJJ!=44}g z`%tOqO9|p8{`$7Uj61q0ssSZ9scWg8j?R}uFzCre-<6e4g6s)lJQ(gl#t|%0Lezo) z*EKs{hi;4Q62*?dDDuorV1ahi=6BuY0nA zL{i3p69FN?o_D;G0b?n5MaTdQ!7`S;0aC(r?%lq?&?e@>CkJ}96mjC&e;ZgEcR8ZfP{IBp>HPO z7c5yxcOqXc0`OLhv^3smYR8uKB|<~4`S$>jNM@nerRY@JvBCIyH<l7 zs)DO<#OdAl&U69Gp#UIOIR<9pq!n~uF8~E%lZO4EK z;M?j?OGap=w*(iwTRKa5LW7P6uiB$WWw6)_`R07QOg ziUm45)?k|r;z97Z$=sfJHXqZmmY-_WkirHO;2sL_2_hX#^EbWMafhgw zbbhE$1-AV*Oe$c;ow`{F(4aUx9Y7zaWU4!<0lZ|X%lw@|e8QDE#!b2i`FnJ_k5)A} zeP?(nk#&rPaUM;ER76_IC`#^as;y6<3XKH|4kv9;JgYw_>=yqRey?R4$zlSLgOW5J z0+4dKUY9u*dbu>~4Hhb7JUI?u!cAHn8AncIC?*AsOT2TY)Jr{8V@Z#QGXjTLhK}P# z&BCKRigwjz$NIbMd8yP;sQ$6?9La?xslqw$$_<3Cy zu~Gn&Q_sX%+bQ5?OB3(v%OM*Z>Ep^LE~JwhmET)}%JaN4{9O$3EIZ?f;zx49rVwGfKyjfFNJzkeP{^BEZ=T$`jsaVP1 z*AmZTQ4`~KoO))mZ4cE{!`Ru05Y@`}w2W=Z^L}gwcPZui$fvFW@gEA;^GTmmujgc3 zXd^rEN&!3UM&!$we@!@Wuj&pr65iRU zy0RTlaKACld((MehEja4BYM+8u08D6K5LfaC!J%FXo=p01DF3S=_#=KiMO{a{O0$m zD}9x5c5-rd$01qrz%I)?-ZVD;Oy*hMncoyK{szzb?H4Acc` zD~Xeokyydi1h-R*zgy3^$L7>nV47YcD9=zB8JvS?{ZtG|dAdrVV>z7o*uI2|_AhWN zn}Q3|@$X+0qw@ zB|@2~UwUCEUt6q6>(}@t02d+;d7VH0@;#fW%ziG!G77RKZtr|_7UT;+-^gyEbWBN{ zacE4r7%)K-O#dQOVvtpVQFBd1T|N(wx2j)7;2qY$*xIKZ&O~~%t$u_m zz%k`giDPZL3s9c&v~H$}$?N~xCkN9IB7xwKimx;GhcmD57fJZC^+%evAK;zq*SQ<^ z*fp>RjKc_$_7talGU5-I$Tn zuDqK+8&2-Wn6IKBZHWpzZL(NQ2}|oeMd-XAcDHcnyc)!%X!z4UC_PH$i$Ft6YK_D`)*NA_ray`8e)DDm%pci-tNt~l=E9ba z$40Y;Or83iwWgC@$eOXv+ao;GByGF=r_;(%Ovg6ANmXs!4RlJzE_C~pT7 z+{zkJ;?Qb3n`Tb5Ocx^6=!Km_3(F&&_F;r*nRDXBd_8v95kJ@H!`GDqukKJ@T@p85 zd(w)IrC6jHOeaG8k@IY{+9o|QEnsqz9ibwLo;2BLi0KDmWeu!X@nX4>GMSdVc)c!O za$Z`YePu<^3KRc4y#F|isf(yTnp?P3h|+@0%)8PircZSeoWlIRx=-B6kJWN4;9BMV zo*m@z4${O|DFB>pwfdw0Yt zjmoln>n7w7^UFgtZdZz6$usf!enMFWLn%CX$69u2@)AI@xETZl_~BjM1{uCNhY2mW z>9cUnj)-Q=kCfJb@t{V$?SdL$X(>V9O1T1h(1TLjM%$UE^{^q%M-L(WLr7t_PTqV!idCpIyg)o)6CVZvTZZUhs9vWOH3(NH5zPU7!mTd!0TK{hZ5D>I3)rsEfZQ znZ{=p1B~1BgPC(02i50)Tr$ye1%LtvKxif8BroojnYrI1R65oz#|O+klAi&1#<+cv z!14216V{HKjqFIx`Wj7g7Zh6x^uMx(J*uN?Q@Ik*2%T=l9rCF7)puL(@}RW8jX{ZF zQ{$9Mk+B3P!YYtZK%m1$_d<#NS1ibp2y!T73C_c2dQjI(aF1C_5=|5vo>T@@nWseDH>$qKgFzdoJJbr>ph~T3M zy3q4=1>2zO&JDWmiX#!|w%fXHv6o{^bZTO%`h7ftv>D9?7x`YY)oQ zfvfcMp({>wpf`ihlk8TlusM?Tn%X(R^MOZO-Yqw@JMA@i5ocOyh~<|9;~ND7xkH+7 z?exG81MTnF@28XXdgs15o@eYFW;_}8laT{7bdD~wwY zs5MiRYLaEUKjlz*h`Ab@Rr~^R=o#9-zECht%?+ugA4inU&|}d|G7e)P8dAH%;UoqU z7DAwv_@9UaTU7}6;oJxZ{c3W# z_~Dr0d4jk6#TT}3l`~|KhK7)md(m0;C7~2)0@y6tUEzVnqLABeiJxx(k(}qwQ1)W zxR8g`Rm)QCc+}Q7ew4f4FZHYrt`SlU_MV&bjWWM%P-WLCyi^|hfkLgIc|2r~Io$Yyui6?i5iA(;zA!q6`aHL2C zzJ71e>*0@GtKvwvu4o;XdXibM>;YLo`RA!N$v8jnYm=EJDA!q*ro4y6Vsc^jg9T=7 ztu-A&PFX#O;d@xGabVOMXXN)Y35FZ^z?-LueJxedvh4vs`aYrf+d{@IZ{d5RZ5g5L zyQ+dPU$uY>pg7yN#+c?3oc%BtW>RUhC`;L9SuJsm7PG{|61pOT3o2SaPmWBxJb84n zg2Kg}4kS`R?VoaBweiI-YHML?Ri}soC2Iz@P-s3Fwy@}igLkKQ-TQVTM7~Q?K@I_j z~{rS@1irHdD_6w(k05)+fcdEDLfoBY|2TGshLXBi z(n{3n3~v-WGPJUffXE&26vvB|nAef!AOl1D{k7ZPMnc_-0gsG)HuoJn4S`X@H1#I8 zC%RAVIaHDK+DKi7X9Z&l-!6&>nG0ha-!9V?kmJf-_aMu$qJf6Ql_n9YNI4sJt#Qtt zZO6s+ecfK>V)eN2yWD{0h?+wipS@i^{PmZk21{Mv3$}X7D$>>x8DZ(rRK#6GH7XRm z5(N?tnJf{jM7H1=5_5<`(QzoT&{ViE04+K!k{V+ozf9iHGJDCjMWRi<HzYWkw`OeNM${|>P`wE2zct}~of4oQxTjq>~9P@vm>>PJy& z_Kjb3W3xYOSInyGUg~|M2C9kgjTwrGilFn?;b;dwOl%vLqMEc)dBnx@R`%_|T+M(1 zp3I0z!Jk*@R;~$jond&Jm;B(98>D|XE@Lmir5>S+{SJ`SOBtjT5MVemWoNtzdogCb z?Rzy=yt{f~Z43DsF@_&WXjbQ)y&$u&cF#^Y&9bq&H;fj-m}qC9_xLx`EbveZU+eOG z8{=1=Y}8{51r%Jb4@%nyc?>K0{Ypu|>=ln~#9|AbsE*#4V&N=p<1SK0l!;cd6$)n9 z$DsuH*bB8ybo2r2f2ayrj>lb&Y+NhhcdDS>{Q3NvV1FR`_*(BeltN{80HGBXcRF~U z81dAz-4#5)%YC?VMaLN~|Cxp%nJQ|~%CvekC3l^a% zK7G51icpxpnMYKkxEuX$O$HI4dSWdMi#I#%HrqKAm-@WMh5yA^>gK9R zo}c!JDriTN)bpHZR->P>8703Uf}at50$9L@6niOyke`B%veA$!emWjFD?{&t&lz!v zzM&;yLg4h~VJF5J-Nee7d>gF!MPufeI7Bi=B39`=EZMJ;!g5=JAS_i;I3$DhC}N89 ze6N7C`T%8->!>i_cE~yf)d=$bn+rB|D>Ri`pMB4ka;zXgS9 zmJbkH2xAZBHn_8bI`5RVVUtoWERtTHVgGrI%6$iQ28D+sh>-zw(@dLS>V%G`yP8M9 zVlT}KhFAImb2e z9btPfl|Kz>UY~${*9;um){|53#A2xj8)3XEK?X~=R zarxPom^;i)aVUbgi4WD?zT_j-1vI=Xii!EAp*kDOrEyn;^p@Zk4Hm?I8J*r{+x)w& z80kYfy2sY=+x*L=D}mj3pRRg=kuVXjMvV-o#&ZZ$no5Hj9OuMdz~>;qKWUoT&aW%L zX`E?-w!<#>=KIv|px3 zul3&+u5DSqwbLp*3g7+=aQs_{a16=+(Q_{ppiR(b4;f3AR##t{U%jbelS+1$?k_Q( zu3cj1E%}cvs7o&Smql&Z>$$VHg$NUA(^9V8o?5R3ej?R)eM?&Y(i!$C$m|DA$mI7C zOMPTwX%@h9CEvYvrT_g{|CX1l{#kgNeqFkxj-U%8>FZHxl%HYGctg4FPjh_g#W10# zJ4l{$ME$d-g}h&?1?|*aupHalXvY`nBJQ8o7(Xt|;vxPYy1oLej%V2ucXxMpcXxLS z?iwJ$Eog9ecZc8>2p-(w;O=lBxci>`@4avL?Y{lK>0fnK TN?m1mO(zPJm04n*# zgP`>QlnFYC+oGFc#>jV0^y-k_1>!`O8&Ksr{l845NFNwv*FG=f5Rk};{(dLTob)fj zkzUyYU`s1vg^2Qw1*dwie-zMi55IyklFOqIR)xAz}b$}De3KFzS?~XHL zm^dXqCbdG!)6G0N=F$(m3T?{*mjGHQCcLK>-A;GI&5y?BKnta4Xj;W+XjjFhn)i%a zSZFq8LtDiqNrViP$^FPJtd;l>raiJ7J~`p^6WGil@sqPw6}0z9I*iYmHr|CR^*w4iKy;8RY z+U!KzQ9Q#Z_Y+zfNu0P@>)JM?pigNVGQ@kV*33n)7J|Sg9}-4Z2oV(*EWeyBic7*5 zy#h&xpw2OF0r7|%(7T4QlP`5XMHq7_z2sO=mAQAJeuC++Kt;Ns8)_0V7Bj|ren%T$ z^#l;t!=gx)hiUXhEB<>sdH?2ba*@1~WD>fGCk)VA_Q zzj6hBTJ@2^bFC|q%f7)q!{wHnCK{Fran&IIMVV)V&#hTkOmg~s?BMg};-RCp3-zer zWK){#a$>*JzR?+i$#I zGS@7T;10FmIf;?Dm!tDGtM9wrx3O@JYhKp;+0m^VT`Kb5m)P>taAdld&qO8$F3l&I z;C>SP{=u|Fg0S=Pm6>1Djjmi97853D~~XxSPw|&@b_JtfnCz8@^U9vC8U{FJqVUSx*QW zAR-P(;OdA#7ag03Aax`%(c`n5$K!SztN`a(l^9ZmODYbAS@udoH5f468%@V?1z6&W z>St89Ecm%#5RD;Tms6&&1hh{U+^pRsp)n7CCR=4`S3w<3QYnNEDAuH+KG}{bC|{<(`(cbd;CjCyWczL@suckCF0MU?3JF{+rv0bJze7d9ao zq;lARswTI*bN$^71Ej7YHU8oKLL($c3)`5&UVE~Nd}T${SrqGtmOREohF$_cq#szp z{p2DgHT+tVGNkbSB31>uR8IHgxJF-TyQ~;2)MhRdrk}}(`JUV3HyQoDz<^Y7L z5()Hae*01!jdPL1(_wp6>j26+&GIZUL@!+vFe~te5ZV??cN%O+5N=Eh?y@V?!s^>L zG?A|o6eypOWazfNz!jQbZ#Wv-h(yLrg_ zhp*v3mm+OwgiXFy(vH;!;(n@syz}q$OZzE}lN--V9jC`d?5Ge85k7l01INHfhnh;A z8E%}G!Np>=%wnbY=VVZzlf}x*;JA|dQ=u1VkW=iJQvbS&p9a-ovg>A|aQRMpPpA| z#tI(Ga2wk?-tTNY247ULRj&F)SF1WmQ>%C=QwvB&LVglPQWqgD9#Cox5Na+-9V*@7 zV?iGNdWEeR5nEsK4T;mjs>rKtJclLCC6oMg}|`!$p-_ z3nUYZUm-~!O;Xb3`8`E=L=5sk5a-7iJkkp^l6L-43rXW=+QPOiuyRD5ZDa+TZ7c^R zbcor;NQharb}B%6+~Hn1KI+zlEv_+@FudN6csX4QJ1MsVug{b)sg$>dT1t4RG+NMiody6z4Sw%*j!aI)t#5M-sZqI$8T z2J1XttGxxfUXvBX2EcxiLb*T(tCT|zBbrQywG@=@pZx*LZpHT{fu^C_QMo8yS*89B z-pb>url}#^P7$Kr&`ewpaX6k#DQr*n+TGEXY;&Pk$%Sj_gN|th3?h2=lcy}?<^=3v zLm@cpHsm)*Pf3IXqGU5Xe)qCtG-Ek6$ZwL6#H!#xH4`@I6wH8Gtb{m>DPAC3mNUf8 zr=lFKTW*%Mo+o_IOe$4C(a1O93sQ3{pQUBLUD1I{*PE+XJPRvTz1&QBUcl9y^MrTI zy|SBJ1s@6Lk=N^$MjMxgGM(Jv)%B8%ibfCU8e9VBRfakLIY@MIi(w8&U9oB}P~;e2 ziqtv0FxLBvpav=QX@KIPr8|@*SA{OxB_d6lUuwLFsL1LHai;XfzD9qxc~QckrKqwg zwDr`m6@hH^B=r94U@4_)4GuGLi+xK3DlONHPIOwFK{F^O#6+HjnI*(k&jukw& zm^DRbV;Rhd()zZ8FY>*5P6?XfPhY(WTc~^H`agYS_2lrA(He-lKM0h~CJ953Q5rU5 z#jPRM`lw(m6YP>%VX{>@UMSRR0_9-xgm`V}?fKXSO(-;e2hqQCBoUw}ssA>^G2$cI zW~THam7f&nY+$!wF%J8D+&8G0arVGteX@_y8N}me+mTFAq-axSz`P45hTN>pDB@2N zizY%r5`wJ4Ofuly%wq%=VLUEdWKlm$U_2p3R!47MUnrxe0phXJ0C=A(Y}1$~t3OvQzjC`b zqrAap%}KPp;k0 z!<1NAIZVidur{_D3*?~AkE|kig8osr3HHls~WZ0Qu#P?PCm|o zD-Ij5maJ7x+7Os1pPxlKuy$^j*5_K}D*KF1`t4N6*2DFjp^=626$jzb(Q`6+yG6~3 z8n-rtg>z7v-wD z!1pOpt1(g&v}hEmn{w0b#E1(@(xK@wC~$!5F<~zymt6jtZ_I#|%O8gojXez{B{}KzSt5^Sg!5xzDpuo@kFmVFG^H(9XFqaZl1FEDk|XK44ie`JoGD)huz+ zcGq4oD0#PO3t`$t5Ui@Y6<6-ZePWO_S(xy^U zvK2*v>#&((b4q+f8ND2pC*n~0Q-MLiHiK_f2Y4;>=oDE->eb(G48?1H z+ClSmG-XBpywrQC(Q@k^0d7Qjz&g+Y(|XmhttX4{eGaUtOG=G>Mc)>nPkUusUk6=vSSw+{ zT>avnIkQ+Z{+%bth^9IB4@atLs#zHU;-qz9tfz5HVa)>6^e?;$ibc2YgLIJd$ZT`g z-htwD$clnlsCGA@vaS)}%xY|czSQtj(?Q6&2t4^vbwV;juKiW*ht?CttFDFO7R-@Z z#RymCd57mJ*gJzllN)6Wz0ux(hPuZwo7WNY4PG;Zy0@xI0!iw~j;|2(3FU*Bfl#hr z`g(~B+1OxlktX_}A^*+xX8ky=bnbLa?(-&vc8glA7PpXKvaL}Wso?Zt+~*}{IK*9s zPtHab!|`Uf!o`zvKEtCUQq8!E6Nm1bJFMXKL4zH%#<#6)76vaLBS06{PZzeG&vM5+ z?&>_2h>g;H#u@(eBYF@|it$PECtU9Ar+{L4tsiZ(OR@@`rf#`2Q%$2G@vJ3LqoFZ$MK^&6# zu{ApD;GF1~GFDS`YzkhDw6`ICOb=UlJUvObMtw!B}%;EOo{q6c_)SpDg8)wX0 z^qb+kK3(_?Q%j3UZ+c$aFIG1`cOU*-x?7*XFa4$x)EmRgl zm+kH7J=4rqzI^1zHN3!{*r1K-pMESc+n0wMG+>_C!l5BITo0>}ht=VRMqq6;SnHTG zhDI<5@P~GJ+HNMjbIWz%jUDNFcR&lFUuX*LB4$X zsvX8|9ApxoSi9z%6)?PYbsF6N;~Lsh_QoB&J62|U%@%5hD_Im@_U57h^rqwCns@`4 zl5X(kfpJqw4egoGD7RTbbrucbZSt-$emi?3)x#J%+&!G((W~e~AQXgXyy9KcIPW3N+-e@ufA-Y51$qJvV5JVvrJGGO?fXP8mM>& z`n2G}T*IyX+1vydMdA*B{h8#=cee1!au9i8yW;vVzSae)=n}>do{1%@nXE^+h4O5r z=1)Z$cM%SPft!A}JoXu_T>cc+{>G#K^$hGhzJ^1!O4AdY0y^)lIvhTIm zr}(E9nH-l=rIl+5wO62Q@I+!X*NqUgn)ja1L9Ms%>Fp+wV|GcvZDL_iCgdRYp5sp( zuMEY5*c8K^#%Oel(lW3;<($)D*!AM}{Rjc%9A0mNM~x?|-!aS8&YP?q5{$lQXw2D; zV2-fjj=$6$e(~wMyyO>DgJ2jtlVhyz}$Oeq>Xg*1N7Zbjh zKUaIyDDBADFD?~1qGNCx)R^eeseAJj=yAAH6ujN7CHDEcgjMD-F&t1H@C#?v99EVk zj?^i9(-2{8<>aI1h^J}rN2{hX2bqCw^e0MAht0^3yO%Fde(Nlxc4?vm3G1tEYOnJm z{`RaY1n_byue<9m3B@K8qyf;s-ADn^LSGNkSr z9vGjQ$Qy-SpepHgUFxd4yVS!VVdJyEz9~lrTC^9v3f{WQdCSFyb)&@JmANDdhD&#& zU?QBjdlea{lz*y|EH;LZK1o&MO{^G%(v*?KCz58inwvPJBg7l%4ADA{zC=bh8@R%i zS|{JdL6?;xLTiwfvZ|dPC@M(qv?0vH-P|a3%O_| zTS13`CF-u0lO;?IeNIj|gu}XKUe6vn2)z_Q4e@-ViLJq1QnIG|Z z%?`EDG(Q_~j_rKwLV37=MXpmr`UTDcv#%F!e^&6?CKo;Gm_^>dwdEr>_gTFoEl3a= z9;#|GH}@UH5g*G^$Zz@o;#p5vj-%ayBhCV>&SWhvms=z8(9nA15{AT%0-b$0V}H)@ zw$HTv1a0-(r_FuP&lvMygocj>#u|i4Nq!YPGea5ssBX;7>@-i+0f>$<@*-U`5}^x| zrvj_1`MN~!Cvw4oka+j}fEU=fC-9dzsUy$j#yG=8(>(qXAfdOMGTNl~NT{tuHKMvE zgEl3dyRoO${Kgg=i`_<7!7dw+_ukG|k1p_wcHo3qu6OCB9O>3Y{2K7(?#kit{q^gv zf&rmlFZLYl*{?f2;?=%C=v}`D`8aOn1e3ox?Hha0WY-jqMNPmgd;lAfa)W!s()F;J z7@&hfR=#4qD9DuI$)k1+%&>&DvU3fo&8Ah{XLnps;>_gV+?z*4X8*+Ce1TE?G@!dn zr^t?MnI_!yP~~?C-u(7iJpj$o7_nw*BCU#*fe%;m0c-BS#pR={<50ra2l!4Bt-7bK z?i+|Rh&sz*C)TXQhsK&E6Pzp)Z3SuC*Wt~$vw z_eV3_EtjT+0>&|b5zRIeAz0iGE$*SeuP2gRun(~!92d0MF`u9naZ7-LsArGOk!zC- zx){2r;57PuLL6Sr=K~}v105eO`%>9r5J4D966@2$pu*P-$Qi@hYqb$(Mjir3vU<5323nh9Da>T`5_Iz?x?KDD65Bi$m?6R(rnkqx zDvHYPJIyd!n+Kjh3UJH2Bt5EXVmCayEuBr*?;0Dcv~)yfIzneczJW^%^WL_}J|$Pi zdtyA*K+#KQG?;&hzes=C8;_4ir8=WmZSAlr`}FgT?e3VBkMmzl{AxdcO6c%uQ|x?^S&qsmr-rqWHGq5*Q{l@`+7#2D=WnMT{v`(| ze>6s~0rLqy)J|rAeAjskm3@O(V#4Ltpq6rEHZ`bci17ZN2}eF#&94!b?$hMReO!WI zY>1T!R5$xV?t$rHV}ce!w!5APS1HWx+80V!O;`6Kf+~{GoLs{c5iOz$%c%#dH-_g?L66(vWV z{&Lv-2-EG!0ibBLbbxsXn!CP&ySecaF3iC8GQ=584}>T43_>+T2y+yLj{P=WJxNSx zg|C777VT1fJN67BRAYKC#~ zZU|&BgC&RJ?8H9xPb!5>!gML6u%V5&1!`#|SYvY`%JivY7zOn<2nfDq1(WE^snlZG zlpPLn$F=;RS5_j0@|nP(%2%P*fk5Bz2xx#I#{ZoKe{poUSG;N2z=lXEm z;TDqR;m4SFa}9SC445V9;1F=cHw52hy2HtnQs5fkBp>Gr`O8GrC&3ohCFMsa82g}4 zsrAKG*seZbeTaXxmLYZ$u1ogh)fcF#J=tv`k%*AplIYzkI!`gqS?w^xdjX7*gO0%A zSZe+S#NSnXU#(G7^A4-Tjdhh;LBXGGe2aPYl1`bAf2=OxJlA)Ym`4|)i&^!OGzCkTy5t~4U&Pt4Sv$mLi@+FF!=)h*QcjMfh2&$sv(}4!2*!>K zVEglX#T3aXq!64e8Gb_A=SOsh?H5e}gq%l?a>~7pL&P}6`Dji0n5(!2cUn}FPmNS> zT86|caxz>~*c4s%Acx>w?@Y_Z!_xXk`Lp&AV#;95)9T0B@~7`$kUYa7ViEyvzrCuO zlKrBr_BtXWYDd4RmF!8PAq=-r!}aYw-hGB6!D~atTZSZL?pO<|;R5ru0j-3K5cfce z{R%yCqb0i4M;4}vYW{X>O5-o!f;4j|Jo7A^K^#oSblmm+2`v{!TJ*a*MxQTYr>QC#4d{GdI>pA zYvp~NGeO=##6Ntqj*v2{SL8d&pY&-d`!K)N^ug^EpynMujs;N*O}0VBB%s8gRTY$$ zj--~6k7nFRZ3)z)sDd-ssJOeb&3A71O(h$0!_n3 z7JR9o^IB~p*Wjo5UhX{$*3=6YlYxc0AcVLm{ikORV3-?H;MhGy21gXNG}bNhP2}P8 za5On(X`PsYX3VE1B3<^(3<=aKB__MDIl(CAEUOJQ<4N9jQe8~WF=IG4{J6$aSH9w5 z(!H`jzL*8M#H|~F9v_wDDq}~S5owbi5sRGiV8#gMbM|b%4p2-w_i)>`UCJPP16UX3 zsuw>T6XkHeQSffQY@OZloxoiCbb_U$3WIH40<>-LJk&)8OclL0S2bQl=N()|;&jc! z)e8R9xqZQMWIzigX`*rzW|IfrO1WS;@)0HJY6-7c?)C_$$Ote{L=M1;osnU;Y7qux z>P=0c&EBqHevRyZ-)tQWpcm73utYv9`gkcCeLGurFiT$5kD&CIqP{8CncE^dXdkgl zEBZ`QmO_~+MUR8HBDoar!%Z5L{S>GHLA#s&P>KHbq}lzwi?aHJ^T342zpyo!ULTe^ zX-+({#7&KYKZ+pJ4`uA6AoDQ*GukW(1s^;_B&{3_uSfKPDV2PTl3r@18-5nq$RB(Q z7#_F@d&^Sb{EnBvr_8S^Y$FtK54dQ^sZm_9H!1jRvdN#0XUDBun?$gKqOCt#IHu!f z%imHq3yDf>^%(+34K{jXHt$=`4^8e{%rB&2**ce+*@(j{UrRK zfU28!+iS|BH|C6X=;l0*M(d*gO6Ar?JdFt2_@6=%wA(?_-9r<+;b`Qu%h>=`qPih5 zyCD%}#*brlc>3OLXJVKg%B{opQb9+qhS#4sS|V~rp%ScATyR|p6k-{k3KeNt zsP-C)e_kbNA^hTRBo8Yig7ZY(dX6_$}8!&oeZdIfT_`F7K7f zmTWju_nK;yrfNmgdi> z1N|Q1#G|`Np=r3$b9|h$JYdTPXIHCl*-~EO+8HSKt!$}vy58n~cam{9E?mp9a zMFhaOX5(Y^qEsgY_<037Nz-A!T`p>9eqmGcH)rhi5+_a`vVzuIDH?BS(@GIlX35?XWFArQ*-k{Zk{cDv42#{ z6Zd|Kk~p8qAJ**>qx5U_daw`Iu26^jwBhdF74d(cR#s^;7UFIHLKYx(V62l-?8S)e zx~@tM1~cX=)MnGkIq9YB2YkAZ@ioq9nC9ARt1_v@H2>*HpSzYe*Zwc!U3loI2<#ka zvx0Z7qMn+?Vw;+6#z+$IGMLh+Z5;ZvS}i-(XfQR`oTrht`@}KDAylYn2i<*JwHv`X zC2@K&C=@-uL9ZH#JU`N8FeTY^YMw{CDt+^2p0~Tyl6aIUAUf;O7>RQuL_VroZ?m$T zeJw<8X6eszNMBo`PD0YB>V}g!5$)aDOzOXoj~%|1?JmOGoP6rRk&7wSrT{BK ze{9QQ@Xp%UX2zVMR6e@n9EO-xGqiUhOAW06F+Z4-l7wnJ2Dxh@M(S4Ly zdhrIVcE?Ov%`>hZ!@qN>`=u5Ty{g>Ob7!No%izlq4+(@q@S&LE#K8*l)o}f)lZid<3uqVKQjQ;dn{_>+@5f>3#JS-+T5p^3v~*9M zvBfuSx^hRZe4zg%H<572O}Zt!yrlTjP?vW^Am2M~6;s zt#-M?0#M)m-&tv#5Tz6S#F`YZkx|}MAMHxq)YZy)ol==p0<9|DN|n-jLn#*mq*1p4 z!nuY{D9J{|Fe;ODSxY{ur#T^t-{hHH+h|YqJsbYS_ny1s>lPOijT8j*pQI?Mqistp z(PLAXiV~BgxilIhWE5~_w=L0;Rj_Bbwf+YpSEpOa;Z^&ZBcB#kZfU2ZkS0@ZX(q3L z6IN(U2chAO8;qyjaJsET7AiWzJ_R6WcrS(F;)#l7}1JI5UL(Naqm> z4lD(YiNJ{^d31aPArlR0OFbTwy1%%UE4xp@IFU!umNTc9*f1Z&plz9~Dec6sZJDJh zy~(dV1X13sMh*R#uW0Dd454F)l^?NMA|aGDS;Y>7ad7lrrB^b)%2Q-GP{uzA%(a#oK?ox z#q!I|ilbb36G85h%pjlxHOb7%RvUgqeB}=mTH+2Pq*H?|r&L&-WdLjhlF8^hp-^V7 z5*ddd^{?9F%ynw^%}lr1sq8ILk$8To#;I%jIe-|RW!C(Vl3du_6h>6G_ zT7Bi6d4INiw2$laUr%W|IQA~w!8wj9`vRBJ@Ttzw# z4$RNOa-I$niHVt}4hpUzG|O2Ytz}#fw!t-asfQq$eyR6gnM4+*xSVT(WDML*S|*uH zY9&yq-jUow3G?&bNCp6EjgJF{yUzm>KRL_vS5S<)a{Y$b&=1fLlh}X2x0YEdO&8@U zKXUZa0INRQc6;zDshUr`+1(Bgf)x;BIas;1^leMLGdUh~^=06cv*2948SN~{!BUOt=LD$_ofVSWQd zc4waGd>~G_?RIr}IfEiAY$_^9x2RWWszi5&cukXSaw;gZZgNK1$bupkG}pzodF!AX zWy4w7PJHL!vJTF5u4c)F_vo(aaHCm%YCW0D+%EGr08}a31f#_|z6mb&NWQHt^%%YV zDpZvNejH4%2zifUwG`!$s%N9O^zbwlCc7GX(X^CICHtsieB+OJCn@H}xSQ5R$*t-qOF}UNCcreGK|WPs{{dZHjlcfdoxpZ|NMAn+AuR&#aqk z(GNJpavy_!jwyXgXs+Grbe!T7Lo)P}Q0&FD!5KH0;(E|l5OYxK6`2Fp!4(;qa5llV z>q4Uh66_6}g+G{FIwtn`jSfe1Kx-bW;0ltLM-wLktWd^)=Ka&4zFYLO>KK<|ca!Du1HtN?{laAj0`IA}%o9hDG;gQwr@u8S3g^MEBU^ z9fj>vOZkIJDT6FZc}VTL46R^pO4)TJEtb6@h9QlxQxml8s71>eYWV=Ek?~pFZ{V-T zfSM1{clAYt;SM3;MeKowTE;l{majh?5-f}|iB7BT_0m~}JF0{cf-tJ}5dsaeMzPy~ z9C@z#KLq%VZpBribD{s0Qu)glNX}Ald<&U0vse4tUMx&J3Fz;x)SvBfRA@frgege; zbSovX85Goy6dv_TcVxnwjqJ9xPwcBc>&dxBDiGq#H5bfn!l`&gZRW$EFPogk5p2)g}C}cm+P87_Q`Ij(iug=F? zL#OiG6{iM*#0#p&mNE@_iK9iK8jZC%_vQ!9xR}$%KmL_tEbr3eKN}pgW7Sc4;Yb8s z3C0cfThZDt#y?gc%onCi&=`RB9KsYPSU3PFRH zj;5A65Ls1Sa4P(0+Gs@g7%y98p5M50X6{&K&bDv+T(!|y`H%2lj6@w8==(7_?p|O| z%0yLs6}ZX=D712fQC-OL-Ty#lHed^Xp-Om?em@t1+xUjo@j@muARdzvf+r5k-iTs8 zKs+EP6oKxO;D{^44`)mag^C*723zTrBCj-R&dHuu(}+N79UY00oXet_>o;(sFZoG#6&Wo}T^ z4)7O6zqX`3d!o<%aW~#rI$n(29)97OUzk_<*J}N-*zvtzZuIu`x1X#Xft-| z8eJK4kGzyQ)!TUE5tVwTOsPxpyXTf!yYXAv6QZ`nhOZ7uI_?#=Ua75lRX7UG^N{=_ zhBZ_C5`bV31nAeW0=*sxwVDPDFQnS=}vS z$-!~oX|6ylAIypXm@B6Y>#Z<1>@}*5Ei1GEPL+V1n( z)C<}R3)-QJiCNVgdb~?~+>;jjUr@oHj5kJh+6n`&yNUip}W9A*`5TBR<=xX|W-w_ynv&Amlc zklMhnduR1QBkdU@?KLCqL*mNEIpf(L%l-L};PA$P(9J3ttT$XCrl!Bc8Lfv$^_9%u z15G(`LjZfc>iC{DW930(7bD81R&le11$X>RXAzn!WclEFpB;PK7ySugP#a)^*g+8c zRsY-fglvCrd5aTW&v}>C`MUFp1JJd)AwF)WDVp@KQj(G#Lw~@7&$+T(>5`nNX_d@g zIzU5-`MvKC4}Rut*HpHaJo~7|+z+xrC}nx}Z<=$LZBsh@j*n~j?+RTHkO9z0z;87v+o z>QLn0H>D~Fgffl;B_;J{pgx1AfIt|Q)E`y|Vt*3!hwU`ioAEjRfwBAjWpx>O4#YPQ zR%z{naNn4<^k9>vyc)fJt0K|0Myv&8EKXMULA;|waBe|3x%Rxotr_;y`{Ig)NejKB z#o~u{^b+%@XA^GEjik~KZNOiKbv)$)Lxb8nqk~%UueFu5+bn?rKt&IOUR^e=juD9R zr{Et7>D|+IMkHII+JEs}^DiEOa5HR7u}I0Td!IbnYNEsvUmY|HFi@zfDqXH*sHsZZ z?To6r%tc9ERNnc;#!!>p&+8zS#kyV5%%W--GXhd#(u8K$9a}ipA=JJ057JZ2`4vi) zx_kQxOM}quMy9O&LQ6k=xJws6uT!gFVAxg1d#Ab-4wBiNGJt z^Dm}XO0C9BZ~p%`EYyxF$|;s)h1tvrAskB$dI1u6j!I)80-5-**AJn;?0v0peOePRWbje8Zd%W(2H^{2{f<5e4Ef}VXOhi2x$a`K~kxbTcQu>J2X zdL57nvPn#3-`|b?y5D-v2PtFJmn(V8mPYhC!y06C&Zk)GYr@HW-mFn)t~d6-__wrY zR>{9O^Ht`*75}GzQq|vX=hEt9v_j7Ahh`~f%U3mx;K7`^3_le&tn&ReX;@cy9q_5wBIoC0~@Du5sZAA8-`lmR+~iuYE+vs6y6K|9DwbDZJw5!y+>ZR8|b zQI%T)Pg9sE`Kj-!W1jlDJKXejH!?{7BrBH1KJ|(GbOZI|2~>{|I>6AYX}U6djs5#B*+Vhz$pUkJ^3kCtd0yHhZ+8hcWe5sb&X> zH20UPidcGTE#|+q%XIqTk`YDIG4BoVUpcRgY&90C7}6FS$jy=zF> zGf>5NalP?(e!tY%75-$SXuAyXDf77X+2p_SLP}>YFACvqiw%bMZlCt{l7%Ur%NHVFZsMT%d*l-Av??jGa~NF4D=7du4A)bY95{TXRz(1gS-Z>%NH*#grTM<>Bz>BbUR8PK zN_P=?d829p+|rU-?c8AvjisuoAkeLb7J;!_xAnXQbsE2e6T0N#(=v>t!se#$HPkU_ zYfPH)k`qS)R0J5bp>Xb?4o`4Pv#T$r((siuPin#x_} zu-sUJrgCKE(YXnL!MG712ke0x`_2(UrklE<_HA@pRY}n}gFIIZfA=R)2MPww(j5NI z(rSq@`WCfnMKStT-#}KD{5$bV$-LB{-Ct0*wvOWJ!sxqCILXf zkf3u0)jbQRT15r-TLQq`Cg@+uYRaxfmhoc3CUbQjCCzggv|o-fu~k-cWxgB2Z_oe^ z#0mqs-=N<X&(~hgDjuydbG*(2W zFey+`%jW**0`+!7Vyn3=&{;n9fXoSUQ9)XOJ*H*LRiN%)2AY8r9$mY9N!e5vkVQWL zSxZ@efK>mWR;{=5o(kbF@m01Q9uLXXC=iCMpO!YSuN~Z;&^5i|YS3Ai zfO;nFc!a_z$EJpcwxfHB-IxKf)yXwTS;y;wdKrr|=$z&{Izc8-s4Ds^H3qfd;MjkP zG>heG;y8}xL2Z@V2&x?YB<`m%5Ca-cL6%PfneQK64AhEGOMGL0!bH18Xt?hjKc2jw zP5qsQMW6F3%8mKwd3F}Wv6Ry<#4$pHaubE=E*$J3CPq# zjQyP@EpaL8#6ygCVH$b>V3Ap4aW4>5_6&lsNC_1e#=pA>3FPLhB`2UfC&AMiMwkXAUu{@`2O*P||hMK1q-H-)4!M}5gbPKXd*OZfL@V2&yQ zigVoe9f~_6p#TOGW1j3u895S5%_kVnBk2SQC` zClpN6QYDL0IFhNL>qgoi&# z^P_~7Q`5gTh}A=@epEXvsf5BF0-(YKlWfbQK#GH$@~9xOq+NKy`TWrj&p@aX8X$}o zxDGO0#H85b;Bg|kIUZpg9$elwdR$OJ+vaWEg zy~iUlaPSnxBbKqL1zAQ^GOOz?T-`5rv`BSZkxLqEDIPNe3F-Do zg3-CqAUU!ZxemQ^b~NPFFOjoX7?9+4c*RSXlz4FZ)5@798tIV3Zy{5rFe79ggF>Ly z+7ljy&E2vel!6;VM)F_cZ@vPg1e+)L^DdSEXRUUoHAl}dJa@0z!y^70&gCz_ubqB* z?-@UfIfeXCR#T^_()4|Dzj50P47PxH+wj5o+pQ{}f9+PcNm+v@`QU=s+BE2^ zMB5^ZUvt@_Wx&b)CFh6un-(oUdfB!N&^y5FOow=HF*kZyU!AOnt`xKbyVvU49$vVX z$k98`NXu_CaPLnqPT!9iZyulSntQrT$~@2GduCqduwbd##MORa4(J4u);#>q6N-iS zmWW=!$^mgJEfd&10yl$;9~5$QbIlhZa(_Wu4*k>NcLFPR*v-Ao3ALab4dnshbXria z;kjlZd~@CZBJC}s;)=QV(P0<{cN^TTMT@&jixyg>NC$`F?sNusFGY(Ls2~HhSaGMg z6)45MKykNw+V}naWv#o`{czVhlZ247_fE2Ra?W#hlJ~0N5r>r;L69%MrqtDgNfRe0 z4inqorXS9&OFv#&Dt=~_iL1buA^{W5Jlt7MfTVgJrnSq80dWy^1cdZOTJdtmiA zh$r!=DhLH4;rCzVjUVsJ&$*i)_AzrN#Pw}O_~42Wx%9|#pr;Jtxe#$&1lne>2i!>U zpg86hcTzC-$OAJ6^R7iJn@emH>k^$su`z`%aZs$4U}ZQ~lAB#dYMY)X0cXF6p(ezwo#H3_<%r;kf!1 zoLK!4p64G`E;|P?TffbK?!3%1PlhX}5cBW3Art$VWrA8FN8-&aSy_Y0a}G-lg1!aP z7L6m!`$kOent%nSV|wQ63n44x%;NqyyJ75djztcj-CA~#qmlI=1e=|Tw;DY`K^VE? z>Zxsqot3sjIg>xj&$^Mm0fkJr{ZOZ-xGxL>16RA5 zyh=y=La%VtH%yIH!W0W?BAuqi*QV#^l7TTZv}mqv8=Ag`4aaZ!rdi55!$z%%q;dqY ztU306*U|5Uycp+I-f6(6mf(8Qw#V`5`=RGm@GUes=t_#5@jx^3(buKYtx)3&vNTY& z07CO3O7vGn-NbV5UT{OM?7h;lTsu;jPe&}0jLZ-lj8WSn$FylTmwfxO8}=-gDpUfA z;seHvu4~{!y9wWUB>au=id94vKA}^+OQ$JK&rM^XhQcw(_)}t@?4P<$%;;3g4#;vO zJMS1p`F_K7<@JfqZzL3F=kWl}*$U)=;tbU%QH3)G28e%u>%G_A4bGzSTo5u|Hu-gq z3?T>HPM-*tMGlFu!6+ceX>Y+$i1mjusXu08P4{0QqWGPh5a?^P^n_vdR^9F`SEhDL zFJDt>hKd7v__(glhsmS6FK>tUujdSoz~l<99crUc{L207V_RyoZz4@jojL-~U=Jd2 z6uWiIKfoT~l^z{$)*VY~rqDlF)MLhaRFxwSQb>^%DrgUqUgS%iUlRF>-C)+Ljhno4 zd-J;F>DM$%!$Gu`FPXGU4!Qlvxn6NChA5u6Wb?Ivr~*F$QmMy8zRPu&0&O~XO6ZH{ z@jtwMs15D<1ahviCnIzmm)7|t>Aw5%3Hl z-8=VoZac5R^;U&q2=i=VxU45&T$QGv4S1-ODlY0xD)z6@jeLk9 z`i6oKocHKb$Ym{#*I?-D;)axvYb7kAoH$T>|KA9aFxc0#lN5%iT*L+~nvsB7npr`d z{SXjaCMchk6qIHKMx+e##DU=J8XzyqO7`;pWI}&w=grEO+M=@NR#N<)k7A}@Ou+7N zQ^#ZvhL@ppe;_c1B=b@db2%hg9dZS{)r#%?3qP+q#g; zNq7fcXh?u%j9WayU>W5&P^E|QUW~=qAl)pG&Sa>C@Mtd_4^;jR1Q*l-?W-wDpvE!? z93@K7X_B_v^x+a-JKy$mRbQaLC#=ZEXfkfQ#t1wp%yJ_UyWjc(BWIA!7AwnYYX?7h zow>+uy_NpxBsgthx5~DV1rUM!HADP4#GK#%yEs#_;t6D3yCpfwFym7y}j5W51^lYxl>TR-pz8Z)V`iwj9)rQ%1 z?kHy(<}WFh`r--5HF1mo-4d0QXo7 z-jRe5Z>}e{g2_eUYi|Yh`aZ`p%|xWQ2&nrBR$BBbmCzbnpOHIqrc3X-7*bmL$(voPW_~mf!QaomeP@>pD@F(k66R0Up}8xa z-Q{}}+1%XPc1P2%CoNBo~$wS=xR9myOS2<*i?3<$laBn2(DRn>pC{v zr14IBf-lL0Aukeq=|Lg@nz3O>6BFVyqwbx8ucsVl2>u}GB77y5cRJ(IYinPX>C z3_nuGEN^f96p+A>k)SU@aYR`73x&%FkQJrtUXV`Vol%zxCi|$XSG{4k%}Td;xEEm+ z^Ui{^R$-xyDmO@2V!+As$7Ek^YQfBdYGWT|w^S1x7HiJ*bFJyP|GC~1J&RabwBG4d zi7zm$tv=Swjc#)O88JIuLuJERJ6?vaL2qntYxZ7EA!6lt#)dWfnYBgdl#XNgjFJf1 z+%p1WCN3_+)Pf5s72F01y+O9mHS;DC^_lLjDmpl4R-7s>k2Zzd2kXcP$Mt(Pp`qD$ z(06!v-806+HXP^WXH;`LS~V&0Eo1A9k_+j(5z|W%1~^{!oVAL#2kxn^F)LP_`rhIS zVGm}7W7!Ny%cz%mr;P@?w)9_f&t}1`I*iU}la)z#IW4m_gnKiu(8ZsBAscW_fLn0> z_`S@@9$^vAI<)s7HTxdZb|KO6JWHyU%F$EZxqddHh$n-)d!CK1&$4lJRAj$ zVgzgSo^dKx@p(ju23*yW4G5aWQpW^+%gz<^4lfZ4ePd~17_+Bnd*N+ndWfZ~ak9bK z3mVHrRJ#iL$COwf&3KDt3v{nN^5YJVESkh?dh;IJ!ip_qJLZcY3m2__;lpqH;%4vq z&8cT4-B$7`)2oHov!HG>__>`jRf5};sUqd82&U&>T?1R={ln@itxI+5Ukwn~5p9`@ zo;x#*eslg~Dq3pT8DY_o+c^|10^14GgleLSC2l+01-ZK)Cze;#6z9YXs_yfCU8heH zPw`I)M>S4ESZd3#o)@?u6@KBHfcZ(`u_3!(3`=l3T@ee$`eSshKDMp;se=DKt=m0} zs(i<$w-GqKBRF9rdPE?LR-gM=$V=xxUnTlfD9^e`L+kX6B5IrY{8IC z*eLV>)~{bS^aJMTfLEJu3&iq#l>#&Ts2(^jB0m`*fd>#^X4Oq|gMSo;(m*=;tbvjs z>@fHE_K24N+0S;7KOO;Dw*b>BVW@E!mZmjPK`B1T52yI{+8%h#{vhv*CB#chRJp)R zS7^cc2vCS7BGAYZ)z5vOZGl2_dSHR_YN?pbentXp!y+?V*H(a$z~edxtnE1{#%QT+ z6CIIlhw!IjlO34-&l{eE$XGe$urM?mZx18mp-!6#(m8vpBDzIrY?}RQ<4qZ!HIyA6 zJM^()2Yfu~U^HS5&%pIYEQF@T!)D9}lablegd z1QcAr;{!GD_&_w#UKqXI=K5r>gZ2r{a~M{nK!zg;h&>wu(tC&ow~QIylqFXxKgNv( zMEX#JQ@vsCM$_>y9S_i>i)A2B)C=I*RxbeX%(Ar&90{N-_{$#UC0|9O@db?`xWi8! z5N!yU^Md4xfSPmlSh*eQ0X533?tu@40lm!K^Ty$?YTIzt(rNJkGSYS*O=-FVZ2`;& z-b?{N+3yKwXx>1~96MD8}T4YGK7k_fEdh-O9RxQ`1;&Jns!0N%uhLh)?_47;RVQH zs4=DiBHm;HLMuytu>dIS{T1%-UH==X0-6}8U68H!7#Q;gP^0*Ec6z+#*A)UYKl#@H z(wa7xi)5krTk6E2<>y{Q3-41hdf?OG>}~=cS4ZR=MZE)(kLTbkMvLDaHaj9y{i9}x1~A4T`BrW8pR6r)(jcco7qkBFIyQjS@n;CJ;sHhoyc!Ro zybVC@#9Po;-GIy(jaXYOAVUx~tl6#Z-?#)wjsrC`{)C2JwF-0g04s z1>Wh&PfE0+0L4T~01|gitRsxuqW;<)pr!h4HdStx09)aa;fr_Yyb6G#gahNhg=mHy zy39@3ae8A9Ji7+we1%W}p1>1`548FSiaoAb2&S4 z;!3v#NN4xfcFx8DT+@Jw7tm{<833|fTfmr@DliiP)Ibp^rBYY|=qxNc1DKL5SuIBX zsdA4FY|DxZIwuuBG@*a^j=G8|j^R+$0)>H9Pb0yQ5d8`0b(Vh?C-(INnp?5bKa1-^ zv&C1sNwj9fivk7~fa5X_AT~eAhj$S{^br(5<&@>x-U58f4DGT;ub7nzwahG0@{DmN zz&wVVB*zKV?m`$4IL6z~6reB%G&c+&qZ~E*3`*?(lhJ%0r~n}I_3&;X2EoO@!Cg}d z=6?@p)K~L9fOPiYQ7adeW;BW!I=8fw)%=+4zlbL4a<~e^*?6e}qvcE6G{wcnB}WDb zfHD7?+h0_3OY}d8rUzihiUDXFIAr_=`E%@z4uyQ0Ctssm{Ma5UW+MMH-~F{bsV~bS zoj*;{AgcK^AIJg0$+wvE6G3n^R`^K|(4YUAoFBsAPVFEE+}cBRBrOTH)L;D`0op_X z8>rUQZ|qkQQ-Jz`Xl|4NGwV44*nk0IFz~~&zhg;T-CGF4h423` zxBub_9=O05BmNR7iUnxmb4K4wV2V#N_W}B>W1j^By%4CY2S6>G&J*Y{HOyE7V6MXf z=DLV&IB}OJ%FaT9KS%c)f8@9dIkdwZ518)|faSGKJ^{^S6dqq{V?5ot>9B}zhyDg6 zCe?n6?u^C))c02oAQoD7cTfCZ1CWwj4OsYw1x#<`A?3I+^YB9aiv!YO{ywo;2~?QO0Z%5t^tR{v${u)D;7qS{P60Z_D5m@i?kr$Y zdfs0MQ9w*;RAvK^kJbfvXIg~+o!@H!gZcGt@Lwm}aLFVFWePUUPSh0}z5|#!($5jt z3IZi`39h?+0hI1| zHmLZn4V(wPvu+2-`xkg3ZupTH zVf2x5;UsbBk`c8i(o^aqq?$@Ve!iM$M+Yy!HrW&t>sPAeWCAVZ9y}I-yJIcn=}f#l zzpuo8(_m`l&^#bc$Hr5#?nZKng^g!Fry&*GhVFrrr)=nC=nj4;ZwGUB#IYIFcB(i^Ec`8CwNS}GNu!QXiK z-gN2-0Qdi0b>~^hMH<9H@EZ4uhP~C|2$y}I-!Ha5IHW**u%7`g{`nb_Z-3&$W&JM( zI}I;N`WB)r7umPyCK%r-i;5Hmn(gncc!dJpD-v9m*k3KF2=S+}H!NCKRUoV4VQ`-9 zfBOT-KVEWRxg&0rOg0mySvh7|EFPJLr8(Qu);l4Ge7@IM5u|M{(9V37+rE=QK2B%n zw0$uwzytR74wji9R#p$dq~d!|#l7cySL5R+sbd$3vnN+DZ}Nc>;!cIzFaL)i)i_k% z?7S}H#NJNe=hJxk#VYU#Gm{*j2KdB+Q%-E~CVXY)^O|vB& ztOg?mPElF$H`mBmS>t&bzq|54D2ugB0=-#TjX`DtSFe{bIID&3jNwYmtML9f28fg58sHOAxA^N*cD#QlktSmy~?Hv=< z!%M+;B*VU7@x+Wco>9Rsx_tp)R!d{COBrMc$=(Ns(!FB*!#9ho7`N@I-OTp6TffIiywAQYRp56%cC*~?BMUQ7?$xXBV#@gQ z0d~o%=J)jTnz!Twyt$|5GYP|HUwE*jJ?9tScYY74+Q2Q)%t5hcfg0t_|cW4v-;Vu zB}vQ_aAH`tPkYh&hUrpNqXUN2vV*#JIcPIB_e&egXswmc4?()Rbj49qsK;B8HabDU z(Rl&-*9bEDM_)s`-gb(*<8`OU4YSOp%)6<${+tk^$$<$Eond~Ohk<+d=Fcz2f~FYe z4FX$?;Lm-dn>P%KF^*EF0$1ON?6UM#BGi%e540~|k@eB{*$demY*MjK%wrRHh2`gj zPKwjYpLj`BEW3MdNF`{VvzLD!dZRmp8X6y&W1;%>}0#B3B;JfSr4wzBl7N$TIyS(gF7D4$rrMvkKs?KP@* zeS$K{w%1DUI4(4bthflbj{(rODRv_rh`!`*Gyu^F#;jN0N;oI<2=uEao zWo$-|J=XsYtO32ypqTg<{h0d~rLS4gn@Otr`I{Alb5{OQNHd6F`u_Plmgdeak<*XN zAJ_Q*%^@OR6hQmA_WT8Qq+P1Pky4s!x6uQ_qiR`jcd`s&3`-C_nd+4k=diAyPEN-W zqsvDnMwcEXxL#IIiBg*57=hmqdf%z=$x()F2(ffn`*UzWnG&(5?d#w~h5SiP!6nPOrqtquV7q&*a zO;CVNLrfxoByd$y>w+AG5Hme3@1KJ*Kv=Ex$~B!m^Uu?1@I_<&n5%vfcMW{Efs!U=QS`Z++LfPg_#v@qMg7AM6`#D7KFJ&ZEBoxp2@ze zk@aGCA-L}?nTScgay>%^O7JNZvWLG))!tK9n+z!UX|*X2{3mUS-O8todZ*h7p`;l< zW#X2AmX!bHH!V6N+Ug9xaTsLReBU`qTBruPyWJNJ4}*;B-Fkpn;R+1H;O!nsg-_aq zh^`UHzImP$F-cXL$t^s+nvkc3b(wL66Z-d+86JfY0M`L+#tK~C2%v4Ql&F2JH6NHI{nW~IlvLWyA?0k^!f;3ql=9ptmY&R*6-!#DFi;VF%!JWqVZpHx%)usE9}neGrBE2uXI$&5?2elyk^c z_*eMnWnPnGZzfs4f^g(PbpD7hMidgBbSy!N-k!fa#qi4f#Z7^#uQ48ad(t|aAT*@V z(1f2zC`=iQj#gvHNYoZN`y9p$p9b-lXXBX3EQ*z(@;6q?;B#lA4q*nIRS zZ~FN5#7}vB7>#qaS4LWBx;BxCsj9f`dR6z=uYB9EMzZ)h*)!vU9z5n}dGcY6LQy&` zP;3W&oNhQ#0U18?vj+LF7~v?L0VuYg9HthSbq$S92s-8Xi1N|v|8>zkdQF3~Btq8a#EF=5k|-!N^1Sta z_r+hA&&+Ebae`e-NSd<=5omr>XvA@{RdsFoI>4mmm-c-eJ*h_yw2QVBO`z9a4n{{! zWD^4oGcQ7lF2V@ZWWH`5uC^S0SkjqRV#>ym5E=_~>MSHPZ#Ws&O$g*FN@jVvYq!?- zR*_mb-ZXNS%p8Z-VCITtnGxrl<|V?VzfS%&L#!WiHA5cAFlcr^Ov zGbo(Si03eovJPB)+^;=7b1sAqt$yP5^a-Y{SIXr|L_+l>lL65=iC89tK8q1^BT|$p zgtRA<{~WsHRyQWJ#ilqc5D5F~E5k43d9s1--A=bQ{L_37CVZ$Tuq=6ZXf#_Q@7g#Fk#D24P_yT296sO*a66^~T-{V_ zHOo!34-V5+vR)tEPOnb-3bwBd>4U?aw^HXGMW-xQu<76u8sHG6pWnRD+S_-4ro6_WvOT0=hz zqYDsn%&jtO;>wBNS+u;dhUovet6l;d5CJDVvcCAOg&V6NZ?WXZg4z-u&jsGBx;D_sM2~=)12>B$FT=mE%fC20UpA9ta8@~mqem>B1}*xN zCNTy7ZfQNXL|wh!yLWpDQ*OEIs_V{ zkWHUrBLj@Ffb1+kk|8d?c`gnyP7=Z@%7P%JLi?qT_0wQj&5-(M>oC?hia_rn3a8iRlxbIFk**tw(U0q%he7Hv9QGfXC{3(T5`I&c{_c}AIT2vZ_W0o z;B~HSYvGF{RkH<^<8kSK?o=n`Y|!QUjP@#wkZwDSf~NwZqqLdwGr?C$)0V+{+9zXz zrVvLR294iKl@oUi(+mc!`^j3zQqFHjDyLW1`3`1}509_!&fbLL_?cg~$i8MbpZmJ( zL=(~ZzQZ-+8H^wmr_)?_8UNMsaWVP1 zsv&5G9{#;PUx#XaB)w(b{zeh0O{5d($Km6TSGM$ECXj@44hJl3+CJtX+M6rI4@Hpoc#d2>ZZ7;}xTBDWW+}_m7)0Wc z9d?XnQQ&HMzh!g=6(ck8ifl2{?^n$bGK=*><*AK?uy2s$Zdd@kq&cloU z*R8aT2+dOG;}UF$D$qa^!zmQg8%_EBaN8f?h%;GRHZi9 zQ%g;v=nv-EbZ^iLyWofI?Ac=chub;Ys5eQGqINziph|XW_a#do=Vh(F_2Yrdoy562 zw-$tEROj2ukL;mSjuSQK$D7lMdgD(?FYz|kxTX_#-0nffl9z47F0lGcnNYM)!K?b* z)?l{O@s_V$pwqAiCpv z*_n(`&-~9B1fDb#NM0+6(OeD8#`JADQ9-&KN-K#BvJ3(n)Fdx|C>w~g4+6pfoXr?X zL1b271Te+uBw>SafDbhC>`VCWVLqdbbmu4Jvrvs*>7Zhx_JkDAs;z^}_Y<*B9TPIf zQD|;_ur2DAps;C#-zo!o{xvCR(d}N0CCF26(T$o@hpO)CuDjIHFlCC}7jF3s(<3xD)x{1x9GzXHdvp)65&)dfcOR z=`YV=1lA!!@jr!?4qz}ryISCIHdB673h&HJTN{O5dmGfG-7L`H=uPv9X2+99is!e| zzEQ)ULK4=rFFr(0Tt;Al+%rLpZ!a_CAdKBZAl+Yh|Baf^cvCHk_mDoU)=fsqyAR-n zoU{pGWayi!jz|pJ1ZHICQ&v~87zjaend6TO)y3C=#2l+xVpj2O0iM;%Ntj~xWkb3V z&Rm@<4y&Xc;er`>A?_WXukP{vJ<&dr}_ zJwjTH{M5N}aMy*!(4`w)K8#De5L?XS2eO;Bye>Dy^jJqX<_yn@=&=ypXp)h<)+0_T zmEUa%r8w3LFb;U7P1=n&L&^RmoZ?@yXY4;&_JDvfHhya6oZ3lYXL>aBTJkRrJxQ!x zA`m8VXNz`et2$t$eJ&U;c0aj(^e*dNp_2wod5cLqGvdGkTT*@IZft1X!;0CR=2dkZ zJMN|Cj*WmdP5{$XW}oH9;*$i62O7b)LjxYPS5LM)nOOWD358#XW{V`#7-ts?I$897 zl_2#i`%0#l+uoYn^y8=0+38yJw65bw((9K~I7j9VShb(~j8Oe6FV{YA(tH*8WzO(f zg7nhzE7`{`^AxZ|#{erX0NHt0?e@K`VRQ)jNPl@M2${fM>(#C*v7Ijd zJtW;A{*|mV>s?Oii@|e>|u-yGVsj^;QO=kA-eJGx>N%GMsqH%iI2W+Be@mZ0VPLpEJ{fN|_3vd>|_V=8v za6?Zr`Sp`KqKG9nJTS^ymvpetw*Lp@ntpnb<-9I1D)J3GjBX9Oxg`&zZpc)ho}MxC!H;npZi@G`Z#?SN)SaJV*YoxSE&444k`YRjWwe_LO`NgO--F zG>ilFF_!9o@_ni2=MsBXyQWZRwjGq?ArPv##5Ks!nNSk^vh=4lHXVrgSdd}hr)Pe0 z#&U^09fZcseDtUS*J9ww!zYqsNrE2g0=HTe6+x7jdZKJ|`lw8%r%B2B)Kbo6F!QA(i*yUKVfjJsZZ(G(WQkxyI47n- z4Ox+?m{?A+=Z3Wzn>$=m9q^KPklgvlC}#WJuu<)aziQRZQk0NHYK_&ba&z$vj(B#O z^r>+S&+IJCZkwr|uNn>TeRrqR!iG~NSX}OXv0=J+_}ju~zct9*J9Hh%=d0f+x&iu9 zI7gE|Eg4fz=#mPHDNht^6L$v|q+it!ffB|(QLW))CG^^I=~MU$e%A?eUQK+g>%$SE z;~l+34}1e54znrYn>3lLZcqsmO$`J7_aj(mZvv-Q2ww_ zHEyVIDdXhIOINxJig5 zO?`J|N~IEiEz)?~Jp+9J6x33V3>n#3cbxkrfj!7Ex2w2r3M2ZpT3H=q5Y6oS2Fr%~ zsD2b8NHO(Wlz7chV}FW#EH|A~<;}^k$kzPX%l+DWe_Pp;;oz;@+oTTt-x|ZgOQ5`T z3s0KJ>l(5-JP|?sZjsJerf15kRYM2q*u4o~fLz;5*PAEmRYR_|IK89)GMZ0@{kO#_ zl84tQo;MpmI~^_r2#$X9Ydt>>-cRRjHtzY$FxP2RaxUMeR(_-w`6=n;`l++q%(p3r z{kYHtD$Hg<0)JcDlVP!~T({A0`(U9y$6_EQxtj0OBR|rEEEJ2c{AySTi?94*$oxOy z2x$|01{b2#*P7+Bm@abs3z-8;gA0guctUp<^81H7ZN#MRZW@rty@n>OlmLC*J*?|k zJpTg^)Bh`(v3;FzXS)qBRQzMhG?+%rzdbL8@N zY}g0WlKk8_B)j*POv>@=F8IvT{bQ8MgcdQYyMQ;)6~zt3GWsUzR;;38zcQs97A46H zrBYNf$rp^O_p~KiKImg~D_{KGfHU__^MEK)5?E7+O?x0D$9(462dq_`>6F+03qnR3 z;{l5ext5p%qgZ&M0CJho0AnN$5c_R`P}*Itk3|DuNOW!m_V-w^2TTd?v6A_3{3umf z2oy7q&vXIQ6AMU0=!YqNO#rsJcQxs&7whxuMg9S^TG+#2&A8}AK5p<6u^1oNWp*7M z3#$dKDmY^IaRFjkk@iSbuCT=2aq;iM$+4hKaf^4LnAY8T0%97mGhpeWquSW(F-1pt z6*V34choGba5_FB0g|4kwQU&%@TGY+o5Bh;B#wn%X%{=R_~`e{sqZ0@S4$$Rl)5se zp8AuVh!TItGB>a`Qul+1Uoj2S(|rJ;G?@D@Flk>#>qp3>P*~CvlMs3ZRnwuSxh5vz zPk2jCOoED}=Ks)H0>1ZK-C16FuibOKB%ZnL5YDei`CJ`sC8K_;=?lUV0{BG1+{r@*MS-eI3 zbAbSXX#QeP4!hCegz$7g5HWDIjN7yb^*oPDGB4M`ZI+j!}-X z4wiw*aL{b;D!Nl2HT||1Uh8LB)V`J~NsCd}Wsk`xeb3vr97u0I0m4Ohq=yfH<_J*_ zyXt&Bd}C1RHD*O4Dg&7HVozL~ZcLe9gr5H}?l%&-FcNE~lU9uHN~e5%$$=c z4U@A&N5Q%2{}sF3eT6U$ctd9VwujYOA|~Pu(f2?yuZK)&mz*(%3Lij)jPlq8V9o-> zu3KZ^W8fg3yq3H~)DX987HQUYdD9Co1-V%vvA(&~2+a1`Eb$7=|M^iD6=6~f7GqL( zmS8#uS)Qn*lT6JO;zyP+;mkf8^^4-Yvrv*>*NPsOH0CN9A~P54ZpyScWY+I^w1oYq zjPjE`8N(dgCM{O~Ce@&`UyCOtk;y0zuI9d$S>)D`j`q%h-~I1)&u{Ftek&Nxj-$^d z9jH6a@myeT`Jyj;W&c8zSKi_+W`F*?Tziy4{D0$=D0A`!JJ=Mpq)V$XlSu|`4m~{w zI{;PkV^N#1#nr#*Ysw7t_dRzKyMG6qkrA#jeCp}*T1F<{?f5qbZG#|Rbr>T&O9ShJ z?*i#m%-oeV|5Rc#fK_4xSfzNRZdJJHQMmNx)Ti6domln+$;tCQ6LaHC-pEAZ6-GO? zmZ-VuIx`Y_boS$EaIFE+RtpaJQYz?{e1UWd@K>XS6QD+F6dIP+zgLh{5+^6THx?l(Y<`w#)%hCW;#%0MIJx|0{th=w$ z%eCh^zf30K;85DE6T-Ka@{)Q;(NXW=v~9E#k!se6-+k{oKc~@BiPb}7yffAvy>UbQ zExuu1qL&Qxhi$0VTCQkVu;!LQSPO?Ie@ylZq}$JYc%10g#gJT`(AE@@wbLT8#Oj{L zmf92r8#w(Fc@s7AnmDQ?4Lr=^YG^DZKt`nY{ukc8{;;Uu7wKE8NSzjIDX+Abj_-}9 ztUrF^h5aCly7iB*%H6jV4I#IJAi{~zP*39J;B8%?wla@+TY?O)VV_xV7Af0m!7qnAALFXoMBSF#+p9PYDEjj}26N>EAIqGBtDmuO=VM!DYkHhq0h-;n+m=Pwp znvGU*FiqP7xa6pv#`jLSbwM32y!^5$MyHi#=T6Cz;yoy(QTTC5h< zYnrWAa&g+0+?J~rqBVD4!&0ZpTZ6I%k0E)u{4=5%dh#w|Q+ZnI+Je{plS3%LdUbdjnMY4U ztMLwBf^dvqsa>~NzCDcBE+JD<0RDl@F;d*^{_e{QFy_2uwL?Go%eRF1pLZV>X`>HHS zOhM4$mfUU)`48-vEN_=!MN})RY@u+&ewb+SE*ed867#dfg#FZ36)Q0DtZor@c@+3TukEuUgYEOW&V!`1Ya*NuDqrSC?R8l zT2xtLkVq?OhxKmTuTrh035Rr&F|GNWv90-xxPJ;56WR-i8g=f+l`szct>2(T$r#Uc z(JAvy{Ocj7xhm>WNEDIrPdHAC8yilj?GyY9(6REl6ilJCS0pVWk%EbfT_Xa_JVx_aiZRrBM_3a${cRRTu}c{@cdGoT3-iQOTI`3SK-m+2`If-3qg2n*v{7)qn^c= ze}daAQ~b^$b@KKOUGHx%Pj1)gT22nPUC(cbIQ(9&Mr~xydU$j*v}lIR zRy>bWz1L0Z1(95w@Ms1RrK9W)*K5~4IgGl-#l!vF|<$ zS%GnGYe)t*rnZqcN#V?G*>95MlB1d7^l=<0_~K-z(HI%+iky?z#qeB-Loos4pV=pO zeg^@oM#o6uh<88tQ0_gBc&K2zZ4_>!U~7_#6@wDqWmqA>T}?oc7@7I7L~_$ z%QmG*n{nYj99d5?egNIm!dja8q)LA>lWSa$dvtc?8F&}m@{M*8LAtWME&ju*Hp^+~ zIyf*WSX_zjYf2(q%SLp<%|woUfgiA7xSE69_3Vit~CM} z;m<14o@q9)&HWHpXn^NcrS&tyEwR5;!*dH~P-qexN5M}m3C58Xx)P&KPcR#Gw&i>t z&~3daMxQV9bT!l2hU@A`WVBm+Yr~^J%V%T(phO5FBo2TQi2*1PEGMr5;EY%x3@W5? zAMt6vyu_bv(rWy)gn%p7nh-j+!_LCUY0g|TN0#Bj{3FwkA;^lc%ESX|e(^g2P@^mu z6?guYWn6XBnZXtTe&be=t*YOQ6Xuii2>c*Z-w!rQP7i*@0tdN%;NIm2T;q(!XVdY1 zczoV1i|c2QoDnsfY{h>?hX$YUL3Sf&yf1>$TYt78Lm#Xhu7YFGs`y}rk16rW-s9Hd z@h@3CfVsAj@BZ{D4z8v=XDwrWcoKZB^{C(I`Dk)uIACP&T;QOj0W461MlS@0Agcqb zxjp=EU z@2>Sc9yv!T{t)t&e5hWAMW_3GSb|D0f(X)T()yF2UW@{M#nYdyf=DX zlOgT9!O;hcy$T^%SrC|UvthZx8zB%%iWO5$iiKlyPY>}ZFvA(Af{YNXKmWdI^44}f z3^OE0^h{p(HxhIq0pILXooyKU@WJz}&7)fS3+Vgr$cLQ54DVeR4fDq4A(zHnfeHEY z$OdocVShY#g^i}>V}Xp8p%^38gD`6B29oZ#u5t8Xsjqs7H$9r0=X*I#Ewj%g;I4kPYkPag z)?zimPAU5M1^nk4I{y$aMNwC;Fxkt-*dwv)71N}WSq%Fu(-V7q?kQ47g9f4>yi!xU zD-w?f=(@Y0SwZhhXO;c4Oy8GwV|-WU7RJY{Q?)u+J&M7!*5Mb(lNdxviEw>d zWheMi;|wBr^t?JCgs1oPe5J6r0W>RdA`x(y+gv|vW@5|7%Y3}ToWa9; zG_zt6#lsu%H+r1m|F%cZ@u8>4?^$Qo0j|VucXtWy?(PH#?(Xgc zcXxMpm%K^NIltez_uc!?t9n)Uy*gEUuhqSK_slTeQ*?j(v({?T0-qL%s*Fa_X@POT z>6gerq-xFXF+V->52vFmKHBjQpRg$(x5@pnF3j8(X5w7S1hm%!si%rC2A32zdYam& zM932|%0T7t`XLXJ>+4)P%~pQj!B>WQ z-?HW*?V#njy1X1!SBGtC$~G}!ld(QW!s3j&C?u+3eAQfI5GW83t{zUQ)t9Os9=CuA zQ6amBo{Oae3=Bb1Y*L$-`|hQ$Lu&CyISk@RD%O9b>35vmqtT??kf-4@5TBrnt24R} zcbBX|`_5XjF=tEpFg~#{1-IrA9I( zX8*{C7FvDIf8@hk3Mrega(PMM{K2nVSRC^TR$dv*{D$=p@Hdcw1G{yo)Nph|bpvoT z?u4Q~wvH|&fDyVcTFIg=VuE=;j6*amH8U&)n^`8=4xU%Ib$=Xoxao}DT4e^f*_;V2 zYc`?H`OWh@qA3+RPI^Hkk*+)F3hc{0uBpn6-(7soXgoq(M3AE%w{gp{C!pz<$kF7K zvN=Y2UXo!R8OqeN0&99Y-=qE#X}zV=ignPvtls3dBVVR6j>M>C9buc>MONQ+ch zLcp(&Iph8dumRBJvxaC({WX;!Oy$8%`M}vOq4+MLnuK9&zM^DUBmGyKV?CnUQ+4a1 z{T!g#0cnZ@{y()QdV+v4m6fkhJ%5`(R6z6&z`LrSsNd{OCPKu2`spWn1bE)Hlm8`* z3G5VQXIeoN!GYP&PbF zo93_j6JDgnjAgX|6cm4+N*PwUphksQQSy#AARAvABAkS>ESINpK2{9KHllJhu66nb z2R52>_ovuE#WPm{92*Ljus8!G!5B10D)iNvi6TI2JKZ`hcuUOl0LLf(gyU>Pkm?jO zp8Te<2YFlp01UjZfr~GVnPt`I%W+az7l{OsFj!YW%Y$|E*WUfLHgUia#x0_;d}Ium z-laUozgGUQwFlbNY*2JU6oWvK)NjO;f`P$&S*`#BtP!nsNqBv!?3}UroPHz~OT00e zfL+0XYl6cB$W~%#LrR25-s$cm6vW7hJlENw%@b!MXu}LqpmYdiXY|Pso+XhMl%mf6 z+KUJzybrB+LE!66O?Ab>Fh0=Dk66>58O(ONOH>hFuTJmF( zbL*W=%aynt*nZCG_n7z^P@Fv=!a5;rSXKJmgH$L1NF^0eYbcqSbD|ScyOb{|v9u1Z zPA;7Zq}eZ(=YfXTm)^IK5qkL&*e)&Y6^t}+oa;{*$kPh&JBxEmsusiGfhU~Fi=Yf1 z^Da*G1tm#o4JOEy$!!7;_?W;;0(gm4b)0p}NC{&^Gd z0MK3(-i~6~Phj~-_u|=txk8_e<$b^*p?Bx8{XlzN??v={8FYA%F$uWk5g{Ojzkik%l>h8zMGY-8ZZ$|VB6F%23t_|XkI*zFcrCmX`WfvN>dvHix98N>75 zXR#hy`;{Ka$u2lM_AId_KzA<)*yy-FEtnNwcO}y1&iFQEFWtC%6fZU{{?-mf&-IN< zm>I%`rY))I@|NlLo%0<$%NI?ZV#ZkV%p&;|>D|4LaM7r*`SM2AjPfxTt%SVK^}zxQ0WWx2PX}L%?|ujJ9vCU`c8xC_2SLj%UaiM zE@M{MbYn3O18O|GMPMt7g$HhGdC-h!_OBipjb}ENh-U_$j@Nu2HI1zt#Ug3w!V2qbmYR zd#t3lIRLQ+$RuWwHVWXF^B%tAr}SkT`K8BKfU~v|K6zN}vp%rtdGRhhW2$8#aK^c8 zAic2U=pw&lPW4dOSU?Pz2lz8?Wbd+ptfM19a zq$$9%$8_skPydqRCy4vb{HDn8*7Qbpi)H_Z7_-OmuVxQxt#^ zOE`Y-{aCrIcfYT)J}ue9#7~n}^lC5_8|gca4C);Y4H5tV&3;s*ga;whaL3r^ggwuY z$Wbmt1M|lL-GU7RqKLx2oY{Mn9`~p#o(JU;2_r` zAa?9ZslBotxdypsKI3Gy%I4i&yK(L~| zxnUN2LQ(~R-r2Q!W4F^1Zdo5|*vT~og2<47+tIJzN^b^xPQz^5g1t8ph4eMes%~QV zYkfvMtv_%09X{_-$pK9h3j1j_&%e2lI#j5SwQ1hpYG(K;$i>x%jF21Am~kcR8}zwE zJzs)_^dA5*5C|X=Doq*VhZ1lj(uZ^+AWYt|uHebr1EsrwIe9^|-=Unn&uxWYAF%V73+1=Z>BcT>p}?XeLm4box_m_CI>tOp$KY* z|FN%P4?!KRFXxW!d>hC2XA5Jkdr^p|xaxklgu%jmogY`Th5-S?b-Jc=hM4908m?Gt;HwsyB~)rvYaTc1Y0?@N~pTG@{hd zQQ`1{4kQ89@04FqcG$vvP;aH+mvVU3=6fdl*7;;MWj8L7WpA}6Y^x@V2Ai_7XC~1b15DlT&ybl+(8hUX+JXci;$hGk*mk-|kV_%k$&NL!{siX0r_pPKx0EfB&pD*PnY5a4UWbev(-(v1IL3ak4y zU%z^Ay!n>-ea>RN>b`cO&?3>Q`Z><@@$vl;3@>4UQYzR&-~DkkLha z{_?6IMmD7FFob>h6-x{-IhL7D{c&XttQK2g!2Ocq&kY&dU1V#15>Oo|5<%ZYs;V6j zYzRItM+C$Pzk>CuF%U#T09|#zi&U@puc^Sy{+-)2`DLQ42siv#kVw+nlQk;I5^6fmIPm4P&mSvt*RnY55OB`2u> zD4e?|!be=S5ai(48*~{P`Id|Qv%2!xTt-$fT8Ayn-lQm>@^H!D{kGrS0ql72LNuJ;iH)$uY$JZC1 zw78DD<2d2hq_X&Xw*qx01`kacDXmK;Qw}|~8^&Kek8OwWcB*YhXqOu&kVhb1BMYP; zL9r#XlORq}`-2gt7*if2upDAPJ0{Ke*(h>O1p!q*#bf?Opz3E*5OC`+0hQoC(*uvb z3SmkNMUU?cu|m(Hkq+zJwI|91?YoJ{a>Ml_eZG}fNZDARV7@}e z`XQhU{3G!bjYid(n#QKaQXfk_o!7Y^)^exNAnBcOG@pMp_B~=h%|m|1Q9_Qv$B=^$ z_3G)CX#Il^RvY_*Vkq|bf>r7*c2eIbbTpIeld9(cA4kQ1`-2Y7(R$AHU3-Fo`D%%R z3>kBhb%W$Lqw$Apg8sw#X?7dSm+#;;izyUNgqx{?q7?LPQ<&LRGhJM{fraE1_iR&G z0MS7#90_&%g{Shz&8)Npdm)_K!X7Zr<*QgoS6CQ683t?8B^rYbiE1m-C0o+w6$!hj zr$m(U@Xt3bJ%m}!Ghxrpb(-=C_Xr8SNzBy;AJU#N^4cczrvR1$> zK+tc8`1iH*$-pZV(8STWuWsFOIz&M@w>{+g?rCv#< zUfW1ewexm~3C7|#jSC7g)~PdMo^iUF*)t*@S~@v8gA#N0BWU^rI`FkK!R~KAUwf5f zJ^V{D2e?jQ3Q+(n>Z55}dH*}P?~k_k4Jbg|*3=_~6n7MCL~|0(_1Bmcm_=&`bo8$`ztva)CV1$0uqb8Uc3 z1I?T|`AKJDbs0Arx|M_60kJ^_+Z-A?lEWRMuD0XZ@g5mzTAO5hcT0PfC`F?P<}q}> zAMPFdM4@yRj!qd@Bhsn66e>HOa?=n9GMZu-N{;pdA{apDY-sI_GJZyuwk!>(OGpCR z2(|&OED0nJF?+Dp6Ag?oJLl4obl8X{e3m7*MT~Bu@7I$U4dw14=HyryNM6o<^8LLH z#^!o+ALET^7AB3~w2tQbgH*M(#-`cLUgING7UDKgBBhI_-GGNLoytE`PqZbvtg{KB z=WC-y>V05`e#PaxdO`8z{)T1RZtm(Iq3`E9J6D^%v-9p*Az4XHUNauk3{}8j6dEx+ zmeQDl)Hc?@m_lUz0EL+sQ4`Yql|`~aKx%7$X>j~0+%1(n*s6Ls?O2I0LYpaNp$D1i zvcz??!85YZcjvGS(lXUK&G3rYx^MS|-jX%8&JV#lTN{d6?IsA#W|WP*R3^a&d#Yf< z8sWx26kQo5jI)MB+!kVgBpZ|+sqA7k{*nHEis^rsG>X!&0M4HPSsW@!HaOkQ0L98{ zFpXs+01#yrsHxitWU}b!O~Wz1c;vMa=g2$9ZPfx=xxQeH({RmctnO%`^j5faGEOcY zJdbc+j^7$&n%?4!IT+%PY_wKne=fghsmf+5@VKBZra8`hg{2Bgew>h@LFY7i(TBgxpe?y>+#l(0W}X2m8T9?R5frv@adf$H ztrdNUeATK@0UZ+S;<(akuuRt3~cVpdEA3DnwGjOt3;v zyklg%(zCw`_|I~M%YQJk1HX}FM68`zg-Dy((4u66^c<7IKS(Q=Fp$k{{JOz3eG~^A z-KIf_(le)Q{5qjBO#w`gn7&<}vQ?gvT@(5uY$K1hmP^GRLB#$_*zCsl)K$Wm-1kT1DbM1tMeV5>WYRz7tUyT}PCfK3>(JXL)m0PYoXwfM}cU?4S zzC;dO)~k^Kxj__9Sq4R4nd_fXdnwLX60-{BQ9yAIkO5?YVdg6K0Z1hUOO*2!`(#Z} zNW?`CVI<){3zj6f79)fI5`#eL&#vyT^k<6Y(UE5+Y$SPYUKvHWYeg+!*xh{`aQPv`^F zn^s!m^~X+oA?KbFh8F9F0$&g71%ruj;6vocoHtPGi;;UyKJHTwVB`rHIfAMsTCBkXHzL*HOL)sTWT>jsIb&U1BLO0xuZpc)A}| z2pVPXefLfThLr#N@k}&fq!U(VIzeO!R`wsuE!;FKuG;6s+y8&4 z+6VFPYF}&dk0UB0g|YyGF}ytIkiSBoVu}+c!B38@uiA*GHe8}}O8(H=l(MY~WYD{0 z+6bqhM8OQ$$v28A8kpG};#tR7NMJ^>rxGY&MpJf0UvXt+ootHmEm$des%%Y z2%a?X21Eo?;vcAAEH=G{@h|^4RR)F|Sjhj5P;SH0Tht-#UnpPlXts*n9NH!(Zn3WV<)&H8f8Lj_sU9Px4^x-jMCS`>5dCRD=B znMIbQ7;OvH+C!77;a&Z?PJ=A4`UjndIiLh@+#u^TGwIhMz%d+01!vn5775*%=Vm!Q zH@e&z)+@uTGhO|#u^s0p(gy4>`>;iEj-t>vV)V_9+dH+Rt5VutF+4xDh-Pc;FEC;kV-Ylj(5Yl7pQtjMf*W=ky}wG`8&*L$xCwSgexJxuveHlS)Sq-~ zu=suTk{h=B`QD35bxEagblWhB9U3d19$0j!A|-IpclfQr_Z$tpwaTBLS627;PzsR- zUj7vFje=e@;D|}MCvquUkJVakiWwo?y)e6p7)fp9dmc~QTeaT5LXdCYe=>Gh9l8G@ z4}do#wSJS>u1noT2sko~Hd5B=(kLmX!}(bcOL`$Sj9>Gq!KQe1;U_9$I$BVbty^@c&n#JD6D7lZ*X5>xkKmZ z1M+$uzUrIb%coCHq7YlY)3tzLp2Arf{@_pDSrrs*xMTssE;-aPWadNoO+ z428(lg79m1yTDujXdajrnNtvWUr|E^JV>f6KOk4glTo<|X)6iss@aN;Ge=A4ivk0T zI)3z=Z6efl6nt9c|6MV(eRX~}{}@D&($~jn?Qz~^aGz=U`4e2OfA>Q%LFF;*&Q$fd zbpt`VBa`b{N5Jeifm0&V3SVwCgFmW+pxJsXBNB3bOTvv@bgp$);MI56bu)yiN~;l}SJd;x zLhkye{xd@8^DO>Rf!AJ`oc(OC?ZBzz+&}gs&I=f5?9GLH8RO#4)5cOq=eFDDE~c{O z8A>=EQ^ogox3r~qVZFy04A^ly0I-oN90a{t2nZ2q6& zeXjq+`-J|1_u<63`#uXzzz!|KH?e((+@>(?+~CWkx12fNU9Gd4tP;%)a;8nRo?@+> z%R2-N<*z%!7CGp?{VgxrxxN>IEUJdAnlV;(Ltt$mTVxZOvu|H&4LzfxTV zh4paH-`9yp5T9p(NURh9zcV?@;0+KwC7>W1?lGJ^t@eaeq~|V*_gjLl7}=Vxvpw{pQIzVwf$}GiumS z=2ATB;mJ5Zm*n1mSPpg{NKWe@gi`0RMn4)bV5X6G48tKDUq1;&o$2`CT7OMQl;t7KHMz@TS6{GPsds0!hVGX3IB=E9-6$tB3J^(R5^HtNq=?^|f)^PBa z#2Dl9=9rKPt5b;?+8%j=V64>1K&M#1vp;9x$%`O-XAc*+3wlqIgG}QHbeh(gIFPFH%PbMfVFA}F9jb$Q$^Lftl2lcC zG);ADvf0^E0xdz^`KXs(B}7^?THdzdLd9)RzF4Ui6NMKzuMF`-3VwxzlC$mT{wR zuY?b=Lw3resACoH2|e!j^eaUN{%rF8d!0`T+rq1kQ`?A~mAcvLXmU{FWO*G9E^JMu zy<_vQ)}?h(CGOt_6rD6#1D%6y+i_(Z3twB_tbe{Q_+2PshSS2GyDZhNb$+ue3h$oC zv4nVY?dcqBAo8}?r%>_MqTX7>ZKPp?{M}gfc`Y=3y*M)K6K1$|(1jXyxHZ?xbECBw z*HQB15rMB)%!MHqFm1%j``u;D{WVvG&E7zTu29XTJuzGJthIn_chd4_+_&Z51p)k} z+HIy0kC!MVb*$QaEDlrjff9N=T{JYYlgj@0&myXRkS(6L9)=+S0U9p_`X~xhIKkKE zqn7?WnkX|ECHRAj5pTE?vMz| zMp5v6t8KKP?yr22vJYRa0A~Y6zchUTxcF^>k6RP5_tYloZAc(Bev#6IaZYdloKD&3 z;kYbSvngfY@MFiFxqsXQxEHE^f}y^mcORK-li0VVJ@fyu9k&n9x+N6dlF1%QXHKMZ z|73G(wfb(gy!TS8Jz^rAGQL2Uj-$!i(rNX$=6q=@G4*HuzB#M9K=@$a=sJFugu{Cn zfGtbhq3x%rYts*t7vZ$4Fu*PO3{94J-EZME*(-I?VHK?D!*p|A`Q$NLpqqC7amYIB znM?nxb6KixMD$^;7SOc%&89Iy%a*)lMW%LBrq+u5M^i%JImxVZJTSBG^c`?FLKdb| ztHS5Nxgq%G9|tJs@yo8vj-iZEs;6_jMQ)}SHCz|9v6D)16 zIzSG`HwZcHb$KP#VAOeKQlVY5{ByQI5#^A=`W$qzMn}2Kh1oe z$`KalKLh1VgocUa;SV`a0$B!gWOpa=y$8X)k?>bQoFc3uVgJX9(w~*ySXKR@GKM{6 z%o{3rw}uJUviQ?uS(ooKV+v)ek}{7re}kT{)-f?Ecz)Tk+I6%#`<|ZnfdLUo+bdbX z6Vm2Zmf1C7CopjVP8V(;o8gl?ylxZ_8r2!N;tuY^%p9o3yE)!|<&#m3bw4jTZ3eXs zeWhw#^5EbdSe*mr_@#wknd@y9b*Mm+*7XKmBG?(nERL*L;?fLTpp$XY)f0Z*B)m34%27 zPhErdR*Iv`*Y1tZVZ}Ow=CH+;a~zb=CYOCJ@C~n!6M-K4 z4(;W|`-8or?~G8pnP0wvlcE$IPGo-NcuJYxQ`}0B!~OEM@rb>uN;%?U51=C;OTEAQ zaqjW?Fxoc^)DP4FYA>EoXY&;Yf#2aSh>f3q35cb1R+PWHKYEAaWT%$S;Au9Lc{_xh<239m2Z(Bv28?14_y>P=Z-7+A(LKt(h{9<(y=*Z;25buQ z_=hX_k-7=^-S<^s8U~Ya{*L(`fg2IJ za}8q8N|X>1gL3rVxN{M@Q1{l;=7)q{`?f`Sqa$E&B#ogg2n7lt1}qhPpRXk$fYG>C zcQP7r-a1yk8<6dbEy4$O!aw)0f&{(77V77D`8TCAQMt_@^7|8fJHe7bT2aiFG|MY- z5lWo$KTg9%%zF27q&e$N8f5WnaU(goP4iQm-Uk*M-YN%ZpuCLX*a6w|ca1DB^-*Wm z^OLJX@739m2c}XAFI2j(lbS1?55LQCKfWq9t8&}h`AqDP(x17_EH6yR;jRpUMWhIB z8RP#hvoaFzB62iYW|C}X%TIH@|G5nEtLb`kkKh{p_dzL$#?&v-nM+^7FMI|Aa<;{e zQ{An>x9+vOj3Cm1z>*ZB*$)g2*(KxS72CS#fI{N&yGf0PF~JYj)V0pv2|1CV23wx5 zfuvG3aZc}tXZi}}>;~}-KRFMt-|ID|+Af}HCWqbKIdSmlbtgAfA?NTae$Cgqab)ia zgqBx|@w$NZ0`@Dtn2RAl~0z zT3crwCFd~#C%=ZpYtH{|UnkOGu^@`mxhl4dMSQ38k)%fJxJT#wMM9xYRaG^9N}1sT zQP%dbZ*cc|&<0$Fm?5%T2VY`SYvcX}oB!h_*H4${IdJIEwN2OBl=)+)0mI6%^6Tnj z*f`N;q`v8E@DU8H)Psb^uucJW%ZP8h%7kaA5H!-MxMe7Vhcax^)A!0Rn+j!W4RfXZ z8W!3t;wZUHNn-hl`^c2WZo(4kc+0P4e0fExKBBT!ACZ|l?_YU3UYOn8?@d#k=OF?? zUt|_a80jnsTT&7Q$>@*ac!HsY!7#ws#Q^M#)uW~kDlZzx1F{4#6;ZljmiXhtbyQQ`3s@UXb$g`p*0?IEt2?;|P~M?(2+T#>JlwLR$}*4ned4VaJkK z?4X}M>AnnvLTbZ8Z@H^aPi|azezMTWFvC?vso1s1b<&cquj~(7XkediMJLy=9*h{; z9(){qye-2dQX+!pHx8A+O;V&<7N?0#CA1edh!92$GaDT9W7o)e>Y|L+)`rXt5q6vi ze<=^=EcvaYx=_-N5yRPWcW0q-cUe*>B3lLQA(t_?xcRDz`BjKCkGUIg;-=>i@jj4W zydZ&=crS7TN;CkIDH;-J8IzLts>*b8o$e*;E4{-O=IN);j^eY5wYXaM$YW=C$ALKR80@pqX<}#vE6RNL=lHyu}>o4a5}PuCwuU z)*LOSuInR8&Z6L64D{2_+Pop$NkU^k)%#rzJOMsLUyWCaH~)I=48&{mH-yL0kvhgs zt1Zoa+bdP>dm+z5zBB9VYqmA|UEAh;{&i?PpFY~gGwA=ms-b$uL$3;7%K|+vedE#2 z$DUFC0oF?8!@oHEY3iHTr?Qw8N8d_15Pnq*knGc@@0obyV*KRiNghlDKkHR5_Iw>@ zHq81yahg}Hv3xqt@AzH?lb`S~f=d-!*?E|`5uUe^RFfolNrqD>=kv-Cv?0wd!FaqL z*oW%Qw*7ZiD(8{sv*M9g3~*?3m!Y3bc2~Nx4>g?|4Z*5P=tyzRhO~KE!mcA}%YwQG zm<{#cuGE*zi1Xa0y(Tm9qWJlhT`F>-FKX>ByPMp$()sS95f_&ZdZU(>>_2r^^7^^g zX!m3jzgnFfT%bU+fszNpKam+ZIe0KlpBn57a83^z%meMCL4g4057}Q-0gky{{jdR! zy@2(H2cxL?-QmSl&!AxsDIM#F^{|OE_tsJPUcV zj;K2roHB&zrEtlofn|KY@ycWT889MW?d1)N>{lMtL6|-TQV+uS{_Md65l31aH6>+6VbY~=LKyFxaA~@1!3!$6(Vh>{{D)#m z@}IR!5in1p`65^PG{+4or`I3CY+2tN-+u_JW__#wEtR{^9Ck2C0-N;>;6*kqR~708 z;CK1{B{Zjr_{s!aC#Jg$xtN^oYjb3`+n;}wQQbd1C69XM(-vRkBJETr@oT;ii%Xz( z)f(kv4mZ&S)C*CFiiT+a@FYTxGjDT#5zft#8XPsGBu zAR)rrbM?Z@2~vrup$ms#{V8kx|9iFYRFojKnrg^1;8)+R{U_}#ji(W4J9YnU2^$He)2)HbYE{QDZ37Cs1tP+pGljZLaVkf z_KBQi`3f$Mi{^OV6I+Y26dvysPcpqF)@NniY)ilG4=cFUDrM3nkxTw-@xH_1+^^-K zTT3$C(%ga8y0T!rp}r-Vb#dlEL!-DL=zn1#D5cve!@Q1*NW}R_HD4&{CHV?M?-XHW z_zF0Wi^NAxw@*H=D8ky4_LYYV%A|F;rEx* zX~Ylw!}86Tt6w+cHE%TB=|4*ADF}na3ZQg!;YzyzAs^wj@vn@3E!Ri57mCB0HwVBo zqU)X~$o4uVS=Cb8o#sQK#cf_VacM4^64x6 zWZ9>Q-_*65C=mfoAE})*x;mXBjpHw}#q@lRRI8_mgrQ`*hjDj?Sw33(_>0dWo=&-Z z`4TUN@n9qFasF0wo^RYkgSE8#c8iKOT50KtCb|@}6UJ@M%a!u1=|`|~T8%Jq2A$tn zEIVhKJThJer}!q`cW3x6UI)uQt~Kz2+7LAG3z$hN9x^^+fk{w9?!rG}y=U-eN?wFG zm3_pEPGV+CRw`e-Z;QvvV^+pqiV;fwI10tZ+tb~_$=&DbkGJb9Bp;oK9gcQhGUNIW z2?^@y4Y+e<^LteV(RF&|xHMvfOuCTV%l+|}vYA|k^HJ$=#&=Fs0PsK*gBzNc`LdMJ zf2~c<1uMuq!uUkHzwkvX99uG#hET1yfmBPqcjF7XB$CYs&09=Y{1+wO)MSXknCvy_ zXPN`bi>NXL8%nn5@8=Y%(X}p#{qm(WrQAuK(e(grBPE}LW1`V-0zT*(!bvgvs)rPm=o+Z!uy)#A_WgoD;9Rg1m|0=9FZ1 zogyK*ue^P1;kp0P@z7OIw?BTl?{%$;6D0Xa{g9kp6SJ4HpaeYn=Vk9%l8X!8s8x1C?@@Fm-Suk`-2D#?mJ;}^aqlpn9m*?{qwk_ zcU>!~f(TZZ$nLnA#fmF0mXG)@>G1sAedkc-%#=a9dM=xJ`o3q~kZtluOAR3#$zE`v zg0qSI5KS6f2y?{28a>BwVuBP$7#+=>*uhR>3;TX=k=9cpT-Nt(MQ;ZRDC_deC4QUknsE5jTw=T8qeW5Nl&AWb9 zQm1mO?HY28i?^lx9X@l#@mu1aQ%zPl(eK7&&$?76Uv%PoOtdE%)A?xh2GMVq(=MoG z;u)XZk6k*sH(ef-WyNVX!aaoV8qV)cGUkL6;*aL*U7>XC;lCXjwGLhDJ^-Uub_rv9lzOjlQm#&o??jeDbu5F30OUUOH;~Sas%5G;`L-MYa?Ong$>`a29^>as#bpdfh z_l+T}(!Q+%SbYii{FHS~^vf?@Qm8XvfKUD%6EZ5Gv%O`GzDh#%U>>c^O(}R$443|1 zeo)d&iLfGuD)?SLsGirNXkLtk`gFJAPD2p^ZQ?+^pxRBv-ZInr1N+ugzn1oEPLgpPUz{)4Hw~C~%1f(XfhN`+PCU^&TRd#ch`v1TW~? zqN{S}bOi4ixwI?i1-aBV=YT)Q%rc&+X}K+3M>Yl-?KpXCl7f*InqN7(&|R(uh;VZN2@fzln*M3 z_|w%kX$EyR_P~W5V0RXIn7S_FzV`0keg}Hw<6y_{FUN}|d&0mA8G7ENc6;pMDi0&6 zaNWltz#nB?3m7?OORCoR1(+|YSH<6)8Wh{w2=Kco%b=0oS(h;y1;lxoo=P5gNqxSU zRyo`&-3>zs)(P{xXl_z~QurQ|VIqqD@x15~2>E`Gs}JepCAKs(4E@`z?Ghq@&jwl(Q2~Fz^?xU+(L~lH191b>#+SzhQZa}94P~l_Q{cV_TOp>Ev!Dh48HEacKnK;4lmEpRBYc| z@51@MzN>k9xi;0bc8965ZW7byM*6X9$BsLJ0EetzKqN6g_zOm#|hZf$sTOoDd zVZFTOEO*R1)gVp=$%ER$yvM9ZyoYBztCRL!D`aC_*=qkWuaTdY{_AeNM$3B7^kNR28dzXDRyngm^(t<(B`97a&kIfo)J;zhe5 zssJC?dNLey+c&{2d!Rn41DLF%mvGw;^QA;FIt`q)umz=(fcwEiX^7+GXO5BDxNRUN zVqT@ULY?|>Ak-LUoa%{lTJ_B!?N^pSQ>@`wTDtJ*AYOKH4@zw94w@xN5Y@i4*00{PA>ns&fD-P-kivr7S=M zLC#k~+YMX6A$+)I$E|?o$BwEC7r~BJEY4NZJQ-CV3?V<23!P^|=HRiXv-0*-Yx!~b zT`Zj>TRVSwn4_aXV{*LNHmpD)X^EmanZ5t31KJLWMb|N#F|J4#doLvWUi5r-NBOP+7a?m-T)iJe1GUUfU zTtCaPW**GN@8(~ab8&t@DnXUAbfZ&3s=ShMhimK9bK@nIUEAB%%#)*e(OPj(lwR5Z zdZw909~_w6-jF3RB@Ze%vRBaN;F#NdbPtOHP6Bbf&k$wtm*jUUNo@39axF3n% zE)O$nM+A@S1u1{3NKk8QQv|&SDYO>LC6@=mi5NXSS)97Xl|KHYypIfHf&d)I^MK%1 zE!0$7TorW&QZkWK%@N^PDx80jM2*^*!Tas1U2++c!qm;BM=Mj)*$BY}le!e!6SxOc zLB2}WZ&CQ|pqVaTI8w9mAkwRJ&&jStI9bXieL|Zu$4B|+)As_U?+DWUfOL~*=@@#S zp;eFEVJs;Bd2KOyg|c$B^`zr>O_!U6$}4TEHPj@?1pUZe{%M6Eg?gnNR9a;UcX;Lz z!yV06cBhz`sB=R`(Zdn{q6Ub5Ocuvvoenu#FQUtDj*pqbi0Vk#tm~$6=fs@e4`o-9@{qiZ^y2jp|mTVazHua%ri!KmoU06+Cy|) zHus>7Ol5SirY;DcOLM6DpUy#Ssm0-J4Tj4j%7Uy^6oV_?bIbT!!u-?Y;OOh<_1xrl zdsds<0m`lE60;&Wp<-L!wAd3XgvCKlInXOBe$vGBBMI4w^p+1}sB%qiLm z8p)WTzmoK<>?qDr*NFWCYQkK)9{>FR1%B3GOU40cUpr$fU zSx;~EKh8S1pmdbwj5@6dXdK7Ti1uteTRwd~vm$;?fGq(`#mhWB%D*!?F zB|AuHU!!=Ue=+6YVrvuv`oyv{%8|vqB-&^O^DXD!Kc3uQK5p(Jg0#f2=rh_{N8E439u@lnqeh31mxQhIZ&(AISJu`Vu3-MGkti?-5htsy3g zE<6UeRN5S$YmUNtU*8KLu_)1uo`F$dfBIySC8n1)qUh{4GcMP*-t9brgm#&+?FCH# zTflTyY=;kyF8Uz5{Xn|*9!S|xnGDV5xwR3kvU%=Wk8O{#r1Uy=@O6`EbU%u%X9a=2 zN%m8dx}*1Y^sP~k$>~c|dJS`yL7uel^g)l=Y|rI*H&139wXUZ$SqPqcIHf|Iv0`_A z^qT|^(IMu}bNhCaa60=wgU1SCpG`o|0Pe5>JiJF6}nb1lvcuT`gQD z(%Tf9psxg0m97Kz6@T;XD=^VH?)F~3USzFyJU5+<$+@7qI7z-%#{{qo$DV?_s97)^BxaM)RX&d50~D~8=C{J%(h3#ho3ZCyA)8%ux?+}&M*2X}Xu z;O;KL9fAjUcXtSG0fIXOZJ@E>*6^Br&bjCAd+zxEG2R>Ft2yUa)xB!=D!OE@qI#~{ zBmuewYb;fQu6xio`M_Am)s9S-NuEuFNk=wR-^<{zN(T@hMSrvFF|!m8O_@l_%cc^O zIl>{A%rBRsT3DVysn9o>U3!HVrPC!`XP_&5Hr>ml_Fg$dQSQfxS6PG!wDoO!y@D)c zemK-iQU+3SQysXqYG{c4Ji(Iv^%x3=-t>58kWuZJb(Vf-t$vE}!%6)NebaIM0z=SA z{W4?2N&XP(3RiDovuwc9eg>TKd+rLy5?=LS>qqj^W8yj{(w zslg(rp!Pk}+XKvR>jk3~*Rh-f_(F%j<#CEdo@9eqJqBI`;!AW#C$WO}!%h#&r4d<}q#tPOmc@(bB&q+Dz zd*jz$h|OASiF<;@)=^P?rBu#nP0dC8XRDcT&7?5vxG#ee>IK4d&3xzU9_j7B$R@H4 zZSnc*T2ZLRbNI%2E;MD~Qa^@p`z+NkfbzsU_UW${c&dTS8*7fg-aTRw$jMzQ6I$)r zlxV5X@VG6Fc(Y&d#B{0+=`9e+T?6T7z0tQ?MwOze0ECBE!vf&xU-d~$7-uMtM1mh} ztxAOySJ>@E3`~G`k-#G!PfLD>)e?#M8l$+b*w{f%k(#?-UpG(AF180nTF%!Dfq7E! z$S87~!vqX7DGFHvvb6jfha_44hcoxkvCDFMom%Q#AA3?Ap|+fz%h0z^A}%FV81zh< z2xDDPO9gu{KH#>Ent%?BB|f7JW^LA0w|GdhmOspxrzNHw)a(CoZW*E}I)OcHxCuGx zWD`?$w<~VtDoX6zmpesH_HQXXtSGd7nz|U$9;4DL;SVa*bBkoyUT^q3<@!PJi-GL= zE;WXRUfP(Kga>z7s7lm)@AP z;i19&B{|Nq`_9X2MpAhJ8P31)30hU7DAaNVw{oYHGqqC1H8wX+62ICCc`Yp$(yJY5 zE1?JjsBiJl0UoORZl~Jd-=@! zu3A1@6()Bb!;##e#EEB*twbZEg!L|SM<1Wnkd8QAejW{RvZweK_@R74CF+_=XV7<~ z8I^D+U}`9yuEM<$;hS+(L<oB9o7CGi?s`ta3_VtfUHZGf3s_}}x1!+X2tjqVC6voV}din=-z@lp}zijI&!mU__jQ_Ly@nxDR-8vnp)_>VgUuD>Aibamc(*e8!^uBw) zmJ{?CYdd?b+a^`thK9rS{L2o1sS#So*aENiqtTt%3ZV{Fz;7GCX+< z+^_vzrcQGku0+A@NL%2aZ{O4 zjEuy$xe8$D%rEKa5=@*@`P}TsWWUHSx2}@o6q=zl?N#pQT$>iw+~XCtzu7qz=EjP? z;&t_W(XF2l!H!d|0LOWAwgzJBJ~Z(5|8qZe3U-Z4Z}+8#9shP9Lk#0#3x zd8xR0KDu0}{2I4v<^&m(CIwsoWU^u8!*f;A z%&x=evg@rdDz{9%H^@j0hHL|N65p!jnFsI~yT({1lfYkT9^b0mKo=jw%cg~5`vN1U z+bgl{gCxm@KN(cRo#D?p<2Kn7HX7~k9WJm9dpwEKp3BIpb3JM&?K=DW zgVyka2N5ykU}Lp}PBRVYQ2jsdM^15Hc=hFYY>y%ddK}R^lD;%j(hUtBoBc@6FcQ$; z$VCwvj5bc1wZ;>F{dg1GrejMl?rq*F1+ehfs!enD_Hn}{J3_Zl+7IB{Tfu>-M9b9v z$GwX?GpRFsFTPHy!``#(!tSE%LO2IX{>X|lU-nWSS%!lWaQNQf zOyA%=6G0E;nZA3zD>&8S)l}hiXL3@m@jjhfcvvfnYwzl>nYc{${EL<~T`yPoaQiGK zGR8^Bc*XI`GaPQ6y4?E-G&ZDu>&YQJV{DhmEVDv)4Wh(Vfc#&)*sTChM&YqZ z++DjYm+l z8D06g1f75niuMmeuOE9$B6U*JRDWJ{!z;AJ=9??fc>^X6O5+%^c&swOd%F**9tb zWO%M<50~fnbZ5oADA2W)U&USixd(;xs)T~@@H_}j1!6XRaeE$#9Pt` zCW?^i+4dpR>iRFgEo0}|3|&#?l(*PcqMl?=So6`i$0QW8<`IV z9VfE6!SXu4NX$}XMObM%lnz^q3kTW3F;NLEDwCE*R&=@ zJ^eQnNh%C0Jw@1fh4 z%o3MXVk+-`AxAa;oc6&fwY3nc7=P&c>A(V!=)m4B~WPj=4^*XK;rU5 zG3(gEx#KI?%|~{)^P$Mx8X(LnB}d8qgwA=mhE8|rW+4(n!qeEJA7<}I(0$L*)8?Ag zorSJpznc+K9dc&9zQPS5cmU4#q2J-N#rd6e+&;MLQc z-mA5@y;q%3y(w>tf$)H0b6x~D{vYfkc)fNk-%K6xkqSBQ$iWpMeoD!4FL+E zFo3bYS!iM->2lOC$nuLCh(=46sEN>|2E!$3#YL4_NE0HryS>MBWJ{tyRqKLq4d6}>!2^CH#$Gihyij29w9#bJl~ zFI6B%86?iaYJoxa4^@EP2Onc>@{3v=?jMTWX)8$l?@iXHoN!_dw>1Wj6M~faB{56k z-h#+!)mW9=p+klxA3r5vTM;>Gw6UbG2$ir75p9qJRS6&JRmBqrgu_4@ zU6Pno2nr2hhVlb~a>~ zNG3{5OECvP3ztUV5QZUL`=%9}M1e{pL#&+gS(McEh7!FDJ6>`yT$EOv9MVMbk!TUB z(UOJ6qNE?mAVnw8wDIMqpG3(ajS~-r7J(5iS@>C1x>OYLwe<&AOlT?o);!aSx!B1G z7AbF#BuOF-S+D^LDco>d)uZU|h&fd1M)p|xiRm>wgINLNwZcJG#{i@>5(WTgA*_+! zAhM-UErjfgEKUC@LOpyJ;6Ozi+@Vjx*Ns8CLuc&aBZeGT6TbE5BMVYh3VXQYyy*mN=Wo;toHM*j-6u_m4v@{|@@zq9&4?aFj^{}`Yo zM)(a^MnnCn8LFRAHVt+#T@H|fx`Jl~&^H$wYaPhkRDc^y>;Yy3==UH6wtd42LhiGf zkWqj$YJP{=u9Oh|MxMx~t^}1)mPA)9gt=gbHH)~_8@6|y4uDgt?U;?^-bLJ6bL9&i zE-Ma&c1??_R0HLx?l6Q-P*#auXQ}9!KgNL<&psGVt6`%mmp}Hgpga>d4z1wmq4Efq zS%vcFXEZaXdLed)UuHdwLA75^m{@}x{LOMWg1%GcBkJcVNxa7mP44+D%gemS1i%Bz z-Xry~zfpM(tj#MK%OTd(hB2DC=qob)F;{wuJx~}D`+UfGku21Ti)fUUhdPae)}N2T zQprXd>MA}^I~k76`9d0cEj3Up5b>8up(Bn+h{6*}9Y`UMN?#OcIEo1q2Pbq6K!=Ir z5jvNYyF@_8v!o$>=gDb=sxIfr2$4aE@ms{GgrbOOX}=2aL?OqgXRrmRyqzO$YnpU()34=RQ$rd9d)>su!tghg-r}}p%rbJ`(AyHUW zdg(!egqFgFr5w`pa8{K}5Cj^!CO5|e0_XFIHIoqo=WB>HO+j!-Vh#qS=4foXiwmvJ zANxeaNcetW^z|!~{46*OI!%nQp!{>JSur7{_@4tn!f{do_MvTB zgrq%)e2`>j6)JuMGyJOgb72cCX%_?Pp>2SqJ(8%GPKK!%QA5sW@$B>tFh*HGI3lg?38$288{T|2#J=Ikg!@|kKc6c9wcRMQ2tbMzZXL$x<1a={GjAL<$ySQK(Z-+2t@#jy5SYpu(FM~hQ#_y>_}f*& zm_g|Lh?ymYn5^5_6`Q3}oGf&Y6z?l?UqZ%&Ae__g?jS~%3buN+^?Q6dddhye?40{>H`tkclI|KJH(n#-Pt9;{swMUIji z_zx9bAC3FN*A2`>K=9Q#{^-`7#h){UA}MV<+`NeMZvVG;Yu`l zV-&`!()mtr<)#APh$rv%J&0^dpj8UO^gf87{-+8O(usr*682!G4*m~SxjJPduK{rW zf^ONnrugsg8035R-$**E-|s+JjcPz&^84-GK2w@t>rzy^RAEiJ0bJu}t7f5(@NKo) zQ6VwR^n{T{dCGvOe07s^;Xb2)S|`ax3sjg?_SQNwgR5R*-5#GRKu|5ru8b^pus63s zS|2f=u?Q;x8aW)5UA=JYDB>0+U2sMv>c5DDb()ArdYn_tL{NB)Dm>>TX=n*3e9Kb6 zSQKryOE@y#i&p%o47;qvxG+01<|tw!C)}uk##r>0T3l3}neXezKF$DYcbn0|RdR$t z1{_a3iSt#MUMpEtn;k&kYEW7(*SgUHO)z}4u*Y}s%UsuZ`VQw*lP@hdOjLq<|H`MV z2cpG&*EZf!YM?)6&Ra>!x`q6;S^sZzCwTM`FrU$3Xhsbdb04dsTzXum$6#GKbQ_gD zeVMQLRqAzMSYUB3=@;b7Te59~B z?v zWZd#DTT;iJ+k5kaC5Vy9_&B1`H5E(vMs%f}ab|%dUOlvjUbSI+QDd zkU}v*C{2-h?Nms45l-V7$jw}a>oeP; zSHT-(9}TrjR*Z-}1PYW|$lM!P%Ct$oBpWDtheS0pwMo*glYX+Ljyo|y-n|A@!Rr-& zDbb{;(Nh`zLNe>9JHkU!I?iJ)mg>3?$q1_asMovz#}8LBP!$rSw>nuhub4{``6y-8(A30vF4CwsOu4fGs6) zsfoTpCCQq4QGs}{;C@K}6>Qzus`j30Q<<%aMBqf`Y5C8{9J4{XNO8nSI23Ji0`j68 z7qyc;r3yzh*lFr_N-wWyK_vXRM$@Wso{JpA#5+?zk(oYq0f z`~5h(H~h5jl)uCq^q|3#6*`&iE?aJfrQFo>?axLvaq$x}91QGRy)VMGVznZ*5)Pjo zg4=tREZ|Hmc-KKd$`=^RQNiIS=P^MQcR%pjUe4pw{Ug0NfGIWFqI;nL%d#kw}QWnLj z*kn7S&uXrZb;kWi?%>&0dBnS1y+LpJq(C*bYfYaetPfPA_GzcyFZP zFN(|}?alA5A3#dTDg{`r0W!FJh@od1?AGf3s0pHktiQE!M}MiYU^0g$qS9fxV(I&7EuJbjA)WH-FNE_Lhdt;bKgQ za&V~Qe0|KAHxP&nh?j0pcldP6>-~lpk_` zvXx?foX=O*!N>#t_OBs^n-Sq6C*mQwhcsMGnN64FVkf{4e&e%j-DLjA`n*I{F{T&TsI5oF*o!zB5BlOfwCHb@FiHL`O9s{!+5|ZqP5vWrk^vt)I0#b-QqWI*vf8MLFTuf1c0B52IJC5_42CN5=9WQl)TY!BFJLR4;v( z%<4Q>`*}VSpMzepj9LN(NtIztsUPw-detwQTyPVqOm0^~v8y6s_D3EY)dVDeI5urd z(vusEkW|aZmTO^YuYop8P{~kEoHhZ{W!!kpkh(HaGK?lS=oQ!b&4V#ZwUZiTkyN$E z(kfubyvi~6I+tf;A+L$j$+c3NJY!I7q6Q*kwRYIs>oF0fE}D7M`@KK!_;H5jr*Q6# z)bq#B`_A|4=J3-hkJSUpb;D_zp9t87UbZLCkF&gZQ&A8vXV$(nC+6yqYi?>kx-D$e z@8$mDdNJJaAAOO1FE{5;VEo$Y!;{NB=%gLZ2DK8viKuR#Ja&}cRNrn^W#hkD;96yK zF-7u1e-HfbFMki@e1Y^)4x1v+2+fUesljlotBMQH&3_nQt&udpAo~^U%vWiV{pyUq zB*Yo-(oMpnmavDD|1{lybVJ{^jL?HaA9bQr{rbTS+Oj=c&zvoVo4G_8N;44mC&*si zH;cQ%J2H0`e~5VoTsKIOf0d~pGcTbyO$oxHq!oLXgf6wo`S zpE%s}@&bR!d?{tPy6~(^Eox%lmpCB-*tL;=+xa5@LMy^V4adEn_Dzc zAX=Qd&A!{YeB8Ob1W^(;-SyLD+Og%ZO2cmEJhx?L>ob+S(8VDl$06FZ!**vs^ZN^Q z-Pp5W9c&si)=p38MJJ~7zHce}eV7BfE@J|Fcy;UTU&bj(neP;P|CITmdU^;H><(pH zC6`Fw+w*#UXwQ81c?8}+-Cy)Pz6I$X_%tC&uBNsuPGz(&4lo%2PfzUYL9364m%!`A zS{TDy)uIK9;$BFC}46g@I@Ic_D3k+;e&j!%{ zU0l>!W*uhTxIUv`Pc>vL6+9gt)aiY^T^022Zb6jGKaXCp*8Y=z#UE^{##1S6tzDJ8 z62UY9Y8(4$?L>O%Wyf~eo;lu`jWp3o`HgjRO@)HXFNkXskyq7p^I-PyV$l|#TW>N) zuvuX?z`uP-(Yt4N4Ly$Q~R8T(zZR)_S=@0Giv3>ZQb2_;O(PIBSW=;44=V< zzJKOEk6iL5&)(kUZ1tK;l^dMR(3QNF;M7w&(iok3OljcwUb(Fm4T}huJlWU;mNkYW zor_mn=i2jJv`UucK9hfz{WuKu7 z`YcS?Sym`rtSgA~zyj0@IbafMllKl^w-wpOL}oG>B0k2QbHnRR?< zt6aak_IdUKH{-PH(HKA%sXhLjDfczAoEK{qpud#obGoX(~y=5H$MdQKsEzlhrq8wlhQO1d3_u&u&8-Fr?OTmGwE#}-d{mRj# z#0Xtk7Gr~BC}+z){>IvhOcPF11+R&d80_)&YUk}t z0lZ`!Au+$#*Q*1xBlqA3f;>No(#^k{qSki5((xMi;i}q%tnFM(W`9Z`fJTbb;?Ni3 z<=BXtTK{EHRPMBx2}o^pI$%XN+idpdG4XzHvszA#9 z45_RvbH#!>oH-9$I1c&r-Wxx^-yrZJgI^aFM=H!}PRp;GC(tn{j6%qpA8WNL%~^;k zbr!Tb-(a2d(g9p|!cmZ603AEmH9d?kBK$IVCPvH;P%>g?O~V%7Dze|SRX6F6tn4;D0{QqoeA1KekxxA< zxqJjxocOt#x{|(JM1eo~CZ(3tczf|3EY9wEJ@S6=dwHr~tF=X%xD>*;zc;nKST*2W z?C|rytl*=SyX~IE6>V&ULu05C5|yd2?VdF72ZMm$kKpxA+) zd{&VFT92IXICw#4n}R8$H`iBJVBdPVJ&=mGcomHk)A>W`kMRPisW?Qwb*cm1-vTFa z`YFfARIn1TQGu?0_J%0Bbd_)IOyPU2a<#NjB7TN}r=k@ON)0xIJta@JpOu+cD|$f;VT!UuL+WfK;oY0;w!nNS5T# zNZH-?SkAr2b&MYO-FHg;+y_VFM=ECS%a1h8L8n3%WTWOp$1meI@dPFy$)n3o>g6@8 zhrGQ(J%7J}`!th4u{+;(zZ}7ujnK>0M;$%&eJ6vn7Q~hmsruRLOPZWIQAh79#?kC< z!R+Tn!UD^}60eaWXV9#VMbYe4VvevU-%6(d4Fo-(%V62d#~-X0 zGEM%S_QQzkARiy!sruZk3cNX^3eBx-mr3;Tw~FG_RmAZZb_)Ls3=dP)oB2 z6Dt}J<)!bVpjU3^pUURcG)`yA3gzrd+YLYlX~2Ddqm!;Cpo~Wo>jrS zc60la#?6H1#+^7O19RSkXi3!y#~WU29x>Bx`wV&YG-kP3OS%ummT&=M!ItSlMXb}Y zX5Y$X8~ZV{Ahp@Nm3cM$A!d4N55#e!C>P^=g67{;>R6GdaBj>gl6pLh!7t^EKuqOS zAEf1`t<#!R(0EPiZ3&ZH3uM@fO~90bm*P6{JX?)^`ePeEV0-a+3z{3MiJ{i_pHH2C zA6HxH=Mijr-A_heQRbCW^bs(^d6Li+-&8Weuwg(NczL*Y^Kv^oZeR~g1>J*y_m7yy zs$CqQnlt|s2W{trwMpMa&k*V6K`Y;~B_HXvNr4?|wSraNa+Y&~dCB`&D?VQdj-&1Z z+>FiX0Hs-!vjTI7s2o~HYJd?WRf^QHoa!XrR$ozJ3U5umSwnJ})P8jLww$LhN229z zjys>C?}_;mz@aq#BYnogP|lCNwpa>R^8+o)KSE5oR`C;#$ZApPTGf3#=^E-1;IX4) zMXf9`+KL7_=P$iSr3P9YHXR>3kpVZ7KNy6+tKf$NlScT2d5&@- zJL#cA94G{fjNZB($Bx(a){%nMG|#QYcRT6ZaS&2T^IThe*PkBx&Kj4e((<6TIPY%+ zoE~}usk_F~qNh0TeT{PC`t` z-ff6^GX*iVf{_<^mRlF%hMN4?tFH?jtB7K(!KIbg1@#MYW7>kW*3#70WO7=w-?|)Y zm~>|qwO;WH@~MpEL?9n5lmi9D8W$IG_bM$dG-nl^`LqC_GEq!I4U_9D^6{e*Rjp>u zcO~raN0igyO}=!5T|>TadvmA#KE)??SX|!BIsVU{2J(Z+{`vx+jaoQTsxHB97@|}p zD*_19H&Wrv3c`)P==mp!sE{8aSmM} z!8Ot$`InXGASYrfC&J!G32AMMV7WdHlnA-5mH@8Bje-4@j3r&~4;j|>oozSj4dLzs zz&9Ir_&@M+pgne+-`I2TdPs1DX@bTqW(l)cuAa`WT^{aAGZY{(%NnixpR<2bA2XC~ zG&%)-tx3-)W$#bWW^pmDvln{;V`RA2Ewfiv&*wHmOKv#%Cgj}-hlP$hAoD6CFnBzh z*j!U324&zkc<(Ynf5}zkDl~HzB;h?a6j`X{(L=jlCEZdnW2wedEj?cDqM2;BP>3m1 zCHcN$Momu(wTV=3J~>2*?v)V#gDCqRnyG1IsOj0NyEbXf&;L(?m#L|9h(@(QuA8Ar zQ>f54-=mw6@M<*CqzOMja{o=#!%2MU`oDE28%b`CF&EmKV$Za&A|L83x03d|$XPu_ z+RVzwb1g3dZ|5bhc&bPy#c4>+|7E z16$&#{QX0#gsg7z(ArB8GURV=YQ~W;dpI6|!-qLFvr&U@%1D@Q-A9aO?Gs9X3OQP! zjQ7>&#+!7VOSg#jv8{a>WYy>U;dGtc+yB?Jo4fDxgvLa!S)-Yvvp!p?7Gw_Exr}UO z2APKza0I1aroXjd?U}hltYPo&ql>9Im)@c4{10kX!#)WKhTJD_Xm}Lee$+qo4bkv2 z*!q8<;N*?#Yp6ezysHQ~>>E~{vmP~$z!ZrH`<>(g*9QKr{6D32cBa!+^zF&_dh{Pb z>ih0>YmRX6fI0pfR3)NSrj|d)YW!Kh1|9x|GHwsJPv6s3%)bVe zaXZ0%I?lK>`^v!)HUa4;If%SUcnc0_5E6Tu2RfK5sNb^XwC%aIURi6nd zuLoSjw#;L1Zv8Xf+QMnhnkiNIY=pGdfcm1GY|Fo#%k zkKF7bS8%Oa#TA~gW`i3fw8!Qz_4=n1C9iRpKl`!!z$JuO;Q_-bu>lfr6^z73XlDp{b&vEs~G!A+mY5lWT$Lt^^61cOo z;+J-mF8IxLf@q|D|GkOcC47DLJ+#*1Q$VHl^XCN)e`3(TiXUK-{enO!zr8ryi#An! zJEopI2YQ~fa+Y+VDz#7>#VrvQsTsDMHV4!G)RbJVg7}rwd*&?8^t>OtrTl!@nFeZv zuRS*(ww8RtScgZEO{FSx`;~g7daD9?VQ&!$G59uu_M0y_uIvwqEm6Qa+9EG03%`1b zIDTYUf|G16Qf4kw^1Doj4j9-dzfjxbG_>rl*cVm@=Kl>x@cj+docwyWjn!t+?ddE> zRs}Amn?h$dmHT9e319rvdL|fi=_5X35LsrE+|d7t-^VeYLjNyPbaB9NL^gpWX0JqK zsZZ?{^&oj!bT8>#eO{RK8#Vdg_?Co)_|Ni0)161TLs~E8>+UH)F=~ zU?(?2o~e~Gg#9n5D&@1HqrR!iU1|w5-b9S_eI<3XEkpX7xUQS&EH_m+i7cv{=?f1j z2+1w<_`W|R(63Uk^)Utzc|`3UHM@#DlOzP45czYC=BWpb5&&^Mg9g-Yzk`hL~*qwoxt(wB+( z&^ur>2(y%LQT zq$J2eYSeK9NdJ^%B7_l;S0qVnzg{ig19BNpa(&4AodtR+Syn418cxk@7dZ0LU9n=F z%a}YJ7h+s%13!Uy2DBDQhAiH(h&zX~q>DsP;~PisD=x2~Z^J}6y^)DwQ+@Xh9tcYd zKN55al?=Vx>lrXsboraFpYPMF!|x|wJ%toOT>RY$d0z1UpIzVEW+(j2li z>W4WKF2GQB?iGxPf})1EyO50vlD38eLsdmr%0jXcq_4KFv9P#qhEwmcF}(f_r*2?x zc=8dY5?m7S1uQSo`E{=wm;VtdZDX_gQUW%`HoxX_s^$!|cXee)R4J&Z|JRen)2Q%18nu-x}Rj_Y-xB>0iFztH znF2mX%jrDrp9-={1cd)I7K5zYf-HuKSK@h(e(s`blYNI<&@2haa09*wQzc{`hucKR zivHCnlfyFKpk(eXr45X()5)LeJ38G)CU$6Ku61qio_;L(a{>aNQ9WYc*DE@?fIaW; zo-U^pyr;d!cWezyD)>kAcYN_Q$1|Hib!D&X-e% zkX0GZ3J_Vo_{%XNeuh2`He%Xx&#P>y4|5SCsv#0r)BTxH< z5nrRpJ8Ih6WB#)iI^6;4V$VBAFzD~<9MA!$bdTHA+$f&PP!(?>V?DPrgS*v?aZ7!Z z#UJTwA7@;1OKldt!TN=D=$OIT{E;VhPvk4G4df!mkxs0{pC&`9s$`Rq#}jl^Upkpv zI%zABwVKV-qMgMi?mCG*-=>yj-?DHoTgtQ9S0{5)*|c>3x?4af45E#J&f!^8ByIx# zMVM&gvY4pdx^oX0gdja)ehZA4{jzJP>EdOI=lS~DB5_SBlmD{tqw|QM%GQl%!s-+^ z@uXp#Vc6^Xhne(sX(Y!F44FTkteL{pTUM@l9=(ja=lzN^XYL6q5j*(;b;A%C_xNE! z%WQo&47?ZFC_t4_1UY_dXbA=4cGfutPB00` z16P}l0$I0kji_|Vj)Sd(vm9b-KUAZ+^>OscV-E$fykuTX**>?83*O!XNnZFi*jSz$ zxQX$4;P3Q)-vWB5?tCj&`Fu9~bT&$!A3FTF?iOxpPu5vBHvFI2p55=K?7~airwHaL z)&e1?PKq9}+LO4ZwR~&;sUxYk&rryCiAie3&syHK7i)zMjI}CU}Oop_r)W-oXD(~L2Y+`+1^agW{?7SElCb;=;vF9NQ^g1!w^AU(fPCZ1vqB+5pVRC zD-g5fkykh>G4+3nJ|*O?we>(itnnvFyirW9K-^)3UVzmaRZ8*9j(kBnpo@$Ry6zuQ zmC-B2 z?L-ujUa=4p9zdD}0RarO*y$1$vd{OyKKzO=V_&LUKrKVG95Vt{H+8~i@eE!)K>jaB$n#emw>7FFl zjf@^%KblGcrNnv9YC#^dt=ta|1f=7q;)?&;#XiClO8io44>;EEoL!({z z5gQTxxN3c9@2pi3BtBItQQ+q-PYjO6B@qK?=~nB#3=$d&=lKi-q$8NIs=Fd_T-NAR0XFvWvJQp|5^yj~^F zzycc>iDf`+{Sbj^46ksE3I+b2^rx|8ha|c!z=V9V137K{gkAQxiPLT&7!|EgySSrf zTnQ6)bxMlUdI^xHMCgk-QTeex@7R~!I2%gy#!mWZbCu4!a+N- z+xkL=rRJ;x8)}JV#n}2h zd;({^F7#Xj^S?pHJXgkiIo|FkFD>Z|-l-E0X_x1sjeQ$hG47IT=BYa%@qr6zE%qbzNC>wMTvML3wa|e?Roxmg=5@B)*ZoV{no%E zGuhO{`=+d+S9or|cQi8~ksJDY^_jq8q~!{GF9Wki(oUIKOdN)kDr{j?z_Yr0hd1x0+vZ|OTW@`p(aMnfc?AfrAU)Suqx1*!jKs2R) z7MzfTVjA`wxNTrKV#kfhN*&Yt&EO?qW>>bi2MiB%Gc&P~v!XIS6u63F2Vu)vPa0}F z!_B4xfcxFSfa0b$E|S25Mbw`zp@zk5Fl<>Q^-!=_`fBx13NDi)h%9obWOM_fLeZ6| zDzJ5H(Su*ZogT3+NyfTH8RMLxZz#z=aT(gP2L8>I2kDDs;`JGaJJ6ST;khCVZSKauw#07M&uA5&W$dAl-}crg1PXLnbQ;a=%(m5-6B zj`?7K(}T^a1m>36fXvjG3O6O@YqME7>^LD5iz~mAd1E&jHWo@i5lSzyh3hzU7nwu# zve>zHE{Vvm07&K5*nt9jF(xn**|!a-Lgu?0+eMP|dD|Bl@q2yj7X#b9c=M_+xoNBttSBKv)D@CBY6ee` z(vTYD&?B*ox7+ikTrt=dh8qe6tF^*VzK?h-lP+Y%9L9jft|+c~q3NcG`X*dYC}L zRJv9jeN~)El66(6x|kN7>>>c5(j~z>%!C`CitVE(MM97=m*TGFF+XNtouuG zM_e>Iq?s1|Go@GL3GBJX2s-Z#)G*{ro}`7nT97qCK5fmuR9XO?_{n2{_M*lGxaS+L zja}WexCC|$@Gyk4AMmm*e=N(3;RYuP@$JaIZQvNR9sp@HaLBd3PUXv@91OR)cSgN% zL%r*b~%vR;LA_fV{|{v5O< zFQ-fLm&80xlBf%x4a<+ot!N`*3ZoTi|dK-&?tvD0iHC$3slZ0g`I zA*pwc1D??KLQwvG+bKJ*x9~GHg>l12CqwssFz_PkN2}IKZ|L_!LURAS(ILDO<=E>` z|GdE?3XAU!AIJi-2Tv(1YFb}fUQk$^x4!%Z=wn+=urRr)Nv^5B&DEXMjULv0iV=^) zd=rp2dfIkQC6P14l``nN>a-H+L8|~TdwOrP*)^zoKs`FZt?EGLM+1_|?ty5D=tI>3@{b107rPR?CAB{K z9`{boyV1M46w}q`#%*NN)dHoqZ}g|<>PoAf3~Vj_m1_IXv>Ms1pV~Z#A5s&|NU}P5 zYP5Z`wnYKc*XnjWRV#WT7F7)Z699a!0e~|Air|NW0nk{dyPB!;M(#4BOZLm$^{I43 ze9VI{@R^R~=B{5a16N)K9_P1NIVgocdhp+8SbSQYH^@M>C)X#n@0rwtWVAMthwHRK z;Wxt;I@N|qO@yaAfD*Fd92lOsx36ko8elVhXNDQ9l_TNekxRXCnnT=NqA31-``N|0 z_hH(EQTq}Bj%`OJBjt3+k57(`j0+`?wdcK{1f{kfi@Zfz)f-)r6Kidyu9MSt92MZR znQEq(u@|0os-@{I^QRea5Bo|8kEoi7>JPI^`z~8g1bx)sN!% zta(mt0?`GgF~kaUP#Skps9C-T?VmlujX4`#h@!PUDU2oOhtYr>ej=&298A z=FIC2f5tNcJG^ooDJBpz7n6AADCzZ=0Rgk#P#FnyaVkn?**E!30j#+Rf1 z>~u${ObBeZvzrIa>N7(sPB)DJ$5l7_J2OOz2}oVVP>7cvT=&N_A5#?GU?YhMtX*e* zW{`2+ z)B3Yh@qR0xTxPB-xb$uFN+@nRs#T14yITOqVNqvD3FroJ8P3j?15VF(9JLJ{m0#)f zcFsa=$tx`^jR0q(-fAi3XwBjW{tAb))9&58*7RxBfO*dE4GS(DY|bN-#eL$_-@q68 zW04CkF93yR381J36tj`Hf%nv6C&o8Vc8}4nqZaSj9RzsUH(MF0r@Ac+ZXX83@$J-# zUSyV-@3~csYOxU~*>vL;*4&v5llb0>r9aHv@-PXNr5goM#{Rf_npwO2(A?T=bYFkj z8`&7Ne>eYiR`224>#&Bb3NBW^u~CvY2kDKf-uEME+WSg9r8%xoWVOeO0(Ud%w#?Uk;PO41>RPG*cb5&FI$F9ks3RcK*X-UKx*lB|g=)k1v7qmsM~MY6Q5%8Jt@7 zt9|EfuWF``-xwUE(6*#VOyVvI2Jj8+l{EzUKFGg2Tt=__MIk-qOW*g&0a@oHCPN9N^DRQobcUhip zFSPlVRF!A$T8z>pRA^L3Uf&?NVdJIF_x4KOlTt7>r49VJ09KQX9G3m)-5uCCy>|Dz z+C4pPHSf_YDD%l2*nYTn+fHFHbf%XR$oH_LEIZhV)Z(0Zxt=K*_c32DrnKk}7hlW9 zH+Y#x{+ltqG+#Z&(@9NXeJ(}z`y9RCx%GMNp2m#8Jrt5BQ6-0Khbh~5tu9)kje?4!?Ce@I}N<-bhQ>Z6h+w~Hp`Rp z6AmJ(3&>4_`c=qSxLs7Z{0>K{Jb^u0%YL-+rzaf>endQ2LgHzTf=N4#xp#opVH+4X zGN1Brwd;Cyu||EWT~Ly)T1KSE0Syt-Hqt7>VBnBDOkH(L^(G>^8c`Csd zUEd=Y2%fSXZwD?UV0r*^H?MCGr+-}3ZXnFHUb}rxS~7Gfy0cvJ^Sd|sv4~oI$hJE$ zQnsr=KC9cmJ5OI`Bk%we`By+*TlSL0$`R0sH5UnIOt5g9#~8Gv85(iy`FIfqau&3AXr-%=mG??xV20{_wo+spLI}wH681hHzg=h z!PyAB9b0x7M}B9IZS&E>=uowW+vXPmL093{+Q}iGy{^aY{mjO_FPlF_CMQ>`##ojy zb>{07riQok*+FP17eanLR+W>$9N&pLtG#~Tp0so(-w(iy*h6N#vqiKly^nq|7gJ{p zvf8g2-dC`F%waIpoJ!atPk~I+E+Nh*oZ5{3kZ#%l_UW0-$U_1SbVU{U;2XfvpD3I~ zPsFJP`=erWw1d-dewoL<8zjq!g^Uo~mmrEWUA-PTeNJoy*^N4N-N+hd5Oja|F2@#- z%Vx9}Gu3d+IiBe8vX*?2Ok~Nmlu$BUo+`o}Bf%<<8y)~>b{V(~d8V3WYkb%;aS@U1 zf5C89v<*LRL)q@E6jelN`yK|BQW+{xg0wlHFB<(3sN{;hs@WtC97;{!Q*>pDJe+X5 z&(BK}{x%oCHM}9H3#`j;VI0HIoux_@SB|(o5ORRHGt8~C>7}`F?L;(8?#8(Nw)xSL zpM4^Xu?@&uF)JtY8jV?Ls5e@BI{r%y2a4Ah+Zv^kG9`A_7uugsv}GH!Vso!|SFUWc z;P>zyQDc2H4BrN%N{Qfg;|yyS5%?}+91rLSENH7VCgbG+n7(>@JloHN@s%l33^(1Ei*&?3fwKu z5_c0L+zH2U2IN>mhaxyQcCH;Ce$L)bmXrc^Y$%wntw9xW!08fOMnC4~r4{nwv?p2z z8(zQ7nf6nGTB@~APftE_?m(>#4Q*de@14lBFcnVLH7;J+JyuZlJO@4-!%#R0yw7J> z$}%vjI*h{c8>?WeyaP6}oGT*oGcx2Xd$6GzuFnEihR$AKYC*1pILEa(?}R$!=5P%r zO5{fXULYS3=^Rg*97XEzZ=}Gp6r1IrogygD<3RYb6y}_5b;vx2xrrYXaUPr1T=OQ} z4u8KoA-rR;glWd>Hny)u#XF1cOSbpbNiTfH=I&-m)34l4pXmaMufLm~*L`NS!G0$e zuwZfkt2DnqfUuLkJ7pDdyR7N_v!+ls+(Y+`nW5PoMQuR(vc!EY<&<9hl(18LORKLD zFx@i`_qtK1lJcfs51sDr_Qq951+Vn`iGti}f&k3UN|{-nRz~A{n6iu-t24D3LFf{z zwT;)+ZJnXSi2I(y{pL~cgq^!|Mf&u}W?tNM>#|{4!0h9QILV%-+zG;-Af1yJ?IfZI zF6wR)(dZTu%gd6IP3zB|syyhwnLaqXS&mCi{B(C#%ipzoetq8TtbMat67nS-@?iDM zz%Wg_)JYhapy@y(U!|~&`fY*ajf%{B$$@nmmrhPQ0^0Y1==X_S(6{ScR6{fYwu*bY zwEY1@jdY66eqgLY{|x+Yp1miU@{na-B;R!p__`) z^zCeBU+4#Zyze`pZTAm!i`Br!Z{nR2KIR0HKsUYKp}jvO+Gb?9GK_DN4$?lKMZ&>N z^&g_w_qq}>T3%AEJ-VF!@#g+=C}_h2bBct-u^m_`qo*UfxP%k8vQ!k{CVZFv;@Xwh zn8mrp@UoDPZbafE2&kw>pv0_xKWcA1zPKz{KB0jvHNIY#8QBP1g?b=zFYRfjVl{m` z2<=9751IDksA`%@^1x;u)rjv^lfL~!W2&KwL#s+zK=f>C_0RO$clQfPA*2e4xBpl? z)RdtIEEozd6Vnc8c5D`c;1F*uJOZZ4EFY>a*Gfj^33C7XsLUU(u}S;H)`sO=*a&68 zkGM5`>CE8M!I7)i&B@8}#gFbZvX9QBKZqOsTUwh_{qTmrHB4C&3Nx|!z7h=&2Ne&Z#!S)gUD< zKpvE~R-m9RJNhlh`<5SBy!Ts<){n=VWsZ;fpUSN##Xq<9{`~DaDsb#r#jMnCT^HCku9FIKrn_QLp0VR zOEhLLM>IyQKr}Y2NHpgEjOZn}Ci414jlz&mR*S%1L|s~b88!tHT(G0ui@+G+?A6~5 zSQ?g;wCDNW`?^V_#;L#P$(PnLVC7V=>m$E<*}WMow#pV=kGp4w*Nh8iFY0!eZRZ77 zY8*P=EQiduJpSyF_%7c;#%HyKX~(vcaTYj1OvE_{XPFP^&WKp4w#R5)d>8dr_IVZgRna#tP5mj-}cax!8AyT@_PN9P& zyw~lU`||?PakNWIFMB4EAd%lI-OJ@hZx{MyPF$EUhep1z^96kOlYprxR_|E9MR!uk zpbZ-3eZ~Cdl1Cv)%Gvm7Zz8}66zHF}pT49Ffdpyy7)yL!)^xn`zANTv%$~a!Ed(7? zZ3uaLp>sJX-g(!z+-y5)y8>;q^uhmS%~y~f zva+gPaB5l&>eZ=~gv7gKwBwaPG1WAkSX{G!W+Ov?1C@u1(__hsmp%y`xI2D1y`XQd zqa9cMowvoS<7@ItQ8~`874i8M$InmJyq*rmZ4Q-7yxU6?J$#`3G?9KlxWLN%;Hy#2 zoHG6DX$WUB&H1OZfJ2PNQU=`QlWk2IZtml>%iAL)I#LNQK0|7THsJBjfU;ERbu*~_ z#jjgouvKSjgaRZvPoO-Cz4z9Q0uqZ@$Q!o<%U~6&7}=bC+`h57#tZ{2xG5A*-+yUj z7-lmP&hg7-3ovjEABkKZ8ehf_)1>F8hv{4nG!E0pi%qgDQK$sDn7_5gZ&_(&)XuyV zT;!Kt0-KQ$m&fdy-iJsC9u9$nc1IeUTzR_X+ns62pAW9z4u_5CE{Z;)81mVNWxPBo z6$i)f9LFYPFJH9@(KVp4*h{30W?lKBfG<0*LQuf*uTHKb#k{c)s5p6F4;Pqz)iXFf z>U~50aNn|cWyNw?@^B>iO~HN;8&A#$A0wBMkj^p+9K7&eh;sV95Hj%d{PCV6KB7-C z2agP9e1rQii;whDjOCpg1mUq9lOnT-w2Vo9h}s(3)MY!rKlenc_u}OXlto}tpU5*^ zhDkS=F@_bgIs$#lqdINw4u-cNM#NM?N+Na)9E>bI%2|%sJz@p-DEh|k_{G(&8l}?u zyx5BWNle&#P$bc*wpjjhvp?{FRP-;Rj&v6z+_z$$8&j*Ik2zFa6aC@YFdOLLp7EJ# z%MuNM_U^PN)O}58yoZ5SB~Wc@3Ru0N9`J|1o!yFc#u2rr}0tqbCTndJ3!{6W|h<|icG~J zY8LHa4rmSvlTNtOl*xOy6mu#@o$vy6v<`F7j%Un7JtVeYx!O ztd~Ax`Ttbsq9|CmkFhI~ELn-asY(;jRR#I76rU2VBzHVh1-*qsI@0PzGj8d`G%*YU z4X=h?lOR^r3&rPZ8{5=Tk6Gu4Q3*AwI0nN{1w`^c5$Svb+iIE9P*yo$&()&t>jj^e zNZ(9l_}PxO@LMgD|E1p63qCE8zMi7>vwhdXueeN}gMwz=F0JdBA!KzNfdE1kPf$gK zac_O}JyHmf9$D{{0AqPG(j0j_?zU3hqn$A<|L=A7!NxhxG$WvRB3}jt$eWo+ANy|c zTHg_lWtV}Ah02XuyDJVo|AD0Ce?t7E^pFiElPe{t|KFijN(hk{@jxG%F(ik8#{1;V zY~hK#NCYUEbeo|^*EA=A)FL8Y#ncy2{*EUCd3}v5fb~7~&d-rT)aZ&*BGW-(h#DJ=hD%lu>7o47ML^|s2=k*hHn?5Gi@bPB|Ur< zoAlka1}-u`C*!r^aLy~yhWXfon(W5?Eql?*!=(L2iyQmd7QLFkL5IOT*l3GH8IttP zbukX|{;rD%-bCHVmQ?3d1}zr zXtAX#3=EDt5=VusgIWgO!o)f*$nfG7_GamQi|A^tn8Zw(ipvSKW*)QcEnw6QtaU!^ z%=($}GfewK1p~n;?Y80gmmEDMCM5-+^9|0SurKHOK}t=yz*eWOM%sfUAJ(Bu&BseP zbqq?&tZR%r!RlW0t9s3R$m#p!5sP$a8ARww{!t=&Au>@5er94uhc>tkU*j?|Tn8(( z%(J{(4LTsp8AiZV^30VZ6n>u_==%VFUd61~#j^Sa-Qz#*uYP&1wgQqna3rel)Lh5W zju+LR!cr2<>aS2yzs#tpG-jV6ByL$b%b4poB&o*J*oK}r#dybUVW*SYc{*< z`?z22RK!T0m#}#_`8`%Q>Gpt9m}xY-P4tIZ*Awmy{_HC4)OL^tlu>0Bot2$n(+Qa< zDdGfSMo1FBO%2+Ei^@%y^m`2vE7aol9fGV0nIvnQ+G#d40fTi9f2=a2Ll?w@$y!X5 z=Zs9`2Ky!)6e-g6m`d7>Dx{Y(_aAh*A{^FXc8g9WyTarDE;jRsR8pl^&2#XjS9E$& zr#p2{HO{y$S}n2R)O0;osETjNfZA5qbR!%N{ejH@G~H**A5|(2Kp~>0v^ACvMe7xT zs;#u^ZNn)o(uBEq<1ty26?+5<(PX4WMMjox3!f!(;l_YjSVx3!#Gsl`JXZo42Gema zuj~q>LZ4Ve=q39{6e1PE0ZZvng8iIo_iyj^en6IztED1y9e665e;bC$i4p7MD z--8qz$3p@QfIs}FEcy72dzY46rEr3(dQQ`Jmyw2^2H&KUb zGC12|6h%UkVpzed6(nAf&3)>7a%rYq$Amudc{5RB&ARK`nzms%-xF5}6FUIT{)a;V z9^_Q|%%lQ(eBYy1T-{X5LrF-8Q7NUt+*hvl&LoqzQ${*0Gzbe5g^U=3mtB9M+|jAC zqZ@5R;xVhf@6l~S23(N(BT#Pjl#0-CIY9;S#T=lxjjDb^}Ku8+84x7I)bHO3W?xvLRZ`)J1eM zY=m*+c$q|zy{}=T7(hv(H!1HyCi`YG@*&l6+oYf=2}Uyrpo|2RQA`nVo)`WHhyB4J ze{eA9B`%DQn`Z~Hf(#PvEoFxU%BIGnkm|}u>zcv^CbFdWaQKqf9$7DRa^KwHo>vETl*SV7~$l=RfOY#->rH6->wsyqr#?yl9r z17Zb-!qaNO6R7`z>VL8U;9qH7R?yNhB`@_a+c!IKB}n{_>W_j){9!kw3jALi6R5hMnjLLL zA%WVDk;?E<4WD2&JtOr@B9i)nE+M#?=V%8N+>|Y@!s|4?RMMM zkHC{Wq)k<{;qY(KyV4lkR7@*+9Z@TRFNrRhHA3S6&gRyYX&(Yn{ zYtR{y>4SodrON9!V=234fNdtsRm~NE%{9Qh_$&}qaE1ZRYhHx?YtjTntLffkEU89` z8gqoXGp8p=doh`YkQoZuc38P!7+_`&SWoCXmPl>nHT=w^Ck2UL`I*uEhhWW64*YY3 z#~%SPAhrSt{n90xLaHs@Y!KnmJ>?n--syXxSCQz=3^)m38LkMk9N4JxDgp}_P>V#u zdh9?06xlp_UB^9EgTxh0JmlZx*d3z(sOi}p*(LuIIk;IswD4w80HcgIfZOZ8!(k7c z)I&<4Wnsq_m!H0yZCAsACtr{@MgN#Mc-b1L|Kl(4ruaA5HX9oF4HM}#3FR;mH1 zP$RdayUEYJpf61DGv2hGQ1AhrOxOM4?do$=bCik)(N?YmQXT2NhaOvA;NjNu!Qs5>2=W< zAXUo`;2i%7O+@9#xMEBUqW?~r3egK(3J1yb7X$G(8+~`q>;A&dt(5gfa4TYz``StB z9l~>p4zIUuc)fkZ`6vAOytnUTZ2VIy`qv`z!>-W_`w9o=^d2|yjdy*E{_FOV&b2i4 z^KpOF*<0s5eSKN;6!i2__72g}Vv)Nst1a|p(F*DPpqFOCtfa#3q{1Df!Z>8Yx@5v% z$%K!|#3jIQnAzU3MM;m6y2U`V3FwKO=G@k+cKfWSS&U!ud6v=9nK$xC$Pf4A!V-|r}WTrWcBP*{Cvj^l_Vn{W)Dm}XL>nSpmV$_zyM2Gvh zT&k0JzyrtjQ++;$^oP+gO#uSOob!E~PsDK^1sSwu)6&kMh@k>d3L>>C7R~djQV7>9 zI+}5~la!tbZ_^|?UOnQ3yQi&u~$m`Navp}6Vme? zXjAiIppS+0*hJdPU>%zLMb8(JINo6WH;Mmdko-G2Ni30YN!5{<qV!0VC8|JAh3)@1cK}8Wmj}Nx~*gc4Cb;)zd5WBFDe*zZ;f^LgY-I&=Ty~fE4^n_ zGA1*CT=s!4%!XXpL&EvMcYWciul^+duN1>y;!;Ix8+gHNu;+=uaL}hgM*r{7CcJNi zBHIUc+r-lVz_`?O8evIEXMg|o+uOdYtN4=>`pr#+;SoK+{KCMJ=~2IXru*j2=pC|R znkEH~!xsAH-RT_;Vp>oX-89db*doMQc`6%b1i9tYSkLLA<2MhR!!M)DGsxd*ID2HG za(uNJH4R4P5U~260o5k<9m+=}X{7r80f0*c=1Ckf48#5> zL7LluS>R01CHfE%Ra17pm*XFW6j-{jw%6zU;azB=v_Bu8KcSxROpvk1vk`mMx4mK2_=O@|v!KCK%n8tdR#c%4N!h3c8ltW1*F4g;8NZwn)xCiza3mO*kBA z1YMUbFBBm28@AS~Kekz@4Sk!@LtpS2V^X1>t=)3se{fHCVswFQrBeZPDyCy?*r*fO zClr9rf1nF6fzmMUomLQenSvr)`zJvkQS6R?;nU4uo|XS{bI8L#O>C%De|-IHalQcA zFZW?bBcuc zG&BGaU>=BpBH<2*v+L+f;%vc4kDy9*dKL(5k39;p zN62_(p+3VkWF2!ZzlQOq?)&an3uI`wxIXBy{VRQA#g~qu zfx=(V1@ikF$Iw{yM06TTIU?sIhJK;y0KL;D4s%3aPYk7_MD()YN!OAIp{Ffl|3&|* zK)kAw)Y^h){5w#E-HL2c@mj?6CG7ILI#8mBj2^R?bd0NEV!Yz4LtDgl)+yDuT0xqp z;vBTl?wK)}5Eamk4s4XgR1gy+BDZHjCzA*J!VcLYJR`_R%ut_z$0jdJM<@Xd3DqL%qY0V8Hycex+J(@HyW2rEDS5J185An@w`P0sDS;#F<@u><|a zvi$c}9pFkDyYR69`yn;~Zn6q2S-v5M=EW)poU^pzBdxVBz*|0Ca+(Kb3gDgMgzwhT z_=;J$Dpkw=kwN&O=FXQL1A?ZGN^xpl zX7+h?N&Cmpn%++G(A;G2jGXe*S8>gJ$%Z?iFTci>#Z@Nsy$cl5KPm6NpyK)>qE(VU zjlf>AlUdtQS<({uLw~1jI_#4&)n%l*OF=NS*ZFKWPX_^z$#qS(XIogcq24uRCqzkU z`yY`)b6@-L%D8OLrmc?Z>rN6deNry!F^wxaY;46C(7Fn%{8g#h@}i{PF44i?mQ!y- zZ|9S;(W0BL+w||rYiZWDaqT;?zz%?i1i|@WFq{*Hc8nLM^^EE3^1qk$^-{fLVJ|vh zfrMI2acs#e=@QjHVTeNqlzaHVwq;na8i8@+9uPTZ%Y+*NTT zoDH}vGWXD2&0RDnU42RIcfcCmc-IfWwzX{AD&x&L4Mg8H;-T8mkF4*{Fxb@VwVxF~ z-;iLb;7#<&=_*Y8JdRHea&eI7#FuWul40_ee>s zM`y(yuTWZKw4lJOg7S=V-qW8&o}n9xyAg;(0N+N1b0w^yTupKQtoo{*{5$XRiD>2n zCCVWS7jgcadb?7lRKDb)Grpu>mG!c{5#g}}(<1_iNh*87VxhSNK3Zxf+g)j|HJ~CD zuQ`DxKQqt&HC~u&Ho3ay{5kkIkrux%;%W!~@p_kUcVx&RiZG867yaydjO+45-_N)I zy3p)h^Jmj-7<#2g7_HB1c#tuiw$YDJxTTYgMax2N%}o0fA#G0P5zfzTUIqXL$v(m< ze}Xpi9_bQQ9u-h{4I)ssJ^e`b7U^{8*vGZFS!WeP#d@zs71BJ-!CgYYVHXi!^)tzqWy&EN>3y6^sW6m zk`?M`jPIpg5qUNf-j+O%`=da6Lf|ovG{zA9)6DcO*L|zvzWcW`At8btxY@>=~Y==lvb0`(Fld6YxJiFrYVlMu0_yd;l z>`EdNz3{`KdK3U#42d;Z0+%_>L{+PoPmBsNfvLDmbGoI6A)%T4X6+pj{OMB15|JxSyX@ zxS=33p3h*}D(!tj3^ZmqUwJFVjW6mWl@V5)g$6sNNE`8Jpkif`j`Q^SFO4xSH7wMMnD6rrMmhJd5nF#c(&wc$C1j?I93|i}r`3Y}fKik2NOA9XieR&hTqRWUcm$ z>p!uKE`r25C0_EB;>-1Dn)cT{gOF)Qu&uFCg3noG}M@0*|zVA0hJu4>0 zSdvE3#6&qv3n~l^@=%eUlxc7Lnqia4-4`nJnqhjA>P`7L^jsO&i7)wX9Ep0!qV@Dh z!DW_9oOE0tP{;5kKl{;35+xC?cUrKRHF8Pp2d?vE&n3f^+Aqx;acbRzm!wk zIQPerhjZFLobL};ln2~u!}O3ZNDlrIDyA0Fl737Tl^N;#2~Zu!38d-#JHBBa=3-9# zO)|wm|F6<&8>s#@e|XOyE(PR*s<(}L&5||zRKbb+g~ruY(E*t5~JV(`)h(7c9gmZ(z}H0wj4;aA>KOl?$emH{a)d$KL@QFkoUPAg*#(wJyQ zz`jKRJ4cgOu)=Nr%ABT;9Hg6H_N*+V3h$;3riNKj8;xF#cQXlxZeakNcQT&Djt^8s zlo7sw{z44&A^Lu(fQNy6KxD#!ck~nCi}Td*bJUhu| zK97ux1FTc~w7}t~xURpvGF--F@1C2f%i0C78{nC=nK-7h z|8o(yN%J@P&#p#uPs9kvk(SP zNU@)RGEu>PZ_z~}>9&}|MmOth$vZ@yr};uW)=RAw7eLLa4PTov642*T8Z0wQb@FYA zcRqyz5DoZ3Gy1QvnR|}ffPwvG=NpzsJWoLF=jzC>m>;na1`6Vx5d2S0T63Gis+)6aL=DMi~6oIK$f z!>Ji!wtL#}1_qxN8VjC#2@#F73MV&-wCZJ^So`~%;1!apUs05Nu3RhxuIwlxwaBDEMqJ>gdWt&T>M>~*swWk%4Qi=lEP7AS~C;*Phm&&4LRhS++CMf zuodK3U$td};km%$gclv=3EZ>)2dLHBJx*Y@vT?rL;Mlq;wF>j_9=_EWTuw@G(!NW=?2CdGGf#VRzUBm(S4_3;cgTEy>*ZOh!@)h*tjN9@Kau8_ou4eV^TNSlxN5#qoVK%+{fq3^cuT%?mDV zJ~Nk^ihp`6yDl~9mp=g=wkGwIodQ2&eQYoF8&M)^B&6&C`6{hz-tn0uD9Ndw|c zZ^a}3e+7wqMu7rdJgG!~i@2}4 z?RD+WL`+uz2bc_dbv64jOsVGm1N}|nW8@f~nLIT+K?eyut%=10;2Juxr!h%60hjU6 z3o?^^Cc`$Brng@_5k-GLa$iN+>%xQ~5r+Y#|rfBX4>A_X^TY1!=%(Gr^hI;9I{vuYJAyR7& zoK6DSU5dX5a3Do^0=^_6g1G2nhv!wnjGSg!RD4ckK#ishk6458bNkHwH3&fb{5ZDw z*H#E=xDcRcXCCD6rbLeg+$M$j@hgGW!Kx2|YUegC8SY>=Qw z`Z*~Yj|pX0$cm~3IO}m|`Tzt%5GDzVhRM?eFp`2|B)`<;b&Kd9%_$J)|O}z}{*Kv3mcnLvVzB^dATj zo%u`XRyyraay@~{n{%mTk^C#^!A(te6!0S0p_2oz=CP&W&Kx3GFArY4`xT${%8#5- z)dXUR7rK{!6Kph}>_`5{=}~N4j&frx-wRX=sOCR_=)r=s${ zB?QmThmKan6gY3q^Z;R|8HH@GKp52osr#t(UN2cE4*VKL^5N~30dKEha)N#C&$-Q4 z*X9mSkm6;`8F)dn__Lr{0%1I9$*3VZ$kyu${ttx4{$UvW|4oT(O}{PUz)!POem;{6 zF{nH0(OZeB?VxZ76&Ui!;V>WWe%c7$DaILQ<7oQYK^@PGWw_p10geW zo(fFuF3wbVEvE=c8N=^iRQUbN1GmM9l^!co_-#iJ3`F=u8mah+BHJC7Q_o`)uK0;0 zo=;GIA5Y1}Yyt;>jC1X46+i@dPnweOEA&56cfv1VAYCO*0(?M1VHSYzGS&p{2}-T! z(TpvA;{2SF^LjA)zU^Eg?KlCYr%rhXgB_Y*sMuCW$JZ_~=2eep^yxVy{Lr;jGdIN6 zlMf!-9K10Z*g|tT3_v;zXR+Zv@lVM@{bq=zK4DB79`}=5&cGy+9BcguMENzpT?&VW z!1#~IgZMT7ZYNHKn7$}}2-cg?2(lGU{eWXh9-J`V{@l#*l?drwsWq0$Gqk4zol4t4 zUV7AkfF!(d3_sSlk`$gW?na*mjuP^J)xg=UZVu|L)thYgjAS>wb}womcldx_qeqpz z7K4SOgqA(>vHIsbSMG1bl<#pYr-Ee1+Xr>wPaXe(hIj8ycfxbD zB^jEp>9(y3br6BnPube&wv8=O>h|eUbP(-*&@Hvz&QnXIFp;ThmphP_=iFb+gF#0f>COSRZD0*4yyh=i?lJ-Ul$406@=q_TH{t4zeQ+?bA_L+3N zfZTev9>SLtX6Ok9wmw)Um9}r*(>-@DDd7$2FReBSwui zythB*!`>W?y5Ory<6Kxse^4SbJ|m`9gJKFhREgdMP{smE9V)bEHQ&jF)hac$z6ZW9 zsWim-V3(-rLQsma8Pcz%DFFq%2rzh>#0;+ZSURJUbVxm5|zQ7uw=HQKeBmasF6=sk=)T;A>) zJzQOtJ@`J{+1;UhDQV64c1D7X^!i*hoSXD@nG0=<$%LuKsh7v6T*Lm{NLL(lTIi>0u zgH(HtCM_ZcW63eP8n-o(!rPYmHXV0&bXi|wz*~ox{^WHych~FoSvn}&zz|NmuBII- z##G^}r)uR|M4tkKstX6P2#Yo8anp_lT_TXwqG%MJ)WR~uxP+Zo6xr4y0)7_ELEtPphFU3S*l+w21>Xi? z7uF#X+NtGYXBY>w+99A&Xy_m@&(KDZiw#>v}&Ufp+ znvOgv6VgPT^)c>SLXthFCat_^d)JH>wkOVQei)b@ZE{aUD$)Z)sw;TJ;tKq2H)0!Z z)k+O05qi*dy02cnwe7yrR+J`QztT3YG#+X_B^g+dy84z8Rmrb5DyKuvyk%Z4=l49%PlV>QYLT7|hfx*SP)qgCmeXstBQXn8#MbB-xua*l z(MqQ3u=-qW5pG;k%nolZ1E9Hz3cpJMMxhQjib!6y9U%){prcS1WZT96YOi%Zd0tZY zw)|^oV35G74Pj133=s*QmcA6!3DKk*B?J&;Ffcw?*me*y56l7fO5o#Q*B--BSAin9 zm-%r_D1`dcRkZ9D@EM!ggK!LYNsV%Co{5=9b|^K7^MQ+j;%~(Ro8Jaf)_4HJn2Apw zFb@2m;RS0l88DVLIY#JkCy;RRU;;zJ6H8#2sOw^fj|4@^gCFV_f5J4l-*xVzD&zzO zQrZg&fr+rz%f$~HY2xR;*%iQhm!J$+k5fnU{qUzK?ax~sztW~`lME9gKfUYt7-T#l z@q;UsW#;aAds--)+*cz>y6T(91&gYR`~EUE7X+^w)8EbAg=p|i7OTmznpuXjs*ycq z({TEpqLYcC-ZO}y`Qg>CmuRsb2mVbiBi;Xxw6B1QtJ&6!Ai+s+cXtR*aCZpq(73xx zu;A`afZ!6`A-FXVg1bX-m!NYx|GoG9_sx56X3ea%d+%>oojRv_pORhH{a(zpLPzo= z(7|qIwGy2yJ-Y865AeDPtl-G8(^##8Q#H#lMmzx?f21jR-^> z;w7jnYlygIk5b%96X}nC{Lqx-FLw`)njR51=w7ehB2Ha}V=4C5`L1WAH&p)=#V2bj`&-R(xPU#m!9_L?s8d5?6=|6tDm#KLd;B`N5g9MyYH!0|FF|+T{cd0gsCsOFulH1!xRiOS*#$_3ffr6yRraG z{UzLJ*gJF1;BWMBUXrdxt*kv&AROnZEJuT0LN%R)5I&W#-wiFc;@QemW~;5ZRnfRq zSE&V&PVZdC0|56hE5ZGaJFF<@FQNZEkry$DUR;eVBW`(cIh3Qd5IKD9BdyLT9(svI z>G>B)xsNU=VwUNpp48_v85X5mUjUb~aL6+Rm0eDaH>lX6W`;ymE+Gk-M1hQntn{qZ zky<%QJxV0bvW*r$TxHWmwU~6;#MT@wuLWFTEv%B#93~gK&)Qf8r=+{n&rVW0vQSef z>7to2jb6fNm5~lyY@_(GU`1Pd65Zo~p?LO#S9seM=F~y_2jE*p;Jfr>ldAgITD;rH zQF>|hTttfT&DEDy`K8tPALs>vIYq$tOe|y%a3E^BHY}s*DH>ivzlP^@2`~uy)eNKIsFDE~e5B%L>U@%~rK=ARD6#^|A%P z_nxU_Ck1j*>&k#i16ZTp%0&`zw99p6M1Uu7Tu!z8K;?GSlnh1w&`kY)XDR6=UjDcy zvcA-}YTj?K->AM}F=s@m&{_iyHjk)D4^R+u=GBBDY8t~~fB^&u~(;~Pk?p0s|b6|Crw%!dQEL?jn=NsADKm=y{F5&pmj zGEo=J2m#=N`pbODO*w`K;GN9Y#E?$AGu#2MQM93P4Jx*;-xZI8SLd}wFR`y*6^~0VbX}xYo}ALd0}`>x+0-ckcAcDJgs6C*tse8J8*BKXfUn$ka)NefIEA?G+Xz=me zKQ2Cw&E>KC|=oO1W1g|_K4VzSHcZ6Z=zELMN>Deo6r&vsC` z0q#!6>>nPY(JKh}Ef_xC#$8KPFMLR6%hEvlU>EzHIjj^ZeH}`lYNFwHk;2;!Ox;__ zR)pkavbN1TZ`lFGg*cE|e2(v+3!lt7o7^z^Eys8Xvqaer4fc-t^kQ#mW0>mwOTsSm zX+B(0?27aciOjgX_tb{(U9Xk9%@2PS zvlZeVzl?&w?Kd}$a!VmMGSK%n#CGs{@Y(Q$@W=43&=~=$U3s7ARb)N}4GGsTiKPP2 z90KVjQt2=IF+Df=XHMF%X|Ae$pU)*rVy?WhB&2=HSFt`Q?}O~-1{{-SL@O8I4OvyE zt==S*l){Ln%Bs3hbQ_oQW|Zoevn#WzcFuSg7?=9$-boIrX!gFAE^Ns!aa8RQt^7&_ zydSMGQd!J$3RVDSF*Maem#N67($7^H;{racz9y^k6TN1uz@R~a@zK+#pt$&Yr-L_u zt7p?R2>M0GaO-!=T#l%n?$SrH3Im4TCewGLQc7sJM>n40+pTl2bUU@hhOmsnY)Feu z%@{|kt246}aqH=x4rcDSyZDehrEgAeCxRzR`3AKAo+fzL9bxf}vu&}r-?$c%dp|YJp$4lF>MjX2$1&y=Yygjq` zEhWvtIes^}N%F_H35lArVzSDnG#D&WX~8PJiFTKZ3lh@5#XI#a3IN3`LmZ-@SOQ7` zoPRttsMs*F-9_2A%&IsIWH)+_=X6++;1bv3kimUDGt?q!KZRTsLEpw$bJ{Z4eL#xv zcZCE6RA=c50QV zBBXYmZIp8J$#DLg7?nnKI!x9?FpAEeCpw_T{=jx16g0tBODYOLD`5zv1!_HK8G;E- zHn$d2#2I+PQ!^Tp-2gryyGdKRNRM&30TZQ~NmM``Z{ahnvsBw5*e#`8A1a!Fbu>(1 zz7u4$VU-{n?33I1^}4xj2;YYoiz_W3W$PW4)1`m;6q-2Epw5HX;hLm*iZQV`1`MW4g_X)uqn3Aj9#@DNO&kbMBA7a`1; z4`!>CHN_YMv-(~epWP2(cJ^7HwT@wSzO^~?n#AnXwHb;OiqoXTik+HWVs)V%1l*P} zY`}wAdVHh7Tq{h#%WSGvEP^o-@G08@((77|auyj6LcCWd@%UWYO0|ZV) zzBz?r``Xja-zB?;NA2_at6lCT5)g_F{hHf5cFrKSm{%Hb4uScYWopPI6}h8W7tY}N zm*TNRT(QDImI$P;kY_UBd5n4r)_69w{`f~MK3rn{qE_!-tc#ZO_c!4KQn=^5Kpu)r! z!SAUw+tB?!{tmUwzW{G_)0E{0kb(ZuSGm9~qO`?2C#-#YdgJ@}#gY+j>v?1_Z_($! zCDuxaanNsY6+b=3+7m;6VM_B+{S}{Sq%XdhCyNMMk&LkkJmEa&&=+GJ2 zOt}^=yXpJrB-etG*6&nPG5B6Dg&T)0YIa(&Uv|@FB9UeC_VxRx7f}KR4}RZKmDDY9 z0NaKZd$mCfD>^0R40zB3UtoK`(0hi?I|@ACR=2=+r-mV@%RsR?te1uxP%`THyao6A z1k7g}a5SZp0Zz7QAe|6o48zeBP7YDM0_JO8?E&7+I$2rt81SO_YkmUeI$h5%jR-7T ze&Bl^Rd`%|u>GV%%~_ztoHBr9fesdP5W$6ZqFIV<Q5yN#dJHt8sAm4r@meT7g$1KGGu}@GNv)>GerL+E@QCV z4Mnwb3OcXe?4WU{ai?{sZlasR(0Eb(1(xs%73@gu?kviD$B#FxHVA!j7|b>TeF6T% z%Yz{WeTz?j9e5ce+~X?LKMf7fijwJ{tP}cjKGobhDJS#sevyO){+phxR+vH(7$zo> z0~L9T!_&+(ZHfN`XcQKAveHaAzR6}ADjO%C-S1#^Ci$MV9$(*F|IDuWfyzKC@vE8^5w$z&xtxkNb?VCRvll2-H z0gvmV8|H7S2Jrh2ZAWIwi$iH}ZjPoSS(!L4RAw{FLuqM%dIG4vRJ-(R#M(@-E~GY3 z#JhqHz6uOPm%%hDq@duP+7&{cVuupN*GrX@0{~!_hZO^ZGl4>8Tc*= z5qP|Ap-Yl2#pEZr-|PRt!Dak~h=f&u>*o~JnbzNkNCT`0GQ1wXif9O@3K!iGBy}Q= z*YC7Hird?;mmZ)b7)(&UkS7P!yB4cWmm(V)H>5T&>ky%*?g!hmq)}45tI*R8mPrA zmluq`N>*rVH=viexHTRh&m1Y({SvLn(9Q@hcDbk6%PQ`_cRfg$+AknYYMkN0C~2#A zES20o8h?Etx;-i~JWo4;}T=YY^Kv)sl)wdDbQUqm`vUbhzsUFLs6w>M2 z5q97C6(6C6&;|NRNjxR(aSRJGOR`o{_WPbuy+sn3uh(W#E_tToN6f-ghmV?|tm66m z+I}9T+M7S&o%Hqkv6gL^#~6F|W|d88bhbO;8O<9zmDrLAux@>V>3mIeFh94tbXj64 zQJ5|QfPlF~<64>_(~t3^h2$A>QXCoa)*KY`*3vceiN6UN+ke3ijImm? zf0&3DaeZaTwV zjb(oKm;AAJjS45POa2aLFQ2p=hsEOF(!;9(qX*qC-7b-?k6kkU*S#vWs7GOyTl*^E zDnrv1=q2%GAR1)~ui1sW+G?JO!W%P?OWyi-Q{s$KL5>W6YmV3R)-W~mh~!$uwnuES zf~5{Q{!q$M_lV?{^mh@eFI0hXI)$Pb0HIV1I4W6-N$XkAg=?wcl<>^--{o@W^~wb_^evrbpR0uA)-tS zDuzClZzY#Uc5(fu+P(h{tLJsd;xWh;oO7mNe`4Mi@W(cMr@YFL+vm)fvg0UOwN~z& zFYrB3;6D(xu@$Qs4L6<;u5ZQ~dR4N~CW$F&b1YT^d=I90XyqucIP2<~lb@X3&Ux=( z-od>N3-TB379=$!j$@9M#FivSB!35^498$T1F4xG>!C$MZ&hq4-;(mHE-D8V_cNG_ zBh*i*ZCBK5lH7qBqLmS9dt!d`3|G^aNsb;IP!FV+ld@M;<>MpNgip0~MAyvmNZ)>X z%U)HHPu~F1hK&xWWmZvK-zo4!CzOV-p{VM_#JZpaqGHUF8sk@T=51&N>Cg)^Vv=A* z#72k>nt$O*l^7JyaGowv!>x#BYfvTBc;kc_h;hOVz zzUBaqUgIj4-odVfmC2*2`v)yLE6$#S2`$Y=9KD#C()7_c;#R8drcn9yrD|*e6Iz`0 zGjbI!AFY-dXQX3Cx_b_k5*oGC1%W2m$h+JPr^F>2Bb<;)eaISFlrTzP&lZN>PjS0#1)2yY6a4{JXO z;_`T7qDqQ{jK5OLfbQ+b2B!afhvub*+c7L&fA6xX(TzDm&qMk)`NNBVy$KrOARa)eU8zoV5P{u`Wbs)Aglq%IDp zl;#8d)KnlHH+)EU3iO}){wM{k_we4tN%QJ!Y}@!O=Wj^1for_33(_WmO!g*GVy{}&egEUkm;Ao8g!Or zNA4sxmUH@HfP9bM4~r)Ro~*3}H3`n7VtT7XXz+WzV`E?8g!ZVm9^8@RI&Ff$zK&qA8bDZ>fUQNSalO=*W*Th!va0MUw1f0vyPf#r zbBdQp=X%wb;hv~8P8BsfVDRGv1=>Mk-vmaG?g1Ft2EqI|JW5Fv=p`64zUqC@|5;d? za!Wdc1)@qYqUXz5>bqGuISSRdOc(m6;Jf~i71Jx7Sj{h0%aGj2B=;Y3-rwy)&)e-t zmy*_cceXtRfX~}2orFw(m!s0Kj;L1;rD}FatM|)VslH(|O|Gg*XQ_RFQJeb?-@Y-c zh};`4`%<--(-gkArjzBPAOk5j8?*|>bSh1*Wlg{A%l7YHLOY)yO}h|SIS)Az^kSP0 zldCY&@JvZ`;u<<=qc@B0bfvM3qkg&3=*F@eU5Q_|CgPT=VY8_h%g&`X3vYM#gE46F zI7}-Qe}7|9-C0O+U>wx>qQC1Pv;+L>MJxg<-6euIqhy7>rf>(b&FltaOH%~nab860 zuRl-^6P8J`Udurkcv@Z%sf|zH8?lAEaMbX+D-0s^Wn=iCvo5?II7(Ig*H1VfnGg%_ zw3ZOCZo2#0?H!nOmJqC#b>sHS)J`!xcSWTSTA7Ee_ z%-UMLsASrz%Mll8p!7p`yGBV&(k*Gk6^jIg%#ExyZgkMnn1$5nT2~OM4V@2z4}A&a z5S{}%Z#l!Vu?itae7~$Q%lSiA!NDH6t^(DbCy&(Zq*QMM`**mvi!*DKqSo54Gf=B- zkSv9J8!)7UQV}9-ycn8aR@+oba1u8hu^++EJ6{gu>&6C0Ke^X^w!ElhK_IBdm`e?g zCJ>geiFj}NC7(kRxAw1KS zF6RIdqs;7 zp^k}Jb;zJMuiaMD=x$>92f0qYOJ`z!34tfL zCHLbcLO_+kw7XDI4bv}Kki4>AA#}Z2t*edq7 zobzQ66wF$4CyRkmM%6++sZA5Xz&umeQ@|yx)i1>Y0gcyjr0fnzR^d~c zdZRxZob8%y0v;FI9?Y0Z>&t5C=0w!EDtzfsjju~m0Lz3h9jQ=rP67+41z1ZAskj!$ z3Mm?8bziO5tl9+rshqVdZ$mxUya*xi6aq7d{9Sq2?Boc8%!}AAW}L-B0rkXoXIZyB z=^T-$X0+7H6!;_Ui3UxV#?jJN8?|Md+I`y$Rky|RmPONP^DpAz(wZ0+)|F5ias4~f z1i0ci(y!1<`su!6Z&d?{$K~afDM-ccyi~1~3p&jtXu6Rii-V7-`)nm>TzRE|+7P}R zt$gU3Xw;aG4)iqk(*o!v!F0`P1(=m8y1)Y2J3p}nciuNGi$Xt^kpqrhQJp(gFc>Ap zDqrLQ3>CtN0rr`>rZr(c>|jtjvKS(l<#kS6H#{5BW?QqGR*rhFY(wZ>d5xr{)mm(B@vx5zHZ5zixP?{V7Y{-ms`l=Z8f+0uPd#P>~1I zVB#L{jM{2-!(H@W(*&cO12MnVeoQw8RCZvzw-s_T7J$jLO(yQ45{UzJO!2_Gf{To7HMMMwYSf}btGBomly#X%Hp}k*=2{hGKWd^8kO*ER7l*dZusskmBLKQ$dD`GXCHOwXvQi5hLiFa!26b8&fy=Mzqz$ zo`lH82chQSVpibk{ONa&-^0;v%tDO#ZQt#Y!U59hz&MLOrFYh;P4^94r@}3$I|uD4 z{WPrYKy<~0^n@qQEo-nOyRTeQ|CO4nw&L&uCcik$o*Z&IekZI0>@8_N_M(vyGQBFZdX`L>xQ zJE$S)tl!Pa3HEi9^W{NYmmnw)@6hE zpjXII_cJq(WO4#rexR{o8U&`oZQ5t_nF0%M^t`|-1tXdj{oiP-h1{@Cyi)Ox z1q5nA;N2f+%qn5El}>pfMYOp^l>*G#t2$LD0E;szAL6ReJUn7`sRDuhbZcJn7U=j`y zVD$i-?QnJx+U3j3cdDEi;&$5K%G7mn9Q)40ZDx^W-paXp-fSX&EW5kf<@`kOHv!gl zBHRS)xWB}@-W1Lt!diG}`mr+*%pt&7_uJvi=*@$juMRcP4V5c;p#u}jmIHw-wcSYN z_OI!dzQZ@x1@s-rro#=ef#D{L6LHv#aFbZ|#bxuAO-Dj4REBkMSfrYb=SXCg`=J6M z(4AaXnGwekE+J9ovqLu*=Jwoo{DC}Yj2*7)LIl=Fm+1R?HHBPg`wFU!1Y_oc!tWe@gufDEcDy&@SPB4 zn(+tldz2YRSq8o(jyMA=Q_aQ$-jHIOQUo;)+-8X23nK<;qkie^?%aN8w(Y~eO19DfE7>(?2YZXPw^RC_r{4})ZBSK(<+S}Dm3=C{ ztqw|&V=GpOu!WRGiySno4^%Ur^5s{V8VB6fGfqYoyS-ZDVfESnU4$bQqM>Z8qdKA? zzPMA!AKVDw2&cXrX00oBoCy7d>f64_KptEL_j3ysnPYv*NXwzxS3yb)9+sb&OHFc$ z0iw8pW0f!)e+&Un%sc6mIXPc1F##19V$hImAJ)smgfC_}P_;hqz}HgDi&S0KfUrRbXcNM8we$E47kUuhP7USl6U{gb;m?cJr8u*;T;5`W`NFS;0V5 zn*a!Mp!>|CYr=Ot$6Qzz*#(BTa4|CtzN7>guSS=GxGr)d$JBL)TMdq86ur1?jLTYg z>K@BM*O+XKrkSg$C-|u+yYMj#5QR% zgPWPgSo?V$?3`Br)~s-ZfX@>)=31M~t&`pH=8t$cHhSV~wRPMme(Y;y4D{ieBy=w} z2WmJtk!c<;<*9hQuXYt(&Y2xK^8)o|7=wT1rc5i6Sf=7$pqCh0_I@n*TyiEKhg&Gl zD+UA}0KVOQ$(X##<|AeUgmW|koWFk>hf}Pym1iB;rlh{Rh+cBM@0>w8txI5}T+nh* z^o2)hc{`1kq_p*k9+**fdrULRDsJ4NVIkSCaG8^hv*b&8KrHEZBAJM_eAvdVqHP7X z;ZO{OU!u^ls}&UP-;}xJ74Bp8I72x251$73@E4iT^imi`G0Re1V3xbY@`rLkVJV3W z;5Ne00~4CQ+nQ7W|E&aY{8E^oRT+n(^=X=CKYFFZF-kb-DAWoT%B31er%mOm0o^K~ zLb84y#nvrv;gFNY6~b9v^PU#%fL~{4&z`gd=1kI6=zv{9iCVxDD5rp(TNzkr988qf zda`qEsoy+U3@?njclv@Vwm1sm%$Vi40xi06WYNY9((Pd;gV<6jGrQieBNpx~0vG>g zr^_gM{7(doO-zHsv&qUXhf^(T-qC{!hoq|`(^qLXB65>a!C=_>AOlnWVhSj>3n2!Q)U+x~xPGv=um8gi)}Y;g zh|eJbV0d4D?>f~CeT#IKk9HK6{j%*zr8sO?YBi>j3ugOQ=RiJ#M@JAcQm{Cfn5^~m z=Gxl6d3cZj{by#|Fal7*6&nzNBL_7mz-m__Ltq+4t~HKq1*+R;9%YM|2<@nnx&iiY z{VEFvv^LC19I4WHvR{!3f5Na?JUVY57ty&1=r-T)4KFLGAN#gA-b^O7=2S*D@cf(+ z5i8@92L|vDa&ZlWZ4ASe3*pN>8^?wYH{Y7PG?qTl9}{A_p+vNX^Z`}w!kEk0V=2Q5 z%!h-lNtkkl%O=-NGP3#E0JJk?Kj?TPlH5vEv-B9deH=pXCScrrPcpKMqIqmT_|tB3 z>49T(6c9DZ1(KtUs_a9l=}i9(*~h%qnA+!BX_2 zb3&wu(5UxPQ`G`gI9@7)$5vH2T*q>;Un&QI`vA1;^su?e znC19OG?XhXhJnjrU-$$rbEp~-w=wJC*hRYayY-{=EA%t<(A2NJPU+3_ zh%7#Cw(KH&ziodS9J7hY;(~QeLsx%z*-By+&;i@fq7xtQ{4e3&%Y+E-&DLPUCHgWT zycH}NFtO-C*AYYQ-eOYKB9VPkhQ@UY1u ze-Ou`l=2~-+Ysk*yxkJTYLiTdLyGq%Vs&r5uXpITUx5h%-tWmPVOfDR&X**V0;67^P!n=?7z9HB^TMJU zCBGxn(R&dD*!0cK8iZ0B6Nn3Qz^ziKlL|YdU~xvYmlQ=Fj(imq*xlu0!PCvB3PyI|`=7uw z2PIWK={Rk^a^gY4&y;@+TQvE-Ywx|i{XbQTr1@BO^5iB3PV^*;#^otm$8U-}~Mn@xptkxy#A zZ+@iTGo|JS?sOpU{K{?C58`$mTng#N=iOr6iybKtktckG&KTI4!CB2Zu-bmMjk)fX zu-Z4m5RjjJfo!!?yxZ27{u{{E5-E_k<8Kc?QkW4yhu^9h2K?9gHk(;)H z;+S2^D2noeN=6uU;IwM2MN_Q_awv1)_~VFtC~rk$ezA28nFS<_i(U-v1}2O{Q4t~V z-#+FuA%ud;vmPrA$bV6HXt)NAG3OBqW5b*g` zBBe)K&I^+$N73E*5!NfWYrI3bgRPck_LSVT1dqUvb#U_S?6(CUu%iM$UcQmH#mHQu z1$DS1d%BLx##)` zoii9gW&wf9utiO&D0M)y_P%e)yM@pwe3wq**;5w7FdX$aDt#}y&pslyVe-3yaUMi* zpnRU&XTb-KiB51SFueF`p1Di>YnSrQ;7II!L)A{mqZ}bps=_-_Fi#)6DE|y9%K^F- z37xvUT4i`eLbZ|F%9`161&$3I=;Ljg7oC8U-8P^Zxy9QLRlc)58b^0#z)?FrEXxX|f$B!d6S<=q(>{~tc&>`-jj7bo4+7(RGg?g? zV_-DJmUL(hu6_iz34-p~6qyIE&9II5tpu*0q$HP`Zg$l~y65hH|1P2vcy`|2&8d8N zm`uv?YdODA5W&A3#)nH&s2gdX;TfuTL^tC7`G^>}A~r&1Z^=I{D} zZH%&z3Y$)EN@_||J!?#X#v&8ePMPuoQk|`8o=)8L(lfo&o#UOLdkYdW!-y%fw)jGr z_l@Yl#6_x82Itz^Ldr} z_ev*MkDc5|pHwe!dXB@<DbiYmUClu0?kQrl=A`u`iP zQl59nEj)8r2;7gqdlEUm=4%aGtIc@B4~QYRoMq9|c{wORFuNtp;vhohbO|!c{5GP| zr{9kF>Rp}4lziT&+@UednS37g)f+^!`ZxIFepYHqgGvdMB^u@Oj(z6;R&my#nlQ|W zBwaK{pQp?plaJ~Ppb$_MJ#SY1{8n}Aw`IKEiLwm;6>k5z zQl#?S`V9DGXaT>ct16=B3V1FuU;Bo~HS7DX`D@Vma;A-fsR_zh0})@5 z`)TGzms2>E%Hhd#&*2DyOc=K?;j}PV*(f@8w;w1-sbp;q z(Wt+%HiwF&s93_d9of5@f*HANOc3O?=L07D2iNMp(sS7e0qRV^Bxd7U9R=epWQvZ- zb{Wz-avs9~jfwVg0MH;Z_;gX7ZzOk_)bufP4fAq8;Ny4trTucMdCSAK|DQR~X3y*z zlQ{#eP*WTipe;$_12x$e5m}zaejW63LKpiZBI2&0ET9Ey$S{VInIY}Qb2&|&8Y_7Z zxe#) zU^nMW25+<}OzChtD(Fy*#?yt8i!G!DW6RVw1 zo0~|FpY!gJ#4J8Zn!NZ*LaF*P@pGyQlp?OtxiER|``#scptDU$TR6Zm3mW?Ap@eNz zUZoB0nB%*AzX+TDX+k?y7h97TXPCn3sXex4o6`q~N7> znv!!>-6_sEEC(xb&g-Tr@cE{>Ka>vu^R(OtLqP#RmptalT4Vq;$7U00YRoxoxVB?^+G)RnrAs$t}e423BN2A?*PzqCcqUQ zGVXT^Hqh|zcRBWQ65t_SW$n{YbAN#_I`D*D+(q))IZ8k!B>balqL=~YpN^%rD>j0f z2)mUMOKD?Yy?>7V*-ftqjFx~38^w~A3MB!OrKIedz)lF0vUlzn>LusmSv5AQkp}{) z&K=D%WMu;qES%&cfXOk(pv)hnz)1lvaVGqHr+GA%W-mrhpzR0R@;f_5cStYrlu?!y z1aPY?z{M|r-Vvq(T91QHgjv7cFut39DL4wQ>wj=(?wwj!BRknDP;@)XV>Iwu2=9Jg zr7CP~e_?8m`AdD7_DA)J1yuRvNhE)TVY;p}FUswtwc{pO6X09+6Ael zGm8ZV5QFa$>M%cjM=$JN#QvD7)ojq_*yX+*a5fCRnS{_!hZQM;U$_6WNC2x%htc+( zH$3?*y5uQM?Ak}-t|GyJ@w9!6o3i)fo?|K!|Kq2nK+>V5z@TPm`;M^7IAP@KL%KRlT~y-bMAZr|&Hkq2Rq|SG1U%)DZsp25*@ae}c8b(Gw`NZnW{WC) zN>1hTU%qKel0*6TCXQqjF~=#u`E>d@rUX11&C@PS?{CmV7DD&?56r;%;1W_Bq(qgt zWEkmEN#57cY*jvC-UYVdcX62OU^xsEPfx|6PGtZ`CBEJru#j$YA&g4a+M-*l19HPoY|s) z=}ja$59sIyT6(z>z=)I(~^$_PXB|Ci{AQJrXP~eRPz95S6$nL+IA5BgyQswCzaJwdIg95)73v zpv#E$PWB%WI-T@Zz%kAGufg0wEl)~f4k7;7r~>G7gJ+K2RpcU#drk z9Z?o{r_|8k+_M~497{-AZ(OUtbUj?P2czsWof?vQIc}u}J0i{CL9-=xYFkI?=evmM zFP{QdN_k&ypFp?Ua1p8wyoUEIvxPZ(_DOFQb)gz2ZRrv#_hfB3IRq4uT?aIW!%JM3~jkQ(Yy!XTZSK{PQmDg)} zOs~FH{pkM#s;>A%r*;^FRJVhF1u3cGm05yRZOTidRO_otIn<{6OBYN_yNwMu|9jds zHUucf_{+7T{+VNr4vybnpJm@1oxeV2AbR?#ZefPZmkjuyu#mqeNMvoXy+Tch!bJX# z0J|3a`n3C{`}&Ja6beDWKYcMq^$r*=v6O-dC1MSOx$o3qFn4w>5V!yN`PGUe4)kUl z1ISycqxgQDA&NWH>c|nmVlekBR*A=5>_PmiwAa{9Q@i{V5c0{(;T^&IDi%-2HH4Ab zA;7gKz%|dwwMQcDG&h!6&pL3u+m^)LAYfJrj3BwCp!v_9sY^ijPUI%hN8{CrK#au4 z9qL(QK3$JUO@Pcmh=sDh3ov>UnATCFX+H9sK(mC{%ch;br3>}QO^^JU%4#AY0(_I< zI{V>79~5BlhT<7k@%*d#cPRZ1z+)l2L~pBj6$@pCiLhLsPovZQo9`L=THn)yk)Ln1 zK<8YJ{^2;&^)A-^MZ_a;%o`FsdKvX!MHjuW%WUaz*uOJA;G5@BXc$YVxcEOpql30N z9;R%KHg}`5jj+B5D$IXV>}VcvZ@smK<@A{Jw#O5UkZ~UEC-roU;$yWG z7jnXhylRKvvC8G$>2T}2dHUGDejoMv91nJj6M4`M-{ZtOi&vX!H!5g?NAsnK>`Zg5 z%P}NqcWh=T9S?AZD%fb6mObp-FV;NGv{&Yn-5R#DU}x{ayzV-9Z&lAFBGF`x00 z$6(V%ti$dU6`efbfZ0--acW84gVIT`ud$()GOO*$lew3^S-OPdA@D%em>vZEdtH3cY}O6x$?I^*Z1QfN7BpG!8ac$KM2Rb#s2^n!!J|a;XY8Z3Zq21-0eNUcZV!stcs#_K0HoV zo#GIht|f#xZD8I-<~@OR3y-rdqDE~VeY6T19K)dkzdD-^eXjdC6v z7#_0pq{JcM*|?GKM#t~jXyj0f7Lk#q;p}?v+cf{C)9>+2uEn$~M!*m8bXGyY_i3-^ zSpE8G``FyAj$4bsBJ>s-SN)XZ>Fhj$ojmsaKj_DXq}ObbpZD|K-iROFS?D(#YBcm1DNod zzU?vjlUpmdhkD+p1p>dLvChxwZTXZZ#X|(_&H0pWi8d1Ru777l8+ZC0LOLks4v#@1 zIw-{sA5wd-ghh0i-v5UP^nVp>4r(+<7vOb`5QhbE@L<~@`vf=tKJq-k9)RA^&&oU^5&e`bz-ED`+KY|C}KY=7F?wRV%je;c)eyMrD7 zfQBsf1m_lj*OioemGo(0dg=Yk(f3sNvS>eAlhO-gUFq26NRHGj(>o247wedNi^M~2 zr+%U4;PxH!jDZw@4_@DKi-8-#Zgmv$a=lWbP38bccumyBocJ_Je8*e-oocEn4XI>p@cp*jVnkZlddXy!duL_2GGyAkv00^(K&~i~sl$$IK%(G_kMI@08 z$+-yRso&^@F8Tw93%RF(^rIz^^zy1IbmFknN-TRn zCgrIy@&lAvXaOO@7|>(j*loznz0RCkH-q;6ar>7YkCf##|E{Xw=*n(6JoSRM88)mK z-ag`H?#xQTzi3JC61yUYFj;UOGtma$4OeWw-=Rn;QSP7!7Gu7l09PHEwgzLm$x$)x zD3^3mNUR|++!}}hLJ81KCV}uoxy@l9dr@?-V)I5C?Ud_yDfIGfY%AC@D}Ecn)uK(K zyACfIn)%jk%ExUuVh~K7`~_LD-jM$#-jinr|8VDtB}C5>78wwlfM$2bNB5Ka2SlI# z;h^X&ghT+``#lh0G70F3aBSqmGgZ2IDX*&9vqh#8pmIN49_^+GbUZ!nrRaBNlgO^L`D$*A`#oGA4U8)YRLMQv zZSU?r-(KFI=mQPI*~ggQ+Bd(o?;k2`o@R2V&MJgTHnkhezUi+#`%>5U9efw)@VR?p z|N3%Hd6>?cW*eg+@f==T+9TKov8lW3LBRL%p z5IS4g?o-he-X6`spi|q~0a4C#3$SHzxi{tc;p!kopWo-{ASAsWR%hiWp}j|$%dmSH zPMOP^zwd*)HHhhMkAdJCME$r}weFGNcD={Dc$wvLH^%BCQTvi<395jmM~Gc`!}G_# z@#bCNha`aQa6`9UO%%3dwqc8*+8Z1j$xTrZ)ilLw^Sj_87xNF(E`JUL+4-6kCRPnyf-Kd4d$oW7$T-dw{Dd3Ng0)FfU zykII`F_#)A#RvARLr7_`3WXJuvjk$M3U+)UMd60`KP753;@-}^MncC!v0V5v=l%l| zPa)i?A8jP_td~`~yo2~H>P{rI-6j;HE9>9`b1WF;_0V4;ZS9M9)-nFnROVll=qJaX zsIvbV`m3#mA|SNm-GUj0TDz0CbPZ+BE5A{Ah#bIaHA*XdtBkKhiWlQ_twD=O$kO=u z*0Clkvpkn;en%ctGLt@*OOx24MlgbG;s;Ebo{)u*V<7Ypip@`~a)x_cV@g;$YGgIk zXB~VXg@%yBH;}2cB7kut@vTK*d5cZcu%%E+H7Uh^23i7tk$IVm+t*frAt{oaQuiv1 z6T0Rp8MAw^fTAMM$oMDj@mn!__bJ?Cp^9;;zI7$5CfL5>GWI2)4ruj!;0bPcNks%Z z&9Sz%nY#d!>5Z=ft5JEF-6V%9zC`(kY(^=au9d2R<;|;K|BJ4-0ITZh`i4~ylt#KG zq~p-i4IA_qt|&Yhv%&XU?pd zHM3^++VA65gBq%;TO=*`p_fKs)fs>>H+TkQPE3x**Pnvv@bvyoMaKC(b;b6Bc`v1r z)45OF{P$M4wec+8{?+pBId8jP6bIGT@WZVOm9gX2a90?>iSYsCOgC3j!?)E*>*HeJ zhr6@;otv8up84A&jnZ9+s6XOzd-z5)kKFkp5tl!j#Q8fWl4F%=CL13{ZC_|$eSpBk z90%&s{kFJ42f6k19wUnS*>K`(tcpHtbM?2a+G0dv5lri+`p{3Q*Srt>Y?OrX^Q(jo zSl-SrBnQ~t`{fvLh{Iq(VrumF;Svpf?i2KYx4Xu!HAtC zV%q0Id=lHY>0_o+aUdTiMy-uqrcw=(gElea(A@AF9a3{lKyda`WHK6L2w~w{knKc` z{sl(e7WTflsOQG_=wj*w#s7>W;H zkJ0aCFwBT?xZ>J#f^lNkLGHpEpx=O}5ZM4Zi%>Ir8-8%ar?8&dn+WVbSIyZKH_xk6 zbIZiey_?@r$v|wCSlN9OF;G~M9S;THE#FZ2^MT9|Zhy7ld%e)T-S#gZQhQZ^g9r|x zJezz{q?|h~xOXpVe&K37$>-NF)VtS zG6MmIZs06lSzQQq<{sMVzrGT}m>%?;kp2F`~Sj{8kmwr8u zK2MlJv-vqAboY1uX>bDw56S^q6T=`&%Bvzo;V2F1Lbo$L?bau5t^9X1=eiE$Wy+T7 zNw^mb7`b?b`e@_=qhCDg9U<_p_YZnvBmu%0+G->qS@Sef!XVqn31jJ1oUi#rypek& zXu?L5D8=0b=j`f|eGswX#pV3y3N`IHklNDhSvQc6G2*?|$ZYu?)o8 z5%BGcapPq^9`FIZvG;?Hv3Cl1d%KKXq4jF-VSIZB{DIan8+ZtY>D!I4n2rM~2sGO1 za-iXUi}Dx@hqgkurXd~uF;8nPhLGCQjD2%+w|w@`CdmRE)L={5QkRH3*z`^XZgZ0n zXL%NH`1FFaL%AAs&3Uv!jg3`PwAk8t#t<%Dx5Qm|Vo2z+@ai6qvM=mDuCct~=lWc0 zl(t-%H^u6h?Y;BW34Mi*ciZ5Byo&#c&B!1_Ms(3_AGPvyLlHuJnbj`SL6%PtduozN zWypcp%yuez%=ajOifQA#XX^L%K(yFB-3eNXQbna+M-PPU!jLsC5`Rf!F*kXLJ!Xjg z#}L-f6~muo@;_DI$QS-x!ICeuat%9~jlpdY?D}euX3|@x4BFIYAa*VZjqrL`Aw)TK z5Jl9_YC$Ii90U%@Gt?b2!XyFu)%;tz5%p`{G28}Xp>@J&)FrbUaRd2^{PSU8b@2d~ zQ+)Y_GG#oF4|2d`*Nv4TW^8(~!*Y3YDXGv!$i%LS#`ZgR;-}*OAWN!l4m_2AD3K5Iv8pm{kV)=|DHKBiuOLF+@z%1*tBH8ILk*@@~YBSL?Ui? zWstAaI26Bx$-Ob9a+2xz;*yj{>#}GZ&Cm|3X)2s{ADqLJa(u8ef6)JpTmmaF?*};RE$X@HRuZ|J_K~kB1K91h5zf zA^?bYKz#w%0)04dIoS4`A3kAEfP7%@XfX`%Y=R!U+^ue(w+wH4Cj66ew7lOAyFHr} z2)LV8zbJ4LYloe|61IXNG#e*iw+_EE*m>X0FcE~~*%49T^>^_HnM@eXL;$XJ$BSCy z0M8ESalqXw%=XXliDLp}6?n>r0M8cavB%wNVZj{h-_wHkpYb1U*N`6Bewnw{!53S; zF~iW5tH~BjCYYTpEsV01apz$v&e2ngyq8g{e<7euyZJQGBXZwn; zkhN%%6Z+mn@^Q3mv>t|DR0r=nO}3V1)@S^+c>X2`^2x|U)rsIEykTgXZiz}W8z%AQ zUqmDqIqt_{CcBJf%sVBtJ%lhn5>v|7WL?|st z2U(1+(A+&-Sa2fZkS78x$O+cB`p6L9H1y4)+{G-ZBvtn>^FL7$Gy3bVNr`zgB#V2W zS+~2@*;(_(29-zD_ahE_{~f&VitFV&>Q#zhs9$7sG!w2Gm37A3`sTnFot$AT8b}nc&gN(KzkrF-#dq6eV@;Og+P11p>DC~wbGF(aRqIN2v+X}PlV<1rY0bGx zDjsC}KWZD_L`M9k`%xl`WABAFzF0}key}aOx~A)o?BwtGvxd3W!!l#IVBrsvIQ-PWWKqV`~S&h zJPUdym-;mP)q&wdX0ktl%%Xi;zM+KGwT!!^v8L5^7&rB{F`g1-txff!!&?OS{ZrRl zve6B6+k08p+n`t3j-aq{EE-d45;wY}9@L)#ey{(FEKxW_>FW;HXxShP(7zGQNp6Nr zLiiRrN`J2{7{s>a(EG|MT}LG!Qt&Pc*;aebd_1vsp9wOMTf6rPPo;747al10V{GNE z^+zUwrGCdB&(Zip)91R2-}LSbDj>tIF6^nShPP)8#*7bNO7zLLE3h`Mm-eHq-B`|6 zUbtU-84b5LUJSVJ?(}?+okK^09Fgg9lwRG9(YTS>+58TvtNqihukT{|S`OJBkg&*h z0H{<5wgi&%$84dvtcXXY_&OZQX#GhO^vGroIytArSym)|Z3cE7+{ zK4?H?&`02X@X##_{PK4>fbm7zK=nUm(KGTt8bt5ofQno)1DEb<1Z~;?b{%ogzNpEp zef6>}qH&TIeyR1N1e9K0Jg5b*4q*H-x}cN!eD21-fWrI0oS_7``7rV^8FmQRYphE7 z02Fy$4H$tOQ1$@IY>#fsdc)&@(XG6@MEqquAauR^wcj;2G7-u~O$K!%=tTw$qbnqk z{C{HZ!{f!`QU4RaLWXFtc~CmYk}^4OR|lU*cAd!bSz}xh-DPr47}J3tXBddb8O9S* zV21IW2bf_%`2axX2LR&{@E?Jk|9UEw>vY*&(7XFg(=X?rH)rsrsW<|yGg<-N?Y5H_ zwT!o~w^=6RiI zgQI4!DUFD|H2y0|`_mMh{%V`A}P6tocx%%GRK(YPaXMpC&mF0_{)-GUM{CVGBP2Ks_=E7DKq7UXO!e{(g5?`;-}dci?a0 zuKohhQx4q9`(edb%rLN_Z8`Hk(@fO0E0( z-*i@a?k;**_9QoIdkzv>1BMR5THA*YKKyHKITg|qSy9pRBW!-E{nOu#x>^o$A1}5e zbSbQgJb%-njk?C`|6FyRRz{ofwUhh)2B(h}>yX5S&ELa|=C<6CAscm2|1WDBS4`KF z_0wI^30o~28uJMS>QVnsZ(h1E9K+Z zjFH8Vo40!u^;z+&{A3t_<*mh(j1;XYBs=rm030?tfew4bBic*n-TT z2zXu&bc|EL&Q2(qmPtbFy;{el(5x0J%+YpAAm^FgSF@m>a?bnb#n>M@wgW>BN~lY| z(#&kn#%M`z?48>VGG{OR)4phm2>>UUv3q*IX}hyM#+XXbv8p+kT|D%0=W8)a8!i8@ z=)>*Z2EZck0s!{t_&&39zJKqTbbG1u48wO4i!ESCU5O)_H@Y=bNz%}^U z(F0=i;p_%}B?f=E83zLjwuTB|NwIm<*d)~s8=wNiqiVs|iG=k6%3||Wo`tmThwrEROn*z%QsKgnhJYg?BdE4zE9`n377c0l)4rew)-dM06WAhUTCc5ddjgeD zFmIAO4%$ghu)t7`wd-_e{}iA8TT#S)$1X|F@*20}0(Ve9hgPk?-gyFK4FZPGCtTx; z_?tI|9NzbTa$3BKbhz#p_1yv-r|*`4_twEl4S##dcQ!mC1xNPynGdXHGb?@NC(S>? zc9)LauVKz93Q#o}!jn1wrb=1>_o^O|q~;OjYah|Oj@HTFxcF#LKg08svHGNWWoH`K zFTrMW=Oq7IMn?*L0NgS8_O9hI!O1G`d}@Xau!)EUyw{A>g2YW-jgvPx6Q9Q_lV8so z$`oYGQ)khp^g~FsBH!~**-46sARs>?X#x51K>sVM^~zsL0gy}W0{Os0A=cAB8rq$f z_67|g{7(Q*KS}upTn=#nbiASA7no$sWBm4oZy}Xgn||hE=nrT8J1QLzpbfzT;yRJg z^W}o=;ew6$3P~}Gs?)*BJ-ogKdxx9aPHG+qn$nP-x6Z1!$5K?-uCY=X9d#W{pyg0>PTnz3nNFWiJ& zvrD+HgnK28e>`mqxrcL-)kFM0fp75FSMfq_-ZA(v z!p=C0d=W4!sHKyf5hC7Q0%kx4frx~8-ze^^US!=2CNo3jcp1lS42{{hhTZ*a1cqC7 zEKbtf+I*vKj+53~cD|paYqk3x->q@a^c>D(l2CZl`h{PJDt|fOJOcaS6*x}U8&!Wh zpaA>cI9>Gj`yFn+7;ry0EqD+R5ux0jrjq4_A7bAcT%C~JH;gku{QusqHDvPrDf;6} zmnzjls2avld;&|t*@09E3gZPt`*}lumxm8EyWJwhlmD<~u#2$QB&Y;Cvt%`iCRV=q zC~|N29kImRQD1L-@K@0B1k8WEJ^cWDO?t6-LnEuL9@SpU>M)8Pr3^)y6Yqf;SDf8H4KnODSEm*t6}?m;!t9NLqAJm25hzW&zc zg+v`9yPng!QHRd z`AuWrC%q5I91%MGWOBzZuU{YLRe5q`w#g+qD0*1;bEdy)k;Fdm-+|u=h}8o6xr;q? zJLCDJG0FA^);}%dk0dv0jA=^m-4RHu_C3g^$rYQv1AaB$fsvy+1@Tc7f?qOKP}#!$ zIzt~+O)s*#|4N1M-<8d&Ma{Rg&W)EWd~c0kJL7Bji{xNqXqHiSgY}yGc~co5Zw+$_ zeRGUGgWmrjOns0We1G;jp3j4N8D#6HvpeL%u(gS#(qO2K1SRMAl^4<(hy2C<|ph)qjynHbeg}M;roj z0J~1mcHpw4L1kIe_sPn4;rNZg2k+iu;DuaH1CU}QZD$Zr{{O8kyw+CfFWb56RY`!Vu zC7*pduCVCcL=sKc0~UM#2Q(?o%raxl+N-X>dbr@kTm$OTW~aEp2%ux&Kys+E29&VT z&PXzgK8NIhcGmn{T&M5l|d^cM<4AunGfH(RN&Fx6zg}jNR$J*{4rT51b)$S|{yAYR zI#xKG2gPg8@2!xVO$jYNg}F>k|N6Uv)o7<*Ezoi*2ak?!?ZM`5zAr|mWcEEhn~U;l zn>Ltj-C8#iSN4MKmE*~d33?kIV`vXI+j!}sTjm*D^P0D`d`Z{2wdtg@3YJ&2Qg8CW zhaFIjVEem5X4_#&2fbppd8b-IMG;)P(p`dwii3FyyW*#EQdH<}b~;zv@frzs` z&W-VxV5M7D8zW2k3$7G)*7|uG{!afe8N>yq!KD}^QHdyiam0LsA^>7#fN1Z*in)j^ zBCj9*nMqNP80>fBBS=E=24B_ z5?4x||E28xmDHPs_20*oQxA8cdi#Do_P*_=YoFRp$t1@4efCcUG75=k{X6h4(0rp@ z14Ra!I&Ch}i|fp>nXHdKaYBM!1-Bv5QdJ1(MMH<558~X;ey9rPbkB;;eq@>ZSxAE% zExMD+b=&E-F7)OB(BEMR?DS2qrg#yfqylnz=9-` z!eM=3q~A0}U}2zEe^wt0Y(M-DUP?0!mui#K5gUu;`d zBxX~_#Z_r+EJA1e0ZqWOi{rO;&zEW0Bmjzl`6iNb9 zu0)piYgJ6X^~K1|n<$a|$t+La;;yD$oIx^*pn#m7*R#Ox481V08Dmc4KE=6b3$)3{ zW741XJs*rC7%~Dt?c8g?o0>ZP=;msJfil#n1R>;_5&o*2*AjgTs08Lz|B5i7Oi`bs zjN0?D(mqomy_Q5=;4STbP$C`3I57@A z8$diM;~jfPCc{B&)f{<#lT8#+b0o{T8&z{FJJ~a^FaEgEvhE+KiWTU_qrp@%CT z;Lt-&5x^GC@pC}E$Ka1g1@tU(XbeQI)kXF*r>cYB4`VU22^H2JK1R%jXL7KZ^~DOU zVZa`|&kV4`dsPT*O75!xJGekrcy*B+aD+f3S`gUFrF=XV0Tl8|WE?dL>xbhH#TF|z zf0xRLm$!EjQwP>!!W^}<@||IFmmYL4Z%@CjMNOzDf2P8(%YAt(apueWRE*K*GyOWM z4E6*vG;{aqW1ht{5M)ewOkM0pZf)r$+dO^SEycHT79->-{Bel_+uM6UiV$)ZbKyC% zDLqc{~N^j3s~fqrc}fQmsDA z*?RR0Ncy-Xckr^A%#!s}#`}>~uT&$?M{EXA_rpgA>~iFxjM>Y|!u&89UJ=&fJySI$ z?C-WdUcz9!qWt!A;bqw>Jd#<~Vx|sR9d942Y#Aiiuz;Qz*0@_NsFTolWcs zQZ`!;NQE7H?ZPQqQ1EJgnTt-IKB_UdiCG0Lnd+Fm0F`7(5W~NMv9E#*2j(1KWKtNk zP`~xGKVk>mVH!_m$Z{^BW2}ColI;dx(f^I?KV|F__lYHVQenxiIa(?ZAGxYJ%4%)S zugQ(RwBWDFO@Sq^kA^%PmK;N2|850~kIoA<2a=eJdc3+t>nL|fIfzU6YO9yrlzPuG z{`!SMqSz5`ePv&I_ez*ZH{oB=Lm*}cstRmO8wC8j(EIV)>R^bR;241LvWjr2c!xn?x0v}ByB(N_~zMOxHVtf%s zLVz}>*)#Dbh^`O+uN16CK}=b{hOyhC-bMUjoarfjAk~mI>|i8^uo?BDyp#&ZW%we++P;wm&<8k=YaBlVX`rT6mug!utOcA%s;}H1mRz(NmYz?S2fP2fI z83BkRu>j}}1pE*k9g7b?5QAT3KmwrKwB3?oG_02ZNwD$gr|@Bj z!n=zw4vw$E)^=h1C7;8eRiiO7mV6E}rY;HJ-?D%N+}aT|4q&{A$~T1Bw7{nke^74@ z#6-#wm#y&WgL4(*{zj-q3tXcEKE3m`=ez)d5LEHrr4lU=zQoSZpu7(o_Ou6+OrLCF zZ%cw@B{Bc2kdhcn-r=2|vXc*N}0mag^yKs2!!eynCG2a6xebUF_ z>;O{FZ{k+b?a-d;>|5x@K?5sd;|Ba2+uss5_0H;hykB>(!nNrpdKI*}^v+%H;-H%M z0mMlp^(|qfzgL0sqZ!BcNL6dxl@s_o0?z;m3#?zgIgX5o1m7Ob11C}n_Ukj$xh@*U zqss1Ds81cx({Y#f2-lrXE(E^ohpnH^bPqhycf#-h zbfhf?y-#KtAG^Lai3?%G_FjQ@^nS95FeeQQQ+a)3$K$B7HiPrIO5e zR<}2vYq(?f@=hk2_U*9kj~$olrur)`$r;;;gMiC%uRXQ~D%4jo@!Z zUA;!{Q3griXoCY4Rz0yodwHBYK%f*=#Q{eaLljOo}h>lXGepVq^+dx&*AcZG&UiGRnd*4)M zwkNzej6e&U1sGkX#`x>1ZEUP26N1&3^l-jye13<4+A7p2P*|^2xTaU;`Kd~KOn&Xm z1SJ~#1B)Piee=|-%Z3+o*tN(or827Bu0;O2fJ{{LwVC@s$_Q@_mJ|RDx`*-~ll~VZ zG-tKJs0!6zyfhg}`4`fJm}}eOnb)UnZ664q{0_6oxVZRJjrtH!O;UeZ{LxW`YORY|w5%?m0bs zPqUsIF#@KPH$Dt*J|l!No^g4nB#tE#>rHnLCKJle)q<+?L;Rr@bG4r?78HJ$+L&@! zT#j2ztUpbz@nLPnx(6V3?7?2KqD5ZgP74Jyb{Bf1*3$xr zQ^s2%boL<2*XkeB_oaiaY4zt~0xy$e0V}~~>r+zLjh7Qh?6J|G|GxiuGY~fBW0QB0 z#{e$eJylAuzRcpf`!@X3+5h*$q(vii!s7OB;>|?BE?24lE*Ek@Aw~j!N^z6FWwBYq;si9hL=su9zbB z>lLU-J?7P}?fT~iUN&ED`JIm`rahI8y4(?Iy?FuHit}zo5TuI+fw1I6m9Umxdz(qZ z0y@-RdTU2u7taMwBtfF~c82%i@q3zC7E@Z*Ny!AZ()%Ata_h>km1e!a7fTV=b(FZ* zITdqe7WRM6CPfOj#bA7l#3>!vLI6JX&dE;Z_W`(fjl!dsngkV#b*nRwBS5-85NY`B zCzHp7w4=_cMJ(3U9enNa>W6OyDY*fKx1A~B*sVqXosgU9`WUoK`M#`@eR7zF!n57* zJVEdLsfDw_rzCGG)nHf^UG`0g7>Vse%HLYZ%r*b0O-Ip@Q}T3x#xevX%-}E3SW1QU z!U6S5J(A?|q8s?H_YkQh;-&Ky7V~A3ObH=6@s`e0rR3ur&gieYc*< zXuSBSt&3c-el-^Tcb3B=m!t8b>53YEP=CSIRPW`wWT>9=9>KD{i~ck#M`XeE__E^3 zzWfqT0HGRgE)uoA)1YpFW!W=N$xFCCi!?5@$jSSz@#d<-Zc6iSb0X5(7+$=+L%m57 zhEl6y8hw0id|T3BNvpj^m%MUZXIulR3kpq^ zcJ$Zk}nBF8<<6YRWBk0?`l1YULv%fOmZs;@lDE>y5QVGeL?XHwC)hz`b8W69k z68|I$O-J)(N=f)BY77)oZV41pjYM>RRN4X0|i~&@qc3XqprWWkF@qNQTB3o16x&r%duvqbe zk%oKMBWVra{yW&o#rd{U5#yg6UnY06PbM6B946!Sg^~TgQPLqOQ~cw%24aQ zk_8q#|0h&fyG%hR$;-Lv8xd-nm-EgyqMZz{J!U)wv#ZXZp(2%Z4%ool>C5Tkz-}*E znV^oh_}DpqLOZKt8Yvv58{e)xwXih6N&mz56yml=CO;W1IwLkr{|T=Wm?xcn5`S+( zi<_gZF5Q?}G&q6N1lnMuCHDowz@&WS+{?=0>^*ulQtfyA{ zQc_ZsM+yW6l5aO{!d*wM$G)#E`&MJkVH|`uK@3p3`C}t~AL*vyOdWi9*Gt_M#7;M5 zB|2WO9}PYL4pc^iVLKUMU+7)yQxPL+m2A{W<;yYp)JdU~PqM*jH71=Sxfz%7Gqe|0IbpP7tXFw#T4d zhG=GTz*t1|E({-3bdD~sdLH6uzAlYz0Uf^x$U z_L-q)BGz;_$&`^j`Qe)WMvUswz_Gi=K5|tk-julD;FCr1xV2LTA8fT znxCo7!guo5%T07%FRfl?*x#%0!SOf;^HCN}Vle+#XeyC7wvA~a{Zo$e7%X0GvArp( z@ZWb5zT!`ZVpdIPuw81Ri3R(M_AL0V7qiPkQM_}KzYt=yJK z(mZ4f(k5-jcikMf%h8s!B!rUNdPF>5R0GhsgH?C@X`JHtPF2ji@Iqw9nR5RvwtH%r z{z;aPccBsG#4wV+mv}cS-Qk3#ocQhwQV~diqe(PMxw!N$^G6+CH!V#aEe|b^dEE(K z{Y%h7(-E5kvvloLW)lj+=Cle0oyXd9-53*EvH_1<_}EF0#7ANqoAtlKUZs4PlA!&R zX)M-}hxN_hue%Q@{*cTQvP*vre~2c8fA&V{>i-wCoVecr&QdmaqKUGJbQa{H-a@T| z42lKWT@g<_P}R&}UvbpTG~NJ4?*1pZFU4d2#yx(0Sr#w)S{izba?;WD;B*QnYwb{U zp(pE)WD6stJ|8x~QkA?NrrC?9&B9637nLlrigV!YWfru=W1wrsavM=p-c_!}#mqLB zag)q1+I&X#m&HKYCf;WJyInhxl@`ZXu~Dj4+uIT!=h2(Z-kkF1Wx63x~wZHlC++YHwBn+Q)8aA?ZL$wriFGl$OrM;~(D!D_Wt z!Zo|~!6#bFhvDM9IQeoat{%>I7 z*r8#ZcE7KwT;L+;i6^KrmL_|U8sMfZCRjkVeHuPPo;DplwkNl9nd5ptDFE9|4ba@t z?42{epLD&i^71Ze!brRhHCaLrwgJAx&<0ABP1ME{03DTeQHCb*<)ETUrPv*yVVo33 zf_R1U>L#CB{>d!2^?W`uxZy?sv$Lp^!U(;Y3*b(?cQLPUOr_($ zcgY!;H_83#Bu!O8Z#h#!KaswTT<3IsC@K&4ks~x=wW~78Zn#< z^;>^du5+gic|0j#wZjWVOdY zC+q3{KS3c8tAd@w%ha^=nrT-LpE2d}#XW)lHPI7gP^f1&t?OEO6=IVmbmUb~cXIhn zNMuJD(L9ZQaycs`akP}J?wu%`PJ7{GTNQ~!6r@@$B0w|S>;QG%beBA29a14liiY*JtwW` zm!UVJ)xLpQY}nKBVK&3TX+Gv|khduICd`OP{btU~)kL0VPSQ1EOs9xYe%T(foQ=rI$|Vn(ao#h%#Vd0ll>;o9xdj_ZKCDJ4r-=;Nn@q(0K1Ojp;&uUzt7D;A{xJzC@Ohs2BiK7{KfFm~ zG8*lRbyW=N3&bqNMWRUDj#)8!k@40WMZfistf(6^n?`F{aWj zgZg^RpxTOaO%rQli{j3S_93l6iSfEz4Ox--Y^;$%36c5ce~~F=5-VwUEG&!;oq~O! zSB6b0q%j}|8|_O|6JM%Zp>;)&&nQqhAochjWRerF8N396pv&(A1C#12IW))cFwV76 z2rwLq)cruygiB?`XI<;4EoTXtQpTpxfZk1*F1ey( zai=dvjta&*Gvro8Wb;cH+bO`xIC9m&)U%^R>X-r4vlm3_c7D`#i$q*UQ*%zrB|M?^ zFwGtx$@_KN@irm*OGaAMIzFReq97_Zn9hFN^4XD1WQv zia{=Pqi7s#XM61wzm7fiz@W17z~BbF9N-NAPXPF*|Ioinei+;gD6w-zpYsyNr(p7K z(n)ep=qGV4DBmRhd75o1h@EYRM=hU*f}~-7EsUH0&j0OD(YIREYhjZ7!39=9H+}LRSQWUt4@sx4Vi9A#8anD zA6rPp2^mQk9@28zIWJ%JmST8R+|I$G%9JYg}BT#woGi9k}c7sX%KN(^U za3R#|pzCypT~9>=Mrsuq#=NOsz_a$Wan=7A7yr#j>`xuzPRHS0Wu!HO*k~MmSqQ{= zpp5XxE+EVC?QKUjhxEw3xZq&I%`e0r^Eq}a5L(?EbMz=USlJWw^yn8_rK`YxmHjsZ z6^CImiXWRxIlIb@@@uNJxlOt#VRpD(?L!)=lUrg7@3Ra^P~ig#{#oSdQ9tFxf(ogx z2C$8C@B%+6pEPg<=U}t?B_H2;iE07f2}7>nvdz!5dO(JFUT>s{I9pMz34j+DjXizF zm30=UwFn`9M&NF}0iwC=qeTG}a|vM!E^j;cm_OrXn~@SA4Xo_6-`fXYZy4IYnAD9a zF{1vZJo~Gm{KX_V?xvh|Y=LDYuxJ`(+L}@^Y~MteRx=l6T6vZQ4Hz3tKEAYE8_v_% zF72EFA9Pd5EY0o@QK(g6;Ud9>);rS7^>%dU1mfNMe~wj^y0RXS51$`XQSWznKSbj! z)Su^=RE8L2G}o!JC3UVN)jdH9HlA_8!hq|3mjcnE`WI{Gn#e}wyYve9S#0D)WsSz= z0YWi{dv(n>xLPhB+kSS!&0+bRpZVHWUe2Y{JuFj>vzP1yV@_B>jqFT%{4#r zujY;SIH4C~8?CNysfr9`?)x`3pZtBAcJX=4Tb#dbl+`x4X~(2l-;l%k|l~C?4Ty`yT_P}&`x&RaQv9X@cO#oTV!mknc$u~6CBd( z$ffeGIc$cUJ8wp-L`OqhOpFipo3zB)WoOqW#J>z7oFc3(Su}AaR$Lj6pb}L-4O_&F?nG`_|3LpnpP+GgyYAa3-!`N`~*fYgt zT*YOwk=-vB)k`xd8fnO`4t(_%kis+vpmiQ(x&3pJ+9%(B>Bmoh!B#F$Myzi*%?GM& zl%)Znp9W;zE=vns$ZL%B3Xs3a6-C3{W>3F>4Sa)5n4O>ozyoWWJm|VI6GkIGq>!{U z?yS0+8{+ntubAn4sj^GA7NFh=UxGK{sJK%qUXfw=l<95hT4){qol`T^u(#MSw+S zSCQUMYY`np@H!EVRFU8n#@MWbmD#&OJ>Eo88e;My`njRE_Ommj7}c|X9^sM{kT6)B ziebe0QXlCRaRsIScE?lMX;Gl$N22FTB5Rli7pVs|-4y=}F5=Wb^8O6ONCa>qgGmI| zV*nBP>C{9P>+(;$uTFUFP2EbHdag**Haw0d5{=EX65mEVA{be^Qt}A@a~E3N6>2bn zVh|T`Kt;7YFiM(e#rC10lq4&PgLG#LOj4rm=!(D3~dlnjq_i-1?xT>q!m8_iO-Tq?@UG1Apx$eyk)0utF$EbXNk(5y_j= zC}DD4%zKgE{l;)hvcg$lq0u@bR$H1Fqv@-4(~RyLu5TG8F)MUGljYw&4}Pxnj3oeD z8}z%vq_S8(|G8_2)yEvIlq%c5WdvQtY1&7%%olr+dN=(me(oZ}D|esS0@Zqk}2 z9E^C*6oM|35J%ZQM_HNJa;*8Ver}?|7o_7Oku{JHIsgw5SMcFg zFh4v)5m4k~ApQed%rc4T`Ir(U5oLPF86l#`#|qqY4bp1_7;LF0HxKwrt)oqky3TrX z=X3aT*es{16MsaSN6TNK_M9Y@1)IpCl3Rt6Sf#IImvf_qpUxFb?oM07ZCEdPmV8N% zM4BwjnLm}N>im$E(z#xa2;{D*(}N7rkxgWUTIldspCr>C2$@a|I$)X+<& z2m=nfoeq5EHzH-r6K(1Jm>H!_pBPfp`=>MV<%E^Sy{qxJ`ohB#5WI|?^ZhJPzc5nO2h`^JiK{FpP&xC2#awKZ#Swac z(BNF-@}%z2n%BF!Dwnn@<+igJ+u>$sncY6jwzo^~KeG0)8#K{_%t<^Jha3ZoFz9)7 zkacqJ3(v%V?AOZzA9^m>?+VwnBjCmF3Nh;MGw$zGG1eD_k-w4qQ}U!}5K8z)u5S>p zFZOQIj@mPZ;?L7_|Kic9vhntH1Hy9-Wo<8y1(a7ys$>@BRcvsj?~lb{>w|kIJ(FMSa4@<6OCOp zjA+6~2nf+qfQN|EL0JA$gK#P_p2y1*A6EQnQe%c8Y#fnd_A|Jvf5SB=5e zkwv6uYFz|s396>}li-5Jw%hQR*{>C?Z6gZ@p;B7rY$yapyZliCb%L6?OM_Qtp~Ghh z59pKDiBLUIq;MC{Ir8)%o+7OZ$N{=u-t{kMqP4q@)%BlFf=3I+lz6WJvR*mFK@KJu z!IA*F;lG1jAR%O6AQ=ot4t|3Y*;6*z^`FkP9z95cvE~B~MnC8B^DYze{~L@T=ZRWm ze_{DY+?FNr2NLjoLRqZbHK5mm^hhmRUMK$O31t{G-CqvM8qIHKBVMxKUk)vDLJ#Fj z(O)ykADw9{+QtNiu45%OCxG|eoou~><;U|AhoaA&WBoT5Rl5Db zz?4r2n0&l0T0F!+ksr0(0l7DKnQ&?pkYNyV1HlOL+Qg#i$Flo$3xsqF$V3&8zgH=H zR{n?MPex-&6kIi_3<9o2VVMbk0w`d3pAxUBl{^FKHqSjbsdOvD=rpleQxQyzqZ(Po zw!B5Xd5YqRj&g&K;z z{5SXiG4>X4Q8rz}xIwcl-65cY(%q~VZMhV?QNhsY?* zH9;OZJ`HSG9ayx#K@O2_K?$AUDe=w@Ruu934Ut)87wPp)IRlENKw0lwvI&ieOaRCUrKIj3t23&#asu`5e zezm)A{_o%*M5)WSF##)=EwK=8E=Y}!)X8=oTZv(fud01bQIKlAXuEg~xp+x(lsk4q zYEIm<8L{iRy0F)WT1*QvyG|p8y5||_yM&LFFRZaGgmWjtxoN7cp~bjb8-D|6@&SjS zN$KAQDjE~*7zReg=L!M=&r~~n#Bg@L(KLry>@vNcuzmp!y}yPJkU`eye}PWj^j+Bl6|&#!0$PbZw+732 zG(sAb$)st}Rb|Y*CWRFF%;*#+{}pWMGmeHB9nIE5k%fg$^<$JerOA z@NaTqF*mt71wMr7PDyoCKwxiy#9Xl)<5?k;@pa3{iboxa1%1s32yk5Nm2e>6$8sSw zUrHQl`NECp1wpRlk|S%-

    !$_P+qWRqa&9RnQ|ixOOgvI5Cpx?f0m^pxQBw-WfoJ1hXvlLc7uGKS4<6qeP;&JKy0tY{2QOKPD}gCAx}1*Sf`s z^3AURd%r_|i6HF@JjSd40a*IsaOAf@8SN@UYDi|XNj;1&X)=TmCoajP-U)mGG%BDa zLjqb=ToOSbP&Whu8WIf+W(?)Sv?drH@O=BEfafcI06gC%bdU@*PLTLg2yVdDNgx6D zGCE0c2c6`xf|f+Aq=_H&rFz-)H4ECzU5X!rnUPiqB`_u(ePB#~k)XJ3as3{U9c6f# zv(4-!jQhVAz@!pVgZcYnE7uhij2rXMoQbJkeEQ$)o>ojA6M`<4OXSQC)R{E$UBR6H zp$!8iO0Hg_C!StjTG4RdsGXw}xVse+B__DQ0(A3gmOq4-bgWk&(PNpr8#WPv zNN=&KeUS_>i&48R!8?IQDY1i~cJ&uMe?zN57EaJviZGnd&7$fN#^Okv#`3|xGJNi( z69#$+3Ix9pbQNndk2-Bdja!e4BnJ(lKJX&cC1`$HK^1WkJ0UG-nYCYJLYq#21tz?` z^1%%l&emV-Gl5S*Lut4}#h@W^c#coU8n)Om>JcxE%WmyBcFEdbHX81g- zJiBeZjuf>RpM7QGA&8vqop2Y`f>6Ce{x{I#ql3_iU}u48;6F)VFhnVVgP1;(EEk4p zidhdSUjeHTQ%n>n0^)W?Eo(}MYhorwk)8GtkAh@OwS?#(`^i8OJx&pY8P}b1Z82Gq zg7R5Fm(?kE=`b$CtnwhHF?B@FzM^iTI>-o`e|NZk5 z%r@_%Kb6y3E`2Nz#{{HOSWZfghmwcVjf8vLzO*s+q2Hbz)cb6X7s==7ZrQ|yO4zR&4}ssMYS670ryVHDrPUyicA$)2enuo!aZ+wuU!d^3+k`>6 zbsAKXaBPNL)|zfs-R7-wF8%te*t_m>X&M3*PWjGdf{IoXjL1g?QcZ1rcj6sACTMe9 zx1o|*+5$b_c<~DWBuW~7Q%zzXr)xo#y|41%p!rRM8fx*6)c=i%YQvyjz8f91-w!QyXa~sr@6}Z&$ zBSQvIZ$@e$MwDsVIkwQlg-qyoL+Mn;*_wwM879?N(>#3olFYEIn-1vv&fw*DmglZUW+3aLI4 z?q;Ru1C0=2J!nt+A@lQ=H+YQPcw+~6A@HxQH}n1{%VB1Jek+w5DXdlr2|n8Q!&&$x?`F{US4EN~?@3AC!H_B%aR zo5d!h@1c_RdPMnceN#I4>*ZC*(IAP<;xzg$jMaozX7o)pR5;{UA)WZ>K~aZ%pb%Y? zSHNI&ZY+ZT8SN6=!%RTphXMHsMz8hD65etqom@7gWC<0lG%jD3cplmv>V1Jh>YkL#SVqi+3P4y zsaurLJ%t?h)Afw~%Ixm?7BZkOf=exHG09+v7iEQju?Qvf3JGOYINZ)45>628qnt)?!)|>n{HwkiKFkbEJRo&UpYLZ9VZ?a)cHdt zb0{6XHWbu32>pVDj@~joX<&l63LV^zlVrgrB+VuWNW*wDObsi0Fy%X8%J1f)hZpO3 zS;<8?)Wq22S2#E=lG638urYypIeo*BIG5x+Dcu@pkpuX_@>@)8UsYmitA?pT(uTjgum*AbRDQ5(+kRDUl|PRhj<8UFdn zI+~x4WZ}@ z^vj?GEsDzUv|XSM>{!f-tgOj+L~Ug!7sbP~>2OToy~08yT>15hh*Ffp>^7xalit9V zsZ5;@HeiA&`ugHy+$JU)Tw|e4>D~v@hbunoy~iUdI|ZW7GEI6Uvs0SnYgGrN$-mM} z-VD5|o!r~?mYmY96C4pw*;0s~;k!&{k=ag?nsr%@Y_a&gwkdX&vVv3AWEf>=bz+q9 z0An3LD=^j}h0(ocM`+_~tz37o!)d&?yAj&tq+5==$MY0!-VN$3f?RrxgmO@h9$}njbS9Vc028G`xo)CsS1@Tp6p8eCr`GtI&L^A|B3RvKBt-gDHZ8g=WNF^)?n_ zE6Cf6!@TEKJZruvxpu?{)AWid&vy7CZBdj>u}FGFMDFJJ=aWe(^&J5bu3* zhfhC)Oud`NZT;0~;k9&j)S(;5=pB7V!t8*dL0flSJjpc2C{99#jJl zfu}{(&fux)Kv#ekqkCOx=VV0X$~6fX2ydKq1umJ=}k>^MA3N zJeVnb`+5Q)ruYiQ)c_F5aK63&381~VgH9`%m)d;$w>IrC>MNmb8vUAdNp1H5zfi2n zF`G8J>d5~^`0HV?9^Dw=R5_~AQh*wx7>>*i7$_krYs27 zSt(GsU3^VVp2IvI$)Sl~l&?i zpscBy``O_oVKw#$1@K4q<9*RU)fQ?_g!qFM?4lvTFt{l>40yV^yTZ6Q(?mWrM?#zO z?LrTGgMkex%Z*slfUrb}V{aH>%k`{Tg7ZHL;IceiB}fV&$wY*p@8bUR(%VwG63y)_CsXp6`h%~5iKXi-}7VX(6 zT6z?tsD~{Yq20()r;%M-@RVL2p2AV10WMl(<=Oy8)g`540Sf83V~i~V6#VM5$Lqi0 z7_w#X&E2S{7Wrm}$?Uvyx87$)s^#OxrtW#=PrJ3Yq1mA(;O4dsIoVbHJbK5grk%Vd z-~XQa+y!+^=-0Y1jeUc<*=!eya_;+5WvjV6Y+=*qMf*uHEoSxhbx!w3eQUuPeVyXc z{3G}#cP+!x2I3Qu6Yj&+WnW8Ea^1O+vqFyc6&tx#yIwh^Dc((eu`}@!gngRx7)?$7z&N$jk6b4jtojj_P|3PY zFWFx6)dY8@HgkIOgZ!{nk=*2{&FM;c)z6;v0B}Uu-{Cj@p6hX(Yje^ z&eTor5S3PSGps}h;kQ&?1MiE*lp`M7Hdbg*xY$qwPq^Z>eXB&dq)jz4{x=xz>e8q< zk2lMQpDtH1A`PFP?s=J}murgN>!;_?TW??WI#^bm{Uzl*Vq8G~{yp+}Ux`+@@!2%S zr%!JHV_wb0GVs~93dDiWcIF1{r|2VYJwJQzUj%!v_uaQs38jUSLzRLpu3CH)ZrCaT zRq9*1;J4b6Fi^y3X>wbiY>n1xdDTFV(U0Y`iRS&MIi+uUo09d`PbZqMdtWj+7^df_ zVx~T%Gv3K%BB@YQ3@WLmW7uhKQ>Z8`zNzefoSS?MFBwUK&$+i~+7x<)ohqh*bqd3UY-6XvL`X3etoPzXcijjun8DJX3;=aK>S^nMytwZ8RN091G?(DPc=KwoM9lnGlyfuy0-&_v|g( zOEy~!kz#5ZeK3=6TjO5QPPnam-CZzi)hSBv_@4Lnn5TPD_Uc$6^?&?cxV7EhFKYap zuIxnE6cm)OnAkQp!5>STv!uS#AdG}sV+`ckC25NxqXBtzJ`n}8-$VyX0y}AV1}saE ze!IM8eWipB-{q#S^%vjh`O|A8gK&2(CK>a7vi2AGFtR5W;?k%2- z?iiIN%@30~d}OP-4>c<5I)BqPW-oAksr)b*eN`NY5zFa7?9i|Z#Ae%|p;)6YRRIKr z2WLuwB|3u6Kv8h(zJR71KmG+4!vhwa%{P7sZW=j+9AEcse;%3kmUa)LgXX#kqMrvo za8CE@znk~ma-2TA7cD3q0kY7s_{M;HSO)Wf)8ylJbk=R=YO4ZE@AY{^w`cutb zP&!D1SF1yf`q8hV+r|V1*+GrbXaaGE5|4Q5ZtdpPG4M)4aHDvK_b`_)I|nqO*ri2u zAen)wGUpDc^2#zJ=mXGFF57U4=X=$M0W%YB6Q>WH9KD@+VHQr=%O*=p<6@ zFCku{%3B@770}u#KMX*RN;>#5R~|MRw;n6U>f_CAj);OYc$2rRyAs$ zsU!xx`>Orfh}5lb!;Yh?1W%%Wsg||6#%cU&P+zYjSmH+@&eZsRJf}$`&N?u6yY~u6 zAA?bq;pTcM872^ehY-X>o;$+L+rwupnZa5I+2~y@Fg7ohWR<|8K>%s`)vSm`UGGG% z@d4PW0etW-bC6-HmbS^U-6^GF+Ilm=$j|Z-)TYjQ$ri+nm>X(*N}$V8mHqcLCWrW;Q~`^g7TK-D%RnhuoUI~IFv|I z0y_vki8PPrSkal1I>fDc$d{|+&QgMJob-ad9*!9n*10fgco+i*)ZGFH_@2kkfK(G? z8twL_45}j=jW8h<>f1=Sk1qwg4MrPSZKd>{S$ngu zNSkPHqPbfxb&3>xG=f50s#9VM%z47as*~>sT1GHCn%umKW7k_lfnqLcSYf5f79O|8 z3aTcys0ph`ZWzPtP0$q_e+iuL0r(1C9B^h0x6B{CL2JhXC*0c-84LWO!JC4ymXBW3 zNK@4{?oC`oy}Lg1BeTH^ntheG4jmx0u>43o8mD=N3HRwn)GN}`calt%wep?hOIIs{ zW@JR7uMWx3bvhu@ISQr&LeL^NENf4gt9o&h9Pf2H9LOxF=lJo78PHx){+*YDPf>7D zEfmOl_&&i}J^Rn_5J|*{e`d+UsZ)CA+>d+kWP0B6hP~tzdfv8%y~cd{va0&Mp-B3& z_WHeRQS=B!QPXyp#dt?g z6hp@It$JQ;HEd$+rog5^m8;kALYWR^!eNQLNyN%nh}N)-;uJ5l^bY2>X5N1DX!E&u ztGu0{)x;j4v6H}j%{9GBJ-bbIu}+1q^~KPyw72a$)53l^ANGuJ26aJ$XT!8rmDo?P z5UvvTAgjvkbVx#%rni8;HBHY_1Dkv~q%6m|xw&O8;;cP#m%gmW*$erElq>GIBOkQ) zm6i)w`KuE@uqz4mT>myr@j$OcO^Q2QbVK_fAQ2cxsg`7(s?I?%9=!iS9zbiur`^d9 z0x}weUtSBEvS-D{sTsE6d%j_`8T0pXK05B)z5ehyEHUQC3Z9*E3&8yB-dZQP9P_h&s}64Sjm1ILwf(I zWTFOpM?`X20PWrym9QL^AUsb}WU)3cX@h?B{9z}5YwA*>nW`(AmtB12-3^f5Ur03b z`^4XR{=&lVFb&)==v04~;o0|EcW|4lq2%RYU89|}H(;FAj; zD3+)8DB5qODl&S^B}|l56fbeE0Z5uBBf|Pm(7aHk#M8J?y$#T# z_Y9McA>sW|o9D7$R@;pyQVsLz%Jj1pQlzUs$Nku_h9k(DONSUDMn1*!X=LM+CcR)4 ze%8xLQ4nCCP}wP{g^0@!KqPQHBuYInVSz6&IELi6BZ?_AWpdWlDDAKc;JWg3GT^Oy zF^{4Pt97zTm!OA7UM45E=&Guqn&Iu6f6=S%%W<~o^znGL%yntXSLxC4=5CO^X;tSS z?#G$xvOIhCPSMlb^6+mQzM9!orLr7=jG~&e{dU41`efv@K@itNVPRhB_Yb+H{*8tG zIL7X&Z&`6@ny=PGhur93S0D_j(3sqx;*=F}`2Z)pbe;jMknp*^BM;y>O8xczx>0m(kVJ+)y@yFYOM z`r3KGA6kV~;sZ(BxF6?NBVz;PyFO)F!ZZZR@6hVMg>Wh2P~9Gs9rff^Oz_85W7z$2 z6@z5dAlXMb>^PcdL*&;BeAiwEjI9m|}teh(vmk?%{ohs*ls!IvB1zpj(|Gk@2c zG7bL&J!7Fd)=~Foqo+FlA3*me{Ur>_?$ti{?ilc8`r6AnY%*uUTXR$j&D_!6^zVKJ zjI=g+s!&>9w&MaT(G9OaCtpA0W>pL#h-u1{|0rKacSp8t6_v^!nv-e#|ZzwD; zN8F6|rqN>v7-_5jj+f08O8Hn><-tf>`F9$9msbY<{v@SqxMM%B+n)vq|NfNh2UEFU zo_j-on7H_Fv26xjs#oO$__LL+YXztzcEW5Nn{=*dj4(jTz!N)MNIK$i_FBOI1v(Tg z50g!oDP_MH6spUGiz&rF$0N0|3lAk4#c{zLhPagQHL{pSI?Y=5wZDFGKP}#GU+Jp76W3Sa;v=V)>n!|M{CT>Yw24@s$0gx!uRy z7ax;(=4;Q7;UEOUg<%fv_4t?N_N4aj&ljz6%vS}Dy2Wo8px}+&2gNX9lVwlc^5pH@ z+$R2Z=B&}^L3pRF{A9|}eym0eKw222gF$*R?K(FFkH#&$uV%VXo^25Q6SgQI5!r-g z3yVy+zv?@#-rIJ0@y3S%!;a%A4+mc4Kx!n>5nfEXl#7GzJX)P z0ylBcbPN9ZI(m+DC@Ta$yS@=I(l|OzS>RsO%Bi_g*)g7XFC_nq{{rU!_|D* zumH^_L!cNHZm)c2jy@Hn#tj(hC>INtr6D=w=YmR5;W9=Bz5XiG@%_WS^1Bb`Uw`%R zoz@C(VT{~4EzT#Y5v7_Rl&uk^o(~wAQ?|p_^byRVRM_f$tIJ#?s%3cc+u(MgOLnz{ zreWG$Zi?qZ4ppN61s&LU5-Lj~XJ_kJ@_!L6IG2PEa~hj#%15Uwq?reJ4prkhPh_;Z3B{gP$f7eYXFE@TD-rchj^1BaJhCc-dpi$VbdIJavNC3fvpg2Fue(d9GyA zbs!(5h+;DaPp|KsnS1Ly zl2OKp)^!PfmO@Dif8qZCc|x7VvJubpK#8FTC=sx}Fw1xB(_yc+X*+|@2*01vCdTfT zxEl0iLshVwRavZTM3N;-0AW|&N2vcrv+4A#d!=J&h6R(;d_^5p~LqF4=V;Nz0 z+@NK9mMb_Vqm|bn31#vGG+Y;ax}G$g=)>WF(`NJU!P?8db9^qch5LpC9GdatnVK<$ z=O6E3YsI(fHNgt^kEdvrb^e&X3@YgU3(l0uQllmO-iwx}k`zb3j696b>m49(<3yP0 zkeZ5@rQT%SzKirUB!7o>TJ)_DeA~0JR(MdOhe{82>q+ZsO!8 z@<2+l^+%y(1o?08(@?16tMT3WFq8*Ve{kCZ_2ET|#wX<~?@1{5%C1YX)PHM+?glS} zCe7($i8c=st-8O!EqvR=TJ2~0!cErpOY@br;DKOVL4F9yRxyf*sqQ3T?Ircugejz6a!l}T?6r$vj@ zAkQi6wCs1(W9$k!8fC*+9euH(@>8b-^bysEltL7C8=RJR8oLNAw~5sDu{0jPNpp8c z!`2f~+GaI`r3!~SXKN9F6f6Uz&p9B0Cr?EDjhv1t(9RPNrzHL>N=OQ8c$Y-EO%5zM zprJ?~_Ejex0RcqdjR6W}y+Mw+C%HwbaGl^yuqlzq@`YP84(roCvUFlk4$nIilrxkL z1fkakw^eE+>tcy-_7crM$xVj}9J)mpkRw;q%k!q<}wHDz?y1{a;KVtv}ztl40SuvKdSwv0~GAFTc|>?e)U%Ey+UfS-BN=A6 zNzhWgH-Bd}niKO3g!6X(tluvz`p&L)6#u#oPBr2(2yPIQD2=+wgzFckDg$JUK@1o) zqtsbp>KW%&N*RuHfrvE5dG80MpMPp{Woo?UrPpVp$DDxn+9UW9E8c1vpf9kx#yM~g zS81&BLHLolekB#FY;`{>mY%Btpv8x(fx)(f8aa&_itcjp>!BQ@L^_4^h1#Op1&;`Y zIY!W0G2X_#7L;}j+ppTJqf0A{!Fp0Jgvv`jr*Zj~TsZu>svcC6_NM%)NNVOURt%na z7V)(0ZUj-@oqsTyA@F&{r*|~Z#vleUQ*|rT5hE?aK6=@7&Ld)~8V+I^ko`m_Jfz5va zFfrZyQ8t>Xe!BiXy8imDRJ~FN7ZkqORJ1!VbDVwo8PATikVp zrnsDzQ+po|9Prhq0LN{Wo`FNOR6k+6c)GsGOE^+~bSLofv-wN}23V&QvEJn07Nn8N zOqd7J2kvE5t-E~k%}-!xPA9M|E@OET{_0S;89%0?B~+0`U~Igc^P#mKG1t=@3ZzR8 zu)n4$;R1qzr4Oo8Vmz@kXWa@YJB@~f^_ZQXP@7$yV-+d>bL*jlzOPpJMEPk0Nrm}W z2+PwpMv)owwp69!2M&pdSYW*fr>bjqj*PEKSp{?_TS;OnUX$RF()PLpAFmpHBB4f; zh8&Ap078+K@8RmZmwmkCX=ZE^2kPpp86W!OWYWR{C5W*Pr6{V8xLo#<@8gqFmo+4k z@cZ{}1c#s4zrOPjeGz=}{@Gq?W9b89sk~2|B-CNnnm(M-S7LSb1F)j2bL&fO>low| zE|gla?fn1jPpjR(*j;tLI9)W_G^!=^J3OxkD`~A}yT1eP^ZYspY?ZnQOgHPC=3UyN z-ajJrItyw3Ej#DO;@lctXVxjnv&2Zf|C-RNBcypzcFu)mr#{-lxKpyY`Q)m6zoVox zHL}5EVi{@vZaT!o>5{p9Uwy5iM#=Bj&;g;>$G?S_zsNE9Zjr&et86DZBel&${6-Y4 z1MV>0m-6#=D4AmYPM2=xaX9lw)lYZ~vB7vEY}jAov83lDT{kz#+nisBEP zD**%fkhy)%OoZEn;a$<&Ab8%r^#mi%8bSbZ<#_Q}W(9@$iKmPrr^X8q(&ook^f}T#+yEEv8h9ne1Flf~pr@t4Ho`@ZYhC2H@mhQ^K?vfO1m6rW~V)bMvzAd{E$%~bYB_idu9 z9JNG4MU5zz8GP_1M?c3LZ*B539!fz6Hu7krD=CokIhN53I$Z+S4QZ<=#uwUZ55kRlQWz&4y z%_V7U%3LO%ObTp+WgiDxB}SxX?W=z1H0o~eVgqC9&}KRyXS)!RVmT?^PPmKHUt!Oi zXkIx}2kmjU@;iT?vtFCkyy1=6o#>wKIT@5$CB7-ezhzNz@TL0o*FN%L5h_VFhTBQvn{Gp{7ijZo zb_8!Pf=M7Z#I2Wjrx#e7FT~rJ3y+DKFtFom;DSp9v*&-Y@*LYPF%DfH1%SOG z=Fy1hz8SfLnOec)VW~RgB=2UW*@RVVd5@p@yWgLA&O%I%2%9#k!53TK<6vjf$JtVKa39n9u7l4i>C{g9&ClXi zLsF!8XO_IavGo&*dj0?XYR2Df0@~O>y;jcXhAuj%a5(n;4bwVFVrr@szV{Xdh3 z)myBYj?|=%P2slF@(+6y{ei-(R_6Derp0+S1^&Nb>-*zm7yhAFkulcBuY?w#X46vN zRAJhDHt|}>>r0!tKk+$lPDg6pDW2kL6Meg;@*$*o zj?~+Q@)}Jhw`ZW+AXXD|D0z!309N0-<(QyGoXcIL{U!1H4k?YxQ-qX9pk7EM&>9t&Q0HtYl&ylvjmd!)6bqZvKZ(+xHCl56}2iV zl0HA->1VNc5(OCGpEk0bw5VTK7QHn;j(D*aYRRY1@-YgctH8?^M7iBgAf@Ln!F48+ zp~P}MJ4lG+M@1j}h0L3z0Jb0|!73FTY3ue>i1%|E>3gr3yzU?tGtg0C0-{XaGoJs! zyaH}1K@v46P+(pe^c5NA;2|4a=*z&uK~Z)R!wRc!N){|C&ms+|;@5%q_`I#)r6{PqrGLxG|%vi%Eo)qk#;NHz_8EBWRSB~Z+9@?ZbhD>YS3j|9IQB)-VlW{SyT(NtwY+L3U4Kq32?!Ba zQ-aMtNhM(0RiuMFcwEW|3bqd8bLUHxpiyRg6^o~w7mIS^p#7f{B0=!8=2)v|viHR@ zZln$ntNy@c{~1JnYRgGltnNYzZhvRJK%5jFj!N>944-XmR}g9m1>1-5dGRGm(I_)P zR5NknaKWU~cIXyypiCT_7YXE#G06DGI0ln@0uP6ik3~E&nn76s%61EvJT@pP2!%$$ zR$zPxzC>{vGy}vMiX({IW(f^MJ!eCZKvo2kf?#~_yBM&7PLx-eY0w=?OSrr-H<};% z?UJ3K{*%GfGWs0>UJ)$~O|=n%kI%Nw+8i7@>1`Wh6LjWF6s1AaLsp1z4se3Jf>6yU z*c>ykw=LbdE0B9mu5ZE7j<_sv{ymYw3M@)3-2rnqv<5UTYw4umSfw0R_~L(qs5}AD zbkO2B5Q_!qe=xS3F|~7+W!?Ccb{jp|7<3hbevjn}xH&=6=;c=12O9YQMbhFwW&Kr) zpSDxvg<6!nH~on#IM(W8mG*WzPZ+Mcd8a;KmEu$ zo03RtLCXsoc7y4@w4cF@Gn43>rjC}`qvqw=_jS!@2MHejbNk!+7LuE(xkI$jCOzHQ zFXqSHiXX)`&#TEKppto$zRu{ZFe;zBj?QSr->F;}mE_$jsqv@B-CTV&6CVYX5ZuU! zlF!D!T&&ZH+&}UoCPN$N5+_~cOq|i^#Eeo=>(XBJ9iduL>%G>ms%ZwN&J{jZRDX;% z&xLyaa3fXYxa3RakS(;Q$dMh$_Bh#K9 zB)i2<1B*j z?dcpOEpNYMJff>%tgIY?`b14Z8&3WWNqPC)$W{}Z{ETt57tQ)LTWbx7`&J?OSC*KMj2ax38x7-aWaA58^!{ItAs}A7er7xclw=@-oRd~?R zckZWO1y?T;y?pyRM%&Ci^f=*Wf8u#By5IRP_q6W2pD5-{yxWN?`w?Zax5MJU9e=cN1Z_gzz zy2OzH$Hj1s@sBi>r@XREi_UNoh>d^zq2Z=^zK_wi3(fjmLK9nP8DKRr2FVdfA|+;V-D!wY7!q zpKi}Qyd{2xG#}-LE>Q1JrO*YMb=o__@wS_}T5mqB5Ip&+ay)BRN-wfEA&#sbuvnb{ z-1P6|#(yXD-;MKYP3kq9p5-9FDfTvMj_G(h&8HmFrB5GQGls8@g;ceCL2P{9%Iv9) ze&&`cLAS{@GkJWEuf5bo!v^*id>kY9f8wbfcA@+g5)xhC?NYyEGm0tNWV7Hl=Q< z4eEcbAq+94ML!x`;EBL#^(>3ZM9t=t&P36nco{lXh=|6&n{P!uKLgF8ZDK3^q^weP0r%r|DFMHvSQJxL~ODx7I1kDD}6F zFV8TQpjY3xlhOt}k`Krm>P^J?vw6J-8*nP0x{9i(^Xg<^hmzBsJB0?Il~hG-+tAPU zi!@$*G3{4aYuIYjs}LyJ0jV9B3!oK=cW2s*e_rhYF@}4O^5{MNSjyfEuHl35!-j>M zM9`}4Tq?@j$)A0-JmF0LeU*NH$($?GM#HF4pQUu2w>@=S7Ls2aPK^(_2J$)qJI6I21oxsC8@fdUV}HQx}|=D4L(>wT&urM zZOVSL*%%5aT^o5xH>k4y@Xr}CKT+@QRJitH4Q|noM2Ga^q$c=lCi2dBrnugCCh}pB zPQ;&zJiohl_0)BDZJ`Y{3(mWxfOBu#FogPS=K4#D0wYTT5`|e-^2i740~a}ZefWc~ zV{|BGwXeHlJ&J*Ucs+VYlW0T(JjsfG{ns1TEgDHVjkI$8r4!zd(r~ZIINq}zNe1&d zjtR}|72kno;^bqQD6^Sw`5A@1!ao&N>Kzb{Et=#!i;?sR$ z|8!N}i@67!m}?LbA1-FCoLF01In<8h4NFUhpDvdVYpHx4V>E93Y{gIhR}nPJjv$j;?6kJ=w4WJ(6gSknbR|{xBWtI9;y-Su@9)0!5azl zuP8+JNb+_#49!1qvIycArE|zT4J6p|ra{6EvAS&qJOhi#4Ekb7X z&DA)6@Ti5_daJ# zT9l*;k%4n;!R$iL{bFjibLoTW11+<^6jibz!Y!J^cjcnWMzXur7deG%1V(_k9Ef9w zxnvhP#V1+8fw#NV-`cZvDBbm&eV!kK?e1Y6vx_Sgd*M!k)3esKK1cz%sK;(iZKGRI zy*f$b+3jZ`GzoLBI%`xBzt$?MVB8Z7A}#zy$o~E*Q@s+tk+q4VMtER`YDew(==VYO zJd5cKSNtco-X=UZ@m0!ta&Be3jA=-{CB$FZL}ORA_RYM{KD0(}bb$uWr4=t0O4p+; z{W_yvK!^ClXR9YyGAfj*a7gHSEWIvCd<5FrPgTu-TZARtox5Coq;M$+*5&8lnYrRlqN54Gt998FoGh$A6@09s?5`Hds z@1nP{ZG8uv1xw`z=Zc&lWZ6ol1kNw$!n@4bt)i5mO$j5NOXc=YqEw)gX5IJ&8GY|o z-d)#Se{Sa&1IxiMPz+Po@>UQWpU~0@OXD3~8Zlp05|(xcrxMumBbs>i2_2N<$8p5L zwL78=>#*%-sfyi=%BgS}xP@~G__>60Bj~@rD!4|kg)Ndx;Gj$iRK>$zHIdZ)t_lZ9 z^>evcy2oJenbiaXlBj?orLB}D-bzl+fzqc=XLDA!!o%q6oa5h28Pez@-BbN_|iWa?y{FK$u1WaS?48wp@>P=E)%p2?Yi*{RgH(y$eg2wPo4bM?7tW+L8Y{@Es2)K z^o&Qf>z<)>lZDf?;4rnSO@2(tWU&&oHCPSz26P)bmy`HGwkneyvrSy!ek*vIw(3Z@ zlV;v7wB`TmiA5=+ZWpKh7tGQm{TF$;(X=)d1e>NT!K@VSLkl{yC@?+GsKrdz6GlBQ z;dn+)7mKsJxULEVqL?6(n?;CI@xb2;sQC>yL9o2)5y-a^sU#0WVza14<90$_aVH<( zDB#*L7oH_j8q@i|J;Ul%X(B zyb65^gniDw9)2552S)R0^QYVAV;-w@Et0K=vnM4x>0L1SA6MgXaO8;ddztxuzL>(f z21uey@)X48^rNN?FmQHJeLNe0Wc)gtN?0#7?WuAQcsJAI`ElwrlSx^ppZ-u>db$Jt zO{QAX+D{_wBDu$NK0EC{=Omx}xJ6=!$AW(=BU_!^@Wt~dEon)JS~S|^i2{z?dDzeG zKggZ!O|(OjYhQ_#h!b~}i+xg*QIErnq4nam5;8JPb=B*if+^k(U*TiHUKYBdtRN#! zbcOtH@sgc-&+vzYJQ;4aAj5Q=8Yv>U7v0Fd&=temx3V9|a0lSuRToo&$r4a>k|jo8 z3n|B9%YK031maFyUi*^;EAAW3#mhnOYM$>_CJ>vB?W1}O?|B+nB!4Ig1WX*G@yoCO(k^Khn5cxW-o>k-vU3^gM7Ydla zqQlf0I|NgMZ_Jt`i~a-?>yC0wX~n_CD!h{5BaZWUyMAtxI4hX!h$U@hN zox%7Bkr4=dcr&{A01<+KB?th7@z$^p4J@8JAZ{FdM1RZB$sd6GluBd-3Z{nrZA}Gq z3a^ziVG9GXIL;p|#|O*pOm`s#E4h6ZUq(ob;aVtOVDBQc6oV4cF;D)Lho9;~itHEDux{WF&YSI{vqW*B>RWN$MI{8DV>5w zCbJqp@BAY^>$Xoq(g1CbSy0peD?$w6j^*Y^e`mb2E6LrH_U2A;=Qz7-Qou6}_QNdm zJa9kkw+qo9o}O+88l)?%8wgNgN4kT9^nQNhvaowz)VnC_SDN&$Nc)zp_(vf7!TrDL z!fWKmlY-bho=epA1j|DIfGcWGbM5D#h>Gq+3JU!(PP^zwGG0{P=&N z|5uJTwp2e-?1XS1tNnLghdaSOZtKqff6R2ihaLa_<@MkODtfl?>k73|7ET{8vp+xw z?63)J#0osxMuKwH{QpGMAQS7C9bN>-TZQXullo_p{2!VWB>qrJ4hrbmib@L;a$=>v z^Ant(fBO(7d;Tx5@`f{}>zUI-7-MU$h)hj;9SR&%KFHQ303JuS)rNArCdQ?cd*OOR=0AnJ z<{5Iu&)1Sf2TO~JLm#a82g~x06(zd(^aS}+1Stc~j43`rBoqQ4t_WR*8I2Zg=2q&v zBjI_!T@frU&L zW;$71mpcUJ;x(h~IpfvkWXR%JTKm8h6%`d@p>|BPCl?3D%Ghsh%0kRPdq_rN-~J(dvNv8|unPS%n?L;dgm=2s$si{OX-UE}Tg+6L zm6?zN<-IXM1}bEeoD8V7&;tKxEvo(%jRvF+4aA`-*vKeYbR2j(H%uC*k=}woKo)X@ z8W}I`L4@QE(sK;;h+H^6?Da!9kCQ$OA$P)dF5onk%_%bb4v?Ws73>_}IYi4P(--9% z$|%+ofxYvE7>GwJ_08J~FS_YU=((4=&4wI##AK_<^#{B`MPJey=?Q}${(;9^RqAW= zg&0|1lG*j7^f`@r$mUsa-}z4g<-c;R_Al2J|8lMV&!8ASYMBzYkqJqp9|;?K=s_;5 zLUjJ`Q^NCHha$h8g!gBuKmSwsFnN>&KOqMiNW&PUI4+Lxl`&;qN=T;|q)jf4wpAlI z<++M^)}o@{&)}FUng=lc@L$vq(EQ=2Ne>bFG4q2CLZ~Q2LomuP)gfFtWbzbY;Fre9 z0{HQ%lxc&YF3jRZvXL;pwIH9lqtT)L$jfqaSC%U3-1@JdfFtFCzWv7!69IalUA~n8 z<+gnxo8daL1{%p&Vu5cIO^PZz9ckZIf&hO2pOLPpFo!&=zKjBCKU&h<6wZ>V!mb8U zjPL)`Jo~efY+&*ZX_NRFu~TFn-{Q(s4uFJu&i@u-pD05e^-DI0~PH3S~XpFlxQ@w8}`ti-yY%an@8i)S6W6ghDUFIl;+F;32n;@CG=I(U=Fr*}mXOI4DZ|*2d`OL*KWAw|7G_ z&IIcn8sy7qi^mLi&e9vxzPZH}qZlY3iboRXO}XW9=MO#rWmZjvGgMrUl@4c+yapFb zbq{S7F;dwv{8I9DIy>C4JG{3Y6Mbg)_YVQ|eb6(02mmBR_F(6%tPbtM-*oO0zc~*I zx6t<&!{eN!gOwO zS_pUiFPunPQ-lEg4>Yxf!K{p!OnuA*SbYMoay4W4A(*ZL?)_M zq=++1dU)u~Y!ueU5=#T&Wg+Na5h)9Bq$HT5;XYp3o_pt>C4yk=$Kc`gs3HoUU?vlg zXs2zQ6krELr1G(GA*9GT{HIIuwKyVK-_OY*!&Og*xGvZn!Ix3L zs+R{^H4t}on7wV9{|PWu2*J+Y6nsJnM7c7tN>rn?2SfR0tf9Y%m9=o<1%}*3thB-Y z1Dn?6hzLlID%&-w9u3DCeVa1jU|k2rNW`g{rL*M}wf}Z{u)FIj_FTOFTKGJ@Q77qeex4u10 z=eH$td6W%O5|dp1k_fY0UG{+nIxPWspleI_rm?))ph*?`rB#33VtI2w=Ps|I8FJ4W zl@2ze@bFj3VaF*VYZN1d)pL;Yx0pK%?r~{lqZ;6IYGo_E0_0&T@B3|HU@CPYajB6t znz3#S_-m}`cg|e&mQ}iFFWM@KJzkao>feLtb@M0*s3={g_yOZNk1^hee8iNDM*&tvJw2+Ir`scW+5iUg_a z40HHUPqD;o4D*uSyGyTU*oF}PG;FyeN8_IwQE7B%&4w~iG5LoU{fF#qh@TE`mn%( zykc>#98OR*y%2zx(i||77F%h9@r9pQbCrr9lE0>b?45-#NF68db7emR;+)uu(U-Z0 zP-x^8sO!1NRU_9@$x;8YGahpZ*$=T36z_sIyVBrHD z|KZ37+DBq^(#sL~wM6t+U=*T)bU`gun+H=V7ws#BtdWBEIgJ2h7TNjyHFC{46w3rp z$4jswsbtdWN=Vi6v23|`I#omortGI26=5U*nl)C+lVI|4goX;ht#3B2_OzC0%tJ5 z0kMUMQX*N0l;gJvd%Wh(Y{7seCqBG^HrWg!B;&0jL6p$C7?eg{Cx1Ja^uYv3+rmMl zSRx}r;uD7>u+1|zFG^UvQ#yB04@i^VIfy*Elf$8Kk5ug@ink`V@@e=t<~fqaP1G0x z@&{UfARYe)mLU*S?cjcGb$^tl#;?7$MVWJcpGJF-qaX!9FN zoq6z&f2jKp3IE}yr5wX`4wCU?I8ZUP&Rjx(R(y>{@`dIDJ3lZ4H}j*%cd3BF_Vd6T z)E#Wb6WW6-bfYNChO=f*;P?pO8V8qRmNItoKZlTV1>r#OC4^Lx%Eq`GsE-HTK!i8o z5^x0*%Jy@iIt27=E|$h{hb%irTcx}nXbbqV$4jlHr2riAr@vy31#($Eb0 zT{y#6Q7-!W^^|CNiR``1Io8@5&2NcMnu{x4eOaV;PNDsM&rUXk3w|LXzn|d#{MVdO z%(F){UD=4YpfZ-4sSpV>LIpeNwK?>1y0A({t>sPpTNUWU@z@n3yXW}N)%_cHhp>R^YR72&&4#vk5;ihYX>j9DHX3OHCEBtCzm41jZvtRrw3@NCm;!zFWt(Rb2^; z%j}}j7v!^%(*CQm(m8DLwSL9^I;{LMeDO8&-~&e_<23ZhEB4^8GWBAiY?&_y91FN< z3BToOz>PG!|M$b*EB34<->r8l=B%Ztzc|_3R>-{6Dj#RUoR%0R{D0WJLTQ$V&}77E z<9{C9E88R#wr3}yuFw4Qh>6jf3LyjCTtwz#QQ$V_!A;hT;9Nx5p!oal%M}B(HFE0i z(o>|X1kH}Y8F{Mo8XHW_?Hni@1q@-Q2KrfPJ{7|mpd3;3rISHAp&KP9fVac3*^m3{ zLB&S(0nm+ByfkJc{o5(D`EbE4a?FnPpxTK4A-0+M7pP{E=Bh_L+E=_z6r0!rf{^0F zOj8)g=>*8Oli%Qa&RF0ze5qioL|^@FVj26mq;dBcSic?-R1q&X=P;>VmP5}()x2s-U=q_+36Z|w7hx<7X%=`qE3p8MHPDmZb-6X zAai3ML)~~I%^iwk*W$v+X~yk8YkPIuABF3|&ASvls-&#JB7EA6_z+ zeYfA^SOPq5r6-mlw1EVb520_k?C_#JVDjrImuuy1y%FeA)ho{NHd9(SL?boWYPuzB z^??^}*53o&{h*g0pd8*2D$XNvaAQ|}u{EAcSXI03E9+VmZbxbdHaLb~dJt>~nYV$% zTel6hd6*}L7_6dz14(+tE`{L;+#23n6&zZFt%D)2JaXg$R&rWULmv|igFztojVixB zmZ90+{? zrSeJQ_tyB7DI8%X&bv=XFh_8V@34 zSaXN9afFteH6|5ystG+nOWTl{J%-~%A+QmFWKG*pl|44(cALh7f$&Tt9`F;XV3Hy1 z_p4+N?`M%&G$Fs+1wGoG&cmTj&#W;^H;U8sh^}wAekJVr@w2%KxG1+@QpILL9lcRf zo49bic(Dnh14xzhOmT0`(m9^6wn$Y2q_DQ|QI#QzYQz@b07vvF&0l=CnL@aJJB8wO zs6pacuvi!;@e8=8;Lt^UL!Kg{_B5(9kkOf+g6~DliUbmxe@a+%Vy@pPnvHe-h9qZJ znQYdM`o9r8)*Yov%@_aQ==7^JA_`x?4w9oZyOs~Q9GgAV^_UH4HKR0VmJga;nmt_g ziT$KMQv^bqrGiSzU%>|jfD-@WFK(L;HiUy9qIY_<02LlbmqsJvEz!;it|E1$!;(tV zg`i5NH)3M7@}G17o~Ad-*1k~jD(pWgeqfxBW!C89bbKUG2T?Ex z{D3IUk`45Ebcf`2fD{@+hBgBLzzF;|-R>CBwupl$FWy7$nPEy`P@>CpN@&iZf)*yFrICuf{9NUk|`K>`_-v^K-l}$`{;|G{L%#wg%aNKYKr~EgFLL+4_q6I2^#i zMz{sQyzH!WHCqSSz3nzHfbFgZ4a)FDK<1X}+|9PNRT0>Fb}%I!y{(3}L`Uf$0TR}y zPSk9=2;gu0lmJc}yUm3YOe0$w3GyQa`g8_!owNxv!{8hl-eT@4^9em{x5uY}$3QmS zXL(|fcUR7rE{J<`^}S@(=aQIO#${Ay4JzM(tYUcX2Atx+| z7gH^tFV4R-bN4za?h1-n#V65mR~I_^i%Mi!s+q+nd^#OBR3=dw>;fm6)(m+@6h#QO zONFS=`%2TrMeG64t_YFFP|(X8CS=;8x}oNDoGEz=HrqX*IS?J)D-F@+7LQ3a%cm&Fcsv8Wt8h~)I+8~diwx3N^xoH|p%_a(f4Z3-OyM|~`m!=UH3sZI% zcQ2rLr4PnegE-B|4IVRncLm_5he0Ua8k{!JVBnM=RQv}D&(_rw5kpR^XOxjHhdlgF z-(b||rDIz<9{H_#8QD39^8S*ifgo+kh0}tzsP90p;a6f)N>ItB5}oqQ%rdyFv274C4pei6MakiT8-{AZBC?PNmhriHY?LFKtqDX-C2r zrrXNiS9Y_h;X^I1xYedjri&N2N%h5H#%4{H_cN7pU;sM01P4`F(~zgkTrEn0C4TGA z_l?(+<)l@It)4a{_xN+y8s)I(!Y1Fkg=*$1L;V}Zv(z-E%J%HaUm;?KU-D7j;FMdJ zfUVe{bdnNYjLo_dU6@~NCLLGia2k;5+^V)_Cs`EI6nUja9y&yNR5VXr70tU20is6B$>=yjM*li?H*e{__vQQFJrlS8S-<4CM z$o!1Rl62pB`!ji1rTVzSyIfkmp_T4y&;Hd zmMGT5XzvNy%s1OZJi)Q+83wNfLpf3n{&RITDdft+^oLb$!Fj8r1FPtaI)~>OGJr$b>k2qG!Cl*f{O`o*hrb z`x)JI_Z`%1rEU5H9J-3FhYCkpBy~ciz^_hrS%w?l9BVgvgvQj3InIxv$RZW)ev#iH zb1L0Qmjw!ia%fEs6)H2&)uUCdun*OAhIvD5GMp=fLsWhC)Ou{fzJyk*Xklk`n6Viy zGEc2Gg=Mg~xin?BHXhNs41j4b-?$Ch<4-eJ+i$it6(?!I7J3eoBNdyYT?IE8(F&)!E82w~zzBxoavtp5j(8nM1*;&a0T2zDt=*#GsEQR>vhhweC-~EC$%x566wnqorI{6IIWdIT<@UK3X#K>$xOmc)|M`5})Y4kL^I$i{g3SQe$tS1Zlqt}%1@{2RWR&Z0~)svW~9nhX@z z25fLLhyz4bWvv#%HGJt|%+Y_uU{iy~|pl5B>o zXO^uz2C~afL?GNO6my4E^~2#M@^;$q@`2=JC)7j^Rj~=raPQHJrgX#vdi-NsD)SPv%oa+yDDk^IC55#5*=Cy7!So=vl1OW z$y;P~$>Rti2{@m0&Y$WUoVa=ZpchqTxrSBAM`<(LMEeX=pkPOhl{RrR1}cmn?^fB+ z6x#P}>$A{@DCR@K%WKcm^Wml~D^PN|AMYK(0~~i%2@|o>Ty#Ixl$XvXF87npyz)(s%py4Qgn-D@_Gu?4d*DrwsjRusNKWE7K@-hZHrl_O|cQ zl9}tVE%ZrJ^f;bfa@p`AXwZkz>Rswjir`@0W(z+?;!qh>96f35sd8OaQ590p*PP{K z2B_mfw%~Hg;u8=SW)crA0d#z&D`MkI7SO9o4*Q_Y{(M=X+Ls;M`?}zGXTQWm9ZheO zAul%&mg-ql^r_f>j+>Ao41>oWHega%5;&YuOa09ewI=hdU$9MfMMjbKyQ8!5$ectN zU6OX6rmjU-=8Z|d99j_fQXbKL`NU34%IFDo?4@gn$ed>acKN@nM=KOd;#*r=Zb;ym zk$Z+J*^n-n3S^aLu<1QuCTTU1;g;LhsJ4F}G0nebIWY+a_drXIrIPy;{^ow`$!&{t za$}mK#$vx_hY+w|t{&p(L=LA9ryr&(TBbs2tthdiHx+v>k!v~XLN^;5;lYxiqLq2h zyL-Z}%)wx4R-zuA{YHOvh3Ezh$R1WUZNNp8k*zz+fs0m_S(8zU=bWw= z-%FmHZBpDE&Y(e&;OAOLr-!(|V+xyQ`AjHo5t%wL(8JGU)>l`e0vI9=bSU>hf4?~d zdEq)2UoXc?Cu~W#53xdIn0_=O{%epFuVc~xy1$b6G!neEGMx6LFN_o0GncoG=nh>t zMO0Jk6!i3j{1=UiMhucdt&O6vHY>X*Flx20@?TThGLrg&!`)Bo9Z7Pb{{G+w3$5ZR zF#CpIxUSQO8!j;&VYeLbsdIupIfRV*8md#E5x1=r1;MI}C2*G&CJV%o+N)tU+V)uS}J~&51cLXH(kxR44 z-B7zkqYWOZ(;yfO8U2hsn6NIDHNvL5e*F7e`pqf36vK$jU`0UI8ytV6G6pKwt@0A7 z8I@3~BniweEURg~M~!3gJ z>;#w-hudB*P?B~WD)Jrx55SOo!-kTV^-VhN6`q>DaK%M%m1EDKS(T5T9z*WK_Ipmk zB(Oj}bJE_Q0Oy$te&=~IMza<@+@#4zw9jQA3a4#5;C4S}OIVb26qa;$82fg_nMR6B zIA_z5;G9pR$1`?*EoqN+aj@=R=B=*S%VTQeLY$ccr&aV)D|g*HksBvfmwWAJMscs4 zvMW05w;K6{W@6$IFLY3@D-#sNa^hGBn%+{v=jgOJpbl#bt>#z43=7nd9FvuC2hNq` zZne!bN;sh-7fVauBnv-?)DW9|R)#QCwSD@w-m0K1h!7D3sWYl_T9)Sv*w`k?|6)0T z2@kCr`h#UlZQp|C(_!%X=(L2r#vRgE`w{0)=|85orcR^~c@EpxbA{~_JF)JfPq&m3 zz0}glhiOECUsO(dcz)U(&Ty=BbEe#L@Ko!z;$}OWG0-*-bWKGL_)kS%b;|H;lM^gV z+A-c=X5RYiM#$1%LHAoIL@90v^XkI$4=XQDgKFG8N5UC{XmPPMJ;%+|2C2MMmHjoT zM{4Cy{I%VstMugzyq-vHruw363l-Yu(#1yg(jS-Xh}`TyOVj`I$9_T~l$x4ap5sm9 z+~paEIbkU3;Cc^N)vyvrboU%~r(}1@#~k^jg(;1S+=@#(>3hxHSdWrQfPfT^`9Ul_$s+B$?d(@y2VrF4Blo=D7{1o6OymRz1^x;~V2p zqcdNqyR^L>5PVrrpcd{=bSU~Z#ZRTha0s-^!wSDZP28uIkuUy0If<>YqR&G@e-_w! zl#)$sT87PV%{t2aeev!44zsgdL|Ssw&&DQkmz{cY-_F(S_{Mm2#y_Fle6qZwlc_wZ zlrLxe)A&&L2hz66H(AiIZ`}dcZ4|2NqaorxIy6^JQ|sOQC-^prfFpIBF z5=^{5Hk(?n(9py`X0mK$=R6XU{rRz=FFUZbYS`m?-!{ zUbsKi7jgk}!v@VH6)o=p04fSTHI|5G=^32*o#EztC(?3J^2%{W^`1}`j+jfCBgRr=WI{GQ0fV*=kMd+_cIch2JT*_PbVil-wZe`BuD6Sd1f<@ z@`Z(jP~UE&HAxVT@EZj=$3EG6mc?6MIlcdiHYAZd(k||L)iuwcG4AL}zK^|`hs_L_ zFrW0G9Ox13Ob-i4z`Z7P8Eb(+1$I~vq3i=WzsT1tYS8JEAeiMXBv!9Y7W%?hG);5;7`)Na%LuczP_H6!>ltvmaWC@i$ zrKk~lQ2}bIk`Qp!uPFtgI(ab%0Ko>$$XDtpb_tXx@+;|H;}mjo15Zb>@77JfIIvGA zu>%BPH^(ru;oBio!Vux!Oe2oofIoL#keJ(ApVr2X!9@bX%Qkypz}D4J+2%%-lv*Oi z$OduU?(w)Uh_bpQAV@Fv84RIkVhasfTIofKu_LPr({_#4E!8HXEn9IhA)Wuf>9U?>&FQhJX> zYPq~>LD;Y_+nkF*`rCBYWY=IjGD*S7>o(Tu-I>o9r7J1kuf=O3^d)E}=&#bzPb#>7 z)Gi>H<@D2oR91JRqz(9i>ZiR(pe4Y8NStFv!AM#|?g^!-t9Ls)4X8qCiL=BTH$k&L z6NTLUgMG3pdcZ|f#ApG| zG{F1(G#QY3WZ$uMgI7e1)j=r!2L6l_dy(5OdrG`j&3saSQHBEMY)up8UC|izOygXm zP|e^mi(r2qFG7+k@B|}ms4LJYA^c9Z72P51&~eYw4>k1G0G2;fG?z>p-NY88H;#VO z0ZmEfjAIS@fG9fwZ7oMRP~NIC${t*_xA>=jP!dJpSY7rlwiM2NmcWtDQ3$l6pkr2{ zgUS_F`V?d74_UA7uUW@5Gy}(u_hH?NcKA%MhC7v?;FCV)?V8NDz0=LY)cB)lG~7>3 zjl#if4nAPL(F z9VJ>N9VborjAbxL@e=F)mmQ+CO=0m9Dm%%K%C-`OMG}`Y0@tkL{Bhw|X(^o@Gd9|Y zu_>?7FPe+T9`%z(UErm}SG%?BmRPQ{NhMoIs5vJXn8HKHCG3rrbLkmNvKDTLvFwdO z&eM675<>Vf_F1HwJ!o~)IidUU3k-Ffh;*3xu8C;V%%Gr@;wz6^zX)bwtB=c7! z_nb@&xIa!*o$KShL3vJl{kSK%n8emP87xyYHaPq8Fw;agP6e7DzuKhG=}rry0RrQp z{OAruNCVOE3DcS_O-)v@)}}vh*)T`)eQXlM3>eM+2roh^q5JcR0>Do<-jwd6d*pbv zh)E@zaq96)TI@F^npekGYA)%muMcE!Eo5BgZ~Xt>ryBY6!cpav48p zwC@$YQ;?l%-JtF$FW8;`aXf#HLn&8|TUn4zujZ%rS1%wVj&`iVi@`w4;VavCF7{OE zFwL~zysnufApeeup@qt0B}HN3V7d`hbyjro4V8KEX52pYMJc80`yvNo^dzt`kHBWI z%m&Gd79abb^h?ki1^(bPav`vvGX->%>=QCjGxCb$4^sJp-kHQ=%) z0WR!Lh7Xz>pTguR@Ao1c3l;2mz0@KhZcY^zKAa$=2;#*A_ul*LVrIj~oQCxTrXs)j zHFr8tzZjopSAK)KeM}FJ*)-I+p|fX2pS0sg;zD7+V%T$_j8m4%7n@I14_EZqCx;nzG~b-dhs{TN`i!}dW}OLr zsp^SNW2U7bYi432Po+M`D0W;YM-cX#MQLMf2V#>R>Ga+qL7MI!+8Gdz>w4jknQ~Fm zW0gwIAuea}RdU9K3g<3%%{|DHvMKpgp(7e0%E6E$;kV~^|NeKkRP^~fp*FHkeL1Sc zUtQtBcKFW>!e-0njFKe+DJ((f3iB4nCDR8hK0+Qx`lyXYlmfx}BI$S6+(ibZ;E*Rt^wEJbZ}?QFd_L}`%I z-y)Rv6f%Vd;vN5BW2l-5@0-c18hrcB#2PBDC>HRO2@~Y*4{gksx;_3%LQJfcJRHGs zcDOAe@eM=V;5q1zr;a?xu!{!4)ay~`EL^SNw0O~)&828BSNrP8k}gdCs##!ntVnAx9u z>!hAkLa33>Z#(iOvVZR5_lYs;>FyfuSnnm^MplkC)sA*&CI!C)l>&ZoqUOL1giMuA zr12Zt+TKPYf#s0*sczn>FcbhEtMZdqZq3khO8Y#kXns(2-)?kuDV5U-f>R|gBqU~W zF&)1-8Z^Wx7nS|GxyQn7K|Mq$>VG27U}Y|Lg{7sAqYk8cX7udY+2PRe2#rV;11&v_ zv$BLuKEx|$>K6Wdv}wY6Bwrdq~bssHf^B4-iqr*3FygdxS`{w1PHY>#L*-3Q*HFy}XpyxL6;PoEpQu@zomWXjgQc%uQnifJ}- zUT^et@)OXn)t@fivN%WjxH8TD2w_3cK&2$3=3b9WB;efS;Igxh+Gh0J)p9xt zyaG94pVrflzVIIXaUE4Lz)4Hh@(64%p0-E@7HzJ*;BpgUTzk3++>YLxHcWB?t%mo{ zMUd%OFuJgOv94RUv@=(X)#Fm$L;UFB2=w`K40pb(!VY*e*Z=udz~b&`hyyN zXGJI&X8<^Vi<{-O;p6UAp4H)u`|ZF`!!1e5A9oWTSOo!Ys^j(J>WBOUdkONZJ&?JZ zaEfTPrQ;03cBPpdxI3Gpab}sSG1S-7K6Iq5(=xhQ+tfM#%As)doYF16#`^<#;oi^x}X9k=pw)Mfx0XjVO< z>Xon093#iyu-HRxKjT}LbX^(QlsJ=RE<7*)4Y}~o=i~8rs2H8AfKOML6_vAk#n<)N zwe6|;hIkgKI4-+At)*XPYGbs$#6+8Ei?p4Ag4dS@G_9?)20kZa*W2Y_6yBb*@A@~H zpGvOpT`m@F#>jyz+Pb}GhKr56=lZ56Wl#^uhFguhXSahRsKDTyw^oCd5X%QfFW`;y z-0X$x=NHuXRHrwq`@2%f5%7Q5Np~CnX3h>TddSZDwz@A(<e;zbkZrq(ZzePNL{QPpggKQbA8U|>Z z6)dzIU4MGz_-;4*j+xa|hDG*TslAFb8}#)Ux1}m}uU;7{KRH{Cax8{j<9Cx^9?`q2SaGr}t_S;EUJh z+!|<=v{&Wa+A4{$16E`Y(9tXDa;S1%k+r1xC&AlR&YP@~#=^>v)$hRK z7J(qKB#2 z5*Asu!1wFyyQQKna!M1faE)c}f&pqH`{>+t;G2h%$|f(-y#vF`w%iJe9;vmT^14Gs zGxE}VL4&ZW1%KZ}Z`sw4?>MccRSU1>!UkA6-NgsJu7)q|sVk|FzPSiY9@?|-V$T+z z0v%AU!&3Mi^#62tZ9F}kO$i=eZI@;{kSsR({kX@FCN~i3wDe2X?9h5H%6T(3SRa}= zeY!%ulhdlRJ1%5=!R!2{DEqEj|;py#ojIw|Hb$D<#3_p(%NJ#jiK}2 zBE%wA?M*l3&BN&^dzr*@bfbMB;rPs~yWEFu{PGI%jl^@r>NNN79$D>Q&2_^kxx>{a znO=i+;p)iiZ{6?e=6{Q}Vo&Z@Q{J@d^~Ia~x*F23&TV9Ha;vWdqTZHWy!{FqNLgBJ zzV(pSTs1~r|5@5~XLxBg=?vX?lQqx%niW=fIo_)VEF6A^8A^*&2WFT*7QFYUtcPKD zQUZO3YMDHv-4XO3)D2ci#KaK^Z+0TYJ5$}aD!uS>tY{K{u$o$^x$@(iy($^TXv;mwG^7^ zQ`exxIMYnN?dfNpYhcSmmebYTz{=WU^Ojp%eq}nLmyiAEkn;8e^axzPLnnIjx{=H- zv#kGiHuN&7EYE#^xt|cK*C+41xzQXmWq4uLsV%zstGZr`<7F4u?N@VUXyb(jxbkCs zsWf5rS-87V2Odz+{u!#z)d0En;RUE`qPrd!?_I`*Px zE?mGKWKFu=D9maf?L+at%lI<4VC1u~k*f|ImE6#k?muMk`rfbTYnIL@oK`iVJlY3$KG66-F7v!ct>sh6pMEA&ydDdS|pP_rEFZqRkysL)i&O0 zD`8Z%OoY9yX5^$S^4F>pvb~%O!MK&4@;6wp5nKdxjS}8<>v6Oo(Z9HPTTFAS*6SMw6slhuiTx+ zS-NItyRyrSls8Xx49&}sp5AWD@>Xt`hs24V+ATu*Eh#Uza`j7ieM=tPQ7X}{u7(@> z?7eEMhv5m9wC^@=XG#xGl?RGDBSSeLt54=i!nvTlE{v?qN0!|lvuFMi(B=g&CpDmo z!TODz@+PAFAh9vY@bd1|xpJzu-kJj8#WlVU{rTl)7kmf~Yru5MFMwb7bZ@KkMR zI-jF((;MXV)v&w-;8g z&Fv55&+ZdM8`Q1)crMEtQ&RU~*H5c*I?o1r3wv_Fc}+pLks@So))~E7p_53S^!AoB zA>Vu67mpQ)-i)TUnz!7G_(7r9-1Jme!0e13_Q@hlc%RKxuVsz3z5#fwLRnkpbt|@| z3Ur%r{sElVkIQMI%7&5UJx-l8KUD9EU)}g#n=J)z2CMtzKnp(jZJubmyP7_B1Z?R| z-J{*J4*rpqXC9r6DfKJn`~J>nQfr6r)A*2}jpA1I7I(@*bb09dSL=ADUT!-07Iye+ z&(=JWyVZppH38|}vO;08OO>04_YN*sZ4KR?2J=3Lj$WpBb*(dZ<1F#eyaD{HUIfyP zdm5>$aIDN{XIecsZQt5&Aok&H(ya;ju9+@3;4s|C7R!1G%$(+G04qjpXS3 zUHm=8E!kbfH}|SCz;^KT!ARR38!h+Y{y}%WFqt*lh|~{oBSoGe!cx@(nLE_0c5YU` z_|7th{_-V75r>hi;bn5-z4KKrCSk;IJaSzhZSpy;g<^kz;Jd3(YQm1|qsCa|c?`gKKO>t(yH?%}{%bLd(JqMV{f}h&5M%1Us3}pAMD-0ANSL=1_HE?mpt+-^$V4KoFrqs z3xa1qlRWZMeV?~AWLI9BzFXPoC81Jj#{xhwOgEYY%rCuERIewH2xjl?N0~U#t{s4lHFDcUB zj3K)555?WX&4S4@irHhb$zW{GvKvdC44v6!|FkM~Qjg6e>?2BC8k$?$cNTfw^dS-G zAB*EOwYku4E)iP~%tP$^%bg6&MfPE(P6jokkuQ~+3v%$oh{BE7>QIOzYmD1zb0oMInR zY%%dh+l3`kYV_p{OPw?mZ$+M8YB3y}o%W*QFhlcD`=}yQhO=h7{nz4z>&86No>*!z z7@0HeT}#9Go#v^PJ+0Ve2ri_RQj>ZD;iCJNNU2BW;r5ZG7L79(K;riF7H$BJyYCnI z)->h;_Q&NWLr6H?UfNj(rnYY_cH&0hSKV4_GH~X8_Nhg^Zbp#m_LbsDgIS2wom67o zur~LwCzm=IVxVqQu}Nd@X`fy^mPY1=_U%QT967VYKBzS1Q4KkppD9myXzp#lTI8IY zKy>WSirv(+5L0*J**>r|=f>R5zPmi<#%#6^E)g;sm@W3pRA3CnK}b zeycp;8nWHSMZVSW$kyML#N5CW2CpenJDxRnu&ae}YJVMj8-&dY19c|Ch(VM4XH z9ADbAXB9~qm=o-5p%XVAK~~%C3)H&F0P@VfSJb#!Opba1q_a+KGl8_qCl+NiTSHi3 zPpQdxXzpmAR1%Tj`X6lHTqJjlsT&_EwwW}L$MtXJHnR|F|4?z-vkhct-=o;g1XAQ) zUG8Q8iHr9vHW@*V^RAKsJPWah=a=U+glNYri^NQvxwCyjQIw;x*<>GIY%)89M8~ya zld-v^vUe-dJ39+Wn}1&w%(EJDQvazcFvj0)o&wJ_6lkgYH9P^hTxjCPwOO!#RqDl! zC+7C{gT*GJSxEG_W2wp5nR)xdj-z;v*e|feQA;4Nex+f z=M|dN-n#rqWlt?`R*l(U?@;UnQxfl9Y%+p}bGH>H?Pe$N1k5F+N&D;lV=DW?;-p6t zvuqz%+=yy;h#U>NTpnqPG{j-qc_TJKxZs3W@?^NricjB*X53=VJX5%fM)9vd@ zgA5>%{M#iFdwcSWmEBn)V`%Pg&n|S~&!WbDqr@?9CcYRR=PGpKFNH6u?7a#t{5AN2 z_D!We{6*|U`>IllW^A1On_`QJxw(BuaWW$aYd^2V_7P+*zN(~%BX~&f;^HthJmB#c zC0hNha>+iW)WTa`+w99rO6IThr`mH1T@1#MGj@8R#c*KGvzL^}@HX>(>@$lkMrMzF zLx~?lOb&ZNsS|&PzKeZDvBlV|w;w3Bn834Fi-i{6c0a>@tR((1BnLmGv_E*O@5zvm zQ5dGF&0X!Qi!BCbV&7G4F*1GomQo9E#ooYvved%c)3f$(OVb@fdjEGzvKvg`QIZ!G zhiS~5ePyYIH!Zi?mz7!!AOq`dMQxuoV|%AUgJ#y;!VU^k89I1Q<~_wh8na^WSLnl^ zb{F^TOG^sk?}WdG1oOgdy_I&n{cwrx{-*Zmp8ZsbWfRDU_H6E?jqS%vbohJ!&Fm+V;0$~4*+E|SQ;TB^;592-3bOTP z;&tqX!bB#JD*utvAl_)Wu6=GvZvK7;IpMt$9RqWmy`a#*-$h6EE~N&w8QMFS=p4f% zUiT@`>5cQ3^z289GI8eC_Vd$aQbXd@GfFe{7V1sy`a%P5a$n!h6&iR`V_@%HXy7l7 zH?pS`X5sD9>)GcN=h>Ls+Gmx7Ivzoe&&!HaotT^0g;F0wcpCBxRi2h}Z^-O1$J$Tg z(}fX-be=uF>P&Mb`>EcwXRemiVLlFg&Du}*;C&!%)j8*!an9Ol_qqs2G}p49DOq36 z8#`-0u)U$pyPx4mxf z3Rk$o5i^G_p1u2=-RE9t?ta+JmDUe8Y%n+RAbi-geTO;W@R=*GA8y>fYHkCcM)2v@ z&~Scd-)x133rh|ft{ysb#r6Kn!z;Fw7Qc*bcMJ4>RY#Jn+NJ(Rc3#)$K4l;XB(moM4WHZg9ML z56#1PH}dV^1GKxVub#*8ZULWgyqo(j=hv^S>>QZe9zAmeeOy})&2N{KcPy`%Q7q3v z{q2)7)Q@9%9_sIql%akS%L`C{$D|DPvp_RUeHmzOr@nI3%;EEkFefN&2&I$f=gm6L zmU%zN2IfFh+yQ;UtH`%4Zd}@Yvbh#iLHT5}8G3CwcFK`6hrws$)h1vDewu^scP?#S zT0LR&g1LSu!C*J=)GYu@&937IU)i<_AFt~xwyi+7Fv;tM5)iiNrGT%TTRwSlWo2n$(Ol7gag}Ce z{H%za8ULl#K=U;{Ij5{28*F>gBAR(&4=(WIB?0Yumb&>&(&J$J!X`0oS)V28e14Yjfs374_lEZ6B*NHvIaI z*-qbt&vWMFP~m7VpwDw=N2sXH&QMX$;eJbn#+(`|nmOF>sW33R!sns6NBBH4Yo71^ zv#0SNK?L(Cf`364ErUZ4KREMM`cRv{q7RMv8nSbT`6v9l)BM15^N?2izfc`AQ!SWZ zLfZu|!5>$!&#YfqSm~Rqb|0O&TKBOwSMNSH<{I6{fw^Y)acGY1K8}pVUCaycTCj`x zz2VxPf`ujX-3rP}eRCZCb>=$IaLM(}P3VK84RFcT=4SMvF*m0V19J=dFf_NsZ`bC! z__s0F!@mP_ef&Gbt$kr>WNv_e$L5CkcVcdYe`n2&y$HIE)BsNxkf+~6>O4>HiGQ6r z4F+8H)ZK?ZICEe6P@A*qLu1aN4+C>9eHfbi;kRpZI{t0U8TfZ#?uCDcW;gyFnS0~k zu{jg}PRv>Och>BIj#d_Sn)3iSWzpOUn76}x3&gl#Z2klPPR!4MT-;*)7O-RvJ7lKk zIeVnv%93r`^Z?#$X8fL%ZNuh@et|D-UR!Ve4wkzAG-v)ElmLFo&ujnvJ&0WS9PBg8 z<|setGQ4^K{yOt@{9F4ACH!s7H}LP!+hOo`1>uWv$6m9F9zr?@Jd=dZF=1cguF<-{NE9O68uUTD$?Y(bY`0PyW>1jXr z&Fy2snLETnZSEKgjk!}S49uNlVQB6W3nQ~W7RF{S7A9ss7G}*tpr$rWsHpp9F&3QJ z5DT?AAr=~QVk`{IQY;M3U1MQnPKt%G*%%8Gvndv4@hU`x#tcG5<8NNE;P3`Yg@GA{ z&qFf`pGRgKK99{Le4d!u@OjqUuI&`J;R|BHnG50kYzuw!@TBC-Ba%{W9(k2mYRsdm zq&P5-PK!hHn6x-Dk4=kX^SHD)F^^A+v*rn)x^1yG4~TU&JZP5`oq1APtj&|tVq>0? z76<03X>n+tmKI0e_(_Um^Nh4OG0#kkv*uX|iH*4^)-~{OZ&GyTIcc#r&rOSsd0tu^ zcq1(-4!vEM6i4QTX>n{`loluE#c6TYyd)uUU>+Fj2JoD6Qgr5JX|XmhPm7ItMOqvf zc*$ib4$Z65qPMQo;@DiA7ANL4X>rzEl8`tw4~lg|cpq$1bmnzwu{N(yi;a0hS{#@+ zrp2LoQ(7FEH>bt1c}rTHn75|IS@X7p#F2S$tQ)~QgOeg;`zOWPyfZB}=3PlgWAl($ zn3#vg!mN4Nk$%zo>z*%|cf&Tky0T=B@Yjn|{KfQ7;hu5IdI$*OhsJ!IJ`Bt!=)=%_ zl0J;gr|84je40K?%x7Sa_9x+I0e~yyPk^XxdoJ9)`rz|+n?nz4O;|W&mX_zddcrw@ zpElv;8$WI{z1-Nivai{;acSP)1`q8%ZZe0MnIpQcH}AgCeApkM)q3-k-l2HM#`D6@ z*m@msgqOmE0Pquf3lN8H z2$;~LvCEOas(6~vB|#JV6-5lDbjh0)V3NRu zo`J|#^H%)3!{3YjgyzjNp*Zi_`)=*c6ZqSB`vU$B@b=l8ANqTqAF*|7;0P~<2?5|I z^fn+4{Twi%$6}WwZ~TC@5GV9&G1H~xkn#{rLuALoO~IAFQr zI3G&r0ndtW_hG+o4sW4lcEjH$VkyD+pF9u<^-Wdd5jSOD&_6&^L zxoWWLSx8;yuUR{B{mO##w>Owo6y1yP`(VJfHsrr`w&V9x+wq1+Cbr{^GTZUS+;+T4 zv>k66ZO5BY4`e&u{A!-E=qN%;PUH6DEjj~}>Yv>UHeKJ`l6r&BbLLi|!kJr#3U5!| zCREhswxPn?lgEdO#`HslwnZI#}_(EJ>hUhDrtuDk@6 z9sqvny#tmWI>cbC@ORQ5~n@!$|@?N;!kwsz|%+O6Ygw@#wn zIvefQ+eN$e_MP2&2NZ*z7nb-T$IToo9H(u!6%5GT zr`XTYzMqp87q={~bdrHPOl!U06K!_zt&%epzi};ak_J&<1uD_0ip3c}(^%YW)=_o$ z-D`U8qS_n>?a1qj6%aKbZMhzp=ICuT2Hd6#9bH%TTYK=kV$-cr0Plh`C-`++8GHfGrr_Si=C1=SSw-(UT!6u4aCZ3Y%sK7F7+nVE#)32Vi-p>p z7YmKKe=H2l`LQqzRxi2?E{uh-c|a^o%tf&_)?3bP-^+07J}oJ^%x-q@+Lxs0GP~J}>RD;A$xLN0 zuGCca0!vM0FS68B_CiZdWiPhWRQ7^_wRx$6l7HaqNY8aYAF0*~SgLu`nsR%p7j&%pCS+T51kAgUlRmhM76s zj52e$8E58jGs(>1X4ad-k;Z|yhGXR*H-HDZ0X)bJ-~kxGNpaw9+oU)&?@5az^WL;L zHt$P|6Z8JGIBPzT&^R<7Oe%-)8#_tSnGdJM+I%D}Hs+&gabP}{79qhZDUQ4`o)pL4 zBu|PHZW~dHm+Q z8V{~(C$5FhSK!(Ur`R$6%vgnU={gWsL+JYcirGfeu>5+kIofa<0&jqOo4+8u8%}IV*rjUeMg=)=eyo_iv$1jj4JH(@?|&faaV;6I$Q=Y0AA?g(>*ng0(^ zO9KQH000080BdE3Q<(nhl*&{90KilL015yA09HpqUvzJ9E_iML3PAP0SZsJ!F*rp+ zARr(hW??WeARr(hG%_ktGRVKq z@$S$frhQwy?8szEC<%jnz^$YS&4_0Ipa59-#}f84N{% zS5Wmsz<`ZjgS#A0-yDjxHmJKCPQFS^P)F=i#yE=aJYFzq*M+K*jHG?7ic(XF??la` z=WAEJ+S&X#2Z)N18M?-m|B7H%qZxjQi8&~KuWcBD*-eQ|Mwb~70Rd5Y>lPj_jc}|r zN(yOcxVuA_H&s@+@HNUs z>@6b9!QyP-fPwwLrtk0u?-mqAwA^{P2J6%ppitL`(2grmgfmBeMF=>ECr6f{JzQH&m(j8aAQ9G4MBQT-{cw)ulbq{kf6?H1+)CIFMCw?!vBDV>WhU51# zqbZyB*9}1}fl;S>681DF*9EU0xZQZ!08MH3*N3o+O%#*eg>n_JRg zMTv?_CKDWc@XUVo7=$RRGQxC0ro2n@Wo@cV;c5r>VG@6vb3F%#aOsPvYd=lj7#6?B z5Af{}1d$?8rYrr4JeQZEq*$(FSp4&tiTrtD!HTcD0zbeE`K_q}r*x=uU;2^BqP*w9h} zDstGZ$TIA$4T(EL-(Mc{$-S45S2v5B`1sH>B%S%ow<&Dr${98mwCIaOsfx1oSj{K_ z6PsZsOu>E-lG@>bS|hvAj-Po{bXSIAZOw)K`?-lguCm`kJpz`gb;!gd?JqK)Iyxj7?|W0n%dRZJIOwH){ohbq0zm2e7DLmaFnuB(KaUVZGK==y9lQ79&

    R zq=HML4*x#M`-I@9ijk*U>zzCu0wM)3t}iUa6$TeNoeDqGcL;!6+@+RvwvEauLYJ}u zV*rrU$zibba4Rtj8cx9RCdR$~mQCX3==G=y7AuuD!0u;HNRWFbY?6ths-DC1r5&dS zw4frft0k;_l3008dSz^&>b7&d)`P>X<;4#yE5kG_Zl_+D4r<&-tT1YxkLUd(YAqFr z7f!P4^XAY>>tiqUmzgib&o8{M5J~k zks9B3)Sp6F5P91$okKC26jhA)yrZ1Gd4e(_1SQR#pbL+*mg_tTfIp0@vWU3==T&lcPYbZ*8dx?my>i-TB#D zuq2*Gb*Y(&t73IkCr9Y^h0l=?1um0t9a+!{X+&`CWVhArN92Ion2P@zNjFb!M+tSZ z(v7&BgOZhmp5X1=Q~3c)+*qargm!pj`52E#f~=gQ2w6b^?%L99w=*l+p>wB`6Ne9e@Cd8Htc1Lk~ zIIgGMjuOX%_IYwzE>7tj{h#suu5tcCX6KT6p+3ojs!YQ-#CGRE;@TCs(pyMi`glCC z!*I2ybelaqeZ`)MA9!MzVVF6G-%x#nvpUFUmpcYM4I)&R_sXzt+$C6N84zEAErWxi zF?OKQ)*-920SYFk3Fw{Ki7$wn#6wa&X@=ZxR0$bRVK?78ab!04P2gZiY{i&P%Y|GE zE_}cd2i!}gt6h(9(1>*&fV~OK{@C|@l$W`BW^UGiIGZ|2dmQ6~g^m)sDyU3@k)h{W zM?}0}EL`oi86IHr-V)dj4rDiCdB|o0rWVEl&C1jFuyO**1ng9JZI=-1_%TPL2vz@N z+@?COP3O9W2a2%lkvK(A9{H_TU2_H{P*&$FjDtbW5EiSfZcp)c{dW$)HB0SRfHuZW zH1zere=8|BzAOFQkD#)}xj#;S-zaY8~<+sN_@K`^@#?k%yy+2gV zJDC<;KbDmSFOI`X3najSu6->_z@0D_UKSW#u$gYhOZ5js&K^3xLP{I;ARCEp;n;m% zr8}_JIF}*pitPP_uY{l=Qbk=H9qI{g4+)8rzGrArGA+ zI%yFsCXQ+EF^Jf)I(JVtCw8B3*``hBWH3?uxBQrCI>B!bA9PgkFmwZO=?hqaNooe6 z&0MORgO*`VUWLu!f1HNmiEE-%4_Eh&`_SUyp2(xjr_8k$v*4YW`c$*@tEp`?Yja`W ziYVYL@n_Ob8T4cT5W!38ddN+!v-|Ll7Ny|Y(vjVS7pT5Sw&3P_2FEUze`0*|W-Gzi zK&&czNEe%y`im`pigStO&>SLPsmg#+b@cGPILliQ!Qe>nH|vj&$g(Svn?~D!Lf-M5 zJ9m)+=n2_6Qy+f5h3+BxMod4XzU7Lv)JnLP(iw7R9vQO#&|f_cMH{2GN1*;hWQa|c zvNtMtOSbtBFBud27HF^{s`_P&v1NvJXNbJZpo6&QkKVYgPIyWAl_q;bV`RlZd!}v~ z6dC2~s6;6h`AOoZsfGVy&L4oPrK*K>lo5na_z0;>gi(s3sTxsSFuNw^opr4_!@%SW z;YRQ!SLJC zIKq2&B)L=Hi}f@z8(nE;-|Im-8d_yr3#q*vbyLiRg2u$3ZC1iti=MvKGMsq7rMG>? zjkEQW-I{Sr8;v4Al(oFmjBXCh%RLcXTO!*0zao0K@E!_y(T5I|e@GrCtU{G)u}|8W zc85I-RXSxNa7jSgU9CaEhEA(DpNH46hVz0@ldlbCYmh;9&lCeIpsF+ z6Y9S>7u~8VbiF3C)?jIUfD@}-%T;bnIsHZ#^SNvivYdG z=F$5m9DK*`0HsODJRMbMPuREOWGeqw&1Yh3X6=~JCQFmrQ!(gc)fXYa8g*sae58AA zy}R|T&zSC3C2=Qs&51Ki{JOK~8pXRcf=XP}jIHWE;}T9PoEy|A-TxBe@|A@DpR#*I zB&i$^UGA}x6sSwYG~WG9bxAiSg-yTx$TY+#qhvIHowJaSfpCxTfQc*62BlWJbqkz5 zRB5K5e|m)hFz9Wg`=$c4Nzy1eTKtRm#%-s}WIGj`owChz5_**HFv}3J+S{Y6FJQ>e*cV-JU!}$17Pij} zuQEaBl|M@VFm~b+a_ZG<;7?TNG{D4c%BonZU_X04$$h&asM91#*rS!F*Tsc3%>R}_`i z9)c=z2*|#DV5op}r3xowa=i?VBNVxSCbkdzeMQEddY6B?QKT`!9Qv(k4;KQM(p!Hq zcP@ePvEm5!M(>X}5J&qKMAyr$G3TslKxWG>ISOVaRYDPARwo>V8Vu{?a196_b|L!4 zSLNr3m?tY#02S7PAM_EsPRnu5992y@IVHZpzX~|djzJqckY$LPIU+I47PG&)=vvDW zxq6xsJ~H#5SdAVx9S;ED<`W*jRsv<38xGJcQ>DK6Nfx_FQOker0JBG#)UaaY$yUzS z-EP!3P!@rEz&zXN7(Z5+-JBEpX8%T`O}Ro(+24w$uReSwmFFRH&K_`NVR!C8SQM99 zGcNB+%?rZJscq2j4;)fNBiC$yN61OvFa`YqCwTRGHyZtrBomHLSl& zd`ha#oHht}_u}p$+g|1&*iw>mtBuv*qD8iT;KB9}tk5nX$u-tc`AQnat3yA}Nm#I* z(DP06jeo+N9RfEzYb-S^wr1J8n>mGJP8^57TVezqe>X>ROaqeR<9cJ>wQQomxUr1e zN>zm4gg-snNa+hU(~Zm?ew*e9Zvq7+bWC+z(FF+ij<#p3oC>n;JOUDgsu82Uhg1pG zp8*1OvY!KX$F5`qP5%OTVtFBzW5-E_SsJ7;eoYnC_-nyOffquMoB~AJWzL~?SQqO| z4-r|lr>8QP=_$DsUu>lLHx`ZLv0L_$stecotW^7o*P$klAP@UGDwB4klB1E-vACV|Ln!JD-TOtG#haef+%vpEUYGFo?G#Y32;gx2M zPr^lSJzZz-s?M}H{~6$y?J%m)IVZ~Lv4TN2w;OF5o9^_p?CD>_c<@yc`tW}8p**5q zpJ1DTa9K$CAA@^glK2rmz_V+Tr3_sL>2}4}k%y}5#6U+>7a#!u-t0ySB`~0EgsK-0 z^!Epg^AqAQlyVwRcGcfIb`P>k1v^37iN6?$;eVs5=EblVJ(B4@m~2toZEwJ6bI#1m zfug}BONgyaK^S?Y?vSdW_|Z)Y83X?9n3P}2SfF$LIOj&1ZyJ<FF zHDl$koZr)tql>BKS!pSLdtb4lrp88`6TCkHP7A5XJgWdi(07W3@gpM=C%rYj4kM+(Wklp+! z|B)^d&A|5ixkdbnLG)*1btay;3k$oOU+2SA&7;^hpz39d$*#qOzim?T%4k63n%ghi z!pW-SERh~kUlDU9|6y?Tx9VlgBxOod3#=_9RxyWtUw>WW&-YaLM@Yo$u8OTjD2p(M z3f3q#ruvtT+7DH?P&wL&{A~h5-D#QoxE%Uq_0H;8&otv&5_Urodz6+87H3QH(z6^JqNFiIUrux0vaOS%x z)mMv!iOhsrQ1_L@-~{Rb4s2%}4wKdIox8H$viIt;O3vGpWe?WkB%MqE21)_;p|juP zXk1l;(}g+V9x<0%>-pvESe_04%K@Hqx7>f|-|-D#MEgcC<@dtb?AO?u$oybqiy-aWOer*Y zV9jc+`>QR)HrE0l$$;{~B9HQ6*{}~v&W1Wc3cK%8)ws2fkhGu`$(0NyU++TA&T;a-)Fp`Bk-Qza#I|- zNR_=%`?eZ+^hHcLlUcVH%@pzI@qmegtbHoe%O7cf^IoeHMQ%0v2rRhQJlsGSdbHkXaS_O@$Jbp4N#H8o{Kc)YP&Fz zSwMxL8tg#K;3U)NAZC7Psre%`MZ$d3lI6$?nfODK^N_;{56HbJHpHwi^C$?_#NyZz zdV43TZz*cmpF&Daye&%{ZqSiM72*2h_}GAtJ0fCbHR*tfa?RRZqM-xufIRokaU(gN zdNAB=@*0SxT*hQ&aQ>8h7Rt|iX}tR$92CpSp3~XgW9t4_e!WJ_1FBY|SRpMFlkZ7a>N59Kd{8T!EQ1-SCNv!CoW#UwVg2uA)b^UmHfh6d?Ye z_(%54I*m}TXi?+~_d}O_YtVEn%}(O>F`_mt#Wb!_U69S6cyBdjZ@7W!^K>n9zEfc$ zIiHe_5Rar80cM}4D-6nQ6VIoF5;~E5tam&8#SI!Ve3f{y*A!%dur01Fi>EG9SqKL7Mr?CV;z>bk-vgE3rIWELNu zFH_K|jtG5k@wibaU^nRS=sbyJSLfbQ5NOt5-K4{8kY9w5UVre?ha=Eb;67`z%l8+*8he~oZ`adatcM+tqpLpP_{3&yB zi16|~;O?glHQv1;8LcVJy6PFmcgYG_jp>MP=8KmObnHc%$CV6|Bxkcvn*{qfCUKDA z*s^_}Rl^oDMd)-0^Eara2c-CUX!jZhYno-eCJjl}2;BF-fAQh?#x16cUM__QOH`OV zo~4ZvkE5m08oiS51gxI2P}+=_Hen20PREz)!sE8Vnui0jK zB*L{@GcL+sv#@ZA#=(EE)#U>BW6MZbINa1?VOc!F^bf-p#>%+md_D~DL%H^4Q=NZ* zvFV>0K^3KeRcV4@;6-n|F|D|>>#F|+NY>zLtajoTFgL$@0I?1BfMte8D`d6v?cyR6 z2yYqo4en4*<+ou3C%MX#r;`3TEkP#uRjKJ(s(!T4Xg#m_5)KScDm^k{2ef|D2#?6g%@vPAB!Yf z4rX)o;hs0`1-6SZkX1V<3se-M6;$~`>l>SpV}x^uei@}=oDixS z5+YNJIS{5&mFYy{To%pA;>DYk%2bx@$bK~k?xwixp~Z{)H!V38+ff)>aTz^x7e z91gp7$wl))HQ=Ij!Kwo%@(G2KHyvW{tDX4V3?N>w*rEcoh?c{ZL#UjK&9kvdlB|o+ zKrOp4ZDC(^5;5jhwe%LND~LJ7Pnou1?Ybg#<$0L2igMRFxz2~G;U#>^(4JS>f=tRJ zzLarl4^{k&NsnK%YUXuM2o%|ZhL(lts#O9uZ}d`u;cA8KnV2v{dZb2Zdok#!8UF*H z0wHr*j*u2DK?mnS%3yx|en^$$6ncIibU22ot6QNUA#iRj)M+3{Y7P>10Aw`<9q0DnN-YdXf5nU@1BCG>%%l2~$GjY}W{Okx^|ntm77 z3VGZv?~nwXf|>Ic09-nCgJ&4sph+MnLq>af_vbGK^+w}j1V2L$dtNt>wAv6|hNLc6 zV0*fcx)NwIc<&9*W~it$U-Vqf0=(KN76zkf{pv;61ZgiZt!yxs60~%>XrvDphz0f! zCX}qT?4m`W!!vY4m@z4~SdJui%a|3}km*HpkW_iLA=B^IZLOC4%+Nsktt20C8L<>& ze|$H!x%S7+OmMm&n?y;EXPcVz+l!}h`lI*C%u^jQSV+;*3KlH(rWX(U{eLO)`N)G8 zTY;e4O7okB0m3b3Lb0(zTB+mXyBt8b_V_Bp&sRCxyW0#sHTqCJAl*rB0B;x z(qLhu$O9ZIIqL|K5#?bz`Frt$hfLLZ<`ceqtRt*k!2{y=8wOXgU9>Br{74J24qk#{t zHtW|N_;H#82&QnJG*T5|!a7W;!E0bKyWln4t$j+5c>R(iK4ra)un$=yKl-L~R;2c& zKbmffxPU(mrBR=iyLd>dvM&_~V3FfoI{q7c*-aE!Kq8WLte}FOmqNBG6WV^!z*0Q` zs%pJMk2$qWo^_YsVw7xOvyCRClemXltei1R7p z&-^n=$LdH-y_Ik1uNps%rG-9m9wEGJPNm?s!3Uyg{af39NzbuquSNcmnh9SY0h5M8XzUQ5abx84#r1o1Z|8} z%?q66fW70q^++#0xX=*dVz{rL2ut1)7L{Se|G_={t<_;gl2YFL$X?w9F0CfIPt7Z} zAv2mZQp)*325sQObW-G;e407Uhrx`YKwBefr;_9p@Uu$aidM*#!xS~nEx)BB_48SiaoRP5&j3>DTN0vAS+ zY^)iT9&cXOqYU8kv96vWyZX|h$jZ|QN#lCpuxbes!0M%7;4fTrknw=sEXZ3?n*2&~ zxw)Xz%s>RQRhOlPFrhQ~ks54yB)VkvwLEGAG##ZV)19VF+h(iW!bW>-#4=+qvn<~~ zv+9IbmHgQ{1}`sC1>7Mj`{VUqX(w7^G|Q28`O%%d%RbCnTuhIRs=Kl0IhPUz&9EsH|cZ6K4q|vG);0TvRLm-v8QxJI{Wdb_RvcC zi4=<7c{g-#?Bw=p68S$|vBQ<+7PfNNAd#!mS+7o5YeuPZA#^THVREbo^&?qTbM`$rH+R9Jk;wv9b+=vDNU+fh`ChLKbgIQe0fL_Q)&)< z+Xo~om%V@#J#>-OW?YJ5Utl+Fp9FkANx+-%8#Ng3-dRZ>SVW$*+{vhr|9G^U%q{K+ zK%}O6v*m^4#?9XC<+g=l7g3fC*wjV?x6avhkjf#b1`&wKChoVnGjR(1EL15rpRR$*&TWx1FtdBxlDr1wx5XZ z8qVAwxi>2w`7!NZHfLZPuSD`$ul8UtKZnP@ij z2)dh-J!DFPfsiu>l2{RBl*hOdqkpjQkvJ3%J#5bt zwBRDA!$JEIc9aAc7e0d4bn+rh^Wj=JfvN(RQ-k`Zsp**ZW!Yi-!vGxeOi~_#Gl)jI z)M%qd%%O%f+J^?_pfyCkbLUSX<9ct*q@}uXe+bHF%2Ux0gjvW{sT4CDqqD3(FA_-9 ziLWZ4vA+ZJ=RAR_nGR%7(zeVN@g=pCyWH4Y)lR_u5(bLARbUGk4jPIi{v0xv3Iy8T zqJu}StC&NRhDZQCvl^j&?LKe*#f7?A^x6HCmLOVb`KEknNN%bzhUvia)% z+9ECijw~`Osvxe%>LBzQP+=NZO?1~r32J|I`hepr@n-El9;RV5m+6Jv1+Tk1T#PJy zQ5V{J*XICo3MADJV2aRgAKHQ+Pd=!^EQV+HejPC}?5?3sA=4ieW~v5WDwX9m`BEqo zyP8;lY*<~_*1=qF{siZlbP=530v7N;(GiJ!EGJi2+!5$BCg4VJo5~vdqE7I3 zrG+s#uB)dRAV_YUp0>rfHB3tv&#Cik>KDI?e?N2c^{jf`gwhB=Y11UUkT}0Q!r%}w{ zMo7@ppv*@PNm54u#rpPMyY5z9OEpHce;e_`$8_LHOd_vY^8QgR>ATI_=nvadM}vJI z6;?SE)rPsCNcOWR?MHA>jR(*1pa>2BEU=Kd+1=dxFCFllhE#&Kj3|^Km2z1m8%h#Y6b) z80ojLw4D>V`(qsTZD)m7mlZ={W2vdnuct3PXs4q~F4w+#Ajq@82*2$8yU0tD*6A}g z^%n0HQd+QWRfUU(de%*nbPb$BO;R1hhIM0R6Ve-4P4~~dACx@?(hH_jhFQ0|#>uQ3 z)t9&|lu7+s{*YacXk@lMdp=fliuo9a+`#c9VAK>wzK0&bj2A4o7qYzWTZfxbvY_Po z8rGk$QKhzE!IleySt$ampJ>=z%K1So+vjpn+BPR%r4zUY?{sxXsx;YSAdc#h5d6av zSZx#I&t=@7Pu$U(vds_IN@FX?$qIsdY=|YgcAyC-V_N%+hk$K5FuiyXm$56ftcgx3 zx6GB&2q_(r3VTBDKqby6qgZI^Wul%je@N3vZY6t+Sw6OWicLs|HXeI5`qNx~I8Q>F0k3-fA?U)um~%E*r7eRWYY9Yr6`-MS zDfnp^^di5%UY-HWG@u8kNBX-D>DRf1h&uioG3)#l@7$rrZi4}Uxr?F50;di5eA3$` zTw-6ncyF3d({WMcx4kSFRE52B&{LozU4mFeKL!$F&93&NATrBUeuVzZL!eBN>+Q8pwB=DEm?1tYdw$gQ)sO; zL=+u7T-#YC%wT)s{fHq~^=M!2dAa=TZ?m<~;767Q18@?X@ZDquo)L8UR)Qot zd%&}`Hcf2p6+$LQV`&!<8edORH*Mc84Z?`ti=|?mJ+5dJSKa(V2qKb$mu*&aqX1#>5PmeIQ)FY2CKyAfbUJrv?&>WVqPSrW(Knl%eM zA!Sn|!k}BZ(-HA^loj`DjE$*qShrNwOPuj?qn2<&*^_)k^|#@Q=_3$(W8g7zW~Aoe z#%jD?zImQzm>_gs03U9+NzWXGpF2lJ^hSIGRNLtY(=VOfg*;4Kt&6Aoh7;twdPzU} zO@4>(V)9O%cqCdo8tU`Hou!wahga}bjjfgE~$Jmc4ySUbMQB0Rfx+bLWb|(N)xc)<;(ibcd4#qou`)yB+~S` zsZhSV%f!td#$R-+h0QQ}ZFo5o>MlxqDlHB098ppI3H_K!u$`K$u6#FV&)*jZ7CP}ttzUNK@8Vy`2Nhu_$ z7N?+P<*tD!b6H~o+Yp!m{&h{u%D%f<{B*g9&s-TwxSM6i*JXTc@cN{2GCB%0UHr@1 z zLk_0ShT~VHz|f@zCED@3o*v7C%W#Px{qu&aT(-pPPjVggjHJ|&C4sSC7Jbn(b+Utx zeshmYTE?H7e!^7mUf_h--FsAN9P*N$1qzonkJy2RyAR4qDi zuhvvS?257NcU!q&ZHrziI$6B^+A|7M-=}8LI)4tE=KpX`-WYYixs$=3S&hucU2RX} zI7UNsb2qQkElDFIJ(RoMVBHVOwbK34%6>Blkw-{R#82Fjfg`8O6w_zMu-43Z>BiuA z*Kls=$!irsr=UF6owj?bTCF34%{5n;`}hU7bQqe-{7DOkNY@r~*7Q09Dg{l!oV}0G3vz1AQi1*? zk=2=`7Af!7?^>2$QLMIxZhlU0>6_xf$|uKHYWh9RYn)+ME$(`$Fy2t<06TU(CfboS zO0Ys3xGU*k4PKIiE)H62)MpGz6k#$_PC;(2pxl^Lf`TSvrU+OLhH6?b!RyQ%E4W=( ze*7B!+RO`>BipIko?5{()r2v&9IoY^y3G1Iu^P6%#+)hx4L3@@gBp2(a6MLxNoah*DhG61Sin%^x4Iu($ z&s)Gv)JR8321;&!Lc-B6h*PpIxQ2u9m|*M8n+ZIFW2k5<8q zvlv}cC9)$CQCjd-n05{kS)=U9JeT5+xH4_~>6Uohs2EYl`k2Ffg5%wn(a%zw?7Z6I zApv*$`lY*?1A<6xk~j`J6gI|kvJ3Cn96-iy)9rPRgqpDuqZ+q9vV@4@utm^T*G9Nj zl54ic7{F>VTt0y3Ts59A5lA*gY%2!|_BrTWyDCJ*T1eNS#y}GHa3vb^Y%>q3NgYu; zOlj*_0SBw#W&p^_vd?R3QuR{=gMkk!K{fFR*N9ooj>gS^-;9@fK8j#dm8Ny9Wy6b! zOn!S>;k~RqcZ{aUjA@F$A*=N{fybL|oqXL$e-29k2sClJ^j8^mnm_t2&WBdN&bOf|QwI$PJFFdrcyi;dWS}dW~7Jc>nTqMo}9!<{rmgX%8WU)@ML89Rzg4iTI^4%m`G;51?6^!oU}3){;Tv6L1DLyrePnw=eBfugHb`) zr+foZ#O_VHiF`vfYnLZ72_ zwuUtkqC-{k$KxJrhpEP`k&(~RsFGFC^YF8ot+WHESCQ)OXqe>CuAz@ETD}ra;gWFx z&MZ)#?y^_Sg&wGv;}2wCkrkJfJq;m!AWj~vKgx18F3$^B4X8otBrWz6`%u(22g-M(7_p{VAGXI zw5oOSD;(j+k{FK!J#!27LL2sMe$>zV|U zD*5I0SwWQl$(F0a){}qPf7ABWB4nwcq=vsF7jOY^Usp?&Xf_PVGjm(Z09f_9XAorp zQW*9xLvjoEX<9~j(%%YZ}P&{0$*#ywy5A(Z-|i#3t|c` z7tV`)js+>>ED7-gXjJ_P0gct*$u20Oa=~%LuPf>bKf0U8Jf>^aDB1A)=%O{!zL+Z9 z)UkIq0bn3t%UwA@xZo3{@D{h+4n<_lNb(@UKOOEfPCnA|;@? z=G4hT)-LYDnaP^8uCC?=lv|e>G)k#7630?RdJySAH}D2mpR=4^SZ9!*K|8~7vezq( z=wvh}E6e@03fU0~+diJ?1J*PE6o%cutksQ|403)&01?NsANGr|bqRWDHVXv{O^n%Gp-^6ZmjMB2dQ=V*!`De-wPaeo9lVGAE31lIO6RF_bNI$cq zRk#X969IG-p9*=ICQv*&dnS+w+hi=SM9wOnB7u$kwAwkrt;WlW3gh4uZ0-8^H;^^p z?~jyZrqXMKmeD|?wb3hbpb;F=*b8o!tvR&%Vg=D^u-$u@MIKvGUyDxl;Wg-aB#gFS zr)<~RVG^l7A^G6unceIclQ4H$_VJz$|fWyxK}fevWCM)>M+2 zAr9AkAuj;1#LC^lg3?;Gx@BQLjWrAyUANf$*iTy!IGKx!5kz#kp|yEqjf;t;c>B4^ zR#C{Y^RT|Q^U%RAdVv;zJwsh3Ph=uqOxwEA1D%d`RLPD5tBc_RGjC*%<|kLjM_YNV z(e<+h#L0=`t6LKQI{X|shj5;`3YT0o9wU3&oHwd{_E9Fw^ZJW4V{uC~ezs;uXVXu@xgyeBe%hTg zo99v}7Tavn`tbubFwT^C*9>$p;7%qTn&^cL;fkqVix5=7uy~W%Ko^2>Uw`TMoiuou zG!SGv@ho`{%j|Ijko^~*qVk3bSXq&^T4(oV$EMOz4X_q0K^Xttc!n&Y!62BCA%_Rp z)!}@o6P~jTWtn5FTOQ!UMr_`oz-p@QngClTcDd(g;$?BAFMkDO)|o0uJ~CzF4}16FE`F-v1OB$xlFX<*V1EI>3JhL>J6?}Qq;TH zyZEo>)1G~AoeFbYlOr#qleaN<8fwwCOL{5(amFd($Nssx*PY19gm<-lw&!K$cTJ%M z(xTP@?nm?~WV&`-3Zn)7mOCVvPt}_VCS>uE zJJpY}4G{CcYhurA(#BBR5>YOmcp%KvgH^JCkEmhbf{mJ-;&hN8s64O}Q!= zs(7A89cmq0{eU;W?*!hPi7Y_1aLyf-?bWQCSFd+k@+d7_uJ)xOfVyIXe=BL}3sU;A zv5`~Rghz!!jJQCdp0*=}5)YLXSi?dx215uv!rLRoR#dbRW-)&0 zX*N`9oT`!tNx5m%g^{m{yA($&mjM?c`YJ?EQxg4PEZkEst7n_6w)?I;-!rvztvM>) zh*)m`HWwy({wcQ{I3~juGOi+Au_1dWE6!8@KZ8jy8!_qqE0_cWBP+er%} zcSZ712i)U2UXbj!gK@WOKV10A4Qbh&KQv|cWNEC&-hJ4(v%lF?;%Ak%vzmzatRU%F z<(%-ZFSPm}^r#=+=(m*VJw!di(guF1C90HDiK0=Omvl=7UF4U!LvULosGjqKob!1y z-{eX;cOP3 zMal6fv98x^@J8L(Emi|}ToXj8GvR!>ye(vZolM+#A9_J+5zUr2hf8Lx&>B`wF@*N@ z}9v@fvxXCaP={U>Jgj-O8|t_`Q#exffD4> zm_{chs@Mu4t+Sp1ASGfY;yW*@YLa0rlC^nA;ejF6USfe2d9a6S7x#aW*LL{9qxyyz zz)DqCWqt*G1j+5l)fgQsPFW=jm8%vVlUzAXk&5lBbMS)cjC2v4&>vJdg~t&1+(1!| zClNyE(z3+oPKiJ@;Wx3YaBGSLZ})2Sp*yMrqhz%|-K=YoO)C@`nQ#nd34*Fwlm;>H z;C=1JFnLGw9dkVztP==Ji9^+$8vNVLYGVh9b=q5=&KJ5o1xQQI6azJ?Ll4CjcO+uE z=65ZYOnDCCw8=eR9)^b>p~IA`AiHc9$9*4)V$6V1y({q~DSU>wfAp_~*+e49tZW*7 zV)>2z4db5Xpu0lA6X9C`Rvg2++k?{O!R)0`a`2?kICO>T;F$8DB4y7x=($WVmIVKY z4|*Rb1FS7_)D7Bgdg!WM?&#)#29I-wYp*JhbPV0e`KJ`-Riw9Ho3~HN7-ow0yKoOr zxsqi0*ly#N;;=5*uzt4!WD(;?;E^Y9m>E>9n7OW8j`RS(9xjx+(Urn>n~Y#Y4AMZs zCk-dxt4>AUWY3}GnFKo>FP2d;+u%Lds$%Gj5`RDBr6969_Tm^-Yo;93N2b0Ron${H zSK%WFeHDgjm0{-G)x}J5z?E_d+Hz}o`A7v#{2+8Pb^lfCv#avy+$}^HFVDz4+m%-C z)e-gs@mMfzB}2ZIUXh9XR&wf15X?-1b=NyeUtiiftJ998Y z(@D_2T`f2=;_Yq>6#}vx9AC0i(*^UkB9aAK4>LUw&30B|L33 zQBeNRSKqB}58Y#c(1NNOfh7_dxpJt;LH%n2egGER1%FNrHmI<5cdxhPN=h?}FxBySDU&N=bLX=@ zpJ15}ayR=Ph<&CgTMF7OJ3O5er+hXG9x=O7mCgf4s2V%4vr`K5myLXdnJKiH)EuLH zDp5q)0$0~9DhZ>fLew>UWn~CMd4|zTj=yZCmRuwKRL^BX;FL$38G;-$Bq2;)v;woOgwhS-OI@2(8rraje)=!v%~_ zWDr5=RmYXMxVkv%*C*&uh~B_bo5|MztPHF#b)9*<%UA;npg?QMPVf709)?)AyJeqd z?{ax5{cHpv6%}IKrhXiSq9QsYqe|)7l8WwR9p5ffqgny)et<@Jtw@6Mw(P|F_F%z8 zfSWZVuzD>k68F;|!zJ4msYE+QDV=w^W|`Pp>ev~jNMF>kYpqzq(1bgq6;MtX7yK)d zibc=KPN^^Iq*{&~tzYt(7X=4b%{IF9-h=qDc&Z@rhS zFIehWG&WlugH6qd@N!2_2Ox)JDSzWGHsC!QU~gV(KG)coeh5ufWb-Z-Qe1V7qyh=m zV*rGwMv@LAUL*X#j;ZQ(Fv%{c=jC*le(ZKc$*mt~a6*v;p%T|!x8P%mo11mQY^Z}{ zyBi!JDi;w1cE;3kg#uOwJpgC3#i)kOzI1W^h78X3bf3}c zZ9xXvZ;9ZRtYqMj=+ym9MLd>u&=4QGY8zdc*bN`ZN(26mUt1=FP@0K0lY>utMRL+uSaVX@pdZOXHalUTa4 zOrr=jOYY&@?n4A>>-H7S{_O1~wqXK+48Y(Pm4^lpkf3zBnvU)4D$|N$awOj? z72cyVTv$bdZ!@r6Xt0mZm}I{9a{uQ1jRovPOcm%(9ey4bgNPEh1nkk@i|*I5!MD5u zR$u%O;34E0Uf7Y zM5A*hOHklbg*Lox@8;HL{9&v=e!Gw`7UpoTfs*5{zC1Qa;%~FjSmCslyKYP@b`I~( z0xnh{q&Y|@X;9%vjZjV22#cJq^%N%@PniIgx_Eh}j>d=;Fum*_3{vbS1i z8jC-5kgbL}s%Cb>|4dE4V`2d>Vq^{Lcc_-cnZQU3VqH8oq9N4ke)l{p4w?tv+% zfy_hV!wmqf5s{Pp;=orGfHo7eGuRcG#5(YOhr3Znb)n(+n;E?9f@gr2WsqDxdB0s7 z`1GI>Z{=+}b1y5%sN^exNk3)Z5|N3^ylha))FCY!cbZr`FE~97C`GFya0|PNV_}sx zJ0l@HFvVD!VO;!<5nueKXib0YdO?I@P=hy`dT?|lceRVW?SIKv=m3qSPIune2B<^i z`t(HmIFUq@O)Um0gBa`1{Bp2GF+f+Gq%*NAgi8JGfIeehm*!{})Vfm=F62HxUcY6` zON3^rHlo%k`?(4`lcu%R8}X9gzA}6N%rUEhm;aG(jV@aI~lBvJNA4b8g}9t zA@7-+=HG?BI5i5-y-qEL7B5UM)_6jOXz`Zt56R{6Ih=X};U{ti`%wk2+?w}q$?a30 zoVU`niY%FDst4&@T*u8?-UyTsz?E&n>?&G!2ZHVIvRbEjEA2*M(>F}k14pr!C>SJ^ zfRt*=^J!iDbR~p1{%N5wmW5R`APZy0lV3bzS3kxuIDV`0TtssuOdz9Q-zw@xSC!Th zJ=921X=m@s_cxeGOOn2MW^%BA-VImT4HYYP&R}rOM1i=qT*=X)mcy(YH+)28zTcELABLj_4IYyg5 z<2nnokX6W({Vc?a=21?g$~Lx+To9b#azfC<4n8WSPi{v4ZtL%kjHnvRp7BK! z1|8MY-ncG=izxw@;>n%q>>oQFMlQB(yzzqX;Y%-3-t402b^_nBLTp42Hxp&!Wx3>SFS@fWQ34YBks66KIF#DQC&n4uMWUW2%qbu^mPpWiD|0$ds8X1HAfV+MhR$RX4g?>68%Y@*SD}J(3 z?d`k;jwiF;A$+_+aO-eZ%aOZjBG(qQY-EPzqQCr|j4^X13Tu&df}s{cD6-)`ZKbAx z5R)_Oe=G(61bALXTQW18!&+p0i+nB05QMH`*Y&yP=ir|8nvu3N@-jXQop%Txud$J& zVq(y0q%@h&1~Kys;jkD=bdJ~7nM$Bg5o4^1Ba7janD%&}XJ#fx)}<@AXAb(>vF#SB zHIdXWaBY~-Opc;@gN_IQeyX9Lp71u7X`?*zJwm!@-yLs<3W(_|?S-dl1T9I8VcBcR zh;8;vHCPwXxv2 zZ^DP0f@Olj;o;u3v4{GyV8)T~ZF|LA?kWs-Q~6VK*-Hu{@5;9ElXcC`;z}vXthKn& z>&75ti z;Dx!IzSUYgz7e=wZLRwX4(o>!{Qw1MR6mb$&YTHie$lX7x`Ppmc}uUiNzqoG+WFLe zP~%Fkgx1ItpI$Tsczd}k2*%7TRmK7VE%Vg`)=`6irpcIF?KDKHaRRyM{(w@eoHumLUwF=O(m>_B*wzaclKDxup3dNMiBh-{!3=|wd-8|i zHKsIg4+l`B4b_+{ez(ey1$Z!%6Vwy|ZXHl2JJ3@#7%)|ms_D!p4pL6@AxK2O-0!5I zfbo?wE;C_~ON?i+@V^Yt+r^UZ%FH6 zXfO~fFWEJmonS6(Hcl_Z+_Fxd$6;fN(88Gf!D34PD;EC3c%pDs#-H5v14?uvjBtQDV& zoL_Y@)x#=4!);LORaOt4f0O1B*(@753`;9NU=Vz9X$iT`bGqA+FW2XB;-vhmI|T$D zxkq-^#urEdF*ZUZQp~`fO_iYX+X&y^WV9kI#=BQ^+lsG{h z@O&j@bX^^bdg|1IF)saETpSVsT6MJOJSLIk&D2C|jXa@WadK^O464?oT@LL$5q-ZR zJTofaI6MLWTkC`Xd{9~l0Dyba|JK?_&)(AYUs`u)SlFx$B7J1(Fo?bN(3U6Tm*jcn zl9Uow{`yhUFCq^?Wlv%y5PN>9(1>;4wUt^xyk>T3#Q=(h5i3;T95s2F!BLkR>nwko zo3MIG0OcOePk)QvV@MxJHzHwFo9?LTK-6G1GWPiP__oGhrbE1-a=2JenaXTPid+`1 z^`SD7BweM+zCmUN4GjIEQE#g;t_QXjr$ z+1UB=RMjVM)&v|VQ*inEi`@+%{)f$pz`>yN(FGc}pBJSQZDZ}*2h7Sj_|8_w2mCWh zN&R3|jaY&F+4~aP;lb<=IhcCN?eLA{S}y4B*t-MxVI|tONVwsm+UZ8FR2dI?eX_P5 zL)K`1$yjH>t+OxX02fYNnpZCjkL$Pa&12s1P`2+I;f`zC9;i~B_w>!_lY5ud4z5gi zpPK_V)f#At+BjVjMQfhic%n@@a5~$^lV5nhWNjV?VJVb9BN4nm6QFMwf;*w=kGttC z-Wx;58G(Zb%&nS6oC#(#HG98gUFOp#W0K#zsz^H(@4Rw5NZ)L3Cqo@a!tS-X!P{3Y zGOktIuQTM9+U0DMG6bv0pEN4i&}i2#G)=b!kDxA5W!@bD`LmdN#u#k{VJ3rn8{^I5 zf$xIAIYrA%@K6E_N z5&H*?p{Hwwu#CH3!zFHEJ)ZD5nh!Q2y;Z?rzH@bj%2*g9`0rv5CFePiFN#c^tb#28Z|zFS>BW)Sk{19vW1JlhB2Hq2Mx@!9I+Ev;U` zM5J>VB0cOOA3U#j=pH?e0L7sl1fBPnF}oOcxeqqBKYlSn10pd&#G-kh%j%1+{sT|W+TQcoi{)xaoZJn_p; zYa6EmtCizVshAWNo`7`&N8(91`kCiGlQPy!3rkV*uz{yzgC}G`Mt{A?u${r~xBsiN+v+`ltKK+7u zpfR;Dc+e*}*A!*lPz2&blGbUifaH+CNCo4*DLrh$pp2ZUgmp_iM=>gp3~SN&;=_{b z{>l)QwQtXFSm$43ma-gvEtHwYL>d0MHXvz?p+3T`g2|~!c`5VMG3!8zgiNJLgMu#a z=PI>swN%D8x&yl^e!oH{OO7JD({prAT_;6q5Nw@3t6wo_E0E581ClDsmxxTU=ObOe z2Oeo|)K647j7eOryAmCUWvyQE?W*&K`%aBZxB-%`kzu9vWq<+EJiWccsO~VkQ63o! zwwTek2_=b^DW&<4ki0np%e2`Gzk1sF^1Jx1bLJyY_ z#{;FJK_2AvT6|v>72Y-Zj-@Wl1TxSH>c#_EUVm)utL_!bCu$gLv$XDF?+q%=9*uSNX?{9z^?}UI=O8Gsu&yp2vSKUn^T#^ z))1nqvCSZQA3o|Vt()7OVn`y+GR@4TUsR_g3@F-5);w_C6_J$2qfZfoC033Nh_-6-S z`Vb$#TnZh%HdI8_8C-9QZ_W9|sKj7U0kKgm3t&MqCqa?$Qfq zBcBD4ZI#PuQNZ2S_Kqf^j+js?mx@Uv>zf}DRGbDR$vis~mdOE=e8l(*r?z9%@i*^4 z)w>Ob{lE`{LW}he>cT|*&T3MecH);>cN-lyBS-v!NMkKm>wBqvEvPKd;+#$>exe#X8QvD@4>~E z_lo`>135D}Q&$IBTSr=ZBU?*7*S!O%y@OhaF=|Pv5mj;~M*8|`CKa(# zrD8G4iE(lH;VDIk5prc)acLDfdPRE1M#jc!Mn=_ZSU7or64W>d3hBV%G%5@%^;8T^ zOiW;-2pCih7c*lueRnFWbw95P0>0dQ~iL z-nI5k9N`%5|E?wSO9`%c~JI%oZ-iafwyCZL?w_IBMU1O-0zd7f|HVOep%G+$NVgFm|tzDmf zwr{D2zNP-p0sOzEHZ`>LjFq?OrAG3s5gFW`85DEZ(+dkL?J|k7S`HCTVeU z?(#BY%WOT>?EO8-{bavtm6Fn4&$vbqAlt{^wgwq|O`A9$EShpg>{FvqT?zuH(hJ#! zZpN3epR|H>Xon-3Z=34{YIA1NswQ)Uj1}bni~biip+JC0D2ZjEK^Q6c2}E~U4FvqQ z4nSS?ns=&a4@7>@TtKn$Tf|J}-7v~>|KsnJ4iQIW*~PKMsSAE{9u}-)zO$*A4c2>X z*!RXAZ=ffMJhNCfyjMx8Iqu4Sw5ywJ(sYo;-@%GGfkvki+pcAo6x>Ps-s7ZPp@coX zP_}Be^lNu;n7N7VeDIReE&3zi2Z!6D4h9LjoSg9)^b_h54(c1nKj&9kPVHl&%M3(q zYr-6T8W9k82;*BdI0?7pBmut_MfpB?|7{KNVd`;T`Ui9{)w4DF8&z-X?)&wfd-K8HWky>62kQ8L%5=>S{4?>ri-g}T)_?1R z()17b`~T{*WO$4xOAY`a@xA_!KL4&PRLei0i@u@3-*tsz!vyMs2LQmC|GP;4o&O;J zFX(!DoxncZ31%|+i?OxDKCg@~ diff --git a/projet-vga.runs/impl_1/VGA_top_power_routed.rpt b/projet-vga.runs/impl_1/VGA_top_power_routed.rpt index 5a37a28..4535d43 100644 --- a/projet-vga.runs/impl_1/VGA_top_power_routed.rpt +++ b/projet-vga.runs/impl_1/VGA_top_power_routed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Tue Dec 7 12:43:47 2021 -| Host : irb121-02-w running 64-bit major release (build 9200) +| Date : Tue Jan 4 12:21:24 2022 +| Host : irb121-12-w running 64-bit major release (build 9200) | Command : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx | Design : VGA_top | Device : xc7z010clg400-1 @@ -30,14 +30,14 @@ Table of Contents ---------- +--------------------------+--------------+ -| Total On-Chip Power (W) | 0.210 | +| Total On-Chip Power (W) | 0.298 | | Design Power Budget (W) | Unspecified* | | Power Budget Margin (W) | NA | -| Dynamic (W) | 0.116 | -| Device Static (W) | 0.094 | +| Dynamic (W) | 0.201 | +| Device Static (W) | 0.097 | | Effective TJA (C/W) | 11.5 | -| Max Ambient (C) | 82.6 | -| Junction Temperature (C) | 27.4 | +| Max Ambient (C) | 81.6 | +| Junction Temperature (C) | 28.4 | | Confidence Level | Medium | | Setting File | --- | | Simulation Activity File | --- | @@ -52,17 +52,19 @@ Table of Contents +----------------+-----------+----------+-----------+-----------------+ | On-Chip | Power (W) | Used | Available | Utilization (%) | +----------------+-----------+----------+-----------+-----------------+ -| Clocks | <0.001 | 8 | --- | --- | -| Slice Logic | <0.001 | 272 | --- | --- | -| LUT as Logic | <0.001 | 168 | 17600 | 0.95 | -| CARRY4 | <0.001 | 34 | 4400 | 0.77 | -| Register | <0.001 | 21 | 35200 | 0.06 | -| Others | 0.000 | 4 | --- | --- | -| Signals | <0.001 | 149 | --- | --- | +| Clocks | 0.004 | 6 | --- | --- | +| Slice Logic | 0.002 | 2313 | --- | --- | +| LUT as Logic | 0.002 | 1491 | 17600 | 8.47 | +| CARRY4 | <0.001 | 266 | 4400 | 6.05 | +| Register | <0.001 | 212 | 35200 | 0.60 | +| F7/F8 Muxes | <0.001 | 20 | 17600 | 0.11 | +| Others | 0.000 | 26 | --- | --- | +| Signals | 0.004 | 1918 | --- | --- | +| Block RAM | 0.075 | 22.5 | 60 | 37.50 | | MMCM | 0.115 | 1 | 2 | 50.00 | -| I/O | <0.001 | 19 | 100 | 19.00 | -| Static Power | 0.094 | | | | -| Total | 0.210 | | | | +| I/O | 0.002 | 24 | 100 | 24.00 | +| Static Power | 0.097 | | | | +| Total | 0.298 | | | | +----------------+-----------+----------+-----------+-----------------+ @@ -72,20 +74,20 @@ Table of Contents +-----------+-------------+-----------+-------------+------------+ | Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | +-----------+-------------+-----------+-------------+------------+ -| Vccint | 1.000 | 0.004 | 0.001 | 0.004 | -| Vccaux | 1.800 | 0.069 | 0.064 | 0.005 | -| Vcco33 | 3.300 | 0.001 | 0.000 | 0.001 | +| Vccint | 1.000 | 0.083 | 0.078 | 0.005 | +| Vccaux | 1.800 | 0.070 | 0.064 | 0.006 | +| Vcco33 | 3.300 | 0.002 | 0.001 | 0.001 | | Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | | Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | | Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | | Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | | Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | | Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | +| Vccbram | 1.000 | 0.008 | 0.007 | 0.001 | | MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | | MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | | MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccpint | 1.000 | 0.017 | 0.000 | 0.017 | +| Vccpint | 1.000 | 0.018 | 0.000 | 0.018 | | Vccpaux | 1.800 | 0.010 | 0.000 | 0.010 | | Vccpll | 1.800 | 0.003 | 0.000 | 0.003 | | Vcco_ddr | 1.500 | 0.000 | 0.000 | 0.000 | @@ -98,17 +100,17 @@ Table of Contents 1.3 Confidence Level -------------------- -+-----------------------------+------------+------------------------------------------------+------------------------------------------------------------------------------------------------------------+ -| User Input Data | Confidence | Details | Action | -+-----------------------------+------------+------------------------------------------------+------------------------------------------------------------------------------------------------------------+ -| Design implementation state | High | Design is routed | | -| Clock nodes activity | High | User specified more than 95% of clocks | | -| I/O nodes activity | High | User specified more than 95% of inputs | | -| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | -| Device models | High | Device models are Production | | -| | | | | -| Overall confidence level | Medium | | | -+-----------------------------+------------+------------------------------------------------+------------------------------------------------------------------------------------------------------------+ ++-----------------------------+------------+-------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+-------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | Medium | More than 5% of clocks are missing user specification | Provide missing clock activity with a constraint file, simulation results or by editing the "By Clock Domain" view | +| I/O nodes activity | Medium | More than 5% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Medium | | | ++-----------------------------+------------+-------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ 2. Settings @@ -132,16 +134,14 @@ Table of Contents 2.2 Clock Constraints --------------------- -+----------------------+----------------------------+-----------------+ -| Clock | Domain | Constraint (ns) | -+----------------------+----------------------------+-----------------+ -| H125MHz | H125MHz | 8.0 | -| clk_out1_clk_wiz_1 | U0/inst/clk_out1_clk_wiz_1 | 40.0 | -| clk_out1_clk_wiz_1_1 | U0/inst/clk_out1_clk_wiz_1 | 40.0 | -| clkfbout_clk_wiz_1 | U0/inst/clkfbout_clk_wiz_1 | 40.0 | -| clkfbout_clk_wiz_1_1 | U0/inst/clkfbout_clk_wiz_1 | 40.0 | -| sys_clk_pin | H125MHz | 8.0 | -+----------------------+----------------------------+-----------------+ ++--------------------+----------------------------+-----------------+ +| Clock | Domain | Constraint (ns) | ++--------------------+----------------------------+-----------------+ +| clk_out1_clk_wiz_1 | U0/inst/clk_out1_clk_wiz_1 | 40.0 | +| clkfbout_clk_wiz_1 | U0/inst/clkfbout_clk_wiz_1 | 40.0 | +| sys_clk_pin | H125MHz | 8.0 | +| sys_clk_pin | H125MHz_IBUF_BUFG | 8.0 | ++--------------------+----------------------------+-----------------+ 3. Detailed Reports @@ -150,12 +150,16 @@ Table of Contents 3.1 By Hierarchy ---------------- -+----------+-----------+ -| Name | Power (W) | -+----------+-----------+ -| VGA_top | 0.116 | -| U0 | 0.115 | -| inst | 0.115 | -+----------+-----------+ ++---------------+-----------+ +| Name | Power (W) | ++---------------+-----------+ +| VGA_top | 0.201 | +| RAMCTRL | 0.080 | +| MAT_RAM | 0.031 | +| SNAKE_RAM | 0.049 | +| U0 | 0.115 | +| inst | 0.115 | +| UPD | 0.002 | ++---------------+-----------+ diff --git a/projet-vga.runs/impl_1/VGA_top_power_routed.rpx b/projet-vga.runs/impl_1/VGA_top_power_routed.rpx index 71d687b5151b9949be11d98031d3187835970538..a12cfee8b12b59796dde04bc3d2d1639a6051291 100644 GIT binary patch literal 662440 zcmcd!2Y^+@)ussSK0udMks`WcP}H#8Ue*eTVnGl@MI`G2tD>-ryNimwCH4|~#U2wi zYV5tl-g}89CTc8+8hcFQZ~kv)?pxm8cjuhJSCT*e@Z6p6otZOdPCr9?_3BlV8`0mf z?4Z#eotr+fc}8pJ(nVdJCymVY%Jr%ruNQ#Xzp3IMv;OzLBahr8$o1cK{``e)U2FF0b<~!lmK}1|rel8d(50J?6F=gMziux6 z#a1;_dQI;&SA5ti{*nA%%hH3_+1sANu@5Q-V0OBB;7q+h?jq1D3>u6c54!`x|6Pw2L zzv{J3)uxKSW^XEfaq70aOaQL>MdMw~|6*6y%2NqMMd(kWa!EIfKlQ}vn-#Et_^Xym z050j~@r}3KsPGrXU$cKv9p#cmi_|y0`l78jEdUgM&HlY8R|CNI<^>BnR+8%!6SOxk zUf6D}qMP`cGQaK7apCDaLx`R?iKbxoF}1)`lJ1_gOe! ze%LQJG&g9+S-Ui}bS9rZcw+Biy&L-HR>Ln(m@#9vS9jBBd@wLqpR1YCy3fMSuGWsa zqt5Ds&j#ey%njTo9KTJ}Fm>s{t(|r1cUKj^JFRQq)(!#OyW!6R@WFtY3-@VjS){&7 z@7$1F?L_g_8fHwGTDKX5?2z1`+$vM2PMTWR2Y(xy8<^|6-S}yB3-Fh94;MG&nBFZj zm$c4bxc9P#ty?>>Si|)8gIYTpCN5pDPit4h($0l#`~1A2v$dNM1RdwF4!un*{Y`gz`=qB@Z}Q|M(y2j<-{@j9P$2yao-#tP4J(6W{nBae|k?` zrLDcKb*lKW>Am*wb3>!9cH3?k8#Q#bH{cIDwk*SjZd6~lxOKt8rHdQJ+ScfU@xP5m ziA5V~#@1Lhd)4e)yQKEunxlHxoY1@GjNUaPHp%tgt-klJBl;}aW_0ff8~2^I?_yuP zNRqWCU;WCyGl6*2mb1h^M*F$?`HS{%25Z^P;tvNcJfzvKA2G`szp$;dYkcv)19Zd0 zJ(2>xZS38TmK{Fg(Lf7!g<#97-k zuOf1A0*GEu9sM@*XSWN?+(C6cenp%v;0SOHGPYI=+EMZ#4ptK!|z?T6;|q(|D+C| z95n%-bpK2rv9|b`-ua(t!zXV~#3w`W$zH$uezUq-d{QTVtAGAyxBYx|78Z{d#MB)Egf?cJ=C;)}229Kee~`J+U88?-*=eSC3E55c?sh zUuHegP5ls1KX~xZ#IK!)Uwg1s{F;WkQ-@xaqHetztH*V%SoMjQ@4QGpQAP)PWk7k5*Kf~|UiN(bi-*4j^oASq|=zX|h z1N4$S56e#mc-%Q*2tgXM&pL2&hUT#J3p*jF5pg|l$_xyZD)pc7mANgoiyT&-nms~&faNKz5HiF z>`s0E=GBQGfFwxH>>o!ZD|Zw7L*EUex^Ceh4rtG=J^@SYNzdF8)x9Ye|GoG^f;~@~ zv1L^Es@SDjdD5OfLYVGt{4AEHvZ(1zx48VFd-oxGiuYG;(bcvW>H;#9Hx9o#Mk?9v=gpi z%oTEC75vaqdGY>!(;ac$rGlN-&9hVd!ERyQbbNA|xGCb<`uf}RGH<;a2w+NrSF;TqM13^&pq#bu?-g>)T>kI#qP`O~w z<2K1NMMCAWTXrsxeL`s5_s^q)x>d2}UV_z)4`G$no(Suv;MdN`lXT`)=Y)0Z;*;;i zLP9_c?piIV8;(z&$ls#v8`kZgNBU|E6^(;=w$lZZf{4;O2f` zAEwS-p7Of*WZ^d7HPsEnLJx|y#mjrf9g8-t`w^S;;6L*((OpmPziHjy@X=+TijM~3 zr>xK0u&P0E_jN?>z}=NRXo0rU%YB=m0gxCY0V9krcA?FsE8Zoa_TQTwJdJUt*$JK zh8Zngt@zhn9pgJU%Jm=9FlFIB`_}K0>kI29H*lO|d!ZM(ffwcaP3TQ}7^8zaxP zs73v|T6-+&@{78SQRsRW@lB8DYVNV9uNEcfuL1dv<=a-SHjWxSH}owlMgEO%?in@> zSwX&SiB+BYS$g_&(BjozdirgBX23>0zN}oI*OIzhT(4miWR8TDn@M!64~q-Di%S9NS*yS$}wXeaD{LJV`PJM?ui&##S5!k^;Ho zc8Y>y+=eU)LUo*T843*7ADX5hQp@k7KiAsOX>_d6it;?@*;bhP?sq@5ZrHSbk^M0q zis}S-Wm-Es=fncY$4Tq2rE533cI1TMA2)1tqreW+d^!7=QDM^vLBv5F3%gn;x3$b$ z)QY2C(%kIJb07)v8e=z(LmYy-_I?ng2wDDz;YNf6^%PJLH@a37#IEAkIEtJ!A??Qv zS7m~=2LkAn>HCmAu+HvYc z_Wim(kru zgGThlhb&Lazb(%n95!uOyiqG;h!p9GJS_24UO60K)Tzja!zXXK!Qo4o47r#;{QUdD z0ELZ~6ML4pC3ybgFt*d1*LBq(BXL5@Edz?SnLIEPC{2-9zguaXcK@pV!=}{>IZfRZ zzto#D{IIym zfWnA0M1#fvwwRmO*M)hTI{D+Le-|k0Rw-49Axf}Qt#3ERRupubTTIPNTlrVIB>=OPMM`4h*^!8Z)%O2l7VNz=EUB5B1t=Plf>%RL7 zuY7K{_l`vo04IPY43{8R<2JfJ{0?bWZJh(49vW0)qf!JwXL4gV2#6zn?}Se{0+b2n z#PtjmbY~Eu->V%rG=s8Zi&UU+!eIca5K5PN4crh;icz0?;NyTXEajD8Y4T&svx)Qn zeEdh;_9b^Oq|U$AhN2sKVT|pI1Nd%0Q~L7tyY7R8ft71XuIrMAf{Z0Uw1OBrm3OkF zSAGA0_c#LN`P-pGLV0#$5Cx${-19f)8$!h{X{Wg!o+u~sq1WoJWyj!m0~0&V^GTvy zxVhk&6CN)e()xpdL@l0Y&Os@n9GWVK z01r@>@PS6~lR;Za>vNM%dWD0MeC607C6|SJ*zy9;%hfoIQ0{^#{REG_!v0vehgIO8QFsL+Z@nxGRow(!X5Xxj7E7ma}Im^9XLX`m3hA#_#E=Blb*1%q4Y67cSEPN|;`n-Fwe-J!Fihv~5bq053;=2=37H@`g=V)#Qc- z42$`VacE&LL4bJKJkL#wof&nHnnC%rmVuWU8h-4+pbNnTaBLPm{hxmNFbAcCfg6(V z8K8X6^L)H#I;g!zKWGT$P#34xfX(jv?%qr#E$tJcPYR9{+pQ&4_|Jz<9l3HwCfMaqw(Ae)@qp z`NO+7qU8RCcBy{i?FQVLvT~%K*e5A)<3`K#VKwQG<>)u>;3!b4Q%q7Il@WNMiDUWV z1R(XW(cLpogoj;^6am;Q$8jT{uxW0X%|gk|@|}=87tazh z)QyGe5kv5Vez5~)o!gDh#SUmB8zz%bZ6s1)M+knWl*D_TTg{LnQmjFOdNJH2xGkW` z^`P>mEvg?k08)nYenmiHj`W+*EFnyHXls=1`NGDxa4aFu$&N@JN0^3Q>^nA`Cyobg zJB;GA<~D5k%^Xcq0??w`cN!zSbFdM#@^e_P8#tPjsP-(9%{`~l@}VIrW!^!kv&d{% z|Fz$9P;&bm+p6DN@1YaEMLUL8B7J~zj}MEk~1*caTD)dg{WHBE*!5I|0p1o5 zJPp^j_9j`)fLbf>RYfZPr1qt4U3s@TLx&yVy9-~GhK{?w{*BR=+2Cm^pl9G6e&wU9 z6SqNLFH`}&fLz##8$BpB!T^C2CxlkxrLW7lXYvd8^heMkDuZv_c_$X8B4LU6rvh%} zfRVSuqwa=@cV1GH@toyPBOi;VpEIGQ!;faG)RxRJM(tHsaXh8&yG=i5*gCPkm3I%v zc2RJt@1QGg7gXpZ2VYClb-ofh&^- zVg%u06bhtx@7sYVXcZrt+k`qIGNF}{Af;SdNjSFm@f;b7+g#ddVh4sZV7dK{#?mn;n(umzWX8QtY*|5}!8+7Q8$C=T@M3r$; z$cabQ68v~>c74tbgUz7e3`7QH($68gxF&!uA4e|bNdA8Av0%D_TwIwWs1jxQ2M;+O zR&q&;HLG3TI>zX9e1_w|+<3JqW%2UcfMoI(c{VLd;aszW5aDsH%VxVdW>6@qA@zFO z5oyLA5~L85kNgaA@T(t>HX_RQ!}@tNA2@JS!z(GAhH&`9xGUDTpL_{uBh#)Z$qY&- zB{$Bokc0yzj@Y=#w-}NW&|XQQq#`&Xk|lH^`wwwxUfpvI zfw-7C(wTfDwt)S@q);*aD7|>YksM8mCp_9ohI=b=O~m%;4(jV^ER;GZpHb}K{IRT< zsyhA7JA$K1LLonfQ|ugwAf&cxjF-7z9Bw4b9WvuUtd105!4U!{LxCLo9B^n!u8xU` zYr;m3Q3vb-Nh4kdoX;XDg(x5eIj$4ZTti{L=&sYbHHUFDDSkj~p3sLx3@~(&+@NB$ z2rpS)n#(u5;84!%D5W`H)D?mE9HizaY;Idcagx$6&!r?%uMeo#`LE(B(!a>m#Bvme1G~M{C z0}N>*U3awzYl2A-@sJK_n9h-%_5z&JyoBQc$=8sq^fAHsL$a#g{yS|07DzE0ofIoX zIAX$Ku117x;q*!;R|RLb8|@tgCRZ&B`x)6A()Eqx6re^$Wzp{)12kT+CTcmigJFoe zBzapioZsJm+h#-<8fuW%jEHsnNW(|0Tcq4TF^tjMpa_&Tgq9{f&W5yzmB*o{nlz{OB>lSTH^e&YPYk@=) zB{vUVby^_7dxNSB5pvggK-c+re?urb9wIW!;FXm1{~`+uaxm=?U+pnK(J!sqKc{{P zW8jK3w$Sl}YJ^@b!YP$5&U$TsfNhjFa932Ytw{MQFm{ppS#89y+K7l}wU=jC$C~Y` z?^GEu3abo=qPXgADXOrl3>Z`oP)amLM}XxZ$_?-wXw1AD4qV8IGxZ$6_@dN#5zs-K z2CB}q&(eK3DD}dj*nobuQFeqXC#m(g==ky^_7d zCwp^9QV(HLJq^NPzuCT(+dX+u@H4ZLQnYYD^}Ps(pd0{YJ#PBx$=$ZVYNksnoF5E2a(k(pJPz%+u_Bui2!vPWeko!<>$`raQSI% zT3vk9)04Sbke{ey5UpZ#zTo)N`9|_Hj2X9!g+e`1d8l!_44OF442LcUL3VkFJb95W zqoe4u4_l0o5Nf0L4k4+?vT;n(V$95-dtxAql)MQ1-l4@{Vgwf_=IngxUPcs!ObEw9 zb_u$G;MLLX-AyWH9s1ZZpnuG3UB zob;PLjE=}gM;Ho7)Z4}u>TPvEXJ0(q5Qxr|vC+j3vk_dSEk>RL#z!?ZW^g^pQ9M4`ZlJSapSeA^{z zTt?2>#Rv#*4he+T8|c9R8Ls1q>kgh_1cX2dZ4)6~!hs*1I40|xojE9F6G6vj1Oy80 z&wpd1?*!abBaUCjH`qfPcy^em;pft zi%1V#dj~Tdq^VQ23NnJ{h}x0Z#pTWL0DtlQj#)7%*^7nMw75FH@1Dcr^r=V@$A^t0 z#Je2GZCN^sgo1R!N8g=y;P_0jG!`p(8rep;k}=Wn?S->nfHYwQsZ>MbC^7eMLlIUzgIWrV}O$>Y8zTH030NZ=Pa=glY#;T`&;Z!|MP=-zCWs z0gZ$j86@$BHet2g-_H!mAbkMk7b5FX#}j9Mxh=PS$=gcTVH$KySo*hZG7CJJTq#*m z1P`X31@7*Hh3Ts*naxH-h8ESx7l(qN7uAcdnyeMoOxXas6~gr^+B@pfD`s7a#c49s zL+yJk84mIQ^<-Rqk)8}Doy=?WEg_breVVDi58G;MjtqGkjsf%f$bG@V>vpVT!%0R^ zh+b30P>{?rGHc~>ww3>LN{c#fi!H#s`50Bl(zZ4+Mo#o_r5ye+cU+O7rkp#O+jY=a zn;X&KINXDVmY(p$Cwdclm!v3o><~jB46P%aE@HEM(VPkFg^YUSygO;6zqYVQN~XZQ zL)}LpiPCqabH*r(Drz-%!89Nhr|(GB$**tD_1R_{oGJ3PVI_mS)?CtnLW)L&$ThkVXo5-;k8CnJc(kD*c?b zIl#ApuKY38fMVnO93wK|`Xi6r7QKBjNF<*wnqey4x!g8`LKj~0aCoTc=d(~sh%xIpY zH4C*zl=pb!U=t(e7VW>tQ$mB?2*QB~`%JuQWA2nBQ3%vhHx{f12Z0Q0>R4}`P9V{$ zgW5hM4^Tvj>NKjPAQqi{>O~@jc$jJ6ZSD)hEG;cJorz6eTF~&=gZdSc1}`+Ms(B=PH~Mq zw13yDcw00ANVf7S7{5Rsyl{!YZz!sXrAq`O-_lCSu5(A4Z4;`AsX)E-hhuo1)~j&c z8zYQ>&_tEuWjNkpO4!mDs&TyEeWf81;|@m>j|Yt|>9vbgQs@gQCR=0eVMb?f)0!}R z3J{^9o>QyeU*8Kz2KNR6g_NOy5-xtRe>$hk$j{a?gF-Zhk~#)Zr(d@ww|`0{rcE(; zGabyCbD=)!h_X6>WUzl|>(8YaLYktVMkLZ~bmbUJwq4yM0awumY zd-gQKIl311;dLkirwqm(l7Z^~{}e z2%u=sg|f65{T(woB9w;`Zmd_PvqVWGJYlr!93zHMd@9mHbUia(dS^%vbxJyU7^4*G ztp`66kp%mPTk5#2lBa?eoRm5RT@yu<=;fxU3)EpR8I_r10{aGkU74&hMD&a&zSua7=dMzxJ0)@bejhk+(4 zqT)fsqd9G++=#sXRBpto?OR-Hz?rPZYoeah+QhgWo7KcUu0 ze4;Ljgo2UHC0TrT-T)3tz28h|5KySw6`e%1R`#i%^*4j!)nAUP?_V&4Vm46Z5r}5F zph}k$Kj|?RO1%p)-G>Y#h$7T|z{`U+B53}CzoiuIz7GN^W7NTlHV$fX5A%AXRx8Gs zN~k30^C2_4(?AoiWJ!`dAto-8>LU;JpI@<1O8bFJzC@^HN3&1~r*mFxOiqE1NAH>6 z{%|1CDv4wXI5SJ6ssG+)G@*4qsb_)Dk?`lp{%V@s=szFYk0VN+6x>IY?IN4lh!KCf z3Y`uAviuKAxXGS43kHwG|L<*OzJmpldxoq?4hX&zgadREXwfV)AbeR8NJJr#7pT%!GMjk6_upO|XDb0jmeUE( zBl0Iz0#jxb@``u^Toi^Qi@Hq2(B6gx!R6@uKrq#^Ao-S+qY`6e`B4VorC zsq%`CSg3@>8GQ(kpU9IU5|K~b^+zMBT+C}GPl~7NHyf}}ius_9&!@X(!J`ogl@;-6 zkqv=fK}Dl9|Mlf}e$(3dN`(BpH<^-dC-d7$dcb+84zb|g(kbG5j`-GS>yY6^>q5NG z?#RZkeda}yTL($R@0^e5q$SjTv2*APk*l;Xu{Z2j05yGb3h3a}SD{frN>smgwZDGxm=9;vYsxcrq!ZTA2|=CmMb? z;}h=M$P+>za!OM`wSbL`2qH=0@E1SkfaLu|njr;*v=ua-K`EE67-r6qz%hAO=^mn@ zUNl0~LYS1Aw3U(bOp%xu9HFlWeOHMmXyn}=aYU)tj+UbatoKq2izH=_%jDu9$`bmR zVL}8XY#&gx4Ix<%Z6An>E8;mE(uY5M$RVliV;*osJ}fj@hB(#T+&lgbB%1pql4CJy z2@iG24J?$BtxV(+SJy?_WD*WTml!+Uoo>UO+3izE5t%S2W3iADdZTFCwg4(fm`h$c z^7Jvg4?V9a$@70N{*60*`SnqfKq6sQBr>VRj9Z;icgE&sNC@#VNUM*Wdq{LrT;EM$qtu zC_k(RDEgEn6qnFm79_cS^n_ZWw}rIc_WV8XaJ#1j0Ce63#N9!5M;JR&L)7%+S??Ag zttY0L&0pHl(c0EEv#n+SR%u`_SlBUp?i@KCf{hJXA=+9Is`cj|y=_Dgt_R6@ykRnZ zuptuWOHnK?ruO+#xz;_UH?Q-C5lsPnRs@oX31q$fI>+LQ@qG5-@i9R@$p9;E?6~jk zz@gvqVWGQ6xZeIYy^ND6Ll- z0_wG0J6&FL-I1?wL@DlLm=C=Wp_(R@;c)xlKdJ3&o5CWg`^08{A^Hh*TbEIJ zC_?vYx~-OQ!=VoiaL^{MxZO$bCPN$p#Z-=U(bOf)dHXpd4oBe$t(c~us#CyzKW+7mi1nZU)fn@M%pw%JG6}&WReB&t&Dp4yLD4wb(HejKoH1gm} zC5X6}vP?&>2as~hFj-B!Z#}#q|`ALfih}dES#wGVMb$vcJWTN=tB!C)o}r6dLV=n{7d`xhY)LiN9HSnWZMC?(VB&KL$K z^}A>9H$#G)AVm_cR0IbP(2RltZUj{yf_3z_|4x>qB$dj;QDr6JI_ zuhI~34pkf?t~f+o`LjUk67^0)b%Q-5Qjv9m&%@8Ul@lmRp{KK+!MLuC)EmOvdB_1Q zQlb%%R-OTpwI7S5SzUSrd0A59$j%d?13WMIBh2zZxX=oFx32q_ z8Z6<$c;SKDdNCd$oCJ4@#dshMFNwPDP_KGNs&k0HTA2a`QkEixVRk zR2TK?vzH+bBeb^M~%1z;q1`06h>ZB`xinzj8HzJ-@nzjV3E}Rp^*@U6rh#}=?O%N(KAn-$I+B{N@y1#pZ_{; z8jF;$G-Em-QX*V2%5;c5OzufL`mb{UML*+`sFM9mxBv58quoQZY4V)l$#7lxl=L~h zy?LZ$_qcQ>D@3zGsi-1c?1x?|Nb;u(L6X7VF{U`r51ogzdzVl|-=;DmF{6TDAH!c_ zQ^ml`ho57_5FXdY56NbhOmEZ5*vlV0%M1!p4#uR2@J7gq<9PJ!qPebDF98tE5mM}- zqckOp_+*HsPn^k7q<}n*Be?Y8uQq3q68TDIz~Uk2kPg_u49SI4m3f}H1dn02{F>W7 zMHEa5>Y#X{E`9h6GbE__6q4VFus8-{>0MjX|9Luxq*wy2We6k_f74g*0m^{Q(SeOX zLft7x)2XkqG%3M{T66-*gr?gbFrx|eSp*W;9LEHar9Y=rj$~<)yoYhsyf6|9i^{ew z?kw}}VJt;*^H7~dQ3PQG4UB4iw));IMae1AiVE$ve^IHgB6GDLz; zl^A4KgRG9ogRfJsz+QjbseaQCQQD$r8`!dxg;rMiotoDVtI}4H+9@`#j4QFf;!mfT zA)z7IEV2kFjxa?5ehiFfLoQobG(h9FM}br?6D{VqFJ96$dyXW5es_2w^TI7G0g8R; zxjhErY}Jd*kkG%53`e2;Xo$J;&_gs)qE$zqWOOE&$U{04Foh8n;ld`?wUYjE9U!qT z3)>Jy=dv(Ep<{S39HP)ig{~+D4BarY(zejlEl)6d*3cP=(LYc~8H-F{ozY)&#PQtu zDA9~=o0M!0(Bcq@Vnk@@t9@A{B?lNIg*T7ix%rnIO%lmPv%}J8x_77qVk*jP9J4AA6h;O>l*gNc=esI_g*>Bp1aURG=Rw9(;_+YYi0wlVMX<>%9*hW5ngK z_=yCW8q6K>A`JV3xOTD|ZQipjfQA)%GrL+BFHx16m@nlCn zMol0)3Q-~t{E;3^b^d3E`b{I#7bh8T&AOa<2M=SL_N!x$f$sx&OLZ32H zG(>1kASxL3qG8c}9UM|3?r55j`h|R5RK05-i$#;okZjaSQsS;TwMUcI#k~ z)b621oZ`K*ASId|>#RqkB-pMh|ED;EW%Q;X$-s*Vekjr0o3;wOc}hfZ87!iq4Q*MP zLzPU`!}l!am`_o~IcIsQ9(iqlqod*`QyU`Delx{=n4nT3S4g4^pd6GcP>wSXF>;Ka zMd296b|Sm?BAc?9b^hTi-rmi?3r({(e`U96*u{t!T#wej%YvkyR>X2!jf%2dAF1T%_?QYr z6&7XPfBejhdN$R>d@dP^6ca)`)#e*a5u=ViohtK(jj^_YAD~o@`{1`Tj9v=l?^3rF z6Uigb6;_|lIDdUV02w^q2nLsUTL%s|BZ(Q{3eScQk1gSEcw`_;QsU#HMIFC+gWlZP zZ1b4pP#$U6IV_S=Q5ZwEj7SKB(!ve{UWM5rrqgEnx^ABdnz)b~WALE~Jj!mYlr*S? z-q>$gZ9~7Yef{&B*quv^SX$WePprcuDNP7dpQ%e*Mx=;Vjmw9GN*IzRzJ9ms-_yCf zq|S+H>rzIfl4<(paxi8DOX^tY#)(L@(iA{z@6FA#Up>vfM_VTgnZSNigB5A2!enjs-mg+xLJAIHUT zY&}gMUc95(?m;W298ID_ASN6D391Q-GGI`o98KrW1yZr2iIy{b8pg55?_fp%B$`=| z3K!SY@zDlBwm?D{4w?R-rO+H&a~MEnwa z6kc1Ne$iH(Oi|i097L3EWMTYE9Ell`I(7f{AGS=1UnQ)_HxcP4OiPx*cVk&v-B*l- z1EDku5t#Qdi%f5Wb&TACLsD-dV}q3u2_@YXr%73Rh{Dmw?;}e{B=6{sztQ75s~Bx(`C?*PVVti}Vg2=In-!L)=N?2+Z zh7#yV?5W_HCm3<%F%adhI2~{fR34*L3-Rx3;R4bxhkE)@@@N=jM1LYT^rBS8TA`5I zuMVJV<;Sb_n3U{r#x+Eh?-Pj*yB!f55#%yi0erPaZfRtMreXJsVG&*qECfa?~IY? ziDbxh;hgz5oa1pcDV5ct^8v(gM_Tp-*SQ>0Vn>8zJrYWWTto|$k2N#=`=>pDG%VF_ ze2!u+^tYyJwjAVu#L)7g?qRrJUEcqf9FFUEu-LJ-xdffyHV4NLdjmXr&H-ztYzom_>%V4Dd5Di(@jL zD8bUDiyZgg4Kq$x@xR6LKN1vFiwSh8E>6td_YtgZkV(iCq<}>si>MIRcb5Z*J_|(= zgTxod9knxyq@E0f8kt@}*gJH{ljR2)ZBCcnv4PRvVWKg^0NjJ|djJ*54!K={6O(R@ z5Uqzj^f}VIHO|}xi}&yk7`3n9d`*A(-x%(^)!k#VTKMy>-+@I^=M6DSy-H<4Qdb_z zD0SkzK%e&J&$K6wsS(`bv_QY>PsHM-e)9*G=@-W!mD0ZX#>C=igF-FtAg$HH2n1XN zh;ylzrPT7nKUyDqo-W!=s@-j7aqjuw@_n&*TIZ8j81)_`U-Ihsq_?m*EnC$MVA?tH zQvBbqvPhC9bhN17gKQP!cmXUa{b{_lCy>_4J`K)qBBn5j#DGFSYhh;OCTp2dfw`gN z$)IkhTu6*bUOprwMUzGViiY6-frSA#K<4~{(YLK<#B4T``pYxhwI4KaNQ&7|G)CDq zAc-Eyu=@=xki0)OlW)hL(g%mINQxxb8`N7>MkMqXWaK7_r$sw7o!mTROVFf~n-;Tp zXrb;v=)M}`n{9GAJFhx>xgk^Vm#I?@Y2FS^mz(jwZLv7=(`?L0 zQym*{iilf6_!oLLS3h}O_+%h%#vjzNu&Z@)Tg$vfa;lA?$n62T3?&s)Hmlc&dXWG|1{837w!iNSq0e0Tyvgc$|LOjH^?0MWw*RC=%xW zbBo`)UDo2tazH$bmRy{#?C!x?i%S6vS5b+JpZ(c78QUTkhm(b|JZ+fMYVZZJ#(XdB zwel~;+O*X!w+J}Y4)J!#(602n80pS@aMnk?Ryy;}*WN4gEn@cJ$DtqlZXX_8d4u^=kbVH;**Z7cskr^qDw_ zfd;Mz0|Ikg5j6;WJ7p?<@k<~T_)KP(C-MLJk!A#7_%L3FzB|s^B{y)KV|!58g$F6u zZ$js?w)r{qvE)+MO_9(wolF9AG0p}f7lij%Q|s3EnxO`KOl!L^*qJVDf4pW*?&#Hn z6w^UgNW?Iblf`vD*cuv};re z9AvmNjLZm}SHD`-42f>0gtn}X5=7xOBsbWGNKTCfx}Oizp}}_K+<>< z*bonwd2{)fH5rR5Zvs={%;&89`3@{@aO44e{nQ?b93R@_a^oIaGSGmMlw*7z)URwa zGCU@Azl=ys;RBEKX`>lU0n^!>N4oCL0Y*&Vm7K43!XFHgP2LnYQ0?MQ%sUh5#i#VkT3os07>|a7WJlyZB7mc_mT&pL{zexML(Rxwp-h4dL=`U5 zcO%H^Fzqv|*%3&z^Hu4@KI7y~#^C9u8(-YV3S=aMQo7yF~< z^wZrlJaQzr@>zGkSemuCa`cp(Q@O{tt7Lq0wK%dHsC&kL^UpWUT3m`BSV>&z32F+X z2tiCJg^}nL7{qDs^qL!CeSK*b8Yfg91ANJp(3C=lQrc{`#H@4-6hl^!4t3^e%U*E| zv|6e(hKm~8ieo_UtTaY|0YQ{I!@^W07hWK}J7dZ{eN*m?r0UWzl43W8PKYeEo+epg zB&DVa2-%SokB+49*7&ZgU~L0aCS(#F#W(>vEx!G_-a0Ak+FS_sr!B4|2Q!X^S2)hz z7~kArk35uiQjZ0%@x{OHrQ0Ki*`tycciCr-%ih`H%Kv)BT6S^(E~$s%4fWB}1HH>4 zjgPwjR{!=)^f1PH6hN5U0EJHnH2$*hJ?5m9!spV7oN%{BmL(dB>6F-+L;uAg$@ju# z0x@MoV!V~*L-Lp@mV9%ePlE4^cF%)!r|eW7>B-@SNVuL95_pdZY@RslYmO$Rk}~Z= zd8FsA|H=pn{#Ax1j>`}2!y-v8#~hz(Au;`)Ax3=+Vj~=+H!l5x+dWBB$QZgj@4bHV z=SD~#(@vO2dgqCM7$Lcgge@adOgpl1PH00Z@=0}$>>E!vqbbCzP2F6<5uq0l9P!2s zGbBV3Nc{j6`RL*4pbrOfWYJ5;E^5ua+jW1>cx9znK<`wJ3>QlhfsE@f$KnQRj*q08 z($t(&|M#(u45aRIWH9Ic_19S3fQ$%<*OcZD=e*@xqR9?U1FdNzKRunXUjvZpXYMp% zhsom?v~;y3#oe}H4wa3X=m^2m6lWD`{yQK3p{LROeOmM9b)6sPV{Lk$6k{O{M@>ye z6*3qL5)Dh^+1G@7i#J`4#SQkxVOl@(Z?OTAqKTQ0$|Ei6GNZ`_O^ns;pnNz+`X7v9SWh-; zC(~#Ce_wpy5nd@5qx~v~q0A1xc}yvEC=56@D@_N=FDr>5brnpZtpY|x`AX5jH;F3c z%oPSKvI?Ggf>CNZ&%d90pOaupuCN0sxx%+KE`5(fQZzBck@!NVJI^*l@)%X9j7Vro zLFo`kfF{~CkgzJPDUYyD=9y|Z9DIFqG$xuwaITIR zCh|;B^|*v=zA8$=!T|pj2|b8FAlukUmngij`&(YqM)@{TQXOi>-^S0FHg&>+1s$!O zowB{11VsCTDkJ(B30PekeWVst84)6>+K7I&5z&#lx-?>zY_$<>yUK_Wlnct1gIb9a zCeegnR}*^E&ba-$nowFgT8(HV0(Dc_yRf$0v>N&0?SJYv9VWGOw$4s!^hu#>VHg7X za>1V*qg_2jR*)9DedexMwtexEuGw>>aH5ONU9`T9G3j2P*?7sduNu9CA!DB)Y7=AA z5%ksNP+qdBDUxSujKcLU$Rol~=Uw`;&KM0iW0V`0{O~4N+rZ#LtsLnd;Padfkd$f< zgNuIJ@tR|L9GDlKR|41d{L~}-*Q;JkJ?*mHN=){YLo^W1CJV=afWJ78IKAvmS3KvT zr`!s!gQL=?E%NK4(g_paMXx&4FWaL1ar&-p@#ZrZ$9NUjVw%(?`mDVL@EV|vcqgNQh`M;N$iM^Ha%YYo8P+Z$)4Zbmdl!s+p{sd z84hTw6XrVofRG)54QbYg_mIgZe|VE8IM$ca$wh@N)%!ps+y<_r67P}D6v-(Wh0QST z9{V__6{-k>%OqYqsQnXyP*>4-=Kuh)6^*7Sy7X={6gmk{yl-^dvMFCYn&D?sCxYJW zOolr8KwCsc_m54F7;IE^Q0R!J@PgAtY>neU3oXLct|7aS^S74=DL@_!cRqe7lp7t3 zHLhiHJ_dRHJPMcBZ&Im*4rW?Xju{A2IFQIxTfiFu{NETshk_MvcSvctLpw}#M5ZTPkOUKQh>gWc-3`k z^!(a~uwCglQmMOa#u%iS72874$R`*&by{f{_tt~($EKH8ZReBfE6NNIZ;KcC0MHe4 z{N^$M6uIN&g%|0>tiueYBC--n5n$PAkH%&j=m`jv$HXk(3eEG;9Skk*^{hMeXTZvS z?c=#dq{!5dgcK`nefT4^q&Nl*`FO5<;+_Z0xVGdbVWvm{a!V-w0!>U7|4Ao-rXv1Q z0V<|5tf;aPMQOMlF}ec@)g>iQe!nUfH<)-#S3V*T79ev#7>Jr?0^?r2zuTNucBdi$ z+2bY+V8FUzh}N5+L??B>JQ@hY^1iqpE!)v~dL?j>y(F@ZbvXN6bDtImgV(6D<}(Gq zyhJRX?gXW_3M~k?TBiyqM9 z;DKBj96KcV;N$zXICv;m3df?X``-{a5N0bwPf^#JLY4S90%xV<0h$s}k3biJvr_Uv zG(Sis3>DI|KY_DS@<4Q-Bp#bq0%zsq!B5A5JTTTT(NT=d=btC&$<{AdmaToRt(iKNZKV()qZ|@LJv*v-zdqF%xeL+PYBM8n2mucjG-7 z+M2ZdFtnFloGv7N`UYZgkMVe;2{d9q$iauoi8A{lH^ONa7VnY2mspZ?x?7B9!H$)~ zNE094y&zqXclolrd#>t8&6WPLaCBHmTM!vl8rxy{fV)z*L(+VX@ttE;M+7MlO@Q0K zXe!2e_urBFw$dFCn`^%aE1>bbUJPy141|>S3u(GIx5~_^vv$vHYbFNsp%blayMg^c zK6V(TlP?cyz_MfWmJXeDfYxTzE&&X?FG`Meo1a@v?ovStqmiM`wL*zbrgR&gmCH{Sj>^DGT!fTrDu674t=-o~g=Ork70ouyj>t;f}pA(?*J(se9+MdA7V zfMdke7D*9;iGdxXH=}MS$A~9y=Dfh_72?OyqiA|# zD%^muM*m3@X3UuFWj<@@)`@=mp~$5R{6^PxEH~{NzT(Mi4FZC+D8R*m;srmXuHD4; zzXu2%K2aBkJ`kRr*SQox2BN@adUf(hPq&yMc})8<9%=MyhDaFKL$NcD)c5t@ne86h zGgC-*V}y=;qJ&)+=G@>67D?sApdg=8r0hntijrCz1_>hp0e<(?BlPK)zfI`{Qst%z zz$Ikzp>A4ujnP(x%&-N1tCkNlLvo>ewA0c6?{pCQB2TT*f-j77Zanli#>$R^X&+Sv z^s5W#W43KoDZ~`PDg(k$sV;>O9aRNH^T8?u+F^A8Bc_~GWH+E8e9Dbqc1Fag4_(9|CCU?fI%PsaNVr5kxbk>2nnL&? z==a}8w<;0Rf#Mw47;TZR6A|kf73pWj`e`l!&xJ} zTb%aKHGg>Qy0eT32$(sb{8rs@m>H7GwgTd4GDSibG**hsb+MQ=WR~ znW;mOnzBP?kBfO0p&bjA5slBTXDjz~jHwAlLsIs>|FoX# zszK*?3@L$u$1qZ{Oc)GSjQ^x9?Y{eB-9p|}-t>q}w?hwd+rzMm9L5rh_IY7C9j^Zj ztXh;|lDrUfD-vNM*uG4p*UZ7V;~%FQ@xAEbNsX5mNq1os3)ec*Ej=_VO((t&pLR;m zO;1wxJ#JkwkOmqEyb$<$=RYqxWQt`O>zZk3!rRB2qMZ|cJ*Wq5iVW>w6j^hHL9 zi&9g|3;pz}TmL$1Rr;>ryP{1T0#D-DJWMPqD!RXLZLHd33#7=PuQqlTaWa{P5jI9> zs^X*{*Qf70u_reqSDP;G66?lDSHmU*P^yptnD%yG)P;3>d|i0~=|CB-AM!+F5vxJI zo$mxi#a)d@oX~SwbtyQ_#fiX!%17e*=X)Lbq4JK{_^yiNVey zeHm|6ddGkG&#!v!xVq61Bi!Km^aR^s*#I@tZhFTXU;Jf?omJG=V(xSp_?`_0!JS3} zeWa159_(ul#JYt(VW|gl+#I4OkI3zFF;ENfn<9nzvpbJVZGzOz{Q5nKU=TdOb`-hT zTXZ(Ylp|!prM~QUeX;CtnPPe1J}u1$b~aBFLo^q*b#}>79Mq!?%>wm5LZK9abrf3K z>8`xGP_`#Z7hE)45@(v$k>(9P zCiV4Y@RE~v;AZGs(CEO==vP_v{ZD-CsMNCZC|#28ti}*-D7+;#kj@ya5vM(>-oxot z&vo@Wf6zO+bqi>V=zaAsAOv8-!H|=`i8|g z4nD=cR17$0nX!O|bc{@8Da+hm#q43lAyD2_X(|wysW?Pbc?g3pJwKhLq}F1iqfrHS zN@+%1+z*MCp0#v?P2rkohiEp9%h|ug%OXH(QT3UE~Hs-L* zCzcaR;$4-6zCk0rNX0hs5sx0)Qz$88kPfu*&com)ENkLDV!C~m1xcQO6Vi5zg`Q}3 z1m&qFf}hQY1WyUsGtWJw=T6zmxaCwoRH;F?1_QxWf~tems>}Dlss;>%DebfZ;rYmZ z5efvXe%D3o!W7WB5$UflUtrFX@lc0>;h42P^b%zCMCrHk?1PqN@+GIFc|OKBDhC=e zqA>s%cfOQKk8O4`$_f+9)M9&LVQQskz?kBlU7~N z9gbxS`yV9cC|zO3-_37oIy1Zza)U8Lk^9o<4I<_QhM2Jf&DBnOYUVz2K+i9ocxvbg zC9t7U!6Lkbx(M-XubsP*N*&}56TFDl3Q%4#Aq)zAQTEu3x7t#NDc9v}JO>&eRQg&+ zx*71d)XjNd^y1XAQclqwC!w|@8YC(>9SABEU&U$G+jr7_84OLYif%L^2JFVU8o0@k z<6G1q<98qJo%LN^eyUqhbwi=4zSb&OmMhHW+zcrH^<=A3TpHY(BL18I$bziz9+0+& z80Clr1tIt_1|h&y$F$&^ayynSvUxeCfd2-$mWbv=Fiug6o0k3$tvf&UWhJk1(jQ4% zaNOX_!Y}IvFq%@lR`bq0+(Fbpk6+xnSSaevHvYS?nG;Cp0_)1Vjm&I#zT>n&I(?~F zw;&nJRt^RF`-%pqVJzx5A~Bs2F1YmO9<%3Ond`FO7f&j@u;S3*Q1HC;_Z|9tbI)}X zS>!O@UB;(gw*!{V_U^(iuEg4js>JRyvTiNGZerf1hYl$zzF0nLZqNNl&d+8ZRZ$!T zzCc!=18umdLGAE&_RP2&oV6cY!XW{s5URBePgd&7&R=s*&s7t7$#jNco{^^>-___D zK?_I5?}W-4@H7-i`G@q)e(qM_j8Iplv#n+S)=BM)+B@dVm^(+_aPBo^IPkeEvATi2 zgm4?#fP#N^$95U+S6Cv@kKgWMb}*a`#3>RIh~7J8K`>*W`MonoqO$_aiNs|ElyCRw ztN=d$aLD#x2>P6qSPZz`M{?unE8EM+~3F9dBY>mKqN?MG7z$hH@J~K}S8GNG-FWJOweV z=hWl)<2gHJZ54gz;OPwzPJ@x6GqUz+#8GiZ&pJg`Np zs8Y4RAMcyWDP{7#Li#x6M;G$U!F*$BnV@k5(m_-5Z0oxK)Wfn=Wv6y&TKH+3?~tX1 zPn?T9Tp9hPE(iazthynVHxQTTAIHdhq&y*y62=hR#7H_TY6|x7ZBu&6du6$CzH=X5 z9>m;XxFPO|#M0ERH=mqdRZ4g68Ap3W4+%L%Ab7rMhwdL?h_PcsWP=r4iF=b5eC|dRTo3_c?S1uuxlVzt}H`$CaN|w(C zNb-iFCm$nW(8&W%L3OI=<)7x2i`LjWYo|hHRS!#{g9|*3b{HX3BgHGH<|d_9m8Z(_ ziU;=wx<+GF2dSk+((sX6woI)m`8p(HLePCf%o-3GOrm*OjCKO4FWavf%hGkl5+|;o zYtrRR@FbG*(oXNfzB3JnZeG+;K=!pEi!Vhv1l*ZI+=}8f5B(BTlw7j~*5y30bm=Jk z(FnXEs8i!LLO%_Qf+F9ZuvyPTP%ZzsqZO+<`BfolQs4ZcZ8KM;RX08)^5R;Xau-(F z2*}x>-wdvP!xRZQ$W=muTbe~eb8f_ZbTuj4U)#j(p1c^aJ*tHiMvP!WpLS%c3hoUM z1}f@p^4(D&sW{NdndQ)Pycicx>=ZiL6*cfa_|6D=PEXIg1x>_2fBH%5a_T=r7h01Ix1~(_@<~n zN+m7sz!0k3;t;77TO6g16J71BOeH_I9?5@yGv&1!S| z(k`h_`!RxV@DvvMk_vT~e|3ZziP`y;a$I`{ZnX{o$ zs(^GO7+DCbBfZp3E2B@n8e~MAI5o(D>LapNWvY7dOvoMY#-fGa)t23?I5h~X!pO?6 zYNfLYI)9zHDy;^AOOQ0=6G;|lzwB}1UW&$$?f=3d9dj&;q*MlnRvCDjjFDWt(E{|> zkp&-xBKNSbEFo*Uo44&Qr7b!+($Y3W(uW6=3yt$!2RZmDY1_46d`}6c!ZKnuq{%yh z`Uym+^zX#c=Qirz38ptBe*}v!|G61kp@@|>>o~SDMM6J*5{ZvzJg_%QlhQEZbfjo1 zBNBSvR|m<(_`ixH$xG?P+-t!301eJb88mF8rg9;L4yU;rAr%=k%3AWcI4yUDez;*Q zcUhHWcDcehbREHbZl%FOFE8?@Khm~AkrgiT;~YcBUCC^YP+D#u zv9^mriF*)uC+aR@^f>pufAZSs`eUME1n4!0YH={Q z&J;c5w6%3A2G4~kv=IJi(QqP;ib}@&^cmih3stboMh|s;3sX@5iuzBPJ%|K!t0)2c z%MFHckp{_4Icoa#BGGa$3DGDNxngX^#_HE!GES=ID|8V`9oIICApaCWG zsB<2@s}{3Jl3Ot(rSeFUCZA1PDFaOsDL{%RtrC?B3GR~;JzVmfiqwZhTjj>{8j+E` zI0~=0Jz^Xqs}5S7<7GvL!zrko6TujX8EM5kMof{Ms_h=4uT?rHQ~*^TNm=)3%g5Z$ z(s@srEGVU{4ib76R11k|m0T7sm%E4Dkjm2}B{m}SRYHP^!bxls-qQ$GcxIVs%1>Hc z?GYR@l(8f;%E*Z5B~A53MvaKHvK~>?&O~Uo1CN#HK7{JvFrBCT-M2${kEqR9H_$3= zk(dOtPgI_zt(#BI9+c86RYDw@!lD|BU_=E}GSsZ1%SuWmyY;+UGrzG#I;bTMrZ8x6 zMhP5)urWqabK3;X9xhK16r#e*PH)ru9sPT5lZviz?E^&r6$hIyw+Y9c+NSgW+KbyJ zW&M~LC@7l}t$K)9*?<4_qg&j>NP2HEUWziXkT-`0TsBMzBKhUw39{14O+{#8&M)I+Zz?ypoPV+PmljAM}g8HA+xDhyo^Y! z$F5v7p-#6-G@(qfN=O*4N_4es+wLtyM~1$Rn`NVGPtI0lT^NE#XjXj2>?P1ELS@@jX^1y4j z+?iv}on%~^iSHBz`Dg%P6GP2b-|-clYJ!QBOck5x$BZIHbg4n_ilC^C=fU5+Y1bEWyR@0 z&w+|#ga(qN#J!4;g-#ip(!1cuc1xNT@aOk?o-=FZO>_~ipmH9CO9>%S80MmpF9LN% z{b1(x0g^#WK0LV`N$7HyWQRyKF7>7ldHp$#B;{}SB983LJQJZ6upEle!w+V`2B8e~4> zk-eShRWBQU>HIYx55mfsnqBg;7)Z-fG57|-qE&urbod4@WXTu?lB{Hmh?mHvVnBvf zoUdfk3!?{@N(Takm8Jvj?iewQ9^%M0@0qkyXyKl9@l%|1R4)rskT`*l=?O{xTb97T zbE+W{YN*XBd!enmFjk0+xOc<*-Sn(*xcWKqiziZ_a5HlGeMUQmM#dO&Mx`e?=o=vV zwPKDEvbRxdoq8e%KYx$gq|8OpRKG*^)Sn~#4yvubYrIcU2+u#UPaBK{Qfj6;{0{Vi zqbVSQBCy)g-_m#eqL|1RcinBYQ7+~%Qb@dy_lav+B<1yIV)tc4f@`ZfG@W2+DdnJ0?_YJlyL4XZU@m7Y;l&YM=%jIr$XV)bd-K*iIb%s~5$sIP zC|eOc&&cups*A;uhwjk!EHcBZ8WDJZ@W#l!7^v`?m;E+__1jf=+ zZ;;?)`US7+b32EmHjioHr7{o1BnDmP!C!B@)d&g6JRCn@sEwLc588_PZpxJaGBDDe zptPP?%MJieW@lQ1o>t3BpZxYMyG+~Fy;}^7awaih?qa*H=g&Rw+qi=BW zbw;O*dXSR(4^MjaTFoi1M05~AFEO7An^Y)U3gvLa1HaSBVU#=3iA(4-iYzQ`;?lKW zUXzg?k%(Slz=-10914$A{ME}1nS#GeopMO?c4EL6{P_Q~Ck=E6I{R~EZsnk>@N^hX4=W9VA=L|tSOiRFl>p;G{`#dcOrj{FmAT5fji`=|f4}wxGLl7s5 zBjQRAu=F7Cv6zBjP6#6Zy6t*tEj1Rd_oDM8As9;AT) zF~9MkM9k*ZQSy%;^^}<3crl-q5GyYbAS-d>0YYro!vqL!yxDUC?E&6l{dV0ZK(e1K zoI7RD@HX0vBhKNpX5}X=*%~xPVmqyH)}Sd88iZ77_Z+6ir+nw+vboje+CAvEmEXNY z>#o8%NhDZiRiX)#6RL&8)B%=-_mr2A@vf8+2{wGi<({I6jl`7UK1rm=;$jI#NSasz zL>=vV{%MP=JwnH-l6(d)y?j=d&tTi`Zt1+gGw=Dx@OK`4)|s41ti%i#&c#G)52HI* zG|Ng^uH#ofgL_Nmeg$@H;LFLEKV?v~#T%z`6iFa>?YW&>(MTZ!=lfU9aL`tcVlE41 zA~AYg>vyjHK>!tTuA~929!q1c!6%-Q#at8S&QYh%cx-T1uk0B z!iH}ig4zQFFfyBmy#I@1T&7LAJkpria`b|en!O!1BEVx8xt^|n@2IT3aTwPbc+e8L zYMN#1aiAdwnpZi4V}h;NTDw6Gg491wQgc5ow_l)BE-?R3U7W(DU^pJ& z_Un_|mT>!}PKIgoBvK6MWb^h@43RKmiRNn#>9Gx2B=t^!+vv~{ded= zQwsR8TP*HY43sXW#so72aANJSev7z6kp#FXCF8b=zAlgMvpaBLJp18Ma&v%hGy|l9qTsIU{IA z;5@?!>iT#dclXs(BL*<9GIQ#z-E#xSIbuvugw}LXuHS^tWo`3?+6jFM79`l$X^b5d zrKWf4=4XL4bVcA_DTK*xF>7Ner_4Nc&`TNJw4ESzHU6;CF1ocnCMVa9eGE*Nkzg6g zOSeMVtrwOia&k*O>4vv==1y830GdfNtm;}G`fh*{ACrS3yJ$@Hp-X|(BlK*PnZjAO z&x~LRPy&!uR?2{sTnbK7alVevzMpP%D40saNGcBLju%-ZMH9Rb+$Dj>UnKP4lE6=n zF0ZLe^6lY(N?$-b5)=E_%MJe-tX3%o?ZA)ybiwx2L$cPEsSTd7J&Dl_UMLN4%(91L zB4tkw-E3Ogp2V;^qiiS9Wwd(;S@+{wXsrI&u~YZukdLd%SfK+@d_vu&J5J>oNnH+f zY+6joR}8C;JiI_X<7kD29ao*cquaDmzB7W9v(5P1co^Ul7A)v!?d+5!sTY><`|?V5 zM?28y2TBpfjFB!M5;`t0cahVC3}}+O2e(b-k(44F(p3dz***2VusP6W*gc69K$6kg z9rq4=y0Ibev0Uznz88xq}&(qmZ1TsdS{|ZR2>a3^Xa;Lmh6#X;Qq$ zn*1D1=YPhYQ}T*3FCD}zl5cG|^WC5k^TaVuJ@ty7a|fV`UQzkdaV}cl3jGL=sLYAR zfY-FDIpN<^I9*&x@4&DQ3~f9^bU}o6E7B3sykB<2E`0WW_b?z+K3e3c(C5x&kzcqD z7Ny??#bL}W7{2b}?OH=5pXFsHhO^%1+Zxe?>`Zp|=vor*Y8FYotZer`PWOEKVirlA z6Fc=0fg=nU6@z0j3?nW|dcAs60QImulKVpQnU6Wk7@*T5(W+46Iqjv%+?gah#c2lR zLUN-jASp@4T2p19NuGX))?*bvCxwKb>$Eop^Be+E+>G9QXfhcUG1?k?gChzUZFaun zK1~4kyo}k-kkQavq~b`)m1Ip^M&U4^Ng_emSBWN!oK8{-x$*`pRI~Vmz-7W8ov27!YkMgW%!CuE z$qw|`0M6=k2%)Ba%anXfT!3c%7sRT84;M|XgIZF|RVs@4_Z_%J5ic=Wya?tn@B9%5 zZpNL2eCJVs$~k)@7gaej!~!2RwDFX3e^B2|;p|O|4dEl(aqCI&ld;ZTC&c_#Y>%d` z)6KIZBT5`lVtOPZfk(J~aU`f}3gw{5!n?8A&%&Z3L1_SUIYj4Z!r3(Yk$@vavTW#b zTLy*M_Fxnt9R_8bu*OqI^3s7mhoqD|^owI?Dji9wUQ7c9T=>$FoP3*{QbkVZbB%^9Pz5A)G|Vh7UJw}2B#{Ev7w5vT5PcDJ z0=xBIcK0NbsO6xOVjNGk#q%&i8AH*$^lSaw|NOj=3-;~F1>6t@Mhr=M^>i&H_TQ8rgkP7|HU#Aw-NLt+CS zSe(bWY)I&!UnQC_Iicc6^5UXLZb1>E#4h&w*QEoGe(=|_n&BFRO3 zbxawMBux%0#mk1oG<7J;?x_pTG(adHQUKGPzU@Z4SHy1WWx^D=N-VDA6q@l>ZgJ!@ z(ockqPU6BVgC_!+wU~mFy5P?&TQ&7WC>@@8BJh?XGNyNwSjP`Gx_`E8J77|Xi(v&A zxq!*KqV+1;&w1&@>D#9aN=JZ1OC|cL-iHvzYM7qSRURej_RO+KLJS0dS_rTp1e$)=u0KA#Y|`11_SMP(0CISo`cvx5 z9=I7+%`9L{3jgPlEMlXnrSLh!r-r_hu9c1loU*JhmQTlR@x=|pwoEnF51anrnArE| zKg--IuI|eKNxjZ&QdSv|)a&eVN?F;E*zBotA;AS-;yE4p`hPi&P|}Z$;Bv~~ZZ#~D ze3vj=f}yEwND&wIDg%<_ay#ZM%5ouzgbVsTECZ6dPpph68xot0S}r7)^9k#XJOXdI$;(=_c%tocdiqcM=4x>~2bI_s$+02sM&%awIV0ewZHxNm>v zl*Y}!di{w=zuQXcxxzn^pTA7h)rIm#MCDSWR1yr)>T>RI@KvFMP z6Tz&3hMLX?8@4ufhmN(Vm)D9*18VQ}sS!|s!fg8Kz(fy3%=IprAFuughoqQ{ZB|h( zB;@{8o+hQpR%7=R5?i%dhTT)rm<@@Q0ZAznZ1bsdA-Ry#73XqE6Y8|9gcPzB!`$w@ zy@lD$#bg|gCdO^M$)r!rWCUBa$$2o0k=Vzz3`p`m*@!vN3WyPsMlS=qRbp|afViB} z_tU<)S{w;9g_TbZ zYLkfA-Mk4+n-Q=;B1in7Uo5)>fIL#CZ z!-5!^T&8yB{C0Ntlp4wQ#w;5Wo9|QxBzaCK+2tg^$?g?B<&xcObe?9Om7l&iG8rnj zIL2O8WO1cFA*H_(+oD7~oc2t>360?}7g8$@cW!?z^+u_0%}7}%Mmr5WvXO_O(4iBh zTf)89hGjFPtjO&(^V6WdF%ZKtMKPZn1db@CEG(=$ZZZ&xYK%p(;H1(#4@d9(Dkomm z-s3VcB}lX{WtGof;eeDJ$7E~zkgeE4hd%uw*RS_->X4J9ce7G38vOD35)V^3wQW4X_ z(HY50`xmyX0sHZt8kJ6KMO6lb%c@!wDn$?enzpiR=~%Poml&f^EdnLapmw#&fR0yP zK)A`Pc7$?EksDbpmXUbKL)pvFYOpY|JYC~ZsH(pC#3Qgv($QT9GaFY5LG)lLXfjfW za8@RQU2z0dEUy#|9sc@GTK9Y%m0X6355Js}`isl?z?#e_! z=54bvFNIc2ob6|>iX;A4%QUdVlnPu2LC2N>Tz|ii? zL=ysEhRIe|V;sZ52LD&!VA!CADGw=+$mhpCkdZP5cfxeW0^_PYPWiw4xhtz&k?u!h7_CT^x=TetV+17+%WrqfC<2o{Mr=NfJ-8Vz3_ibYv%dn<>_Q^rl< zoF5b$atgt@I9#5OZsE%jtu2CD#FvA2i#r@~AhqSV&_ym{zgUh-;b4p^rx!BMnDaE(GY^7AwYf>d zLa!Vsg74%a8Jex!l^`{kf`WxGD65FWL!~9N)|&frak#Y0pt-|^L1h+$b8$FWya=3& z!(oeLOJ7;^>-Ziu>1+BjH!cTAd?(a77Jny(Pcs7(LJ4tyDoi-Ha0TQDomLUC1n zxyGceZmFtvF=&NnmROyOv&KHtcoh?4dP}3dZXY9V8cg+jzWsHxaSWQ!v645IvGdHE zZc?%uOq4=ob4U)5n3~XfA%P^TFrPA{b|*_7ypa@vD?k!r7t6!5v6sW07<-t2-!4d) zPLVB;mF|?RVIc&IJKRKoyRa`uu%o!cDKuZimkZK?YLbXQ4A%FsX2bGnd7ov~uXaA? zkn5`AFES|0g=?l#M-MrO4Odi+nU>GKXC?qN#{CfuQH}d6L1>8kBe5W_1G8+Gx(qNa z%S4kw!w{|$zI%;&DIfYU+6xdd0C|~|$_-U3>)iIj3)+WJW+nqu9ozMS0w6k?+6oXG zq}uj`d_yFv+C`!a51xK@_oiuMeFMZHrq|MRyoNOB$nRVQY1P=h87N1FvA)jrgP2_y zjU=5h6#(iY!zjI3oZWNE#ZKuJ@3VKsp<7d>*y_IFg+l|w{WAvpmaXc?PBWwPgRLLE zIUT)zEtV~cBeU%ySyJ16H#yR(6X_WylZR;EzTTVH_QUGi1R5XS;+ZA;`=FvQRMki{HW$kebcoA zk2E79y+%OE&8TKv``ydyH6zu{_h+jw&%yLqnl5a32IdRbRW)t4{TIxhcPd1wWJE-} znNZw>3AF*%3%d0|q=7$Onh>O-p2bR#sx=}y^^zEoF3bCo>&_3EfGWW9A)@5-^CQya z^Qo<~knazfEI%f$`~L9!==V#ak)ThM=}_SSw)cS%>iFQbFJGJxjpW3L(YYu)(COKh z_q7fb6K2I6_O>NVchzi zgszqjWXs`B0+O_tFfT~1bWB=)kfhdIPVeNQ3pP8tr*x-=h^h!dODCQ*cet?8@a{!? zxi}nkqbn2xh*%s9iAmq_fPPi9*2CEj~nTZ&OfcsdgQd9nzt|J#Pw1 z5Loq4-kuHx@yh0)7(spY+4kvB(5TcL6f>y5%eLuI5Zu*;!p;0pUG=Y@0R^3K8c-0W z78?doxo6bh<)bI0(iluobtndk_81B_wU>PwZX2cKy^ctQg4Rb>Guos5M^E49!cV3N6m)M&R#o`erOtJqWymeNKAUp%_)`=702&%3 z`~X@5tCm*5suXgTIIV(7rZ$;f(Mw$M>?sK?C$QM36$}DKW6>aB_fC_&L%1>oStkQ? zq**vc-TK>lKaLS2^}VxjI4b^Fc5nwx{WfLI(ngGI`;QZ3rYY+|?0As`Lh^!y1u8N~ zh2#kJF&xHy0WqYLjq~mOUElg!t_+-Bq63U`jCpLX-I$dAo85`1Oc%t zse*B^iWzNF0fH8eXEw|$U;DsHr2vDaScr<&V9!OTS#-U0ll7i}d}+CL4%icrq+_-6f@HxzF8rPNPL{mbG^clR(G}62JHbV1 z7bI!Yvy92H^+PeD=G@JlQvDR#TQV6zkZ62!v|K3)>A~aolW9Uh5opj;>n(B04x12Z zy(PQMo)%N-i&&+@g%-!l#t~v|k?)&6OrNM>7quCd-T7X4gk<^*46f)umXAjqK;r0B z3~W1jC!aBC&nyF5Hh(Z&`G>4rqWPYDI{bo)8zm`v!#L4^<`1Dbmn^FKEi>Jl_*Ilg zmMhAJW#S<%-z|-{x*Ze}H*7w>Xd_FBbPU7{vnT5iAP>>}6hKc6b}Iq0P}i9K%3<*} zB}bFo*&UYbk>t*T0V?kx5mkt^7+|(2Wk#9p&_^cAE+TRi(ls&!s6|LZfMC~I_S+~y zQYef^gfu(+ssyMZaLl*xumHQb!!h>1xWi#Q6n8krqGl7UOb<;M@pd(Wg*To}MoN&f z$%rk;Kt``Q0AiYg?;^iZmS+9xYun2@M)*d)%%PB}a0Zm!RT=WSY{Homr0hpxNYY(8 zGC+6z6u8U2QYa{?<8{=m1@qIUmtv|qRWgvo$F@bQzK~(U6I&%n{8}M{jDcj~tczcq zpmq_f)xenoBqldB@koS14tEOaO&U0pgdG*`6hdkP_5>vCr_UCtd0`K^h&DmO;`nw! zk`AcL3wu7Wmo2`PAgRc@R+0DPuS-P)lb&a{3h9skD5(h}%&2J%c?>BVc|!NKO5+Mw z5`2q2H`2;{ESq~zg*l4ubBX1sI&4dYZZH0#^H0^@NFNbhITj61Z zkF<3}>HXxB%0oeKx9x%qu#E)D@KlCeDmxB@I<{Fq0dV21FBvF?T|9f*l;yQS zWCqXbZPn-BHP~RN1(~FrEQw<%LqZ~6!pSO-6yz;PwoQQA@JcM3Ea{?kna8j2N(>1c z(PF$r9g?iCFt= z{KbfFPZWzEks8kqIhO6fG%^;%ef(?B$pjtW19Q@4>OcijvLf>^E?lB(S~%P*O9mmJ ztP%g=!bTL78+L&D;%I7i`a^#*k_I8j=FNoXVr&@NjeVy+^PYArC90_~x>lD_8o!{7 zf`xU%Q^d_ue=~x7-X4kWGO*X(R6;B0Kt2f#D-i8M!c94jtcd9Df>jnJ%%JU#E*pa zx~kP+rfnN`W;NJ%jQVOYbW%w)s)T{oF8#I|17S}=Yb3ysvPnQ>Qd;F7g)0gE!Tb&x z4IraPs+N34BQR;bPgKZ{zuImXFv)c>KZ!0*kb(?5~ zktwa!l)1CZy-!ojkz#_i{&*2`^soUzA`2btoNl#nJ*+2E1nSpVLAYr~mTQ zm?J7e7jQ&d^Z9>#`zKQ0+Li6bp~=YDa*>9kDHorZs*OU#OeVZU* z!huXes@y62mH18=)hrRkIRQdIxiulf6rQ3`9NDOBC0a{49NopmeYr2or?&9rKIpZu zFUQW(ZW=wjkRfE*j>&H5`;Vk=_nqL z-R`vJJ59N6`>|bO#|z~O9g^J^CI&!~{u4jdq3M*{cP77;M?tO|>^ri5|NbE{?^fd9 z6ZS=P8lJIN@819DzU^eD2WuwGW0J!WL0F7^YJ_w5JyJOQ%GeSokwe+k^x%)TR-z_& zq>9f?7AV0DG=?j-69FLb>l|Ay17P?r>(Qt`i=hq2}M`j*c?oo8j zO*DJTpqUu47|IV!z^V^Nef4Eq1sZ!{w1Yzq4HHaB2%2sJw0myUf#%CM_?1yfgm^Rw zT@Fpx;qsEpj54Q=dZ*1gpm+MrgQpDluU^Y!#zif(h(fYSk%hTPPSKC`+HbbG_q(zn zI4Z-}#Rcg2`mpNsoi~10&qU;pFT7+Z}+T^w>$SQZ8B&0@cyB7 zsU2SoqCxA8m^7kms1vlg3tt?b3;h@;GtJ%83U~o!^U}r_jkC z%H!m%5>Cdz{Qf-7?n*j)`I+OM8RdxK5>0yZ6Mmo` zVEyl_`&ScN^%}kW87pa+fB#@F|9ALjl~21+^V4Dt-uZr+DZaMyWvcHUM;67zWAxrY zQwL9*2CGPolO$(1ygbg1U*fpz4o$(YjZik8G^WO}PWtoCn7z-!xie-bJhRnVC}^`c z9kf#{Xe$G>S1f2d19Z@Nd=78zroFY30UC{&g~E2TU|Yq)_Of6*#lrTpV0*>F4zgee zIV(kWgsTd7siJVKcdlb%cVdN*V`oFP<+;0Vtw9FwVKnTZ4ocR!t+szkh8gKmc=x;=n=*_#Eq1tL#u!=!>>K4Lmg6k07=(f=b)9uBVh$P3%V7b zsd?jpk!PXer#;BC8zK$q=Q>-lMF}KZhJ`FZHH6F^ne0B z#VfVQOfJl>_7EP{akUAOC*259A#wCO_+=X{>iXeAekSY!QoK{kkRV;v-l=6s(#=k} zVGrqqcHOCM*h7#CW<+aWsQ5+XbSgNFjzOe31l+f{{Xx zFH$$5*`c^cwTl%5^4Z8OC_d@_Qa+no@5N6^DWVi-(m`UQNQ&Y4RK*Db`2dy{@Gf2L z+7jN2wPrl8&}T(Lr#N0kqf2pzqll_VddEI! zcu%@W8LiUwd74ZtcI02XT%`vw?)T@(N@Rk1)S5&#OMA_1U4DiQz+q#^+TRD`*2 z)27ayyV!0;G>1Z{Hnxm}(7`I1lc?xvC^C7Q5IwPDrOVP3+=`@gi~3%(AUsGnzch&l zyP)rN3Od&<=v=R$bNzzO4GQDrf+!dX#6lzla)-s7TNECw{H(yJq%7!IyO^()?y6J} zX9-%!EsQI?!cO*!!EiAG!eoD=2qS_{HuFaX9a{iv(6I%u1|3@fYtXT7F}U@LIko_% zAS4vS6c$b{KnwA)wgAmZ#};6w(y;~TO**y!y-CLwpf~B* zVz|x0$pz>kKDGe8$>$dJy#+`e{$8sP=|D%Dg`Hf0+@TLHK0J+28TY$aJ=N4eE^SK4s>wIo80bn}182L*l z7a)J>*aGA)9b16>rDF?_zjSN?@|TV+K>pIP#mHX|CmXbZMk(<>#&x@0`m283JeMEU zrPBH60%S0sTYwDaa|@8cd~N|Un9nUh2J^WE$Y4H~WN_=v4zNEI#|)Mkz|-Bh|<`h{-f6SuN%g(+C~3y01ajTbpU7# z-%=F=BeS4)Tk+NF2K$cepSEVznxQ3g4_RVU&w|;xf_oOmRPCP9_yy%D1B2^^rz~G} zWbc~w!?n%Z@g|OjPj7(2T?hd1IFx-3S%SLrqVEDIC_XP5%6^AnHF`Usv;kwd zOM5Ven*BDs3kvt!00liDMMDAqx8d~xr46qSC~bIsmY7BK=CD-WzkjHo2cjyKL1ha2 ztwLcx+&475U@a!P_OqH=tfEEY%Bi6-B=Kl7L`ohiBmn?vzylTMy4K?**8Vqo-s0KQ zcuedZ#p4>Wk#Hcj6RI{SW`5t;ir%G3v3ZRQuAE67vem8;S#o##=gfiY|r*B*Y-;rPhEH1;PMjgXt~&b!lsg8%#KY=_Z;%~Y0eCE@(-i8x;|Fa)$$I~7yjG(3Nd9dx_C=^CCE zfW@I1rw|>CP3LZqx;v&_fg!LFzbFe$Dzhgh5)7LDJ!kzeQ>Eb_(mQMVG(vC!F<604UfAl%TQ_`jQJ-v<3p?2;3K?+kvfpov8}3p!OEuM%t`PhGKM zsDIr$CJ#6$8I)mkWByOs_az7O1J)gEWS%_6aJpT)Y&wDD=%jB3yJygx*X^60*VMtV zaWf9Kx&za2%FTQ+%-W2DjqbqpE#Gbe6;L?Y2V1UJZsuSpn~a0KZYL-kZZiZ2MU!!` z)opnu=%NW!K*?krT<$h)+pvu$4)!Gn8?0@cI5;?@b2-b-5_Z*yY?WP_us?n|aR1|b zXYR9L-(LLRex!6m(I3+<4RMLo(S6tlp$z@fdJAvolC~#2l6?06waE28{qGelSX!p=<4AA z>*&0F)abW$-a4u@_P_(D9oV&IsOz9vvr1#9ty;7E$gbV+s=JrQ9&|V+jdaaBeBiL* z(&p0#jviRi-!*N``oZD;q0;yR*RL8LSi9=D>Yr>b{@rqy_$N!@??&D4X5H^r-S2kY z?@rzCZsqsVL2HibAL^RE=9s}!>EPwd2L^|`c3ZeR|J=9!So*p1qLHPI=zgUrNo_QH z&0v3Nq*EGWx!oAij=xGBmRlNGuK!P!5<eb6v_x7zgx|c$o;hTt;Y54!)JB`F^jg0->fj{Z!d|~Y95uMMCEp4$cM}-HM z9~VB^Ci|>E>@d8}MDZ5lw=Th8UAyhPdug-q=XnDw2m4l)O8fM$>e{z&5HZ)%#`D07 z>q=wAuT$u^(nj--Tif6H@~9a8M$MiwHEy2qpNuk~$vOhNG@5j=Vf#Ue{v6dOQRJum z%Oi~v{)2xr6d&}D)uXp-#BLo9C&f1nB@e;?d7iz+N3QUD#zmzX77s-MY{Wt?X1Z6d z?&0btT>yjG9Mj~hCtl!NSI^zEr%`lvu5A|5Ci|^gv#f7bJt1~&3<7-LL;v)9wvOJ2 z<+Px{I_hQgK0mv6&z>rJZyFWR6?~zN># z&@L>eQ!6a8=W z_r0DiBQPod(YtK@VTsi9xdZMEGQaRPl_wi%mhhiAw{;$+ayMl@{r-w~`8_*Cu65Wl zT#W7&L1(d#Cm_}y@33YrD1EvpNgkH^Za241V9^hS-TB2) zqqpw71j_pVn0`KIWL)ayq?zj^TU0c*qL=wu(sc6IHX1#u^ZJcSTME_MH5XBEXyxId zDeHDGZL)LM{sSuyFKrU)+Hvz%4J_}kYF>WTQfbzjl>^JWSmpMXMtj|5%XbZzHbhzu zqJI#xmR0I7g3{P^$E{;FT01aU+H~K2yJjtz-!%z+$(6smMnP5n`5mU$6OC9PGeU9s z-of57TK6Gy1K%w>aG4Fj3d$aApbi5@kX!0(lzpX9fYShD>2QbJGls+5{YTANi_v^! zGkLI&e3CDSB3iC5PPNoe9r4p0t)8vtPCani{JFEHaB`s+zfGAzS;|EbFQaClbr_Io zz6J;w5HWnHc(16*MrRN2ww|>ZUlJx`SGJS>ipCA zoCszyeYtJC+%E zpv5wX#>dEC@urHDLdTBV7*N7+XPfS+6w#-gvCmjpvZrsMBXrW}K)fpL*1ag?9@svn%403!?~ zS79)Jg!gEIKaTzJx&$Cb^&*i6DSL(sj;06EyGZ$}1qm?B>Z6MX5f>52;)_^*;HqQm zxN&onfQZ05uq7@M0^y4^0pzLYw@Ct0y@>#F7~_bXr&{R~Bq6-2k)E1PBgwU5=_lUZ zvt2YmHQc{?Ekt5fLy^4Gk*^Dnl+y_``Q5x!aHvMSiZD++qpevNce}RKyyu?y`&5AKlLQQZSO*OMd=%I|r6{m{V!-%c?%Xi4vZu?y$UoIj&?--Tw)#8nCa*@a|YkD{P2Ob zUHnIHn{g~k=~0K>MSuqe`-TVB43@%w@UX+gKS^cmpB-E3*ne(m^sIxY9XMwmionJg zW+jP8RqLqMKppMuHKkE=4m*s0*l6~g*)vL;&z(N^;9mNX|6gfxV_8>Mq?D{Hme4@~ znrjDk;Fm_tn?AQR#;eRTiexZrxyc~1TbCZ1aopIH50I#2J&z9cfqN|)p! z;Oemv&>K&sBB971B>hABe^z&oN(o-Ve^+eBYMGw+XSycuHd3V2H$f_w1`AxA6Dqb$ zc<*Qt>}Z=Jd#-DVCyv_9ns}fx#rPYSO_TuUgPVC@IVtF2+@S64{G4zzE|u`dm~OXi zI2H;nnev-Qi-GpAIf z^wBH(dY93ZgtyQE<_XaO)pSWt)balt*=NWBsoD;)1LgD(zwzi>1XML%>ey@L5==pOi@j3VYbELNx3H6noww9_ zm9Hj^Y2d84)Or?n-csvX*vV0?uk8HB|5cCkEzz7Jnup#}TougFf7glTAqthgMLQJ? zHDKp0#g#6ahiDSxTeOo(J2@(@q0V#bV@9zFI@BV_lb2#1>FBxh=ZmD3$RVVM>r19n z4Uull*}%k5a$Ovr!^`2H`N_fO+P|Tm#z>hw!MypEd$09tvvnE*_DvwAm0*4CcKQ6I zj-$~Ps*C@3O@58T%*X!W{rU&{hx%5vFBwLI_b`i~<*ZM%iML8wn8Tosp{$7G@aI;0 zJ)2OV=kRsy#7)`o%f8oYMl3mWZ{&oV*`GMe5x6;eU1jD*!hcsPA0iWX=$}I61Jyo= zfotNo%AbWp^Jw^5^>6&Mowr>%ZgfZIjaQD#X^O|eu?&2)H`Br_53|0-nTDiAXr-$I zz1e%7Wd}Vx`mj$7Po?(k4`RSZ!st~h5v5Lzji;|)z4|yYd#nDu4_-As=$Sw*)3vdw zbmW547|ZB3{j!4tVqYr8fyJA#lfHDv$&MfYQt0Fr$WMpq*K)MmmTDYSu0P`7ZGADy z;h6YrNz9O4+l%2hv`=y7Ggm_N$*JDmU24hmUdoLZ{D((mV_`R$vpbdkd zECb=V-JXXGyB8%KJnu+L0a35re214*WgAXwG0A62g@MOVf$>W4&1@KW@b$nyMg#i> zX86YL!E;9vM;;_`!4|)|$?Ms@(&${9bu@R*f#LLHEVH569d4P&5~My7u4XHs@2@ie zkH_02GDulG(s6hs%o8$wo_ky~u;`IeX7eOnZo3UPdOh#Sx*U&o#_SMW(6MnrFP#b% zFZjY{fSGCf4MxS}= zOX@drY2b(lL4q17jE%r#OR#%@aj}kz(L5cPCq-kyl;7}Ims}088<~E^f>+LK8V~lx zc>tmMU=9frkLFn>v$-CvFzCsR6e=df95ij_Y|2kTU>bfm#*+CYFl`qDzi9d{jkrmh za+5@upZ@e!GJ(nR#135Cu}okwv)TzTwu#1zTiv!ra7m8oh_VSkyG#iz2Cp+%Xll#q zb|F7Kj5o9G?y_%tnBCW5C~E4BXIz@BsiAvPIYRGFOJ6!qon&l6r^lcT%n8GYaUe6>lLhEf1*3HnHkPc3x@u6o~?RfU5WO|b=D^D0! zS+tBG5##A!=a$=kBy>yDoT|&!N7!w3K z=*G}58WkB8ofuA;Jo<}jC>uQd&IwAO1sYwF+BPde=w1hq(LE5+y--HBx*5~|^2tg{ z(Duk6EHmLGx)FL{zkKOO)sgKAH)0+_9%T%o$5N35_e?IMKDE(DLYd3k@_HB1PEoQY zf^JxY7-xu%2nZ=Cc(Nt*?4?s*Jx+#3;qzI-mPi#%;Bgf-HF4LYC87zskZi$3CfBnm zUcY-i+^ks|;LK)alq6uRQst`npInoF)#Z)1}yc+sw zyS)!q=LfbZ9FpOV+3g1=E%J+MVLj{e*RLL=c4J%I7_ui-`KQcyO;Y|N?*~}9>=CRe zOelKdL;-*&*1+|vS4b}$`rTE^0-kA84sB(NIEpL*lp`m9etwQ3o-HQm6*SnsjH%gZ zF(hx_w*u3rh>gulew5+9avk7Ktc%zz!3`@e3Y2Bpz+7Vp)yU=aG|9RN0HP4uGel^Q zM7e`4E4E_?kP+AsiNWSD=n&J!f0VEG;ROfEpz=!^LNWm=JIR=?EX-CLj~YTX)uC?e z&5}VCB0|_afQo35RmSA8hG>yS;O#Rn%~XQQb}}2R6r*xY6G@fmyXwiM$(PSimrD%V zArk?aFItRR=g;eb{{5*+pv41o+}1_N9lII=2bjjCDMIGEet=LF@eF1|D-+h?-t;t{ zLC^{kFj?m78P9)yV5*7)tXW@-+B`xfbMD$pC^OU}G^8gdk#{79LagLHFOP2i`La!9@e)Js(pV4W>jBs~b1C z^o*49O^(VF)|43I(Lkgw2pqH;Hy_2F^JQBJk65_OcqG|J4xfV!EHQbYr^NnoEWl>V zd44`uydI#M@Les>!0L{u6w;IP|J}oqK^2~Y(3}uJsmTBh?b5LW*3Kvf6zzb#1pi=vBaL$`E@{eSD+Ct12F|{C*RCpJmSuoPlp-{x z1FH*bJK_Rfk2jv04amy8L1w1Q_)c^Q^<=pX+n}B-cwg2DVse0E7?~vU%6ur^xvu9#EIShzMd_^w;{)N>$DuNZ^w^ZS! z$4vLU2VhnCm;>)DyprEo5A?}b?Vv2YeDTCoAK$R1CKKp_uOQ#l}0m3-!*os%SS zaqMc(iTfWucA~~p)wDP~Pv54+Us0COl$drri^Zq!lPHZD{OqOdNI`>hWon3Y<%tudz zqXE{&n>Yygi#I`x7|U%*Rp@Ao|J^uN29~u2_PBxy%S;L=@+u=78?Uz0v(tC~62N9# z7!1}G7Dj4K5jb8&NljWfI$M&W+<<&ss~bCNvE?{M9C}h^zsojNk!SptEJ@w;)HJ`Q zoGi^F05Y@C%NN!KswvRr;DBBzRb%)*RH7!mT-F0v3J+(9oLlO{DPCkH z3r0oF9`oWx67(UY(<+dUT_pn|s(BEbM>U>J{zB7E@Oih5lJT@~2|eMPkpv66O{CmW zNgf5OCrU-|d|F{j6*|jb80L(Tck&n zLl?c`s#!!LmPZy53^CvJU?oO4TT{br^U;@NV1@t8+Pj?TfoW7D?70QN%Ij#@Zg9x8 zbz0U*t0(-^&9)nmU?t_?p+?w1X-NxMz2oi|WmpwDDKs327ZU{=3cxa#IPC8-nIl@slG#+x>O5q_-!$$i*c1kDa(%5Ho8G+$pmhkBi@#y# zlpS=S#7%8I&HvOJ8)P&Ua;orMNSWl2(6N>PY_>8H**dfyww$>7$)*6ND1Qwu@!+Jc zg&Zez38IHlL8$kzrK|rUL$6?2M;KO!UqW+v23o;|J!ilmK$QZ;FEs?=1;2jIm3SB| z1Vbwlf+9IJsV6ATx(`t0covY$WPEX01P#|{e0M`%xN>1VGTwLSS=ogd&z>;(TJ=QU z{-r-iq&{NNImw2fT?kl;vVqm9o#}>an3cP1p$?g|HC47jou(t`w_=2Wel_Cnqwn~= zjBSKe@`Z~`1e`*FdnX8CKd zC(jx5Jp7D#{Q#XEc@^YqRm;-Auw}$8>S_-4^xQ2!{H4l`1!(U67s4^IYe$c~?pXxT ziej1Ta74dQ4(7}UuK$JdrG-1`rl-+xX_esbmxlo}D~*QeDB1iuyy+k8pUF%^03(T? z11_JM2w8PE;gN!G7Q(%*|0?%cedo-6-(CpZ=+

    }#L7=}b1;$ig(04S$e z7Wi(hhAIavKQkVXm9b1&LXoOVtej_xRW32S^@6Jo>(Dv4pw_q0>>Ug;G*xS5!foa5gkUTFW-vtA^8B4<4Ad>^dGHwdsPMW&7Ww z1~%*wfLu(D`RFOuW1jh*GTRueSVc)e4tCpQ*yJ2UKR5P z-=_pQVyg0xnmkng_>S+YUz+JlXoN(YQID%`x$8SB^d(#_innp2Hv*}s>BWCqh(mR~ zACR+C&O#%IGytd?ddT)2NUs*4{^-;@WKj8q!wQ9U;3c~oT~!!E#6P;WTMnS_^_<$R zFZ{OKvuk6iXRVW84Br!tkkEbT1#%sqHgMKbnKZvjqe}eT-`=kBvNc`rp}~O?eg;A% zaG|4+nWp3w3uoR5kXux)xvqazc?q0nN;M#EnHYf42q1Rcio>)0IYBR8wIUjqL5;2- zMnNiZAHApB=`1N&7K4s0;yxLVr(wAKep~g&;|QCOMLFoY?O*(sx-8dt~5dH*s1h$Onv%aDZuJW^$Yz^aS0s%WhI){BTMW9Gujof(Ft4>)SUf zGa;*QrxPueC>IXU2xzy`Qu(7!K(4k_idk-Wq?Th?Q_lU0I(@KvnwuH6{7*M%fF>zW z0i96(rDuly;c+F

    aR~QJ31qpe8*FRdq3@FfGN3lym-ey&E4=iOS#wbZXf)eRQ=^ zz|$>Tjt^Eqg+`#>=5}~DjuzChcz|{kkdQ`}-SoX$SqgYsH}GI9tc{G?9ge?ZPuG1{C_@fJ{*vVm zOjerL9WmlMuqRus67HahfEgR@j(X@m2nZA~AYR6(%9R;1O9Nr3=HR(bTm;DSNC87OIknHOEA?X8fz88m(Ya^)CTjCE z-MPEx0d%(O%GAYCC=nXTLDP(Xm(qnJbe&sw$-nQuR0Y=|XbKZ>83oy!j%$alza}!$ znB}XPh3@D9=xkgwKbfM80(K0%1=Q<0=*o{sKg|~ozICC@juDsjMRbDV1P*2fn+EEQ zDP-Vzfr0RdCfH{UfX$8*FxYBHgnC6V^dPML_YD-gAwsU_;hz833zRVIh&(Ba%7n#4;bby{=_NR-_ zS9wc;QPQ_U2jmbf{>)S7smmpHIYi34&7egVFE`NNU3;$jt-1PD1Z}at41s7OZ%~;A@;8E(+pE9(&)M~{ zOys@O>_olL?8E&yTT%FNb1WC%qnXF@q1R#|HceT7Zyz8l2nc9Ten1jSIe4nu-uf9O zvhhU#oO}%f(|j;hAs*{j^fktwdse2eA%fBfJfJg!i)nq#;zQw&e#OLy%>XGIpKP7L zEMRo0|kmNQric25<4nSs;5$kR2DhSDFO!AOdJ-Pb)8h}-lLmbwysX4`!Cmz;vF zq7fH=cqSlEteHZKE0e4(TDF^$)Yr&_U_N8`k&9s^WGztrF=){HVdy#l~> zzdKz8v@iiVU*%$DA0{|rY7kG))oM|jeI=lE)@|%F#PG(%$bz0#x%I23sSsz)P8S_& zE^QycHqj1$`FOH2}J%_(=!WJE;%s)lg=T%77YdF z)TiY`%*Ljmskiv=_|OUP=}2Q$cGi-*nh$drz#uUQg`)n&RlXpW^sz7oM3UY_K75 zl!FWZzE1$EoTdbOa_ftTSz!3R>0=jL>|yk*+{Z>qFU;~ctQ*4O(>yHP=l}c>w`USt zxV0)Tj0K>Pe$Xv~JdmU->ypQ~wZ}G+2MPnw358kC80${u1~jRnTz1f{)Pe02l=@Sa zX44*DpTL87m~zE5&!G(O%9Dm=M9AWWomU=DL@hy>W*i^AOU|o?*YVdqhLoU&_0q$j zgdFbrpZ6RkgDU7xn02rw;oD(GAnJExRIg`s?DI}QRd6W{Ux4MZBL_vp2x?Q^N|Te^ zpFLttrLY8(+~FZ3mP45lm`+wa1gL1RUHD!cn2|RIFR|83&PZqw$K=-sRV0+K{KNSk z;;j&2M8SD!OeBh=N0)Oi256PH3U|EZWFj6hsB~i?lX*I)@c(l#nGXH;kqJTuEbkJ{ zWJFmt%$QF;bA*Z=$`8t=6Cy2B;%zj20(iyd8qF8BUidTW67Xfi{0`AgXOHK zqekuYy+J-xXzhpwxDa@zz&d>oQm83`5`3@=5Wi7NDQWRM``HnS8rXUa$_c2kq8m( zQJ92}(#vrF5vFRFv147+jr#ka7s|MW>4@8Pcu!#-p@yF87o^b<*n|vL1m|4@=V8P( zTSV~dF@T|BjkqFio>NNT-mdw{S7W~emKNPpQ;apas}1o-ja1=PhaIE>IigQQ(Jc1J8wh*~55%`klNt#1JP>Q*0V+zA zFC9+5%_$)F$hrF^lafQ$Wj+%9u}?P=)vubqHX88drx_E^>;Iy>6~!db6jd$=F|T zf+5&HjR*BIS$94TsB(88Vi}7WK8djhJv9IGQGm|2>G;B-O}Ct6PRmi;zOMmjO6Uu5 z%$%&9cmHRq3RZi|0YLHWiU zLgm02=`Ra$9mohkMPC`&J({BY2P36Wg^eZ33K5EgTjvM$4Xk=L^Xyypc6+v|)d+C8 zed(AS**g+D17&gc|(s4CviGq=?S*9R zb}ppIF7;N@06q{A6f+!X{mAa#zXIrLOCUIrHDSx_zV__*CMYQ+Z9GcaKyo99>Y%TT zN&q7T26}?*t0w@6ic#u`oIFfnM2t~Q|boorg^_VS7LprtR`ItJeJEVA$kz&u`xT%h!rq&KsHnJka9w4-u1A7f~1nW1&bAUmvDmP5T`SHS-(ET$}>xI8b zLs7a7-I&@{b+2Bti!!xhW)RoFljqrP(403F{yg+DRx;ZnfYF>@K4BGZovk;7P8OkA z3Y#EUQ+B+Tx<;P9cxRchD4fo)MKB-oJgpZ{ll?!lA{TNbp;6MM^0(j_J-YosY2=-NpQf1w*8)JQ;9Mk{LM@rCb@5sNS~Y6EZ)_JyAYcY>4` zg?%X#tEar)_j;EyW18Vw2q@`W0U^YoZhpSr{VscCvg|P#s~E+~ zo>SbMcE#EFTl!Um%`NIgb?Qx(IgA06Hpm`NJ#qm zgC}>F-k8B+Bn97i0lUMkSMz>!hl;M9LlWRv9Gf<-KqIkl&Bn2EkBUi$<31EJM1af1 zDru_di_C%3Bb=Q-_I5RT4F{M}3&|FTc|QQjD@>OU|tabq`K!$*)U8zK@A3#3D=7N`8_s~!9YMU0weBBD89!i84NIdKIHn%y|zi_ zcTNZ71LLHfCMCmgh$R?C%K`JwM<*u0fV*pxM9E2Uf9IO5l@+(aB?q}RckcE@fKIlk z!JGLchu(cTU?j_Bn_h}@sx6f{BxDEr;-x^pWf3%a@%HIE>|JvRVo z68iXJR8LKf>)3|Z6uZ9n_P1rKXL#ta#xYjLL^WZ|?x3iJ&Wyox_5`G)dvF|{e4HEt zsb@Xksq{i&Nq_3qAnY`HB!2#<@ySvNMlNkZUe|O*KQF>6GB7GuWGtFl4E9)YoXwh&FkYpkaI);h?NFq zFC5SjP_B+s#5yec+jndxBLK!ySkaM>^f_vev1!tWQGKI+XjjxA!E=SXP~4IaVu5x zX~7H}xE+;cA2T#C+&^QmZ`rDT9(FX;zn1OSR0Ki9XiT5`*j-~%5X23Gq)HR3_c(y5 zjGzBKG+INIQsgbH-b?67(aYHU@g^n6A{oFTc~|s-S=eGkgIrA$BXC?)o#=~!fg1q3 zL41Rk%1fXlgqKLxZ=Fm4YE`PgFFgpSXJooV0fF_yD0V;)ii}?)a1cb%jYmCV0+K?= zlzX5n6*CFb?e&EBmoC~=29;mU7eS&de$e3Lxz}ux!Vg@FA&eqS(he7b!<+O_Pbb(~ znH~Q41pvuLC|@nC9LPuFI`0M0M0?NV5_+LcXzNkfD~g?WL0Ao>5vhIbjg4h@6Tv<4 zZ14vtRN|Grc&J3L(CI4|0%!xLu|EJymaGXBjyWDrTtU7BHPEPQ(S5a%Cjy9yHBo!E zR28OSDc#=#u!%8QwgOY>9^9s(2Ob`=Q3@1;Tq;2vaMY|Cj3{1M#+dn@U%$U zgWFIAXBsZz98ISVHaQ3|6`l>UkrivIfvab`zw3V^6G#%bW4($}4+JJ%ueDnIDG!WL zR;!?RT+i$LaA`EUReUHnWYJu#JhKp2*UlY&c9%Dto-Lz=5C|htXEsp^%pLevfdRh} zMTICX^bteS6p_Eb{(p`{M6yvDc2MM$mn(TC6FHm&iaAYsmlCZvzYwteh$;(kel| zy15o?4am_`y6wN2=rIux;m&Ilivo}jZ=v&g>8Su!wcR0c>0^Frx9OReGZ=h zt>k7oRuD*O35_0-!zMkK#zw#ug=SDKYy{{-XrypCs&;wsStlzrg8(7Y$_S(P`GgX7xDHU01q6o@7T|J}poT3OzZ^#m<@kHLhVZ5OUkS1`7VEb^yy^j z##XfO0Bl6t;Q`R-R2noH#_=_rms_>~kg5lOms-lJ?uXtCOoRAOce+r~V zVf@(W<2jgMW^my^9>K5gcLPX+a)E#0Q5qLFK#~yrPu{8i4Gup1${VlkYL@^H9EwS5(|BTi$W z&&418jgz>p0`C&)W2iCFW#qd7X0vJN<0BU0@Kk*aEha1`6jq^)N~rv%c4SXD``5C^ z5rtLN&ea^E2rr)jpvfA>CtD-L9x}w>OOj*;rja0Nhso?62w%%-VB{wOAQgL6z9}PY z1{J2yH&3p^`6-+qs(%k_lwih?U}@I>-ggH;$<_ekZNi~3^I5lHP$%k=W1OR?n16ET z6HZ+}0+Q0+hRykAui z)?hwyv2Pxc?Vpz(S9h>^ewbI$bbgt}YUp$9>Z2b^;(&S5Zvzm=;xwi{>*Jgm9Rg1d~(QU7vEn&(&-x5mkntNRtZCzd&8f zO_#>My7A9snpLFa!wrD>p!uCo{8SmuzCpgCm>!x#d*Pz{bwE?DnZmPN0OlFx=JHg3 z`^=-+vKR6M?@6NqZ3pd?jq*g9injdZBWmwy34dt;Uk&|tW)K&ZidNq-A90P5I z+vG7IWTWNM+X%ZaOts{c>YeQXtfCa~3`$?sEDVD>RrD>4U@}0a_yiH{k17i|o%K;6 zxz_yD_a4rsKxmtg6in<9jl)nRg==pBj5<<)!e0^w8IbgE1~I)?;#5j%G=@o{nm%!A zzZ@?oeo=xKXs-%hFupr(u_)qY;^hr^i5n2qv#kH5AO1r2r_*HD>Zc>f6N91n>H86k z_>-D(y99wF{ZD_d?9-!mVtomuqV3~LU|5j3HT2ZkK8omJ7RrASj>K%gZnLk(#Ot`{wg8U8a2V zA!Xfwxm>Ip@`;4L^Bh1{iG+$;H4cNh0YZEZhrtj{f|5rV41q+%M&+{c3#S5JY8VXc z0~+BD#wG*cFMJH=C({V{UnG(Z?3zw+uawQ9mG4!FZx^`SU5 zo6v+C6S5`=gdB>l{`u(lWdeceI~?kmPy6i`e|8Tzw>DQ)>>fqNk?Tl>%0NB~V_sniTD{O*c}Uw#9d3bifi0T{f_Y#mX^2#h9|Ph&42~{PUo@?@)RsBhQAS$F>8| zBniiZ5`-~l!P+LzsopnMHs+pv`rAr3bH&Yag1UOL8q~1vG9O+${_1ZkT{VnAljO3x zu}BRgPFm2(yN(3ZY{?~ba=59nW}d<1)Q|6-eA_ot%`+H(bSbnL+ejeCV@!JD_z$<< zs&pwQ+?#S7z~*2T{`!Sm)U85ag!2VH9V$T)__WH{v7~GX-14EDQ}HS6w#4NPdgy%Y zh_B1g89H(zCj$%0LSwog)NQKPYgjz{x|@>a3lCn(NpbJ=834&9f1$WT@=q;x21Ye> z(c<6Um~b~Bk&P?R1m@zQ-;-8u==0c1lW};8gT+zHJSyszulicT4cNW~-LZY!{0;8f zt>z#`AZ#$KfgHLBtO)oI`Qe*4~!^q-Op{NBD+$ zAr~KxSrqYflOAL4cJ%c!>J9Tk)q!4iw-un;Ev}RG3d2vk?mF>0jT4^nItiw2fQ@k- zv?Ug4LwUT-d-~c8(-sh*ZZRVhd%RJX3wx8N1E9PaMYrekW*5(ChE1J znVQZs|M!}!Wne|DC3Jyd`_f%)H(VoD8SnfeKL)1_OgD`j6$^90R?Apg{_VOSrxZ zHxyFM$MkZ9c{Pi*A3FDovNs9C5+b6EH^G<)*zD8MgvQXgb*RVZvkugUX1Sjxde+%@@?} z>xxQT_zNI+tj9*PcMJ8u+r4_JlIb2sm_W5OYau9G5MZ@&zk0I&l$QZh6BJ`C*bg{YIY)riF=^|fE|blvm4zy<2*R&RIP*%@vY9-i;vVJE8@cNX;hk4E~m)+ zrD%EOcw}bIN)IaHC)&a*}bmL6J9{^;9YNT*CHOSM3Pl3@qM)R)W4(EPC zhGapW;ao`ey)Nr6g8-JwF@=}!{U460X30s5!!-X1}&BYl>Z(3@f0XV zI39?szgCxMlrR3641>%ZMu(99+Yj{(Vv!M%~c)0n1^YItH*b9V6N1 zSpZRrkqF;f$Z5=fL6=;Z59toK8? zK0J?H+acGSkZeD(Bbtwm*88cCB*XB+PymJ3A!Os$rk*SF>qn0l=1XIoNEGbnk^0(W zw_}qp!rLb)&W4I2%tNNe&Zfgc+bkWHk*-jbp({xG8H=|K%eN8UYZ~m_V4WJ+u;2n< zF)Y?E+eS+X``r&2l7VH7<#4ZInM}nTr22=C8B8@5p*k1{k)#zTNxekV&1bJlB}oyT z!W<>cZ^ah0m=CWg70&zmk@Zpmz8jm;EvJnxUV20-e(>o;a$JFexdtuR4LfZLC@MnQ z7W#+eC~~JRN?+o+Q$>s|edO?ZjES@_rkJC`)kbhA66W9}m2$Mr_HlM%+BXOXj3Hg* z24(6ji;PS`y@$rgl&ecCV=+U{Er&5fdK2&?$}(vt2j9c6^k>(8^{#%kH{rdvA{Oq@ zj!lf~h@np}r?KG}HLy;&vA8h^g0+I!dJ0zRK&O{H*Zjn}%QJ!?P_GMsPZa1mh#kl2 zLXp^}zp$*nbIcGvTMqK;z$J=jrn? zz5mdg3dIafZ{$P~R>q2#rcLi_x9(MvASiaLGnNmv!Cuiahwc6Bjld zTVrj@q#YqmNQ^x;I=JE3DiW}KtK1wCKK$1O4aXwLSrtT1-TX{NBwYG@haH zI0pJ+bNq7+$Hse`>&#Teul?7vjmJVm)xNgQuva|xiG*Wo`ZRH)i%K!jFA|Q8s-z}c zjT4T|qcJ+^Sg=Dr{`4{D;^C6&?=6x->tVRSILB%_n#R&}Q14xR^OeN75iaz|r+_H< z*gWNMEsA$ITnnU@yU|cZS+Fq{$_lVqmil}tAs?u(samk-T&HL2NJ4%IJD$}9Vh*9N zE6V_ps6dQLRfGtIP_tb`PU!iaCp~>os^1AoFJiu^Fm9=%?<-dWh?4JCt=B_%8*`OW zgA_3>Sf(gDSx=p&2GlV`pgA9!y!Dbf$~0+OB832hBL_3T`<4UK=!HYvB4^-v4uXs0!JUaIYTU6!WH_KAOMj?!gC? zZt7Tqe1s%5F^U+jW%H7B`!V||fkjt!#UMsYijBo&@o2GLK*HE(-()4h1J4Hui)GXB zQHV$*LTSnXI#N;Cff8C}etflKhST%T$|!1{e%_1UrieM{YkM&n2wQK$WK0f0mfz2r zn!*q8#e{R61C%|set!xSIM;wujShyLdzH#;y@2)i_t`sDN&^U#8ulVWT#^#UnmtV3 za?n(ReHl8n?k9D=H-QMC*LcqoVB|n(>n_|g0R}2CTtXILdca7w{5W?spINchlB-P) zG;6hXpjlF2IrO+6w5K6cU?L+G?ie87Q)E{Q3kj=zR5^&u z_aFZ5WGPS%MP`vOSX1(DU!#m#C`9c+BR;L$!?F|IWzJ`R?OlZ_;FKX;@ME*1f}R-MS;i=$LdC2)%sDtfYY%;AiVQH>f?}+3bRh8kLt?N4D*!Px zT`Tav@9dyN3})!j;F+L?0^j7mU67!UNI^>s`&RVqnu8AAHkIIju6!i*p3AmLzKBJVdNE5J zxG`Qpu}Jj3(IQ2}<=D@ZXKDBP?xB~UG61EaUIX+c$~r@QVGTelCdOGEovHYOd5%u9GK!1ESlwDth;Ap@ zho78~Tosbr$cI}G7@tgAywEHkC4ASvwn%w)gVP$|k~D)%qxrx81wd&qEMbtbOMwTm zyUQ4359Kt*MB|-j+K9hsc9p;U0AMsG5=0GCNLXIEj(YXP*3ZuXh!mR-=}~x-*r0~- zkhF8AW=nLSpNA@B+St7{Rmg^!2M(A6FVRd&<;F8&4Wj`MaxQw+`pP zt;RV$69_Y_`-Z31kRv`DEy1)hIP%$gw)`(Qj7|M)7@|wrS3?mviaj|XZMr_1TSEes zKkFsWmxQ*Paty7goH5w9Y*l~nK(ElKh_R#V)Wg7ItNE_e`%m6PW*UYLwE)V6Tqs#X z69NaKAr7gV7M-*@JAA+S_KjmAu-Z%u)yJs=T*}3^vZj+He|vP4>{^^HL@0`5_#g+~ z#u!kOFv>hV7t#I7Ln+(4r#w6Y&yOLxk{wjk{*RK(=7j5&SBp8)4x@K zG~f#!Y%h0pJEm{JsR=ApE>bE^SsOm_i4Atoc9ra9W$74+*MVM9n#io$a+}oy1Amoq zjS#S5BX2&m_R{e472NRy)d;#0J;y*Wtc8Rn5fQL@LMT}KjO=DC4*-Ol~B_HY&KJH+%>*o#qnPwy^IU-m~^MfZl&`Po_GRYPRZb7Gw({~B>3R@Nb$3F`)WkBn3Ua+&O5$bCE;m(>-rZ1Eigx`HMBX{94CMEIwfif=X0?fYdPHhuIbmt-Dmf~3j&k&L+QH@Z<}~cs{0`7M??R_Lc3fu0M0t?%2WVC zys-7rGN<>=x+2B;KrTciTqu@>NvEyEvR)o{d5i)C=Tj_;9qfE4;Dg6sszw1qCtyCU ziP3Ec&Os+&ViTGO91fnr{E$aeFMa(I@G2bBDeKl!ICUL=&5EA%il;xp&RzP^efc5)%Ra-iJ54t_R;a&wG7?fjdw6M#_si4CNDr0~LD z#er0@h4vFcvzXrRyOs}mMbmMq8bv#3J!|e~sw!;F>b1j*MIVi@_CLfgg6>6{$Y}*A z3c+6$b6-wRua(ZCvu95|V8)ac#A+rBbivJ>)G<(FLOaiCmNoxHMx-pM^Xye;+6_q^ z)J~y)1PT`xV_l`J9*5n1B~F(QMGj*?LbAgWswJpu=_Hz?u9m_3@q?eX;~6~Mhp7no z#sp%xJZnfpmN&1<-F5yBg!1clW&4CSQ;9hg^HGF9<0NFJk)T3 zzI)fHF^`m`IZcSDc%&^q%(B-%9((pd2zmK^(T#=`$@D87e)5GmtB%nB9hf;Oe@S-k~S+M0$ z&Tbn%7JG!+C0He~T~oF&GY7O&FE2dwBQYQ~4q#QC zk6HHmqsPSLU-g9<#xP+E%TGKKMXj zIot4fMbnr{2lpi-hv`Z+B_1w3 zXJK}rQIZ-(P}pNo484Lcx#-^K$7@n7eYi*P@+?9Hm()gg5SPl|>RX zok{!DY;ZFIaD*8}09}6^NE1obo(62S007c!kzgoHzYch=$~-;hLjV++XwG~=x(Df! z^*A|)PLz{wIb24uBDfsRw+sy)F%UPdEm>pEs8xt^%fkX$mgN2*mPkG zMpd#ij6+xtS}u~>jd?}6q`LS$3lPg8Ba^I;IqQdo0Rmb*s8W|iRg^70_D&N3B~q7E zMH4DAAQ6Qv>)M!353;o(98pr{Lzuvp)vyu%{FA zC(V+HLr!}cVJdt9OJM^I`{?tc#S*Sd@HNebPYitkwj0sd+TL>Sz+hg&-Pm(~*=>Yy z&v#*kI#}VFv!Ox;uuYkNJe}iP2=%L~;}f2oo@l5D_h2=VoNasLGvOyJxospA?>;X;R%Nd4iUW z6;-?f0Z%Ree0R`oG`lcKKkf;(EQ-g>0GLEk%yZ)wusUfqcK_S!%!;=*eP%W93@PGM zdxYn~!9@5)TYPOe)`)$3RTHA(zoJGOL+E;T$-YNSiD}!as$$cPB|NR3p%f4d)W8iW z%dINmpW3s#PQr6IQe=M?F>hh(7Q7`;tM8d`!RtOFu z05h;R(WXEPW}y2p7#p0R{P_nx_3k)kFcScjFC-{1gF+7k(rAq%gdCc}Fcwu+fF86S zM<+!mDgn-x>2m3GK#1^EjV^h9g0M6ZNaJosS`R>5i^K0%wq?)TiZQf!_OvO>Dbu?M zjek{}oTF$CW;D_C1PeVW0D%mQDvEaf`tBswYMwUhO;K=P5o_>?@UR}%8PcKy<)eF- zD{8;`a)@^7|BjwLd?BBnCs2@f)nU%2dq2$pxL7TxMN*?#pic9=vcR-Dl1y%hB%F_;zltz21 z$~yUd7+QRYDBq|K&O$aRaM9MlKClmqzxqbX za^u1OyiJz0U{Pxdb{F1#tD3sso{%CDc|{D;G1SP2Ib@J20j5cL7<3I~!OWw4v&U}P zOm;JtV_|Joio>s`1kby9T(U%{RlZ?L1}ubV)Pkr_r#tD!JI2PWOx7n9wtyoGe;A_~Rs7acHw4>m$Y_ii!R)T^N@d|i~FpnJ*f*-=z?lw>jga=m*&wurd ze_2Vff00-animr(>2OrfVfzchF3Q?#kB45c8f_9zE(n8DxQiYrH0~}!5mU3ZvV=PP zcN=23uWAxlD4de9VdGOXUehU=Wvc)|DJ3Ix3com7$!N1BUK~qv4E&_3_P=uSvv~1@ z@*D%{Rv|iEBtR)PKnt-@7_J>G`ogh)B%)$7H&P8EETT%V6a8Y%1Uv5-@syPi?1V8Y zf}P><4#J(y9KYwko=i9%Gj-y}+iWk^YBW@lf5L-_$0I@@zCO+5;@FHb$=-g;uPqgm z3w^6Fx!{?>Q?+duo+=3tROM5tx1uuo^Z8GJJrc+XqA3?eboipjNs3g7sVc@RaeOQokbE7o=nI`Gbu9*JSErUN-Mz}8N} zj!H!W8^7bjNgN555!Mddp_Yjn8cK`T>`*5`qa**UecECqZ3^rM*a{rBA`e8Enqk$rfIK_b>BbM}c2vI3>?7}uTP$I0TReI$;_U2&45Cq-aWh0do||#W-LWUH(G}-q z;r?QFI%Wt^?jIauxtKYn9`iWv-8fz9{#HBC$({FH%BI0ULZBs}fh3xH(~aNVnMeds zJC$)9%$>r*5Jop5bhOI2mUq^j4d3_TH)4ig)#!%d$HuE`q4z_ye!#y+Nx3qeojd=Q zM&nh`nz#al8YZV>cN7(=H=8NkdY_xs?8P7tb+HBv?>v1Upbw7X0rgUr!R$ zco-q5#qr=xr*BF)-h|;Nj^{|5gV88d35?7WZ4A?Rl6`KvG071U z7B?~~sFn#Fn@WjjvLcOqK~*lr1T-oQe)YiDtgxSW=7IYS2-gk4M|eKGvl>}vs4E|8HAk*#bpgs3@0@)W z>?^(-I>SN)AN!R9lpJCzr)o@dXyEds?-nsf*5(K}V#Q!gn==_IfA`kExJ0JSIjUp} zSCL7_Hx2SXsm2XaEv!^irp)!HeLj96k?|8gbSR8aywxa-G$H_hKJ@%#VT7b*KT#)y z9sE%G3CUNaw3NU8wfUd5dft&3&0KT{N{A8U7qYy?n9qXE&xTT}kNH&nw!`Vu9eg^> zIQ-;VwnwpMMI&*m*Urk&Nw^1!VJi3FbUOwTAkEG&!*shIgWGSg+%jwtAa%njI36dGk4QOEyat^d7Ss^(kg620 zXzB5BCKT#aSZ<3c;A)@(o1_Z%wOWX4XoO6xza34?S4*w2u^|Mlu%AuzSAhB4ZqGvU zRGn^TFB?v_pAn$MM}w^qb3?y6*X!TRT zQg)wr4=ULgi12Y-I?W-_oRv}j-gZ|%fixaS3Eu&;khi0X29lWj; zVCl2Ed|GrdTb}NBuQhOV1GV701;*FX*BTZKK#X$0+E%t>b+fn^ zKDf~8*+rVgbprCjk0OW(iDNxRKWB;(Na6VBBU|R~caV~7F*(@4hD6KPd|U5K*k4l2 znv}JMg@l#GG({9{I~Mt1_!T83mE46te{;VK6RDE57K|hkKWHDKdJ!KpqmT{tVBsrT zV;c?tsD!2uarlvR54dL3vPpE}S{VOW>aZY@QOc*ReUAF~bgO4_Bi>f`uclOdZ-6>g zhcYxdXu8cl4_GQ0nxJZLKtN#0H`=7&$fHuoK{iHAgaoE9&Go!JmUiV5jnCOiaj;3|nAj!I4Rz~sHHXdi+o=w*{Y(1K;5-!^pj zFcOH=Gw51ljo+;+dNOIj2QAptw1ade%>)&;fLjhM)BvhJi}RvyrHzggVS;>w(8vA^ zI4T7*EQB~T!GRjYZz1P-mJ(ZuM&?Z0?>!7CtTcw#^sXCTv1-lAf#pZ{FCShrM17cRTOQSVMdqPPyP!@<~Zl=X9n4CXq=a9Ph~jf1}Rh+V=+WFzaq>l6j{WwgIgT^{1k zNJf+qidJQw*Du|EXjQuPit*7s8=|Ah0|% z1?TY}>Pi)y;wkdc(tDjYS*E3h>IsBDDw0J34akKTj0RELflaUcP809iA^uKbvPiHf z2Qo1c%EsY{kz%AgRX%G9f%lyZ5ZQKzxKTNhZfOHhj(@lzMY5_u+7N$JS1jBkx7uGM zFJ^o3q3zQ8>WN4ELUsF z&5m>G&t^wdbnjN>GtD=wnYgUtGzNn$d1hM~oAQMWL2@jg;g3)Vl{Xn+sJdNot(E2T zIUkq=`CN_p4{^vJ&6ZGY>xQdlsK8!1@F;?W+T$|HM-W$0eVGgHoLKkjA|B61q2Nn+ z*ObX|s3HXkO7&Mi?-ZOJ^FD<96imY$!)dj2qfe?&WTfH{)G zXq5|>E!bL(_04*cGovJDkTLD3TUGa*yoHS9nE6pZGT2)tGm)kPh{PCr7EtJjrLxLo z@cw6H&=ZmETxGk5HwR$ik z!@?p_Ao2eZ_Z{GJRn`6wX}KVfAVok3NEN)9(`POqLK2DsK_HMwx!fd|5J=ArMWu)$ zpdbhc(tA-P-iB25sG`oMyshyw4o*4i_3&de!$&+IvY_q})dfB)~S*?X_` zTYW7SIsyl>wK0nWNqTOB(GPs!Zo6FWkQZfP- zX6DIZKY!8H2qD2dq<{r89H!$q!sH=}IbgRMGTd(GgQNzN2cosuaG+rkWIy^ak+Ck& zAVhlM^cUPjMpoRQruY?6gwGcphqZ)idzUMuiF6#(hRk9^ zh3OS87d%x&laGnSyt2K3NGRNf1B1G41O(84rOku~4LzA;LbuI=ML`VQ;g}s`#)R*! z3JUqot6X7Ca8KzxbYr*cJOlITtmU7`)p=1tT+pDN>$Q2m(-Je7=n%8Te3e~B1paW< zZ;LKf;kqzMoiVErF1#cX2Rz5!>?L1Z2%;LY?Mum%Sft%n7woX+qeUlW_6aL;(mCpU zEon}(lTNwd*RDn~&L7LOjZjN~BoCK;xDY-j1=!REnT6Z^iexb6MY5+F^CBJ0|Dx70 z1l`a%ik5RzOz|JPU+?LpFodCL15*(3F#MM`sQe@Nc@k6{0W8KVDWb&61=Io) zE9zz{#@%y=o0X|R@|=G%@=-LyWVDOoJEWtc)2Y z;6Db)hqAzSV*P#RpFb#)9dRKeF`)$|FfoV!2{;O%9Z+V(PA~V14JPc<(N7@ z=pC=$q(xJ0Nl<1k=JrYDJ4&l4-6*3!w8eIAKZxomHnkVf4jz7D=!PPy{H7SV7BhpW zPPq#=VyCyS*ScS|#foLIf&pFBet|kW)L6Pmf`}H(QLb7coMF zzwLx57One5#oylH(eEZLMo}Kkt3nwPhQbpoy0jR^7-`*qTvv2eOcEtBWW5P1!otEF z4yGwp5b!9B>e7}wPmih+0C^xdMLu=(R%xX&Wq5TT=-l65-SR+eY>3CDkPBI&E^Ody zP67oD*$R{&At-`y1@*$>={ib>y|%`cMNh})jD!=d7;@1Uuh2RuVh_wOa=QqMBiko> zp#u-&hwoliL{#(w3Y;3D2x=V=mF?BMz&xRF$^{^*VLb+7Jt|!U-tX3HBPaXj?KyI=6|#3UYUWK3rJz; zRWO5BK|&|q$8H}xtLUVPX&b#$1O#3H!&%V0+0U%4!GbA z&iel8Nlhyp5d)OKqaAGWHXUf%OTP2*Et#}Un-t{g(4j2Bg3hA&mrlc%^ZhVUv0_H^aWJ_7y>nCn4(X$8 zkZz>lkPqyaj1)+ENnXVcZmc>orHKCoH%2~2AX!;feE=8L#mPq>SY-Y#$MC;P}7alaZ@C@{^T9B9#YNj7r94+RE?PjT>{@RTO35qy}R;Zn$ilq~J?AP0X`IaZZhR1S#t(-c3LH zIN{WRmQV9^0>KpSp`=MOsE?9KGc@7G^saVY;<*E3q|hkVNTy08oJxoyJ7(@q0vTK1 z<`g{y`&9`$2c;$5WP*lfjW41ppf3-kgc!wCuyZ>0l|QlP$BT$EGAkiwqAn5zG8(+I z@cU+yKr`Qpif)(RR2h40v7oS< zH4qiV)X?;-Xci}kUV3YT7IfjNte7$6<9ir?idhWU^IfH4Wd3^br?EeD9aS^m>GrV@}Ro|Bd>6e7;xf57&K&B(|-JIE4c$7X?RfT z9_uY7asLo|cR{IRoSHZmgz}vnkprqh^Awtu+V^UIKYH)i^& z?Z>ek8bbKgH7UpHzya_N1cm%#@vEtZy}G}MHAS7%{LcFCJ32HKcM44uamsj%&hmEq z{^P$zH0Al?cZ-obRE34ecSqH2-(TiUEt+VCZ+2jYZ{3C&c61FoEQXVX)IQL_^hj z+2z02JFL)T+3=|E*(b3JF(}MnAi>k0d>|*kNDC#SD9WV?cMy6_Y>W%jov8l2k1iOSyfdbN>R&Qr91A%pG_ZATqZt>)Lg=nnO zNn$jJo%s5tvWx%sb1kB%`;Q@ykG5-tJe@c_|2*o>qBmuE4B|9#yJ(&QA9Z%SH%|F! z5lzwbV&*dMLhqq{LeQ=gg#Bjaheb4*jeH3bh`eAzBZ`D^PV7L&nqpJ&ubzHm5lud) z@>j$Rg~i>_U)W$(4@YZ*-R)CA^dl19X%0HXDsmbQsVK?mKuMJ;ccecaKH&1A(+QHt zOB08z&JX(2jn2|LXuP@^Wya)KKt^DNbdcUurW?2Z$Pi<_tp@KIn(fD4xxE%A!6jfr3{&6Xq>$sua@v_u^oi-)Is%%`++gqiBB}y; z7-ie2#wN(CC!spg*!w@TkrvNjtR~SE;~n8YRe-_po~74m&inU z-c$vZ%Z@llHT19;j1)TH!Ld%(puTvn8j_Gq8=Lt->!0|yicT;D{Se9LYg7VsAo71Z z@3l&S?ZZ5yx=b3zECSU6GaYEje^g&CqA6xb%jI?hGj|^MnAYWDm3@p)=|v@r5&_N4 zb#vdVw-%jL)MSf5iX+rn`0wtsv@u~dpw@DD3o`olaO z^yRO9^kV}XVu!)tgxx+pIC0l~$BzupUBH`PIgCqs)|1{~CgAk-VW0&zInzICHEMCX z@B_DAy=4}D2|#{vS=OrZ*#rrwzNoL5#4`A2VB7le+}(HBhgb5p{&&3s!Wo<~fByVA zvxY{72Z!ekE}lILn)mqlZ-@_(FY70`V~@_d1PdU-xZhrr_Gmx7*JIM| zU?yq5$E3Y%(m{_&``M(!9+M8TNk=^<9cGiR_Ly{(O}aMrb2fx4$P%t4L^pn?+k5~yI6RtZ!vg0k0CoCC{%7*Ht#Vu(yB zS5^YV;4CFT45*XrqbKn$pq0Wn0T_YearB|r?Q zlmIcHQUb()N(m4HDkVS+sFVOPpi%pqy2@nG+B|wZ{3dDd)84yEcdJi$6QUb()N(m4HDkVS+sFVOP zpi%pqy2@nG+ zB|r?QlmIcHQUb(;r9cd*lmRhBruPs7DkVS+sFVOPpi%pqy2@nG+B|r?QlmIcHQUb()N(m4HDkVTn zwG@Z}l`7^i)E*_m zh0!`UsX9+fq83RbO@bOP9Pi1ysoh8=QL9RiURfeglkU;DQ%Q$Y!|%&FoFjZ$*5UGn&i2cxWuavq zu3WZs%4JLGhAWLNQ*?Om07XsWE$eV<(q9%id)Ak9GuKkUxffcTsnv&|CS2N-rr$#Gh z9nPK(SL8&iCit=!GA9EO6kjH&^#Z+wnqtecsO8%y_SB9XE=*B7y?Z#dA*ZCPtd`^$ z%S~40CbJ~Y_QTckjdaW8QqiktB8P#s?ao~9sHNHHJ(B^?P0<6rI~(?h(ZHH=B+gT- z?i18{cav(pa!C?bUbKesBv2a}vQB5u8dBthQA#2gR%BL8f>U}X1sJVgq7-26FH_69 zO3ToUJvi-$>zUAnY64c);ViRds2rY>$VF;ATRCi5O;bEQ6N0K!rc$kzdy^_QRMNXC z0WXVM3V8J*%Eo#C!^?BQ%bw$?$cY(S)>Wo>J3YM0vZAr-D$6wWs%cuMci5%E8Ankn z95bkWY$geNEndhnX`M4KH5IBWXA*j$wi}K1!+zhb z_%-8+wajK)Y2pc^$x=8o*h&GJJ<-})@63T1Fh^NrZ%xWk9YRY#b5%qdXSN1pnrE(w zJGe9`i|M#DD2qIos<1fmfjOp2L7;`S8lVgigM!5NSlawE+QSCCutxg}J26d)K}<;3 zk;Iz1D&}n_>^FG3Y|1K6QZ8@vnZvpPYR@>(ylu5Wls6FAF}gJgtHg~EwqkSZ60YM& z>36M?(d8dm<&jE-PWD%^WJkdW`E*uZx!9*ulE{#Krs#+R{R>sg$ zIJEL^mdc^)?oH`}rS@Dcl|$F}YNS+r<)-pJnbh7KQIjM?V*wWvE zqeE_5J~`~Z)?T`!Ne;cxnWsbLiEVOdiq0g5%M5M49WE2y(OH~=MZ&hJp-Vc88uFS} z`4VSCxAekzA9IW^v8t4KQ&SF7LX>TCFmv`Ihyq3pWRb+G&{0?lZUQ`LhTozGadHcm^e556|R~pqPVaCZ(l?cm{%H;~D0| zmx*T}S0aN?_L;T`T4)jq$pSos?P3ql z8WeNz4ECA!5exAQ1k1)V45Kf`GkabO$Q9$6ZE^*8W}93Ao&~xZzR;bP%Pb4egj!j6 zCe-pA&cLeo2YSVT7J;NTw-f@JkSqW+;EO##Yf#JwG;N={5YRxdY(T^4`!WFyP~)XKs$p;mxr_5v^Oz^P9awopb53I08Oan0U9LFo`D8( zdVppx<|8DF4K#bG-JqC*XI7(3AQ;25SsBG>sD0Ca$&K5){O2zjS+Hb;r=+0l6jg5- zgqAhI>W>!Dp%q10giKuMVnTSW3_=uww0L!SgwVpR&4`6>1PA?)bY`GEGTM3QLOR%q zR0!=PVrv^<|~t9O#sTb3`Z)e(c$EL@6KV zEa@T;Dh202rxct6opNvvgv!A=5Gn`fK&TX)1D#TE4s^=FIh381f^(o#4$cvwJovGP zb3`d0=PbqNK&TX)1D#TE4s^=FIS?ub=Rl|&oCBd!a1L}z!8y<=z&T5{0xIota1Mb@ z?e^IG^Z@AO;T$5H+QIZs?9#U}#(WDv5MS)n845HcBrlJBm7 zQ1;c0auC|I$KIttHx2~o&WhXHOBI0*kh>H*dBBahy`@A14g}!N=DX0Q7s`V4wP@ATEaQdDF^3JYgh`-flfI%M}+d=#~#iR zrBZMXgi66V&?yDyK&Kp>1EF$o4us0VIS|UnIZJp7I{7$f2~R<%9GpW9VktNWI_2OT z5z2!fdpJjwO2Ii0Dh202rxct6odTS*sR@w{Qy)Hd5YVXf`xQU*9Qw)f>*%r*Ph<9cKy4Hmdu+sd)_SS1mG9m zFZOlCtLod@QSlG)Le}v+Oq{saj{EIJ|A(xJ-h3Fkwl^P!Ztcy7p-g-8VQA0Zd>GoY zHy?&}?9GRv4fjarV?=SaWn^XH;|Y_AedEF$lZ%=`UH@45US0BbpwE6b{b!m)wbxF5 zRyupgX3O}t367?J8=Tg&Z-dzmPQMeA-~ADFCyjm3FepgpN3tTA(Vx^Xpe+HR7HGH? zS)$pyQ((m{Lv;oPM8UPyOU6C9m4bUR5u*$mLH<2ip&<8^`PwE@mU#-fsf@R`W97Tsb10utFqIrw=`s>SMOdtRI5~b zdWxEyqL(zPN@eu!rm9XZ5L%G$sv}&xPbv_O^4z{ruC^t=nn2-<2}6sArxNz{;H&UN zv%ZQURalXuxvM7mTj7Rgd^K?TMtRykdGf1p4l=&#SMXc41Fgxg!Y#=7YJeN)^tZwx zh&vkza~%k*9SdAD&I4-j!C++x~3?FDqmB@BUY>({>*S zkFjCUtB*S*dA<6$oBwfbHc7n}k3OEqg4L;Xo92doPsYqIrrVgmEg;K-&x`g zXmYeG>*F9YEeD(fnk3rcSF^!6B*5zkMyFB!E#n5d`D!*eck@+%b2ijzvqGH7rZquy z-xwSv02&^)w&EKjfFyzLg-eDPk64Tj&Y8VgI-UuH)+#BJ;hqJYliG-wx9!i6HARwqA z&y{IyuxOQOe7Hw4O<&Hui*7C%ABIe4LMJ}0eH*Rshfilqb(bH8RQKvPXUlb$_na-) zU4A%QuDkqju3UG+7m(}B=Q9a}w6Ywkrn7ZwH)xRY^&b3z= z-!{3Cly6f>Lo#s```!4qb}TjH+hHP!VfWin)?=F7Ny=kZbCXgQJ`UW=U_%QZ2ln;u z<3PXOeH;kbyN?3}d-ri5VedW;H0;&KIX@b&?oSG+wr%}kNuvHhy?Fx}U!7XZ>z`Tf09w<97#H-!>VhmGSLNo5jMnqpaWE#ap^$fU=F4 z!QovPw;uH{NRe{}qjd4Z>EpRS+@vO|1#5l!;bN6%+=|JNptO%mdAa>tpSk3wxse?M%IF z;oH5s?_4F(_SVHx$XTGL|Z};Lgs}1RiJIsmNL(GcMo=4Fl zKlF8J{;{w0YmHxSuSI$Ba$EG);jiUX7Uf)>E z)u8`h&LI796XHdoY&|v1%xMhNnvMB`}CLs;x|2}(ED3LB&Ww`Kscwz6cE$tC563uE)Ss4 z4fxpS*~0Gj^T3~;e12AMf4%yAkAFP`s+X4o zY3k+YAxgdcJP ztf4zsMroN{{L_{L%Yc^a6>Fmhxm?AQA9#h&9Emgli1T zyhb(72Apq8zigOk6Zmql+13z0hm;rur5sCfVj0H@C0$j@v8rX#63tS|xoX0N<+JCBPK>-IVV$-Rza+%3YxFc^%^0?5{N0gzLb-BP9vn)dj|1b35J!zAfDGyF1>#< z&u^&c-V?*pi&E4kvwrA{*V1EqK+%c;NDr+lMXZ#Nv!c~tkKRieQaQ{XrMy^4fj7Cu zcr2{v>=BiCi%|ordTQXyEvh9748py?#YX6@m(Hd-SVN$DYMnB%C}s)Uxx`dUm}ylT zDWu+?tLgpO0;=qD)kL%K3{J=O+!~TP9+o+|f$!Egg_&ibM{~4!&dB5isKOc@IQ`Wy z@*Jmcw2xdOwnbG9*LJNpWzUJ@X`I#KWkrB{SV=KVi`Cd8kTZ9>pD*;m@Xc z?7<1UeR^=>uKSLkGdxpDkO(v8EgqpW$)nHjTge49c{MLY%}ou6R|);jC~i_fQH&T6 zg!=UWP!SWXR(+sWM|txif}*PsWOH#WfV$VWQrPbjFxHXL>`FI`A8=%N?t=Q*;yQ_ZWi0dqif0vPe$Bn|j%i`Z<#w|1U##>ieY1y&Y-MUKO8hg*4HE(FnxV=zQJZ_8K zM;47=yk*}Cn~vLM_N+tuR@iB19$G&7R@r;b>>0!3)PF5MVb1&+hxhgEHhWBhi5lFEt?dur-N3y3D@oRtJc6#vj?D zcNPsV7=!`9>Ie7X1pT33K}D6}eQYzhcD3z3@_smeyUuOwGV)@S^TXZxNT1OG%0w#A zn*pu|f7Yr|h*cq2le=uu%3`{o<-Y%iXkbmc9~8llh(<;_Xpp$1+q<9r=bz;r!IvYz z!t=uZD8ds%F*aEo&s#VnlTPs8n{JN=K2qNZ$u;+b_^-Ol;8GhxCJj7B(M%Yk@pkRD zd>VN0CAfd^5SH#l$#dwJP!p-up12_z*s}dN&5~YB{vgZ?B}bU~NGv18Quq2K(90H( ziagTM>=j0Cl?5dENTHsAMu%JPjq9U(5@LeL94VPGf9`@2UIa2&ENcc2cInIjQ`Po!7;zRNQ%s=BmP90meoe5f3-+&vSk&CVU@=VMUz$Ok!Ftuxm;cV1~Ll= zwTcs(`eA<@cXB%mgAFoGUB0a1x48exujCsta101;Qe_^E|M3AAA0*{qSSVMXw2A#-|RP{B{ z*fCn9$9>>6@4??cY~)6*AWC|HIY;1(^W(C zy_yqLV16V;-vGSV`N^!Jt7e`JrT8F|Wi?HNl_$(BU|BfWO2#9^z&eugO;4WDBIDww zkiX#je$b7K&-}*0nldiwB0vjyM{d=tkiXE(Y@2aNaPbbBnZOzvo|B}_ ztjnj~*;DVtzML4?ld1d-yx(Q-1KDEi5m6Kqik>(X0Pw^HO8A}23JQ~#}fBRa{UArY> zvp-H)cTu1$D^4XuGBSQRGflF142Woi_EZj=pd7*qWb$jkh#F={1XUPxh-vFi7NaXY zXv*$IRQV;*pWs}$9&zq5c{zNU(^1vLo4H0rj z&rB`AP29rMkM=a=r^K?ecZ24d@ruhvGW zWb&Qc>Ab33Ek_k1(l*fLRCUtAP;9zu-|W-QHbp4NWbuYD`YK2y(~J5}%@3#y1))dZ z!(*C0=q_sxzC(TYKHUw+uAFl zDxQL9&Or*VqF^b+4TEQJ;wnnAMtL4$)-5~aSKMi*al1{~XIx@vC2Q-A-DqGf3b&{b zCELR1qlaAU6gjsNnN25VhU6E{12N5W zO7b*Rah?D*U{rydH0KSRy{}ClUnC~VoR`uwQkxcH(T`8>*FQ1)^qiqX)teW3KDkY? z3|)i_oxzb8Yz^9J89Hb#R7)fA;Cq$UQWq5FAf{g&fVt*?kGBNy;k{Z?_}hJa3;j#u zBu+@GINi)KOX6I5=jJ*%&L9&Jr-&*>xn~76;znp1_(>%oO8kmP;XMwCXOG)8UJz3vzg7A1-=4fk457%)mR? zR3~A2@Yr<<0`FMoWl8!UI%;i$r)p|(xVBXvQjvHnGwJ{Db!+L9<}gW+n`+mo7CE~L znt7G0koe3XiP`bqd3#N5aI2B~7i8OnW|SWq8lyv*br^@nbF3q?z|j10psX$UVjWpx zAYw^|W0NeD-x8mN8o`fI3< ztJDzRFzG?~(fg~m*S<9Kf1dBtY|>bChK3i2ihLPr#3H+z%!u3x!`ENhGU_%pIF;JH^2?@gBTnc3-EUInuG76y}5 zV>~m9mLTyuN@|_`R?0_G)H9He>-9&-KY6fXV$5NNDm!1aq7I(%TQZV{T~`&lhk;~n zB^lOrl68S{4YW}N;1|jTIn^puq9MOrGyqx}52r@fWIh}dE|$0{{VU`@9Exo6EEpX% zd*qwT60vVOlq4KV=t*lEqke&#|=vTFPff^%?Id>^w0;#8@!RPVSbNa%R`gKWMsk!&4W#=A~jJ-8x>Y2vTLH6)zW^^oI)EQpjdxn~O3{J&o+F92ck79K{qOW5$+b3scJ z8(5wCxo)^Y_337-=~L!xO+#!@2ul5eF@FU7t2tzdvNbE+{(OD$CwAN#hRw3X8l2eu^>alGEM&#+169xO4^eX)B%^R< zViR8Xry{B>HflC1*DN}f$VGu7`)4j%n>r|Q+w1LT;IE|C`6_jedPvZru~9J|GDD+v zhX1Jln93R?^EbeJkDxn4ZN`O9Xt8h5^gwOk@7b?Eu5)6>Uxz(;&Y-84y_qSTy@x?M zKk_QF!XjDTid8V%7=viVWW*zKFX;QzMBGz2kXAPaZ-?Ajovi}+mq z7LG+l0&FylIzX74qre!7jRcEn6!_oIJsN6MB)|-YAz=d^<{krr#T?6k&wJ>sRgS&9 zIw))3GGz%RRhL?t&m5~=#C`i09?>Q(g{xAjmzBjZFrU8s5s)sv?`AZD%_oY0Vhlf( zFT|=q%sknXzW87f8jIJV(3mUCv0#t}$i)>dtQdnTsuy>^Py4)#i21OjlXjT4(!Kex zgt`gQ=_uAhDJhF9svuoB8-_`n>2KVlcc;xJKL~9l3?jPJ*i)J{`Ay&DZauQ92?4lh zBiMW7%Rkc=8_ZQ;SB@arg5CDaK?bCGIEK_wq)Mz=)OqS&Agw8}Jn1GR^Pgttxq+i< zYeW1Ey%di4So>K}wGYk%Rb5=>ItDVI(z{X2s+d3X2`$PoQ&mPe zSWx+^kNTKhfbYak(ho#+~F4D%AAie-hYiMtR&PK{-F=EE+-5 z0N`rqAs?G{qi(4F`o!CdsPYS|3WddxCBGkSTQqH!{tW%FQiHxXb86Q*_s8MD=Iy1P z%~1g|-A{VJq5IHm6b4oF+{AUC*_f3x|M(-Fmu>3$2n`OD@N*CPq)cw zg=859(I&+>nDclBhRgHo>OUSw*aV9#=(_da{GmP;ab!9eyFaU0r$$q*<9wq%0%&-?8 z*CMTq0}6b#n5Yb%LSL=;ZWUc^6z~iyUQh)- zqe7!*(4yUsPYZWUo4r4{11<@bJGv3Z;m3cip_Hw%=GA&2&9&5QHQMkEPJ8Dn1J0m2 zRBM5R2ZszubAX;LbVQ13RVesmsNBqgy7u2!7C{-_BjFSb8|bM9 zjoIXpv;TF4&Lb-sq>j7DR-Een>6h!AnQxmHO6Anin*qR9}vMe8=mUAw|rfT zZK@IgCtm|ApFB)eNXGg#eT|jRJT2GPkf1aI59rL`V%jQ({h>(2ykcUR4}wzueX?}| zvw-h2$J{rVZ4iF-R2_L>Nkn5HC`VKx0&~o()Z75(7#ampBH~jx+{_$1bTLR553Rrr z=hz7bI`h=Z*UUsE9D5kJ2NetLo23})iY5QI4}LWq*tG7cHrJReS%6_?!}x!nh^@4{ z&f6b*{Bm;}21f2fKLN>nHe$VvWeAFmMyF4aHAC~)=7X#z4slq+r{)xgrdb5o%$9&v z*eV8b@rQN=<+Yop5HG@^{Y4)B7Zv~nPp4?1P9T>GH_b-l#8)2!RZYLt;VRag zGCZ>az{P($S%a&?H@Tyv|)+ldLPF zaVe}|A*=F%IVWl}jnr;(a5Ga>3}Ek~6+yZff8>eDaqzRjUDGr;{)#W_fxEyEt@cAh z6GEqCy?QQ!88G$C*y&s6f2jzDB!VGcJw2-h|Kzb#K(!c#1PgeimY-?enzjD!yCEoR zzA6{p)u+>;EDZ(rY@DFD#5bQ}FnhfdiUe2AtE^j6W&l4L^PF^w*zwjb=-lA{zL>7e zxcmWYcT>?2Gz{#Z+s*-v{O&YF&~qxG3}~H1w2E0r%u60y&GR==Y=O}e$Zp0RJu|al z*8az)++;vz0rU!|K0WNuPOf>-Z}H#gfn(s)k;bYo#U*vM4>%6v+>rZplYMoFE9q2x z9vjeC>-Hj7z2GU(`i&0$u!`TyvB7%vN%5-PH-7hp_SSD%mf}VjBY}B6$tiGVaCGgX z_;r`dX8cukL)ffp z!@_;-rO$^0>#&8}tn$KG02=8B-6HIPBwfXnJyuz8R6Bd1FaVuU=+4L7cq%ubNfnh! zfNrHxSZ_h8KW#Ld@c5Dx8N|a>>ZZAcGQ7)=8!2K!h8K2TE2;)4#5CjN=v~9=gx9xT zq(xQLOOJpPES&W}A75BRRrpWLI#`qN?Jy${^}7kKHxu(6KMJZEE~QfyvRrl)plBFD z?JmlWUO8-jy|4tM;^849mP45lm`+};{W!(m__^ESgE@I)$P#P4^o)doOS<8^^K=kO zEPplML*5DzMqcC>iz1mJ)L!R-w9Z?pB{G&w#KY!komeoLr*n$`Z^2|b_}_=8fDA-F zB%aBMvKp8%UwQd39XpgCluIWhEs?tSgDZZuA@r#mLAhAj#aKzokt~?~`y8%CTIpFS z=+2TK*gpo>{m{F!bq>w2F8O$#j}t;?AtaeBRdGOCXN~=j4xbectV{;o0@RSqo>$3> zIvPyazUPQTv{{D(W1MNv49OX|#6ydQb#5`nI&3Fl$TpU3)1rpB@ok1e*G&iMe8Z3* zPc3!K3$yB&%~=z|2KF>uZDQc!%A< z){eKz^#|%7kL#RyW(&IZ9V{8?%BlF#KR&Ca!2)jCk;GtDca(w7e&?2{Iwxkfl|+cN zM`5uaN-x!dB}~<>V2Qh7ROfFm?N=l%%tzd=Q{lL|1-&`&?I~G&1Ti6l6~Xxs!Fd>Q zofZ*1H5xQ@tdUUS=9W?d_l(;o{b=lWz|x`xN}dA)HGy$vR`F|d_traGRfg3P@K}hk z9`LQbbof+&hl_+M#+u&MhWMjFs_=?K_SB&q(3 ze~|=7#6L?0%`#bcJPxYG?m)~kmKi>&u?Mqj{>LLAop00eft5`+gD|J-DDKerK{O-u zg*c`qV{WVe?4Wb2f~j2QEqPW8!OXe8`J_H+&a<+Sj;`!#1uMFcYv`DRf43N<^BuoI3Zo7j#plTCB$T5W*-sq|viai1jIRQ72zDX}P^n^$ zen*9C-`xh}a)O-*^V5C0z-Y`T#KDl7i5zf-v2k|a$UxD3G7BPX%N0kKjQnk;foxjc zk4wn~JN!7|2elL$;74BBoH@Fl8KXEJ{fjm5^}MlDviT9H+jZ0Fy>C@@)Qli6r|YCh z-tR{n0+ur~;5$JS(QYa;zW?FZLAp4HFSfgwXm+BAKUmJ>%w(nI6#QvE>F5hXy-1YQ zgh1xH&PndJ5G3<)g~iVkqZ@)jnO_gx0SPcO>wNgj<^co)(bB;QyM20a;;#FS9~qvz zfSM5OxkdBe@l%8kEb6I1myLGcb?{F>PnepSxhBX_Hjx zd=!9z;0F=u=XfO6O!{7%J-&!LMChA^U>2;}&n@ZKzEy|IK731v{n5R*PGK4#c1RY4 zCDk+L;;mAM;2uL#J!qP>5avB|;>W^)(QL9#CVr6p+nAl^BnlWt0h_6LNIRjl(_35C zzq5@sx25E}>$8Dl_f69#zHBuuhqZ>8y_VL%A6)-pfe?0gqJykXH}@~vVN zD|=4LVXL9k3y&GA5iukCi@Wv6qWYc5(ra2U3Le`QWQ${$Vrp?hh;xcyB%n@>%J3B< zndc9l*|PA&Oco<4_{3A>#9deOeqn>UuANK>a1=h9HLk!QvG47H&lY=B%sL$Rp^za0 zTp?CTQ$=5_Wz&ptHa-3$di<&em{AM^%{LWneM$kJVy!>vwe@pj7?^)*DLeA)9qXkd zw@>e8M+rTD9%!U0A*ZUsTkvRnwBS=%w`1uZbq2`fhqstBP^iwlZYPJe>4J<449R#U zd9u*z^~>2HQn!gY$V2=U`hL_h&yCr-n7`hivTiH@lQKxANdv*Fq~R^9teD1rn-|c) zCN2b$=p1Mo?W{?4n@#d*xOjpljfx;?y^37(u2v|bfq-I+M$(zkr160w8W4Cp?0VaF zA5NEdP6ybbaoi^Bq|*pUB$!6m0rRnstervw?ygUWVo7oT=n z1JShWH8rkd8(v4^y6ulXl&hZMp~D)-SQ!`9gbBNYq827I2G7_Al+w<@ad`4^ zECfsE~` zoF>GIS&t_}x|q<6Ez+1$W7+`n@-?f)(uB|u`!qCN3(K)E6>HPl1*^8kfq-+w0Em^= zDv=t{QKMWPr-)5N^p6{Vu!sTpmQ+QD9rRha^~zc3!*O6xLGxEi+z)IP>BGX7DyfA7Pv5k#vk%$(ZV7MqfIEM6TGup=nL8g%xM*iwxPBZ%siX?$CXpVDGQi!;f zuK6@&56BC7mqRS6R1$%BC;?{)PG8S=oj7-AG*lD1k14sS9- zJ)MZP_R;=)F^J?}C?8E#4%k85U|SGPwf9^up%-mJTaQ$)D0be}R5g%6r1r7*moGY- z1o!0G;15u!B&tNoP>ETg(|6AW(KbnAe*l&&SraH63nHGl;#d1o1C6>C(^p&eSP;>% zCYsNdslp5_rTYdzHZ>;8R$wOIL)r}Vz(dO{mqEoLmr9rejylzcbskB3d(j7qm_uY* zlJ<}`RKdAUh&V^bse={v1Wk=+12(E4D3Pkt&3+c|j&w;9D3IN)gFI0j`I56U(?Gjh)GW_-RcY|h?RZ5T# zz?kRD8R*PeKLV*X^aW2cbf7N4N7XL2pCv1Afba%t<=tCBLt>yiNTFLjcGxm5gN=;h z>*>4of4SG=BF@4M-7fYIAkE%IL-zqEf>gsf;Ml5-8u51yz-QZT9XgLa8%uz_;_@Jp zZ>g|0=P5K5rD>x8yH;y3ovCgbm6gT@=QY>=C(waxo#Pby2_` zY3I7=kURgabuf&bXzHT0I1;$rpc(fQ_y4OdJd;VAXW9&~WDRVF=RSkardlRJ-Da@R zfbrz{Z)vfh8YL!--*o}mE@$gejU;nwNAaHaw|{8siGcH1JT@rm$M~gy6mKlWY33$B z`R_Nu`*FqFC~SnD0KZr$E?DnW&`5Pa91i-4Z-o^|q~T*HF>@R5o%VMvc2P-wVVAB8 zMXr184K1o_@LZW7}LaN8A6d2 z{5dL!cxFzqB3^S@e12V?5juYH0t$95Zch8LmxUg`;%iyVr;6I)YM?{itt9FUDcfPt znsUfGuV#r6?usHlC_;8>Xm4oe@Zzi5?3b?uc@ZDP9m&bpUUugJ~Qh>J{tg;uU1YjC|nmvtTvK4kRWjPsU(0 zhwBk+#MFM?4K1^z`u*i6$!;0Dy)WU$Ijwc`Rv3$=1M3Q+(TPp-&_?{=WvgjYcOc_oWY!+^SuJLNW(KPLK=4!1qyziWl?1vR?s#%-d_oO$A{ys4#Q6d1f)b zpCS25{YTi&1T%(!rBnZVrwu?QUjxY7s97}oux`WrPn0_+Bu7&*KX}KJK}(whgayT$ zXedGJ1eI{t5MA_9QE8mrKH*|~JO3<_-Bx-f(>Dh87)0%NKLcb_ePi}@Km{9F;j~e8 z9W`_zE!Rnkal7R~rVXSy#Rx@UsqbgoR3d;*pF9AX_GzJsVA->ts9#u{`NTEEHX_@< z>w8?^!RE1KQC-tjD>SXcTw>=Q@mQwB0uNN}V2B2xq)p4Jt{89@FTm#;&9o_DUfi9c z;bHPeM}Eh{@BBWWMyv)B3HxLmLh~H|{Cfcnpj)HP(nCI`EkaOySEF7lpuu&PDz5Ax zPu>BId9UWvaADmv&O!Bb9nkpGD+M&*)HG?dR2OKz$M1`1B}=#!6XUvt$VUr~mVT1ewfz0ZU+rLZYH%XMXuQ|T;E_1CXIl8?Q>6TBx) zAoK%tX?DRyYkcKlz4!FQUz);4LtCF)OG2fl)%Wr&&}#|DKwIH7Hs+2jH>2=0V)v<3 zi=|ZW9s$T|qCn(O`l@MRIMe`RZei3m1j!7aAg29MyaA`P6=RCE=2w6AP(BAj+bC9W zv7R(ZLz5M*xehd1SOE%ue-&gv(!Z078N3`{rKCoCm?W<0QV%y^GI+*AHin-}S_R30M3k7|36t z0%A&PG4B^I99to!8QlD^3nJ-Kiwm;T(Rs-^zt&TAsvX~s=`#Md2efqq#(lAFuoDUW z=oz4_6A6_PI1Yn_HH7#A4uc_@1SO9!7y^lijTU3$#V3MZW*7|O10LbV$PNSGe>ooC zPv;THza)|!tgudTue5Vuv$oZ{Gu2PZjM;U_`rg0&s)#Wq@unD)g0ZPRKn!)-XZ`G| zAgjS2feiIj!T(Mre4XjP%rscwRtkP}93mZc>HS%}AvrRt1*Xm-Xk^@7XT#r4Jqbke zqlywCRWuj#N|z@>!T3L!*o-$&BP9<<4pvD(PFn zU0JZeVOSd_nY*6)v-AUSJzPCChvB2FRk28%sx(Bah8jl*cf;p1wJMAwJL2-pU+&Bj zmyqiEmVm`l7onT!oHyr#Og?8z_(%=nO}Rc7e&HzafSyru^`0P@d42Fr?ATfxKJ(Ke z(P0(NBT6bXHqFAM-)lxdv|b(!?=3Tn1wX=|I--9DKAW1wVotz?p&kdC6kWO<_h+26 z+E0r3fDsPOJFtjllNqRD`dLL{4V~>2-Se*7wVuhb*{Af_dLWvHa6ErOj5!b1HhE61 zzOlA3_sVlW);d`zC$mI#?uL3)Ro$f>S^M0Tw`m)CTN~oz84ealEp1fP&6xB3loPOh3%+Cfw(}F*x!HC2cxtd4>3I>E5WT%f z)zI~L*x8Kx*EF7gx;{RhaXpCm;e{;}XRQ@|2Sl3Ye|$2q$_s_~;TUm|OgEVc<`zeM zyNG*LUZ^oA%v7Z$^=>L3R7%m8&$bt}#26)UAtpZD^*B^UVLd`pP1*5^GT| zFl=8ss~@WEWcArGW>C8RO_yhQK`!qnQc5Ubca$Z{ND1wH1wNlHQIz4C#+J2|mqISctJXR{n)@n9f(KYg*@c|)nhg}(rH$JT8$dv{U)yWTq&YMJgT z!UV3R5e~JA2LNkM5jMmAFZ~NNb)Hr&bArKyqXxe3(>&jf%V~$+zd(yDEO_>C*%qvN zk=HW(%1q@vx(le1LC~&pAS#x;zTKkpwO)}ecj*sCBfPrc2 ziAaQI8v3M5@%iN3dKe)?T+UI&1aQ?SR6!Ly%p97mVNhBf9fOar*|ZZmOgsn;fZG8~ zxc%tXsZ#W7ayih`q#jQw>m-{Hkv}aZV9g;c5lM-1Ux(W3{W5Cg&dy zs_8HR$A_Jgu91$qR*gW;PORwaNvEcm(j1Kd4cL|zqF@&;{F)xO z#g;8vC}+4})>n%lftg&jFR?3!aYB^F=pZ<<_LiWQ?#ekx%5@2$53Y=_r^j`G2^?GN zn&IZWaZ249XxYic{05xH1`nE_7IyAdJ^#~_i&#P2sz}_bF0ak~{7G5Y#yv|mP;Jb{ zppkA6^2iuVcERBneWmE;#4f1H%~^`fZ}^=PwLMa>M!j0i?GG^ihx#Y0n03Ih7t=V? z$frPAqZ&!Ayas#v@F_5w$LZWP+~CYF7hSTjry4iOzBgY$p+w+L;)E`t`cK;^xozL-J9QR9Kg`s;Q#N9DZZi*AsG!%;WLdaXpNQZR=b zwcr}w@bBZYZZuTR4@3@Q+A)Bo;~2?@UjY%V7>W4Sg43A)f-ZAbo=W63GYb>X0J(Ja z1}g-9s8dCS0hZ+@OF1?D&<($RY^xv2_2D*hZ3kX`OuGHVj;I|QZSbp~Pp1*7PymJ3 z0kTPJ)6A85>XFZh`O+RIl7f93sjscKI4b=hywsB7Y^W&0m}P40>^Lm+;R8mBSXUIK zas^30W1qL|`z;S#R793FR=~Z66*3hqp!z{a z&dW3vp*oldv80z|NwY-LO{dSvWJ!rmVU7~U!eY5v!iU#Hg|luvycHGTyRj+Vb=v5> z0}ji)AN+J;Ij%s#T!Sv`hD}xk6&;ZFMgI_vB6k|1^d*@))x5C-9zL}7#w6{F;pnJv z^${G3g*iA$r5$batN3Q0R7NL-&;PUDRu^~eTl5psJF1ltO+OckOu z3Y}iXx#lO&oRJd*fqI>S`$U0WfY@=8FEp8L;$Nn>c8)pXvsu8e-7m+-Q*B?TMz*i| z@hbmbP0pKHFORrTN(prF<5FdOKmP zW5*0-Ts7Pb7M)a24c|I%a-nYO&R>tvHP`swkg`4ORGmZK#Z-I+qdnrjZ45zuS#c^aM0^h z+CGaQX9I|Wmid{QmvG^0?Vp8((fDj7gkxY1o2$Rt_Ss}_bBmd(`D_3AO8aM_p_;$8 z#jw}>?2{>2JQEL>R)6mi3N3-* z0^=MT?`RrJ$3eZ14eg@^jFAR^}&*^Kh0nAO5?rR~2xdy*c}z>yfX9d^Ivg2~$K?s}4) zfGuOegXq6`_bgWA=((gLqA0+e-`J&^d3D>L3?e!&SraJ(Q3TWBOe!11!y2c^cm(hE z^FdW3@uD^X@<}nu3Yw|&lWtl2l-5ZDPuNF5+QpP(c&(j-^!lTB)*_3B?YgavZWkY` z)RKW{vsj0-<4)=Nhi9qJqg$-FP8BtdG<}o?7@*k|6~7pztSyi4jG7b-e6&90nt^N( z|21A9ZNVUh4q>5=%JW!=-#kBghYWdun@Y_M9fzqleuwL|S77PFR zj@xIVG>AZ7Vv{4_lI#O42E9&`38bIW4shi(t+B-y1_nb|RZzO+Fl>&oi; zF}tr({OQ5ti;kvh6dNsC79jJJhi;XQ0u=?By`;gKlD82%6_i;aApjn!(k4IbQ88UV zefsx57F#y}aj~OQUcU~XO@m2SU69xBdOkLyb|Lml&LbMBO$!JI;T);@7ly9EHim6~ zwOPtJpnth6rH+vZr@ZL{0&|(Hxc{F$?sG(Zb<(6QuU=@)7wfh`H-n9LV zzaRUNbaATeFBQ=iSnlN|ppm7iafNJibZu;2xc2%fCxOu%s2l}X+qAaCnAtUZ9=vWQ z!{NH@AoVuWKb(FLkC1vABM#h{s77H&rF2MKCs959ojbAZuh@+f`Jb; zn@bsDWHt-G16L#^Q`%;CbnAO-;peASWuqz`6Z5X53nx**s0(Gb{DNjwVE zeU_iNWbWLf`%*R1?rW|R42+?hnL9MHL-TUvXQSJgwh_mEwwW#ehc{Nv{Mj%>4`5#n z_2sA|<$$ysR?#=B7_|6VFL6)wuzU?E+Cm^uVrwi4`sRJA;#3HnglO%tA ztwb9FLt!90q#d3sHOuPn_|en*04bXBQ26hVV1SK`}6M}WUXyUe^X4~MZ{}d5rDR9)tXh?wtQ|;jm{^iG|K!!DusmA-wmeg9s~W2-*seuu#ZCX7#ea3@(!!(M zIQ?(+qs00+=}|Z{&G^@K4)gj)|5_)~c3<#d2f1N9aH}4inwp2ANJ_MJHoC01?)TtgzZgW|$GZ@YxrOhzjyWlEsHHY#}N9#^#_}pO66F!%?tG z5rbi2FUIXXv$H&R(Vu-C-Kz%wv$0ge95C91+jJN)+R!8^{OgyW_2WZL8Vw3CmhAX) z;ik`IK@fM7*@<{O^Rp-Xc3%!LG_@R+Em>7+;7DN?;qpO&?U}*5H@#njW4x`L|8Li3@9k+(c zWD-!!z6?WTk-~9C_2@q%)hwLFS3Cev@$8Zgs<59MTwHP#0$Y31ojVFOddqAh5)1rRt zRK=LV&K2pePyMkLp9PNanH}x($kjh8(mt$7UCDj3BJH|~W{qoaYbsLkb52~(AkjK$ zyB{RjpkeJ)iJkGwEttG3{q~0DFR!P%F!c zN#IyR{KajvBPScL1tR$o5|)!t!U*h^M75erE3M4kk`JwYb*5V)7OnDoJaqVVLg2KM zF3%(&@WNJ2*OET8+hrM64RS1!$e|b+hO>4PBm37Xmn1kqB%fkr?6}+EfX{sHLOl); zoq!er7t`ht^n*^okSX*%1RRuu`B5CDz3|-&AggeA$1h$$VcNy`bK=m*5RKNAoKd^d z#Mceba^5e_Phd_%YB->hg~(>E&8oxaBxp1cnd1YvAy~9%1|d%d(@rsJI|81Gc~*Qy zr?2`=7)U8s1X#{6E6i?anXjLn`1Oq&V&@syWr1^FpZARn&b1iV+VttuQ;1Mpiwz_@ zD7@bjNg|EgLdT5oEEWz_L$3)Ho-r2bHA9M{@ZsNeS41`K0RstOcFJh0bQh-lSK|{S7=Q;jo;>f$cQXT7QJ%C zseW6s2sK&gOM$|L#n@7TYbIfLU5>98&v90@2dvsD(%rQpVP!85$-!_35Ya^)!Vc z<$V^SE9cHh{{E)EV}*AR15|(sCiMHO7zMhv`0WK42OXbtfB2X07r7D zsXY_}fNA5Jwx9FksmJ+fshqaQgz-~nLqQNUSxCf=dgz#xdm(75XFDwTm**b*`2>-s z1hA^MW0t-9$dL*BYrHVi7@^z3zLto99J?R__!#g!4e{^vdqG2^qY$#pX#oHrt`89u zlI;+dvk#9~n$cSNX;VuwE?9Pij?`4%PDpa0wyE*QX-E{!Ht^7k6a*C%y;GRU*D%I6 zpRzFZp?F>vb;TCS&UTYeS8XHIb>v#^)Y$Lh!`N?)jjL=PE?)QS4{$`OS`}adTh_ov_}gzMCtkaOCon^lxzJ@y>UaO$ zBXN$VV6!a?t$_&=E~;@zYxt;J2tCRW!C%!;Nw@z9Ur#uzYzH>d5p*$ugCrVsITm1` zM9@@$PdRS4A~@usmb!leNj5ZAU-LcpzBgS zDtUsQkF8quQoQ1yAI=Ng%`Oc8PkMq~L-FWIAd?EkJnC)=tCMbH_kXui(1hqDsH>6o5W1ONveRMX6WX?+s@Qa6gr{velmdc* zS_^BG<<>>`XSeBZL3j>FO7>?e%N7=e!CL~iRwEZ~xHe3Ty=m)o?$1fZn%&II%|Ico z#ezc!xEa`+Xn&xcIM98VZ1EYIIo8P!4!CKSPX1yte{B>A^PlSh!uz`_wGiZN$ za0Wiz8sI|0s{w1A!|xi_E`MXwW)2?@t4!}M?bg5aZIWR51{m-zAlwYt5&>RHf56Xn zFvkdif89&p{%;#(-HZSNi&W^Mumy&Li&Z+F@1Z_`dMtIqC(GY~@5jwa37?1$&%Yg| zS)K#`%ENjlObJ4Vi|%i~{+H`y{dW2OA`(>BNA3>{iHUeMROn#-qG1ux#NV&!4{Tnq z6$rv(X<1le4{at3)!Svh;|9~fvd3i z8*ikI8^8R|hl-LG47IL^yLk8AYU*KsOa>zIRvV;as6i6*&44L2OsR@6n;Xi4g-82j zkKO#iqLVR>soJUxhu=&Io^{hI>4?y*e8cn&SP1c`1#zEA*VFa4ubi+lS)WkY0*);F zeH0$FFRtff6kC~toA+h-`xDsTw{l4bzPV!hC2>%lS7o^#LKYoGERNdv17NMzh=p%A zU@>s}s09BufFId)sc=D5q+21`Xza2HB2BY_O>uq-6r9$=7G}az8x%SIVbgcLc$Brl zOo+0=Y=9j4=qQEB8Np`rsQH>eI?xEAudvBmUW$T|cm+H~n8$$&;0GA{{SK;u@Zh@P z`R|?bPcJR@FNp=wyqI)JA4l~Z7SfKqILc6g!S+&EZt@lk&!reZTURt+K+Q9am+KD17PowqOZtd|n( zgfS|?PWAZ!;m%Gzzs*0NN%=fx^&~&QzZaFqL)g&HI>mzXFmz?NMR>HlNCjD=+wsvMH%1n-=zGmIKik+chta zx8Yi1yDJ_@5NYx@6JhB`2~vP02eJcI^u%EKmag<)f7P$jr5}w^b{P6YgTK^bXfGrz z<2XI42x{cng+V|5Ko_O5fz; zfZC~#`C#c33qy=}dgTfgl<9u{7th_0@_84ApM0JpZ2?BEP$e)jPqgIB;7NA8;rcX3 zNGxt-R8TEb3w$aiqKS<(N=8-+m^Al3asT%{)u+7c?mN#G*A2l(cs{&l8(C+nvj<-3 z#0C#o@ZIDumbz%k!?Ek;`X2UIu?*lYkdarHt!+rIe(sgt!0K#!9WiTY@X*DBlXsl5 zll*Sz3=a`}tc0$iN@0qQjHs;TC_kymot}~bbj(clJOHCT7eOYx7xwTAOi5x!Dpv~5t5d}#GL>; z_@VR{lCMZ}jOiVFq=1ZFjGC zQp&X<+8eL!={Ab>&PrdY8w^dA8c4-}wG3N~NXyU*j>mD4F{NK<`e|50?iAhOgt2%S zgJ$!-C13JXk@1WUT4Oo{`cL&Sr6Lw-}2v!)*KxukH5nih+28U=D4RCW_u zVRfyCc!@zM#{26LB-G869UCzM;9{4%=-Yr$huCR@q^!O?>@j^L-7ZJq6F(Yofmj~; z;JF^isiHtn7rpO&e^K&2B*`mg3*uqGA6O7nEZiC$(MInbx0QAxYa1S_{m#lYH2E!*#VdU8>=pb{@8|! zY92CWy3G8kD?u#{gT;jE$=3l@J^VUy1~K!`@f;pz>r#3JORV%Q@@XGGu!XI;YDdJJ z@1=(KC5H+20a}nkgUtN@R+*M^>y7eEmUL)r>H@;+dNu67*2kv<7EVoG51*xpQ2PZ18l;vo#{ zBBiV4hP-s!&N-%6JsU29Bnc(7B~cwp6*I1oWsUUfP~P}7NToEB$j1+-bHL@JR#4)T z(8IXOzEK_!86|?++GpXvCwc=Lw%^;_;klIJAFQGNHK5Fo1x@$C^Fd1|^HXcs8vqFG zJ4gE!0tBc)3XrANMgMbF0JV5A2|3U80i!Hi?b6J?sP^4`dc{xb@Hy?EhB&h!g47k# z$jo>M4#5SJ;E+zO_3RC|_u~BxQYg$Of$)Oop^sO&kuWAnJ%g_eLO{379LVK`DtN)C zW+0?VX@;rT0%0XKzp*%-AG}%hvPRuW_`wbc9sd&O=oI2G2yw`R13-ui1Lt{06I+Q+ z?hM|aJp?MOG)CqRE*_aVXa20&GmaRZF*1J<^`r8e<9b5Gm@xDb#UmA@#&tP(>^4yg z2Xonr+)_jS(M%STe`wWFAg0a3|GhZOeRGH3+={rYFvZn*5yVVMTsV^8pc#nf3!}>x zUJRA=%iU=o?_W1n=n7*chztU9g^X$pp2E%v&z%>nm zLLV`gopMM?`(P73(VzQngxkKlL|1lfpb`>J6)#9MqxJiRTcus19EaEUU`#pM1vvBu zbDd+utmpE^SKo5jW-7wjE;{f!rEtH3wi>GULtGn4jtWBDy2A7Bg`2i$Pkwpz2!b&{ zV`)M_HVqkJQ|5ZRwu#omtBto38$*L8x`@eAzVG_UpBF?Gt{M#>|kEu;bZ)vBMjDFI^PW-$j{_|Ggu4p15meN=wknmkN{uG8)s!1iw}n`y|<+B<PUvZ64Br(7Qx zA7nEkLXNl#jYcu#pvzYVt%U!`$S#-2f=w7lt`A18pcaF>oiqjen7iMgZ#VN{QqdrH zCErHKi>gyl+U3^}{L;6hw!7ffKj&JP9B9jYlde2uv~7Sa^al5>jPg|HahtmZk5lUDa^tVuOiUg4vV zoQkDr{+|bfTOaQCKJn$2mt#v(P9$7`R#LD6a~#qUjcZIoZ`zw(9JPE~I4=IXsW}iC zY5Z;|71aG`tEso9zSQ!&xjR85B_n>sU)PrV!+!pvs}a$Il}qs>W;hH%a)il4)a=0g zHe|Tn&Id^iCJ#h2vi(CdLC6gDVd-Prq(Q{`!s#!#i3GX0j7|BWF$R==!Wc2MTGJE* z79s5$ydiwP=s2t;)O3S{qKCg&SM-`j*Z8xZOHuRpzoS+PH+KBR7{^~x!|dy zbMaN8#zUE@Kt1#Tz}G_y0AfJs<Jl?4z~{;RzfEYoXa5Gh_7kRt1Ip zV1hhTO|Vskg3;LDu2&6GL1!)hM6O4{KxLsV-Kk}V(ssOC^dV*q(uxA|D_FF z{|J7b1TIHFkugzfez740rR&7*x(Tpx_uS!TCBRUV!9N*kP8zv0+C{A?M!6fbTRr{B zAGiEueglg}#pbWyriVmqRLHZVg8*rE(N-N;vETXU4~pbd-0etQ0YM@RxT5-Fl=@&! zhIxQ`rM_FVSWT_MQRqjh%gzsa$Llv~(Ns%4l(~*ElhUYxiap9=%cL4@v7OrwqB_b` zt;e*3xt|!ip@=HKDdq#l%sDEn@4_A0>Fw*a?pG~yV_B?Vo*Z=xp?ni1!7g%ZViFfS z5C2~Dy%t&I6N&S{mM`pHOuXT5J0WVD>;7Hww|98-yGhGe6jbx7P~CY;nN;pS zt}D7KCW*=`vJiz8VZ~u=4AYe2n*T5EYJ>HuxhPI>Rf6|_?c)n8WfXMfD59#YPNwB1WUT0HPk zCqa>?kvd7ci+d1UR6|f?P=FZKehxHWz5BOqpHfPQ$?=;0p!>ea zOQANPUg%kQ)8t5hI0>zCS6;FTJ*raPtG{^&zZlm@Z%y8>kxXnn0{XVBxMcFQXO z)lNIgFzP3Zf^3ou2LM4k;^A%Q^wJOi{=GI(`h+TpNNAf5$55q) zN*lR>=tM618}??FV-LH@5>@14Ni#&*kPM~K1PjS?XJ_}(KYOr2Gem`_t{I3*P^yT> zbf@M^Kk_YL# zdt30AKl^Jor6WyOGeO9_(0_#zsr~%UJ%95q8>o~NC4L%Nq1B7HGwm(vxBm9+w*8f& zrg~70Py*9Ad)xF4zw&?$lfEe(Vx_awYY^i^RER=JJ3NHzVYpKr;mn&jWk19F^0-p;l5=JX19v5i+{D&z|x|<5V17T+7=Ut za*(PRjM|}-`UkT&IVr}NsShy3N2a@QY>n$~T+XS6r+?*)6Q;W8_wxyV?>s=;0~KlO zppi{SHNfeJEkvcA6m(e(|JIOgU&B_BP(8d!1}}$ple#? z^iz(Fr&Z`qk-mzGl%eQS6FNr9gO46<;9#-79x40brf01K%Y~a%9>ovp*V9{VsPao; z=%eP;=;R@tT7psy_oK&s&pgt!q4m*Y%zUAQQ{=*4|8IxfbdUt25Uaq*4XTmsl_7fb zO+W3lo2bl_yEy_CwZ+6!J7%G4%SMGu5&HiN`S1t@(NI@P{KI$cclwZOhzSuGUUXJcc~HQF7W-W>-}PJ9Ibo_$ z4~b#XkpzcuaDR!iB}m$#U(u%?`H67`qk=O!>q~MJ_q;+!P;gP=ySe9_54>mJ#L}`& z3z4II^etL$p%nqO+!C$6y6)A@8%ezHQYyt8eSW;4Qbk13AV+FKDt8}G^2*Ogwhtjs zp<@9Xim#@*V_Z73*M>>ni$0JtVw4*qVC#L{e%0&u*g$1irHojD#<+r_843L^c3ye| zFxy>@6cmlvG`hkYTwJF1m-Np+b*+=6;~ZHbbtBXWQ(wfZ#*U%R+YSKJK~hY)(SO6)SgtXyK3 ztU{Ltg=xQf{N@i{IKeKmZc&TsQmltQ&}beL6=f-&1jyCxOJDa#S57QFK9f#d@yV#J zM-=i;Pd>jX3aP_7(smhOp}9NCn5NM7$1iz~O$jKyq+gHWS`m}fr$GJp%Pw`&0+lh8 zXBQmkBhd!2_u1u-UwjF+)qHlLm`65tfOizf3PBH<%H+2c%eBQkO^Sk1GSJmH4?c?ZynjVg0$~lmFli|U60JR?p$qpz;r6)`1LnyK! z39i~PL7;vOn*f~mBVFi}fQO@sPf3E%d;|F&V$=hOEVjmSh1Vc!DKM|b?E6DC@O)RoDi z0K_x;EJ(Q z6n;oL92IVLs~~;yT0l6&H|PnWg&Lxzj%2KUS)RAP^k1C5k2;tUOCqKc@j%}ij1N8W zgqxu1EhmAZwn{WN72YO6(N5>A+#4SUYP*$7?N?=S_X_z$Z+vwMK{0Lp)Y_T5KJ@TE zM*H?`Jz;NlU6f;lluw|rRnbdX(iY=XAbQVsbghqkgZKjHxjs_`mzm_v?ZbKB~YzUj}k#h(4_8_-fRE!r%s@Fexor@ zicS%VdHS5JzJKvcwr?u!G1D={=yb*OGIsqi)uhly?`@(Viv+U_Pvb;i?46?$0q4hX(@^+Rrg>c#n} zk{1UH0_|}%_?-uS!zQTIqOq(bhA$xqk(hllp@){Hu_)Tte@!`rN1AZxdF6=6c*y;H|RMq!f>DyXX90{j&RPn6$xq;Esyv z%_^(1ei7hId=Gu%giU>k1S>ihD?5z<+h3JF_n9MZl9KxzsS>)0^;f0hCx51cD(QY3 z?Kx0&+uLq_@EC$5Y3J$uXD&tjxrfuL=KcyA|eMSz1z=^cgo{^N<8 zPzezMMekWATGJ6K8eWZ(0M17F!dn+?s6=^a@Ld=B3(6baboF^%aK{r@JLwFX4GT;P z-jV)Ob;mL81xIg&oCUq+zpk(eD%l5JWF@F)G$_0CujeCE`%?Wv z9F{PCH{DGsuYAXM2QJAFJhd7Be08}&50n4y2c8^QVjrn9>X8)jI3=oyW_lzazgK?C zhDl+_#O6*zGhh0pzjNB$sM7URd{MeZDZu94ee>LRK4+7Z+~kBo(m|--;p-p1{#hF) zIS##mL^!KyE=Rxpg^#&`>Lf09SDqC{oPucB-wBSv$V8k>7Yl!$%*!YA0trxa z=wGuS|Bj*!S^HwY`+UmhKK^nOPm(YX?5uZfFb)A1Tg=%ZcHp0V*Nw7^uiO6yIcR65_F@uCqr4Gf)_p|ilysTn#C44s*wi@?yi8M+J%U6`S(`M3BmuFPayr5QRJ z1jepfu%r1?n%p|ANvG<_DZ3}dUfx(=*;rSOLUfy!lh~AB=!^0~7{|0SW`f0EK~KfWkmAF$={2g@a-QOn8a`3IoLeg@Iy#!ay-VVW1eG zFi;Fo7$^oP3={(t28u~oC6b6a`3IoLeg@Ix+7K#B1 z2gL}O@Du|S28sa+1H}M^fntEdKrujJpctSqPz+EQC^0~7{|0SW`f0EK~KfWkmAKw+Smf`wv$!a*?tCOpLeg@Iy#!ay-VVW1eG zFi;Fo7$^oP3={(t28sa+1I3gq6ay3viV-m3DF!GE6ay3niUA4(#Q=qYVt~RxF+gFU z7@#mv3{XHZ=k?ON2otYlfn4LH>ZTE$vZ%Se3x=%Nk5waMIk-aA;O$BlA~DJwRoe0y zjbovo6B^a(Goh(xm}6);rY#6L)$=QlvZ)uYOlSo?*cn3$_ubS8F($N<-U4!&Kt-=u zO>kWb=pAezQT>m&;0k*2H1_GD1u@1pfDxnvdg^2n&NH8&yMuhT#w46-sm&!^M0&|3 zT)WZv{&H$IFqd$=Y8mmWCB3?1Q_BV)9*$5{TVXEYR7XJ*9A2%(u20I^eF43it{TWW zgcp}+qmHg)HWv`BK~F+NUnE--pM*nGtzwvVQuT{sLQ_+sm`os66W&a4{-Q6^6E*9c z2`(8h`EV&*qWVOeg!AXa6&!ihF})07bJTc2>E#$&2=*d0rIuW1?eU2}w?o3^4Q?mg zhf{Nln6|QHIvCSVmb8;5i}U^As`en=Gh8Ek1v5E}*V%fQ3y0PeqX)BrByR8n;bg-I zInfx0$KsN-;k$*~Ni~I>$>R93HIh#Y8-g@b^A}9 zS{<&f$re|{C(^ragUnDX34PI{X}!`-=8OUuAbV49N91Beq5kN^5xFFj-iF<-=s36? z$P_wm2Qt|+tHY8PALwJc4F*lns!=mY3=R^baK(h7*#wjJ@=DA}>=T;UNsORJOu}f- z5;(1On;Ti(lkn=clsTtU1dzF9R?|@Bqys-ex1Btt$m-&TfW5@ruD~N6Vc%3VGz5*^yO#aT|79P1O*QCt7b;C8mhNTND`3USRlT zFK^+x-RcesLgU0<#FaQg^B}8H6x)GJk>_@7x0-Oe9YT|<+76*rFtiOqtJBT42t7L9 zup5?|r?o9YkK!SAq19Zi?GQSrBlNaFZ<~^-EX}qEO=+=hpcD0)2guA(5zdTSJjRq` zm+@Gl?h^QSJ5`6PZBQ}f8Rd4fM*MV9L~%Fkv5tVZOJY?@!!NO#PpIE+>SGO136jh? zzB_D~AbN6Rb#-`Q{niUhcMsRl+x(8(<;&->T8XTlvonK1UhY$HT;Ptk!(qm@^Uxhf zIJ|{6N{7l5-*9*oZG^*S=03k2HWRO-O`3v%#lF$-O4@{mdrhx;3EA*k`px<~W=$_K z5>@D?qa8G)D7V%Av~1@jG{A8Z8o{v<8o{v<8o_Z98liC!8li#EjHZrsTItQy z0cYp45`30=hP3b*oP<9P7XavW`Xar|rG=Bt2a9oT=Xk3g&Xl+pp%)npT9B_6*6KQj2e`F0Q z2$BfRcsBqcosG{Ok&DkDA~&C*&ps!g0gjW;2#$@<2#$@<2#$--2#t%+2+hK0{t_^N zv-6qXQyD=LpD~@vYRDEs>r$O!O)~+Io6t&LLIWHpp%EM#p%I*g(41Yo^~gzZT!cnw zT!cnwZG;BP^B152&W+Ig<$MUz9-#SS?HaL#&%9=t0I2cV8ACA|YTw+yT-m(1L12KfJ6$?t+V1_CK3w{9E|Y`b)hM%KyWQaAXt&}SF_Q_Tpj7TzWoWC!Gg0U9*@yBz(;o&&})J8x4bWR|(d?SB62Ot)n0}cz%0f&d@ z0K~&{0OH{}0I~2Ka9DT_I2N9Bj?CK$6@_FhJO>;eo)bWA^y4p{6G$vP2Ot)n0}cz% z0f&d@0K~&{0OH{}0JZa+r??R~?L6mv31+1q3(t8H2=!$wJO>;eo)bWA^y4p{6G-hm z=gAiVh=u2X!@_gG;o&&|@$ejgcz6y#EIbDs7M=qR56_|OjD_cb!^3j|sEvO7@tid?fWyLbz_IY0r&s}%b}c-I#HRD}*!JrI z;I#1^GMmniy6iw^`tf+PA|wL+7;>nct_SQAfqx7@K5tfpNG(8J8lkiwR{&(*x|s;6 zO@HdmLfsGu)NRV!`@1Rv4w#z-r;WIgxA$}r0f9i=M%~6mgfjtIRSwK;1VZ@Z4~U1l zQB>GM-TtmtfYV0ZU6i|>=mbz3|M*Ke3Zxcg@W(#@VhK@!!@_gGv4p6e@=O4-gs7e} zX8>wrI_R7~p!N{e6Qe?)_7K$*&jE*r=TK|N!gIjk;W+`+MnC>|P9U-H9DrDO4md14 z2OJ)r0}v0-0f>j^0MyQNp7<0v?L6m+Pl3b3bErXN;W^;&@SFf@qaS}fCy-cp4nQnC z2OJij1CE8~JRQUVWZ^kafg^w{Jm(Lno#*`FwDX)NKE=j?=T6FM*SJW_SpF@F;{lFC zFjePz^4+6?R;{m@1kZcRcAi`5cGzA~v zAI$FX0YcMIWE*NF3Dzz~AOkOw#M;=qO^`tif?VA6+ZAhLsZPx8$`<0Z$Oq}%uFZ+0 zT|i#c_~A7{fH7D|2Wg%};%`xvC1_XHNswj?O^&157ELsbc0TxAIuhj6()!Yo;nJ!5 z=I_*&ox}JtsZRrZ1^j;J?mf>Nt-HE$;lkMqXT(bYsYb`N)s?QQwVku#&yfQZ_wGM< z@W}PYj)?yd(L|Vq;cLSz4Br}NVK~z;3&VScSs30j%);=FVHSorY+iTc#=I zuX)Yx%b#}n?C8(#oj*R4$;qJ4Pzo*e_)xQfAU*7Cd}_S|hlO3}ETI`()#1UwJpI0r zN$(fS{%rHl?2;~j-uSZgWJm;qhPAs8bo1I!;b3b+i{IG1CtZH`RccS_!LW!_L@-g% z>|yCvgntKTj&ZXGjCerPIm1bT*}2jO7!Z(NclKL`eToN`ed=OH28^tIpS&Q@J{iAu z7b`PLK|5vm79R8|Q-jfsQux47BhUj%5m_|KhZHeQEe$9|Ove!ef(OgXVj4iT)Xafh ztm1{u9GJ!V;y}2bpbDjC;~6%}$Hz`-$W1_m+(I8+K8A@tN>Zqx-=ZXy3W<@IG%PMG z2F(f!_r-HHqcx}$6-f~iqf{;<+)kBID-n9I?=m8-y}gkL#ZVbk{B%1X{0I@^$pcGk z!`lb*Z)jdQiRWfw=QF}3`kI1O1FVX}vuRcI)xuOC$MHJjzZEBE!>U;{H2vr&JB;RiUWsX)eJk(_qXDxp&w($4gydw=k(=sbsbQ>8Wsoh&6S37I&*0qsu9n9a+Xce7)_2pak0~tLTa)equ5|$Tg@wk0)XEkZumP9PxriD{{ zPo%buTG)l5w`vMjj#~D6iY>v)a=%UH4r+>=9|Pzla=e9{GtvCC=c;CM&IRH1qS52x z%FwU_)2wPH=V?|2Ih(1@Srv38JHAPxw`p`VM$kCBJ3GEhiI6Ba|K^S1+PWd{D01`8 zUrgoC&z)UcKQcVMhK!p~vF3#4v)V<1=<26Eiw znWpg}c-#OJF}Tw%=|$yEYqr3`qJ%4eRg0BFEgZ)9@QcG39~KT{d{{V)@nPXG#)pN& z7#|ikd#5fIG8w*MVN^2(Fc6fzx7xIGz&UBtSU8YPiSd_F8~_9as;+~!NB zTsn*{V>80&G8Q)L@|0U)*5xS|HtX_~3&WEbZUaD_&KY%;us=UMFUxKt6p+PK+odP$ zwPL_7zC=~ljV&&#x(sV~*^!2|MX|*A#gX>Av9@zA)v$Ixc8TG4?ZWgiyX;BB$1Gbf zr93PS-ZQA7hsD8t;T8w`ggy9wk8-`k3-jC`J62=YGIktX2U8Lxw zRW3&I6dLZQo2{rz9NcLCkvNSSet8!%H!L2|iXst57=HQGOi?vhJ@5b8BPpS>SJ2S1_rT>> z|GOf7IqgcAwL=|MvVgx^tR3=qQ|+xmQMzdOgrej^3rSG|EuOa>4!ivF2{IJFJd_rS zwdrf#Wx6cw^2;aoybyaXC@Y&cF5jh$)3khU3MhR1_7HwTY)tDX?Gmg^sEb%zJc}^; z|yOt``zj!ru^Mv?GQ1R*l)3RW`3(aMI&YpH`SVcU;V>OihHSi~dc`Q|5X z@?}TT{IcWF-u=xlzb{jZUs$?(cwqV5^6DM?L_Ka; z6P$O`aCK;kQe~2~8`-IP`L*?>)%Bw*D4QS74>b=k<_l#I+_=24Plw7PYs=S;OAq8H z9Ze&Mk+7dlF5#XtON%EK4_|-uHR6KXu)JA@4$Fh)f-HX~?5|hL2mbZ2+mM?BqYb${ zEH>owAZEkzGQjGs_p4zwM=c*pbJX&oG)FBD&AEGSSG159c@_`mW}{>w%kv74fT_t? zx6R*u>+wSe_8zIb&d7I6)Xq#jn3iDZVpo*O+-w{#q-YmYB174Og4)2SHv$iss)^v) z#+WWM09Gti4F?7EE9y*_%W!y+NF21v=hU3uTs9IhsuQ6)dAn zKaXG;op)IT%c(5^1S=QPvdr+Zb2cs);v#^0#2ICidBAeYFaa!DD6*;mLka#E#1$Ga zde)Cv^}*oyWXYy3hG*dK7`8Fz+(y-p2b%B8zr4s8 z6Qm^AS#ONr0!0A#j5rsbSy<+>cj$-559}B9s~qDWRhTtdRYWh9p7ZY5nQmc4z+^@ z9JGrSosKwm5#7vj0XqnugOGwHs>^3!qLNrC1=`p{Ur~Kya3irw+Cz!uC$-XoRTD52 z(MuY~jJ4^R!|$PTm!Ykhx-hH$#dKEjvWbnkFaq23EWUQJ4UB?B9?4XzSbzm}&~h8& zq)sU^fT_5iMY1HSQ;OjgB#9{*#-boeQahLM-z-UM7abl9<3L#4rd0hrrBh4bctBxk z1QIZ+QeZ48XN6U(M|dm4RgP2-mM_MXc%xm^b78^NqhQ>{qNb{#0Y2@bngSt7IQ%Zw zske}aO(j@u&_Sb9307#4u%AtAjD#gtSzjTAmuvI%=ZmP!a#iG6WUJ38PT~reItj*H z#aW68IDIbtW@Wbd-1^~_d9YtSbV{3RH4~xmcCvicSgZ46M_+$%@5znT)!~KpTScjn zywW~(cJ;U@9jxni-Z(d#MGIwKBvCXso8sOQGrP);!_!_Mutby2!y9S>T)a7)jS$i* z8dSLQP4k7a$i(;-+9byg*jo|g0g{4=pVRhhbLXa9zr1VlmV*bRHwv;o%wx2^p@u}> z96RpM6fdSAKWdUAl+Pk zQEt(cHn0T%DmvO9y(C+w3{=L7WhbkNc*N8ex=_cbTJ^#+wEu83KLX_*!U7&Olok_2@%QuDF%-D-FQ<1HSz<+Y0k zZ@z8sx#1})q7qDWVQpRP6sgkk+^!gemsClPs-X&;J;>93h}T#UDGUQ30*C~(S4hCJ zOu>b{oP-UKye-m%F~ovfO6GRu3$dWv5#M&((iiCht`E2_D(OT-HjU^{Fb>L^ES>7t1Iif_pF?l_&?V+&Yxdey>Ij{;s4*W Rx^i*iU#eHQxJL|U{C|A8sMi1h literal 69792 zcmeHw37lL-wfFR7o4Fw{bQVGoXd;0G$;{oCVa*C?l8MlQNWk-4cQ`OybyKdjQ_mb)U{P_!k(0ym_ zIaQ}lojQ9>X=rF@Ql^d7Rn2bIrYlS5b}q{fZS5-zeWWGTpfse%tvYE=XJKG)+9Tte z8d@5rHe|-myt&cBYYlG00{Y)#`fKIeZ-3}Rr`pQcRo&gW{=(#jhFvEdeB99=IA+Gd zZIjz))2~?c^*H*$iA^UrENxg%53}@F@x89CJ0@@3_}dc>esbr1$IRIA=0}d>@6lJ; zdjp0s*)W!$c|?Ux)!spZuw7uTAitr!)g07NRpZkZ_KCtQ+!OTlnJt z&pBs$KH|{V>O{qhi%(iH2YC65v8h^mu~0}pOJL*^eMhq#EVKB&uU&GiZKvq( zIydl_j@{PPw>6u|^=DRh6$(SgPrq`svO?K!N4Imfs;S+5y{4+p*3wG%z?Lo9e0Q#^ zZ`!!R&cR%FSKstQ6a^=PK3jEWYxtF6dQKa?O>0$WANBr?bFIs+|MZD&(}_*#Z4+n? zScUJ*IrtMZZ<#ye&h^jEnf86zLAe#f!qH~5Z%z|5<-n1MTcb%}3{+fP(GVWwew-%p# zcDnQdz#HaFx0G~u-{wwmlGaHdw&%|7)Y8*ds%^Rcp+Z~mXLv0$ce`_h{vkaX9ZArrj}0X^-Y4fp0}udqrK-M=ZYSo>pXCQNwOm?Pbm%dmdCE|`aPAB#ti-ZR~8 zd72h*#XNwbMc(no-_4fEcyc=}7m@kWcdsc9U=ChO;kB=h|Ao`?ae8eML2AUKgWmk1 z({d#}+MgaVrn=x2KU2|S_@~E#?;g0d44iYv_x}2b+cFV=t|4?Z%8x&bQT~u%&m`D1 zm*2EuF1v{rFQP}~L4AULYjVY)uA)b2dNfRz(Rj3rm{=rUHMR~iXmAk(%BAm^2-4j$ zQQ2={K6}>IY=8I8%uL9vS<2YCTQ_dZ=9L53S%#B=zGW8lcWvm)W@gTqrA#P(<_C|W z%+2;?7IyVR%qU})=L*>&Wjz1dM&FdtD|QZM(>FIN3Z!DIO8=P3s3mQ4l<~85&2&uH zuuYdgN$CpyZGz-y|FDPYQ)9iUDJe~ObLyz6&Hg``hMC;3XfFxobl-@=e!J-*r~N>` zK+pC2WPR1~uy@MixqFn-T%wX1Mx{nwR~j`{Y0_JDADw#qua2I(a^Xo;DBP%nP#(Q% zuzwhissro$<_TVVi+?h7a)Dye1H?+iTa1HJ?{nHECt&(aKepI&8hcXTz=p0q|Ju{% z%$S*@tbfoNP4rERoyQxtZmdd#>!0fJqyyZ`*PU8b%2o397vk`B)!lzq91e)ha1Zqy z<+dNQ5(N>Q`b;7 zvwTx-V?o(>er{W?C!3i!u(cl^1Epm#e5|>_zMbVK`|@|y4F64~_+1me>%wu-D+{wrqQsK zlq&w)q?S}f{z+3U1)ns{(sWfkkNkQ-W(srwG78%#mrj8#Te`P&cJ*xQBulik1l9gu zT#`mCivLd|o;RkyoPw%;UrL$C)#A*ut^(BM#f5y^5OnGpnUiz9o0PFdJ$WDQ7bP{2a!o(E#rH?jtFfEDt>bW1Nsza+$)}W=YqUtaNVa<;o~HwklQ5F>{7? z_IFpEOL3$aF;mO5%g?i*ZE9`s5)BsCuJhJ+<~nsLP_5OqJ=KIuP%~R$LYRgt0JY}r z@&R45PA8z66sX$jS)OYV)kA>(*I&=B0BXbmsymKnIpILR_x`>LpcV(ZUP4o~)wWzy zgSn)(x}N5kx-k2Nsm)gVK_Kb2-mcDkX9p~Tbtd3~%ZUu8mX?DzZ5V70*;JbDfcpgS zW6s+s1FxCs)1{*~EzQ7yc$)jp;9x?Hx8amEkfg-)VQ>0@yA{SJ3Txfw8v2AYNBJ_?Q`fwCPdX$#F@3E6p|(Al-!k7pVW(X8}H( zBQ;A(eHCNYZ4E~!;5)Wy1`huFUwTvq9~(-hYC>1mZN~!lm|m-A7`85+ZWn(5@O`Rg zQIgA*AqR&%ywq&amaQA25V-Wa-<8nZjXK;MTgR%x6J)fSrU$YJG;e+L5eaxtejux@ zhUHlX4&*SB%sTnu3X;UqX&ued9W5N_mTx{(0W_BOZJ4@hl3ry)skLm^69{qSPrgwB zl$~y_lp|mkx*DTy*z|w4>c1+07LQ>$N0<(DdK{S+EL8&kP8rUsPz1Ac$647?S^aEQ972qO@9a7Ms#dgh$Z$-ui>)E>8W&*OU>CMrkFguveTC(O&Lwc+3s3Em!FMBxZ@9L}nA~+7!xojqh0mQM18><8TEAne4(a7q zt7gLj(1g*ie*S|p;>%rEI$R!}gHUYfiQIJKwhC@9s^xN*ieovNjeSW{(XwvE2SRJU~~=8)>-asqdX zTGo>vJVORwm78*aZ$UAH=1Hf9Yq+Yoqtk7l{K04~ZMbI#{5fWL@&GD6hsTb`I9ql@W8 zfJb(dMiPy24ILxG(9fGZQL?PA8wDONZt`(kaB0{uPzC6hE#F@@dNs_MqDHSlC{TpJWI=LEWc-!Ky(SsG6FGX- zqre)C-h%ry;H!G^T>u~MAGD(sZ$xq}8{zyAt4DwF70Ku$eX}sxbnf`5YO+0e=ig+5 z*X6EdoK?1ClL`(i7N2xY6!^zH{E`g55$X6K!vXNIty~Khgb4rMfBT~hzAcx@YAb^1 znhw{s)k^WOK-BcVJD-<<*CTCC4BCYrLc@nf65#yRW2Nwo=mQZdIPENMK?KyOu4%uQ zFi*5w%P=iEvBJPV@Y=I7@)%J<6HYUod>}4-mWGE+1VQdRan&=D;Un%LJ8(&n0>f~r zW|;^u3($LCds;SnII$zlPZkL0B40-mg(x-KH$NqVj{+dMifq_O@1e!TOyGFYMflGw z1N;NSLi$k(K6aB$fifLp4HCi-rRH6~{Jw1TYLwVS#K}f3Q?o%r!VaD(PdxpY489vR zegoQwOR;9ahj%WZYJU8!M=u1$Ug10fwl4fyKE{o;P<%4)G}&*~W*e%tfW_xy)3?3L!Wr?x&Kbc75u zodOMp1Iokp6xa3FcYfXnw3x~gC5JVnYiZDMKoHn(o+#yCyXJNoL98f05k(YKNCGHm zo})RIxKqD!^=&fvkyfV)lNo7uln;TxS0K9Z-os_!b?Dx5Zp7w6W*C7-{u#W0f*|;O z<4v;B>rsvtRkeYEjoyUUSQPW8U37zl*FCu#3i=a-m;*6mAPWjP41v^w_h0@Q$>?>} ziV_4Wj2#%ABqggDCbwJ!@S##nixM-MN;!qZn5sc>Xo2*9K3obtGI7cAREkQGa-#WteGy|^_FT$t_Btt#-I$(y|B39I)r@<@7U7{3&)kTfzGxy8E>**Cz z&lX~gIw;bt={Qr7wjl1*3wo|G+V_V>(^u#i?CkE!=XWAOEJ_nFVR>tmA7Zv5bZi9N z=-(gsq>Lz9wBy4v4LVBAR@(moSL>fPTrFWj*MdBky8C$IH!UgqM_|I2@B4&A%Zu`= zz_7PLo3Q=!+O(@=q`~%=tEh3nhi*?V%D6-Vq>7vXN+*O0hKQp;n)8m`EgL;3FPFT! zXF_jh<_A&&;8+phKRDwG$-+hky>V`+#l%Gev0icXt3GwPZ1iT7?T68`s5Fcxs58Ng zjKL=^m5knsCXNj?hTCQ!en7*!^V63|z(;zDDXY_Ej0w_1_zI*y?@~Yy7i3Np>mX4~ z$EnBt_m*Lbw$n9=6h0KxI01m_aZSGxcFVmN`-OZd03bIk4UAncnl>g55s^4=s~5?L zgF{sgAEF)VH3S-q+kx{1;!l`#z6`z{B^68xYhwHqeK8DG6XCyn=`M*#bJJ&(dV^H2 zuArn{c+a80!*XNPQ*Y;X5W{#OE7>!a>-**Za0ie zvi=+>IHKg)a!o-3-igu@pmd_h9D5hGD-~jhqGzAyh9uzO)rwvL2bK+3NQY=fBqpG9 z&(7v07>qb8V0*~rWf)AXwjs`;cl%iq3`huzI=LX6i}I(6aK5uw20hX(Y9jnX`BX5E zA&C&-6n5Ct9RM#8Z&7MD@g#CVh<|j|a|3?Mo@IkF_(-6XO9-e@4n;HIJpiMk;zJn! zue|{oeASHzaY74(`w6ZyG)c3N&L(c)t!lqf4smW0L!1#S3(}a(ow(nGK=S>9{bb;AZpj4$)C8nbkVWEII+6;7!Oz(L3@P2CT$YAoK<&n+ za$)hPaE=P_KY#1#GWf``k`Et=;JV6o6{TA`;_#on_f#497)~=tOD;B5RKNw&I4?eY ztqi^u<&=xsw#qqcWbjQZ!n=ZV1WrYe-@*|_mX<*NJ3qL}r!B1ERe@1%V$fW3nXjxW z%^$mBxrE=5LnKdAMAXz_nc5*k{-5KQRSenX^%QdPQe+cSK#<{su#P~7)7CDP(HSZI zdt_2=dN;s_I?kiC8%oX~Zx*agDufd~Wa|$D@KBq_i83~!Y9k$<8Tg)VX(DB2yGvx0 zaib0nh;^iZfCC*8yl@f=lz%I|SO(vVGDJ+} zjOnL6Go|$PQG-Vmiu8EfYDJP9tYm@T4>3UK9TAjGg` zg7h?e+p+`xm2aGNglzbT&_$@hIHV}HPEipZxtyY;|M<%@B!^%WU*hn9{)o|IVGWd~ zh(hNf570yT63Jk4twT2~oUDxPO%+vbB40lD^TT|ypcsaVVs@;e1!hOC2g13YCa&jC z&puQ}@W>i=bQMM{gunw4iaP{Jqa7#(uSZ5gpxq(f4Nopo#e?SX^LHO2Avj9dBTaf+ zC8HIx0HtUSDqckA{~g)^5)6^Xg7z5onUO|d!Pb5ms0hr2Sy1avrY`2Ri#_f>yvmiwjLWX@7M%P=rpvHls;oQ7Rkh3Rq)g z#{^Phw!Jb*f&n!oa+!uThD?T3Kq;zjA^$+w1J^B?D4`K@L}IE6)kg4z*-M^q5;KrF1*>Y-91 z5Jf)U0sK&t$BTE4hlQx#>T8eyHzI<92wt#i)v%@!PcQh3&VquhRSr5)6l;Sb>7ZJ~>Z@l#E@siPAnX2+;BP zlM?Wn8lgj>HwbIS5>^HN|290P58JY9*V(Z=H)M}+#jL75^??HcG~Av-!_-R!~-bsVe~bg ze7FK=(K8k?|6=1T*FqBm4OOth{Lee<>s96->BIwf!7UrwmuKqD5Bi3>ro;*nCtA^V z46~1JJqbWVcQ>l@;hL6#ogM{f^#CCA3FYd_3A$YkA?P zy>xn!dG2DK)kWB+~M#gefjr+kkNwVfAVAUV`xDCsEIKnn)0RUlU~a3*g) z{(Q;sQ3D@&s6lB#?lCZc`H^lE@GuunKTm=I{b-^wpeGG=)FBL%Cn5Raa2zg~`X3dNjJ>2xaM4^;67*gCvDrYL2$F9Ga8 zI-M3p1`f3eMktaaEm7yJ4m48N0Z&tF2M-yerW2@BIlgd;L>?ke8B<_YYe450SVt_G z=i>{W6KzsXbn!Bpu!Iw88mNKN7R)QO`U@nSoZ57}-aersaWUqItFxwV;})e zi_vcauJbCsGC%O|-}Y(M=PTomPc)o}x1!ZN27c(OYpAq)bm7i}>C5Mt42LVciS>Of94G2sL2wE;nNf4lQS&SJV z*N&xpARz-~djf*XKlW-{_zX~99tVNR8@f^vO+e0{^0hxn5TN`jCihhFhxiwG=lU#kE>$n8raN0KC^VuqRJaR z{sr!hxdvC2(EMt0drWh!?vDZAmag@weuvBzjkx{vl1Ax0EAj7;SwX_3^dtWxMilv=FBYmAr{ArXir;{>FTxy_tZr>s~^yrg@@( zyE`8%j1Wc6sM?}VX@ozr5e8z_Yjto@WyQ5RjTrs7r|z+FhhTB^%#!QuRH>02FjT35 z0|pNmLN4y9hT*byfMJk1`W;H6Ez41Ff3}q_uIUp}gY)}pibaQ5cm459nL)9=~4rkIz zBL$)IA^|1kDyalCQhuDX+7AUMRZsc!?9P68tw66Talhhh5@7qo8}og$DX%%)r<>W; zsbW7q(2BT9jlbr9{n;@V?pF+%kEyfitfc7#Y9f_JGz)G-3(VNt@D1YylS!tvIb3W+ zD}qkjXKcmg>B~q0%_v8X9vmR zFtRZ2M3bP(w)k`shaJY%BwpvPyeX3x7Q@BP=s-U!wv&@A!DmkFFOvvvEw*g~d3D&f z#PbPO_O*fD^0qBeJ`g4VzgTopaqRWn^v05E`~n2axwsKpClK%?@PE=Vr%VjE;h0Dc zB-GKh$M`q)puhcd$HYa1TH8vyZK%I%bN1wHK5J?!y4P}X7AV`N`?2wFYz)>zZ8C;& z{md!esgRU83lC0};qpwzoH@1}V#g`A(-O!vcM@99h8{Ey-v?_6RiLys=^`EU_hz$w z-{N^tti3I+j@Yj}Dd_nR0(AKCuk-Vg$)-Tihzac^9NgD6w%QH>GZ%L@uaPeo@?uw$ zUYtN{9e?JS@*aUFldrUJO$uA+1Td!z{N0d{$TLbzRi*uU;^p`f`mTmE6+hn~$g6ac zPm1c9M_!aoOZC{a*vbd8Mw8;&{ql1LJFF|Dj736b9qka5Z&oIaCPnbmbJ6yassJkx za2Xj0|A22v2~TjUF5UM>#;__-R+36*N1!5?9Y;xa#+%+ZeF(~WF<6+L+Gvkgc^z3& z`aF0f+Vh2PbAt=v(`hXNR~3;tkxF2(m>>FzZ!TqGmGt948$=KbCrW~YcBAoS$tE%R ze&o71xT>NfWzqv>#=GGZVblfm{$z}>kYpu1qu>_B9zI2qyYx}=l&%B~w_ld-wn z-^6qz;YNt0sPs)3=>xuF>r4)%K|}l2lLosTa!8`Tpp7Y!-sE0f1Yr98T|BsjH+@ua#CDBYev%* z(f8uBtpcUU%r%n0P58baqeDu#8q86_V~99_Sg`};&V}?yV8|VL6gpspB7-SR4p3%E zh|NiX$4DgGcVDDSuazP5-5Dj4g{)j)^mNh z0v!U9PV1H1(b^&$m*Z2&RI%JXx!ymj$u`0rv0Q0poKfmQRc`M_8gmk*`Lpv{Wy{5- z9a8`T4Wp7eWM2O8K{67$KFJzLKLZKL*Oq`eu9$V8Pn{`S1JKR5aW35Ukdz-b{1%0- z;ryWU$uOsLAX5($p`cg-Z`r*+d08J8d}T!w^{-r&4f^8~>ISd3{@90L?K*x9?yy)0 zTmqbwUiyVk=;30)^$Br!iYqS!PKxT}DUV7}d0f~rmJamJOUlxdue)EDaDg8et^}&A zGfQd`9&z*SQe0drFts6&V2SOcCfh!b-+Y@sYz>sR4_s!9a)GXZNoO--M_x7?)hD|H zU5Aq5n!3GThRgLC?tx2elHxky6ImH9gPUwjp-19SWhrJ-MnABjQ}-+MCD|r+-b%{V zM<0X|oN(FZ@w1LuI@I>CqHB->`#FgT{m>td(@T*|mI4ahD$w#JDPexO%B_%Q?802G zh;C%cn^=iO%2bjN+KCSwJzS(DmTEDn$@ig{2a7#ON@9Xz?6I%SthNt9Dvm+SRmDyx z*L4EB-`II%H4G)YKhPmK>5jPZ2k(+`lg+I|CRGAg2qazj9q-y-f(tGZwl>^hB9?O` z#r3DRCsZ&QzdC*R$P}9~vUN|AA@R5D-?Z=}vlt;Ms%Anfzoc_H|D```vK`N*@fx;w z#JYo|xMoiJrp5~7if)Lq5))_znKWSX(O2)%Dja_Bovd5CeqAXbgE(l#4H8%pIDivG z&L5a?yJST)egZS@!mg>j(mN@YHk|%hJ{NAd^RiXWzHG_G=8%{R@^2E%Wx?C$%9h;a z+mZ`;v4ou+C<)Zp51)3rRub~iAeaI!_E2JCC4pePVCOp7_&u(Gv+*MtsyeJ`sk}iOHiRpCqq@_tIB5B;H!j*P(S+q zG|47`|DD_#EIu8$-8SjGHh=E`tsI{&9>ZKe4YZ$P!K4Ji*H`~KT0$~ZZ}?ZI@@_eX zDnX*cX}-Mhjp7MXArsK=-s_jBKI52+N)De(8sUD4q+Isaw-(8!;#5$rva?-MR2NS8 zVw;2&EPenKXH|I>RZ>vL3tZe~m9 z$9V9Li)E+`j*1`An3ccQC@HE`!?|q%=RK}9BIkXPuhHefSE-W}fvRn9t59bsMarmv zUs21H6#j0bvkH8h#$V(xt)i4EDg4uKUN2b-+u>1&;#ySp+enIP+QIi9&DNr#FSKy z=!FX}mmuPv*AYQ9rf|t2;WOf1wh=)zK7YOh5kDlC5eJlNfXdBUl5!fWv>S(*IW^Nr z7j>}8D%*DiGBiMD_&njb?ixkf2$q*_))`?`J_`d)@pyYlbc1CLb4M5zcOaB>*oq?h zk;P>46TXP4vRr(GG5J?YyoG9nQB|yz(3^RLQB|x|Wj}?H#Z*-LN_(oJuzZ9u`E*%N zyi00?QTdo6&|!ImQE{dy(hm+mG#rP-V{IKq7*hpLR5mdfSxmOi6hL>a%6r#F7?qDH z0^PPp7!_xV(n?iy_!wbKj3+{CN}t@;luAFo?VqToG*$DOQo>N%no`10+nQ3sP}`bP z!Vq3l3NI>a^oE8R=p3uHVqfA`IH=NUXsmDH!c0Z+Fd?}4*(%OQK2eL}9Q7$wB~Q(+qxJJDEm4J?T`6~gfN%<=Q zu2=ah;i_r*Ds#$J?bP%sDw_UJn?mpifRdJy^3lHSN)1=30r-NY6(-FifRc}{fcS{TMdh934HyE zY6)9Si)sl$O^a#?L7j?f300knY6(@nifRd0{fcS{TP=%f34XnbY6(}pifRd0y^3lH zS1pQa32wcLY6;hf7u6Ebnika(g8CHI5~kV~)e?qU7S$5`dKJ|Yu6h;K60VvS)e?d_ z71a`|dKJ|YuG$pU66Bf|)e?d_71a`|nika(f_fFz60VUhswJ59Dyk)1BVJTXKQq!qsA^eMOYrMdR7Qq!qsOnTyOQ`BpR7QYooh-y_-OR(!wR7;5JQdCQb>QYooi0V^ROPEHusJ0BU_C>WZP*s#_ z?mLOV~Ra0s*Qnagop?VzGG{l{6(3Y>&fBPV*0^;r*vc%b)0m{yv*_i%jPdY0VW>IU$AJ-T0ln^ z68vy=X6fo>C1@+M`7KII5!xwR2D3d~{oPqbnb$Yay*aa_YfHBBCgh3BbG`jteVJul zg=}W#;zGV{XqM7EzpK#29x3}5K`rR-+R%sJm@!LfAsm_cxuM}FY$O#=imxpi=<3PL z99%d{QC4+#=lTnonXCEduB|)R=LvX@&z-pe_H}=J9msU_&+guo8_cjrlNRhKWb-sg zLY(XGD&z+GmEtdM+{k|uVAJ>St2CaBb{~sY&0E~D99@2zHB_tejxQ-zp^Cp7mkcPQ zIyP=(A4V_fSh7IbciH@9t2*f;`%9VG-M6`OU~56^qz~J3XLo9u;3GUlM-HomV7UFu zsO9sq9KNPD!#~=SPkgLp2#GaBI8PxM8>}1OK6^&{ z7$z9gziW{nBWwj!Y#5)6e>0;=;yEDn|?A@zraB|V#ak*@-rcoB%aY?pU z(@0FRy_!a1lI^jkamKY*7d4IEy#{)PJ7TYa?l;i$dymbe$7UY4v+Y&RiXtuEv6)}D z_t;E$2ISZ6JvI}QY>$u4VFNv%zE1S?j-Rb-xGBRmY?C*zhjZI!qK{ge9a5T>tysa` z+1znF>10i}7N2}}W@zUS^J@?0`ZI7-mps^}qUct+LHZaLFR0o(z3hPw{?t{2&(Pp~ z_zl5_T_)?W#LFs3=9rS zRSm3O`=9$v+pRhb3?fdgMnGAHt6*}e#qDKBZNFLo1)Ppr zg4k27Kn?iLUBQA na%Ng)a$-qle%_*Uj8G#!ox?ODGq0eu1fLNsATuV%Gi3k(J0NK$ delta 268 zcmaFKdYpAad%fPfFuS8Xr#>IIn99ol1W$$9zP+*CD$KwTlms?sb diff --git a/projet-vga.runs/impl_1/VGA_top_route_status.pb b/projet-vga.runs/impl_1/VGA_top_route_status.pb index 5f693fab72628b96c7ea59fd373e243b1cb8f13e..0c7ed713561da891d4ecd999c6031e1d4e8691cc 100644 GIT binary patch delta 10 RcmdPVnV`C0{{*y0&xHU diff --git a/projet-vga.runs/impl_1/VGA_top_route_status.rpt b/projet-vga.runs/impl_1/VGA_top_route_status.rpt index d720e8e..66b8371 100644 --- a/projet-vga.runs/impl_1/VGA_top_route_status.rpt +++ b/projet-vga.runs/impl_1/VGA_top_route_status.rpt @@ -1,11 +1,11 @@ Design Route Status : # nets : ------------------------------------------- : ----------- : - # of logical nets.......................... : 294 : - # of nets not needing routing.......... : 138 : - # of internally routed nets........ : 138 : - # of routable nets..................... : 156 : - # of fully routed nets............. : 156 : + # of logical nets.......................... : 3111 : + # of nets not needing routing.......... : 1185 : + # of internally routed nets........ : 1185 : + # of routable nets..................... : 1926 : + # of fully routed nets............. : 1926 : # of nets with routing errors.......... : 0 : ------------------------------------------- : ----------- : diff --git a/projet-vga.runs/impl_1/VGA_top_routed.dcp b/projet-vga.runs/impl_1/VGA_top_routed.dcp index f117c8fbf3f9466e84906490595025af65cb20f7..d2116314c09f3979c0f48c3b8a9975a2bc3b93e0 100644 GIT binary patch literal 1380207 zcmd?RcT`i)w>~U_pwd*7qBKP*D!rEgf`Up3ML>E7=^cbnML-1s1qnSA>AjZ#5s(_H zfRxaC?}QQ(-h<_Pe|O#Y`tiHgegC-cZ!I1&XJ*f{_v}5hXE-?rB{_V8t7p!fIe#YG z==L+qsg-Ov-kCEz=gyoV0%}c+?KxiBSbvICwu|Gs8UTap8*Y_?BF{eQ`}|Y|kD2t! zg*TG1pquz+&zY$Gp0s&mKL+I9mH)Kwu^|lGs45qO*pkr*O&8zdgAo_AUg!?4mj^rS zmL%OaH`uRWAvREO)ap9-ys2;&BnSZ(0bstI*ebtl&NR$f6N4Gtx6y8&$+4dsWrGX1D3) zfe8Irz-uq7v`mw{{I~QzPl~UaO2~Y49#ov|oep$Y#+M1UqWO3+fJWU)MnO9#VwSdQ z;E6_nF0W{>MVGykXwq74QpC-up6$(|y4y5_<5@x)I*mpB8x1WH_|u;=+Bu@1Pv4Tx z*s--({SYigA7)~Dlq7Ep@swRlrl-!f+IvC$Y50lt^qaW8o5xVW9_xXCF&e3N?a{Cj z-K@~wgp{Yseuu||d{AA{Pzv##E_(%}_l5Gr0vD%exnxaDEavC(z>F60TMp_w!*&ZeSnWF{HGsHlA)ny;(JKNcFn3|Za)}A<_WTMz{7~HkHCxsC; zv4VIvCR(!+@=|Vp>($oYZhy*i_<6pFR{Ke*v9@>dM{8HBlYR2*1b2LEs1^iz>gWu5 z-riT9UYmaaTZdHR(R#XK=jVe`3t$|A`xOkhrNu>F%n@`29wu0^5!RvXeATNCvsSXA zpFg2qaN>&9yOJuvI@t>F!A2QajqQOyJ2R=a@=IWb#;ex192!8S=gF*3k5~zyw5wBr(WI0 z-WuF(aAPAZk@m!M2aU@-z%b-{A00049_{riyP8Z(wCqU49WNdtbC_=I2eCZs-$&44 zrz8-Z-k!!MxMBDTM&rc2R2)+NF7#cPkJ}EeC6$H62REG))+Bo{J@PR41-HJBg9qlw zTpQWK>0RfIMHEcvA?grtFQ1(g?>gKxPGS3z-=swTMvh&lgij6jaD8vDrL$$f0OyT; z`Zysl=gS3DXN z;V6_WB3Fe6us7O0XK32y(Y|+nyc{hYP_o6>!@5c{JIJt5h z_M11g(2r;6!4h-Dr!sJE^%>$8hyC!1T!IaE*S8bSBioeo=e@ifw=a?2r>w#39;{lr3@_fFPk_}{!y#%N`oLV%uZ4?? z)ILwtqXn@cyr{ZywAi(_1=*s*8aag)RBR|_a5v?EkJDOrj(nmC)&9}eg>GZHSYkKJ z%EfWp$lcv_Z>={Xhyj7D*y|MScXO{61J&No7V+5LoN?Q+@gjcW#R*4O+gMlG>?}T6 zYjP`bMjhX`Dg~2!rhJ>R<6yX#r&p<_wS`-);ePxDazpyH`$mb+JxR)wcjH=~&b`|E z!>;9GpnH{O2nS=y=e=9yDKq2Ou1PO;Z2MsFJAEwFTHx8M*!LLc2bw zgmP>asG@FQopc)u%@$O20l@!&~)APo# zVSG3{u?;2v( zA-Dnk!9$i+&^1f-4fo;gD9VE!ADshr|E_tMv$+Xua}RXEY^#+v!#~y%as^~Hf{Mue zZbG*&7zGQW~8dmAyG z`2A}TXt$8rbuq^OuBFv9y{3nkhw#S|G8>kwuGj%!#vNQeI#Qi49M_CL9O{O_#Pnl2 zw|7^-2UEc@ZeBW`FYp4#wC|YTY2UA_seZX-G_a_OTQgr!wBy8m6Z*Wian*Y^_#^8# zxAuEBxi?S~Yz3u7J=Q)MHQyc{cQuDTT@mH27v1mEXB(?D>F9Uxa6ihMwCUzh#3_6& zrs4#1cHM}e+-d%}YW_-0>zhu}0CN9=z>V@ovpo`o)5(GDxp8$)Gn9XPg=ym0P4N%s z`9B;CojgRParDhNU(1*{uhJe2P3b+0CYk8$1*(nYj};D*FF!b5+O zlXIn%XnFl8NH%4d$;L++SGKH=Im&3}PlNf4Pkxh5m`k&_PMFhASh-I&NFKN0gG)}E zUfWBu;qMDVA50g-<=EM1jpFCAh>eY=tYg~Gh7XlJ-qF@mg6WJKA^{#N= zn}@!~Dj>JAHkh1+o+5Yp?79>S>O5a-GqQ*Fom7aJesB>kox7VI{ZODA;o(}QDG}H_ z4ExqfNqaPnOjF~Bw=RNB;~6(|pyd?r5??Am4%o1>19mhIpWR{df^qK}v#<_V{t9>H zjv^|4o{n?xDRbcdLTy3p;+CbtAk5LK^PK1F@*;g*FSzg_`s=r~B11#_pj7Q<7qQAm ztGQ<}LIP%7Numv!vad_%&%eJX)kl=P^rmN5k{W(11j862dMgCNC@(NDm)YeID$Bg& zWb*O!!@)#F!kFN!98dxQt*cp1K1h*MvvxbKa-YFd&TDkO6^RaYG zCUU@I$EPblUmaQ^1MSv=A9H8B&fE*ROOP{$H&*LY>xuq2XxS7;d`)L&s%^REh275F za3AM`9{+WBYEHCq5w`{snNy%=U8gyM%y?as_ULHGoN7*`K2-^VlEEf4(R07TXBc-}02Y@xT9vT18`no<@oRHH^29l11Kj&DXgAWfiGxyd zLs%`mT$E^l5-}#Tq4r>;;w(f8u5r#`;^Jz|q29HQBlSyF8ik;~>FXx4g~lC?EFjU? zy`|L>y=THJO%Ww}w}FrF61`oam4^2oC@dK&cfuiVPs|=0I+{C?T(Upx5>~#S?;KXX zpaqw8i|$9NriF5Y<1T}{!AtvU=FjP@CKByk$VL);Tu5^jTSNjsnVXw(m%*$gRBjol zQ{eHwJQnl$@5$ingsj47NU6Cda}obbol~Z>{u+^nV;PzKgZVa{)RHY+B&Nyg`AaK0(*qO@EXhIZ> zj~^e5i%?;k=o7ZdH*Cl4Yz0pYvAgRy6bKnl_wbnV&_oo;pPL8|ib>+4Zku6CEt?5Gcf)#c+mBhgjT-U0%;Jtl@iUT{J z+B#HC6?V^S&3{bSrzYR{B?NP{F=$8jU~IzsU~>}_1h!%+MqGWju~ag^0A4kXi(3G5 zB6r^T2Rtt3Ekq^d}QVnV}q87`=e_U%O zb+7_%65GOdGn{O6#+}&GADsjK3CP5bNhOXZFI)`RwU_ zRqNbdTMeCquehmw4}JPU1%lOerww43b#p$yKeYYkg?fWUd>+y=1Mwu<_6La+;=h=kn=vCEbD|b+ z$}@Qs8QCy){iRSBJHJG1Nrf7ip|vk~F1_^3NT=4ST6zXmOM_c}mtf9xrA~fS#xznn zC)$pqJ70a85&4QLE>j>Njt|oaM&;DPsa#zPM&j5iG~iO2X|>O*Y9ChBjzqXT8_5C5 z%BIzhFgcwTZvn*sM~K`UI`k7tRN1z`72)?3QkL`K*@(7Pc}6@gvIOS1tqPnAvcSSS zY%Jn)H5u{f$dW|IZ>nAH?QCG-88#NNHBSwnz#JK;>Ocg(ZdC}CkjsNDtYB+y5{{NIS8uO%DnTJ0YoM@^(3E@H-hCfSPlj44xc!EAL@EH}=+;iX2^o=3s_Kutt-zZh|fQ<%4(X$Z2+TZwcju|6YgA zCeFAQqpzo%pJ=P6lc;+YHiJ5PFp7J7VgmV4hX3|fLESPyLiuZ=h!@Eg~4u|Sm!Z4 zQ~m_P-4pRP7W`iP{NVw5ey>dX9x7ahmsg;H=8Y(s8+8|J`|WaL{BIt%-0M%()A1~+E(P=4H|srmp_{F&5!JDwXtBU}VoR`#lkqmSBS$7^fHbttxV5VE-&op$?j*w3 zdVu8@2XI|{p(r?^KrgL$jt^K_%wab(&c*_>JfbCB6|WPR-2i!(@4WNQ*ev(#Gs)AH z4(Pp`Bs}K%zmKM?>!xXBi9>7MVfQRI;M(DL%wfqMt+U+D67D2xvTthLf$?*{<9U0y zLv1uexWZwgH5%}Zk{8CfE@k5?8v*ZWRL1UFIyzGQ@I3qbHr>{$B=oZierC6y;Tee@ z4TzVsg0wGzg)wX_5_6*&@kf!oFvn@tE=aotSa^kv1vD3*5x*VDo9Nik*X0%g|Lthq z-;U<^}FqX|+Vs17g9uuhwbDURI^lWzr3$L-6gXTVG#P39^COS5&D!v>!wEh3} z=Jw%SRzJOO|Qg_2GBQ< zlR|(LwF(NzjRRkTBjmr4gXGCfZm^J>wgb);tdS%FfrhT@{~5cOnRPS;xRB< z3Uk>=JF!jgaY%~1QABNz^g*&AIIdP{bb6lRs~)S}p(Idwx?wmvp86ZuszL962ZjH4 zP*A5q`S&)A|0_H{_Ftycg7tM^!+->?VsP?ksfb!&!ze!8Fwnq;QG2>!M41==+%QZy ze{L9NoRQ^~hXh4bu@#<4ZF-ASncg14!oaLN%}t5TfQHlDgt-oIIXzg*TDJkXy3)!( zbGsSw*vJT&8(m)y0ruRZZ${GnQm$%B1PppfnJkCq- zty+{&wQG-hz8V7d4e=fzK&P8`eEhG31pbkbfCb|9uLUA-xd(!4_}At=Ok7rV_+p6o+Iw-6BOeZN zgRQC5&fbU(9GVjaI~hpdKB=sA_ra!rA2MgK-B@2^Im$hA=;iR(noQePb-4FF_IQhR zNN|npYwngGe85nw|1u<#X-i4gUy5#}Rjj`^9#lQh8=ns!D6-FFGF-NwqSgo^ZmAT} z5o#WoYT(W%qY8C!sk9HtX$&ydq2r*P0Ysj6^#6BF|G9VL-^ksZe4Z>^;bMQN{)PK_ zkgste8D5J4-PzE35dvC&m-9k|E0^p^zTcdr&OJ4u&gE|`q=wq*{$T+BVdb3m|I7IP zqxq)`z7+M9%q3=f40|Gg7G>R(L?+$-xrs)&4`(` zzETSO5zSG;6zs;tRDXtC*F)q`!=pdrBX=)Px&1!@^W-mtM5X^i2qN|uLU8_n7V3z8 zL8D#yPpAd`lh9@D$~@3z%}RsI8UWM)r~*(100y7{Kn}o@N;(km>$LDEychcifz!@d z(Z3L~`5U1zk-uP4_#2^G;lE&F{~MtMp?_j}{Bfo)%F6DhoL1^h1pr_G$^cXWr~%Lb zpsAJm$l9==_F7TEd&)l`sweiZj^(Z3Oz`x~M4zY*I18=*7* zF#J>3pimKi_E9h;AT&bpA%K|D0e~_9ZUC17Y`rF?>;+H+;KOTFFU5x+h0}}w4ye|@ z5kmZpQ2E~o<^7G&=f4q(`x~K;ezQKN;KZ1Zn<=^nOpvq z14)1ZZ6h6ZOE_UOx3!-Gi2`sL#xosFX0hVP{5h{8CcHe=dh^o{kB*QMbGyi3FF1c9JDS_3032n^`!29UJ?WOV=;BnGI^2P({C zsMEo2cTeI5wgm5?w@uQWOzY)2k*{Lbqt5YSIf%{9GZLFc17N*LY*u;mbVb6&G#_5! zc>ZUsZ=3v6t_IqX5sz+9z4`|zhQ58=a4z`INVxb<>jAJ_bnDKo3sm?247XUhKM#$g z^2x`M?lJ}mh>sWWSjN~MMq?vC4ClUcRGw*GF6^;AHi0w6>>$M1EKkBvar=XIBjRn_ zySt9=?v{um7}2Jp$~gnr=Zjw+Jh|rk_T$|%40qJds6WjfF8vy)uP1S(uP9S5+s?l}yKKu$;vz^9SRHpkdaUzx^14}r zk{hpKCOn3#e@vdbZw!9H2oj;!Veuk;FrZg{fjE_h?0hQCT>vrwi~x88h&>N%q1-y9 zZ`iH>0o)m)53LPPH{3sfJN_``pQu9Efir3n`*K;3uz+)HVn&(-fRZO*l_p~hFtT+H30L%cc z10Vx%767_5fTuqz$T62f=@s#{Kfp#M1?{xfvMrUBv5;}2&sDfTS?%>}zER;f^vP%I z9R6FpVtXUn%a}w!b@vZg<~vtgS6k}^@xZ!b(db6A?*a@7VsPtnx6=n-T@I~xJl)IK zyvJ8ZU^e%#TLaj=`6F!lu^u+x@=f#i96Y8MzR}9VNl}gHxsK1>h~t9q#shS379YD5 z=sCtKCSps zF&7K@Y_`e8kbFE&i##jVA!fPtIbn;dgu*}Q@ci8VyyvYijq>x9;O{i#B_xJF1Ubel zht--*t_HJggapNG(TH^bj2n0O@fex&G$nVse51>5;J-OMuPxcddp1IwJ?W9Tq&&Up z8Eytc;#T*k23I_*%7aCijG}5tZq4&rUls;m4C!FKT*kbk{xC$`@Dex7F!-?;yXV2) zI=aydB`aww?={S|;&avn1hP8|*W6uLv@4qc<;#h?Wm!@HPjvO``A}i= zyaGy4ngu@bG;ZGmdZ`s%xZE4F$jq1-SKfT)O9@TibI4@q)P$zCB^25V#{#zvWFvAK zr(V2kx$VN$IbP34N5#q|{ubaUOFy7C4cEt$WNp%V2+5XloF zlF_++GV0hXEtjRMgKn<*`oUR8@%*2^Q=%>gk!S+VY3)FBNjOuWC%N=E9=;o19zJ1F za~fC2K39jR!DN293213lNB8-ZI2YtVG5@z|MP zKbmP-35${opzk0SKG=j4!V2kg2h}b%csxqDdJ}3#U4_U=!D|RO2C~*8NNZ_0};ET7VIf+%vn*Rh>!0fnW8-Uhx4bwR$(7f%9cPor{isQ}O=e z}lUfAb5_fU;$OV6D(`{6!3f2ZwS-@Jg{J`~L9^sCtJ?^z|_J~^Rn1jx!4z@1;)DOFDQEhtV^2cU!L?mM+ zro~Wp3dHa?Jn4Pwm{n8cSG#iOFFhb_X2>wlRvbGWmvi^OE52k{o*PCKWF50^itM*5 zH_3+y3thK>{l5M|pAOLP{i0t2tik@WrW(qw`rDeH-Tzt~6i?#mtGw`0y1WBjZ2FyD z+cAbv2c$~W59%`kw5>$3SIN)#CPUKOem6G*gO-n2GezcVm(TLN2V54zj6z0fR#>;0 zUI(1Rt56PQFR>l|h~y)qt~QUg=F~PDE4~A@pas0bH>_9QQEe*6(I;fEm}s%xD6iTw z8E&y%D<6UBlDSb1Tkb70XekUu6=j^*qMHvcNEX$>Co3tl|{#h~jo6T=-p;cPW2h6=^x}YXB$- ztBzc6T&1dL=E|A>PAzj%+RPvS%_aYnAgt`p{^4dsPE_os&(A5{bLXb%LdJD`JuqwJPTwa z86F(CiFfS{VF+*&Pmb|=;rWO7INujvXfKfp;iuqfyV{jde8wm=l`T3NC!pGG#s+liTqYYh``H zeRMNbI~%?wKz|270UA(n6)^WDpdefmIEj~jz9?x0C_oLgPNv}HNb)gWeM6`NI9&#~ za|$?p8*uuKOQfv7oX(rPqb3HBw+923-{W)*S;(Kpiw7)zb1&;`p@z!2q(Z=AV}P&& z(3lEn)B+qdnlD30Mfx1LHJkkN)+`^O-~r?HaNySLxbF)|noFcZ#gMp!3ke11a?e2r z?FRHjbrEI8Vs7k=levI}IsjoUKo|fJ(gTDhz!0bbkN0S)oSSsz=c#=0Ec6t4q);#T_U9hCcirnY|=M`k$Ann?C^@=s=}Q$W-~~E%Z#mf-A9_2leHqp;$P5Wbatyy4U(M}{8!i|g%Pz>#HO%8{>1gf`U7 zNm7Wupb)=a7qeo2zv?b6neZasFzt#?1a#WHBpjL z3mzhp1aO2V$zGocr9aZ5n2ylaAB88qKx!MDTvuXTzi}=870eku{Ia8q}~58BaJ~y8q;%i*J)(CRwt4 zMNm1Mwp6x+gJ`XH_b!ocD6>WovUhgbUMMzUE(sLvBK(3p9W1=~YoO-9rL->DNaLg` z*{jnbYLaP@05aSMk^X=&$Opm697ODdFvlJ|X+V~{e=eyB5Cx37PsAQrO8bWd2oV1U?Pz|Vk*7A%|=)w#@^A(_>Ii=wNXc|vxfDY;{0L`aU z8g78b^pu7NpkV`Oo}JRr^${rFjbXl66qRN0^2vyoBRb?N&)(;?+a_j2QfBiCt-c9$ z%$a&pX0D7TX5+6zDBg+xNBPbFH|1t#cw_vUT&qz1Vnhiko5}KF*OS(0{F}x#7ibD) z2M7k_eqXU%^?Ds5e2J$yF5Ka4uk637Fa1wdE4T&;qoCmquXQ1YQFH0;kVQev%V5ZF{7DH;w5)4|4SS& z@!u2=`9FKGMbMv*>HihH-{PlU`ZvV|hUw<5^#RAr zz~U1=NTrLHC%l#iW-Je2jF3G4X_$un!rOrP^CL_@TYefqcRr@$mjNb#y*t0c^jrMY z-hWU0KZR)l2opIm%gqR2&Wr+chRjt#srI$JH=~K;={$MF@Vn*5JedUy*#Bj~Az<%s zhy4}@rqwT^zZEx*7&Gib-!X|d*linjQfcj{nY(Q=53KBUh1N40Wj|Inz&`(bW&bXp zuI&F0%Kx#lzX)S?e6nkkGuMGX?)S#9cCu7ZbFd&ZXK&u~(9>mixCH}`+n1mR9UkBY zCJH$9Ya!n z+qeqyL0PcI0`OdS#NEjfecODWyxPvX`xCBp)gG?s?d7SyNYe42FEck1-6#LnYhJLv zu7^eU7;=Us)*N%-oQChYZq`**!M(kFoG`e>AMZDVzE|cM25oHg&eR|5WdN^h1Ml%{ zos8EUZZNN(6zO_lRxoD|C@@rLd+ZfD+FJsfTP#FhZO^$~`__w>!0*>3bHVxhYVY>kbJ-=m&_X@qRNAz13aeRm{m`hI^b7qVS2Fpr zdGEjd5J*;T6Oy&Cm>pg1a|l%l&J}oygr*Y23dmX!PFj`r}}Dbd>vu^!T&?>PEU<6z++6876g4B$_pJ)%so~2 zqD}io+8k2(tS;YgdXsJ;*{rqy+*t`j(EEeUC30PN&&VcP79h)L5WqOcr}p2QBT1wuU+r!0**5t?chDkS8xAc@;X zXFAC}dPHoyy1u>Z)C8pt#e<@=^qkbcey#3gqnAg;H`I^DRVjug#(P&}Qre-TZW>|` zsmYxkP3T!I8x9VAGEa_lzmW$pfkD0{R=<(UANdFQhJk{6*-u*pK;JX9>uB#N!QVWI zwTd-~lw7-&{2~jA$syux!Ext{&Ee9CS@XjBniM}grttG)hPmfQSbmBs z#7Uk`Bg<=2Gv%L$A9J1*Urcr|Z+Y&g70|PJj^>_H&ZX;acp=v^)y|WagcO}E1Dcx- zel&BbQ~zkzpb9%}ejm5la$CJUK%og@Oez<_qS%L!Xu2W*2=j z&=0eGE-n?v|6b6XsaCk>menY)Mh&fLn3l2%YUdqvajQ*ey7T(nr z^k%W(Tnb{GOK_i*;G?d%9`Hu*PTX#Zpv26BMo&3-DjI#%IX``nv)7#e(v_3!yNC_L zl$-N`YZ3=%BIm@9x6q0%U5SfR(9^5mIzVku`s8@u3mV2e-tftcJd)2^mKCXDg=1%p ztmzU*DC~n;T$zc6u|oppX~C&1RJ&Rwd3$)Y)0%a)L53%+R0xI}apg)hg>j7u$$pKU zS1iqn5uX}&`8_RRHaWR#55U{=M5R7Q92}TNoP~9x4V=E5;(2*@!<9ocJ=xE@ykM&T!FQdc&4D)w~Z)XDlp z$KK7a$*><*O&9I?#(WZACK%b~G=44DJwK-}Lok@WS`+_`J?28~M<_46b42rvk=zifs^HEh$UiV7K@znnHsr5d zi!WNIoFEg2f^DoAR3K>3*CZkKz&}NZ!Y6X>aTvG|)#z6RD&xs^(0l-*j=I)A71}PH zNj?n{j(1{cf@5b49yqD?8ox^@fie#vLU@`eQ^rE)8gq?LxQ6oQ>*?e9hWzN(pWt^` zK^oviYMYN&x52w*y{TUix?Fc4(D0~(3OQy>hGCdB9`0rRbcN4#Zrs@LC+VXN#k^zu zLZ6L~Uqmnw%KP2{Fp>IaQw+y6>FUSuwda9YH0O-@$vCB6?fydQI(GR!UYV78!d>e9 z@?l$0VZk9!d2bH>wW zBKxgZC0rwKOs_W)>WKz3rF*@V&{PuDyo1{YGc_YjC5BJ5m!VjR5kuG~@WrXXTI@=6 zkXM(LE+(V5Pkb28UL`(*x|h@(jEF_MmzkgN86BfkTW7WGAXln%R^Ho>K+Y&CLdb_& z#MbW6z?+nD7GFyX0zrt@veN-Oj&x^f7R2wZ={E~4x)ru@Bc#RWZ%UNxD>5(^uu9mb zsZPVXGa)*1$8)e36JNQfGMYUDCdiIH%yctR`W$9#&16J+2Ta_>ewYCtM(X1%Ru6V) zAdjREGm2)ws+Ruds1r1Jrls3<^OMhYkT~!@$i=04*q9W{$GK-HSVVhn*SaOO$ZTx$2q^|eY1F@0 z3beIbHgDcVH{-V6FVVgDI4;^_oVxFd7I${b?Hr$ReCbKhnVV6O)KZZ+?#H}Tiw_d; zurs&AFzTG$bw!t>MvMu@1R!DdOfQLIKkt+68Z2Rde)-3_#A4^&;BR*5itf6E#q>t_ zoY+#@fR8%Nq{SQ|Z}e<59k4~8+ZuCTQOz_Q?gR-ItQT-XyTSTni0Z;XUku`~>lu_M z&QK4jgNHRMyALlu5bv+A9dy}SxHFhIuUAKlzVO_Zwd=aHgFtce!J9rl``F&;kQ+iX zz0>m7g(B)_M&o=}vwLi{1sM)N3~hXvq4yT4H~Q+`m=YAN!CfgWb)$9k=2C6fL9y?1 zWf>giN#hS+ICR|*TJUc=+-x*2unQjA-)CUB$7zXqd9sXMSxE)HbCrc*9i6Z%V|(PX z!2jx*827v%+PSh)VYkNFj;O0W#H|mE*`fEqcD4cx>$c-#qZ7xD*sY!J6^2UX1+)2D zbtCq=J-HV;($8t`M1oxnB)*gs@C&l(alUIpJEP7K5YwgV4*fl@hb=elL^5ps|(a_S3+r;L!1!>`t0P z;21>G{OYRw1=mRSlt(5`=P0(@47%BdoCqMT__47KlO*#`-piC3rJS+sS8_*wsWClj z!FA+f=xdMU#`uCUr@}lVJ!#kITa3=$eYelo6Xhda~s_SH*ta8m*)*c?{Gh{Wp^b)@x967 zqif__3mRjV6jZ&pNS&V)6b$`#o4fzE_a=S8-j<5d?Led3OJRd%bB{c)h)S=+%ji^5 z1V!Hk>W^=epNaXVR}_Vg&C^(q!}q$Wy2BTr4l>Qie5_b58xI0qp7x3b@a^;Fs_x%W zv#Ys^P;K3qnoj$!!lolfO2v7ncx z7N42@fY6K9$ii}SQW=t%k?r=$C@90;Wdk|h)P7mQffoBMmF>nv>Th3e7!X5Kcuh(& z(zdF@8CIqlFk_Tnn~`K~$z)r6J}xLkD5Yj3+E;#!aMoV%3&pH0W)N`8_PxQ6`eWz< zj8yt86tue*j_okQD|d8y3Yk-axNFI})M=$`G~CMA)gap&oHSGoo~O-^1(7cD=`VDJ zLnz`q`nZ%KuV^1yEJSX1ByaB$xL4^AL^rc;#Yk^0S%?vdGCgwPTQvtKt+B-nTln_z z0L)!wrRL#%_D)Yfb$ojE#J6lVUgeHc=F`An=tm|eR@vi8(n8lnRS}c?H0f$~1`L_u z)1Zzr=f@Ru#`>)$9VDuJV7E~yCumAJwH!Ml_m<*y9(ClMK$@XsGZr2 zyre04bNY$TIf?{gOD5~&vdfeYeb`w+#nc7#no1sD$J=<-Ya;12B|+0fc4x3q7$)8Htp?M=pG-PuK8%PA6%v%yCPmQ|5N`Wb9>8UBZd_mAAtW2&i1{WYj|) zj|^SU;7RH78*G~5H#W¡ktKt6uvRd8(Pl-QcZczcSq?(srmqKAL_!}H@On|yZX zlw&@3d==@Vm}RUEo)@@X!P5G`8Jg_;#t-oF>NQC>n(2PkExFfjNp3>d+e3N{c$@1R z(n=`>3~BG{JoPZxX-aNLOTR0m>MnA^Q!!V0V2AOCKhm9e8_Z+CH{|P3=%tVqMx7m2 z-*Bgjv<>vUvR~i~TKlxGvjMybpn6E;s=Zq(Lo#>9hPCgJzXBDgUH~~0(RzR3s4xpl z&p*@TV)TA9y29sfYyavw7EZ9#?bz;MTfOTTQ=jid;0u>mWftGgD>J4#Nyl8d&ac{V z){&BZwFx2L%HpqJ^ENZ)irI%+9zS;si@!XXolqUt`t3trubN1aCrKh(d@xg_P0>QC zwL{=U9|m2Psw!tT@`A4jSCk z1xL7r^J_fKD!!V{1HNktUlSEnyU;T;o14y`cTU?|DY1bNauIUNBYaNw>4lPKa?$5B zwN(|{2}Ri;x7^ZHcd7KQR@^KDDZCiSwyG)>Z!< zK-)zn6e-i`Al#ZA;iw}p>6 zrG@}yBQ$~N5XW`XX6r(l5|&p)!$9?0QUPW(U)s5eN2w(5NCjBY4|fgVFCw25Df6n6D-P5d1Znvy2M!S4P=~yt zv&NH&QNO|8x6DY))m}erKx7UE(FIg{#7dDqfT*yc%%k&=C#!Bi;(V;i>qu6<{5d54 zee4IPaJ7Y3Ds04eK3y?bdqg7~w{>0kMtke<3mV~|FLHNYSkJBkS;X!}d(&`cl@L*p zd$JDDE!gRN9Fq%&Izfgx9z zkcW=+pY%~ad-bYDvu?I_bnL~fYj`nNm=I2omAddJq0%2AuSOMo_5$RWE?Zw;F{dO> zLS*LLH1-sp?b)Mp@p=%#$+h`)mGLO}mcVRTfv4%M5NdBZJS$NhXJ?!B`@S-m zA)RDMZ!IYtQu#7#?<$%I?Jwwi@R{V5vGt`@3*2%#;;2i%9|sb+fF`Jl!z~lXXz>{P z8-T;%O9jA|0D9Hwhb5Uka}*p~F8d+t1~vFs#}XZWaG&(Z^F z$MMe0C!GtzF7;Jbl`q-a0(8T$lcbE?Y;iNxC|VZmmMb(GBk8ZaJaw8T;x+_HhE7PY^Nwr)Y24b@1EqCZ;M3RrVQp~{haQ>s~7 zoo9PrReTvRR87bqSopxL{Gnh0jl`{z)NQb4+`nYZPyBT}LT95c<0>)wEXk(^$i6^X z|5tI}~jV(xhvs>+ilhS7u>Fo zdzQmvwJ!D_}j5xzf@XzVFsO_f+a|;m(jmH^r*bj)#={;+-MsZi)fv?v)Fs zSx^JHTD;Rz2Gl?l1SoaY3(JfFy>h}#wg@MW1nb`#`k|KP8RQk|HBfy4y-@MJ<%Opd z?fTrk+ZrT((D0QDXbsxkQpMIi0`2=AMlUxl5DxTJKi5tI8+^X>$&k}K#Dx1`fiNH;+ovrCJ%H=f3E-|ztr{TK!Y_qdNno#~RMHxJ1 zfd!qdKfeaM$d#fKqV(c`4JsZbF6^P2FHm0C}op5!+?0$pM{XvQ9{5v3Mqb zb}X6VDal0BYF2@$foAU2#7=_)6fV=lAI+=&LBBS&auffq2X=R znft!)+&O3Ny)*CqnIG(4Yt^b+YuB!-U18Df74z6@8OX zf28}3HZn^4{%&4i?(6;1{S;ZRM9GR91W-FRFDLJLT09s`Zi-KSq8E=g&J) zr6m0#tn@mE>5|?nZ#7G9=wFh}VppavX%~1YR{GR3RMN~ zzURCfoIYh+I3t}azVh}JOnPpaDRK=$4vwK3`|w~bynJ8K*x=h+g(f%^!2iuuBQ1Q8 z-#A*i-#CBc=J!5hEggvtFNC;Tx0v z&A0(dgZRW}g7l z_AO^{fJR{o{yxT}e$H(M>T=bOFJrprX$oP+xxRSAamgupr|s`O9=ZI~AIyO% zh98BlV?)0M+p!{}%mq|R!fr^U8*LG_sn240S}9;A4;0EhYl?{-ShjSds2scEUxEi@(!Usx`s<=&CHVVzglm?(F+iH>bBy$Z4`0m`TPwQgp3PDDxDSc8q9GtqI->t-KnebA6 zP-CsFn73NrC1A$G5j?=}GcYu$seTOyeoK%+o`_iNU<+jMO20k*r`;xrJg&BfIvXTL>GUIbP${zTODK>v(5Q(BoMUqXtmOG z*}CV+uvSDiahMk`>hsRE3bHL>Q%zT+TeO_|tS%;6ijuDAKh(-r{Iuu%74H7MSFA9In1pi!oostNU*8{?Odvi$LFuHjg@Q)=Y-(+=$C!k&~A4 zAk?qsXcU{VV_fyibYwa@r?RsEOA$?aoiuy0BB?wr8~CiZ#r zX06lLc6M0s-ft;-HE>1AljP+T+-CLv_<3y1k$oq-cXa)1D{OV7*|k=uN|Gx}$W|e*D7x=t#nUH-Zj0&gR!aVJQ3PIZbLH81-Rn4O(V7Nmo#Q0{%xn_ zJol4v1=CweR6^Z+YYTDmWUrm2U@~-)=%nU8SFeJw90Vw`5LXD%$Z9fwg^*x+o2|+>O;EPUAGHg0dtH8OQ>9B;njArCQ-fgf_J8h7(HT5# z$mI?i)G^C6`9k=q4LixIP@tA6HBiTgyc0g1H9i>l{O_$XeeNjn+J>V8c)MP@jZ4x9 zsbF+*8L#to*E0Plr>7x%%R*Mm=P9H=0*Xro#|9_B1%W!RC_6Fx4Jl)851q#FiNMp?o0cy+8$z#(P{C@9+V)4HT1 zmQI@FLoULB1jRfZaiRLUGXP=xdg zACs3rOmG(8a!~M%XQ<>ZF0|Y?^D(uj|6_5hhS?_^xL!cZ7-+G(Qj9&;BpWgTrsVo& z`sCF&euAf|Y`2sz91gWyK}v{lq0YXU4OE+@_+`4+gmBJxs+uGWXOuH&Vhneh2*sT2 z3nQNoNfY8ipZaFbQ7zJw9GYHBJ2HJU%T$!bgidyDdJQB);7l0oPWPq(gs~%Ya1Q`v zUL!jvz6QA8iOs2rmw3(>$1>Z7OhwFX-hR}V;!2hcTDn6-*Kna|Z!+}>$|q78?H`7F ztnWVzeWr!nI>t|};abYZx=wa}@7h%un$CF0e$6i#bN0zctmjs0%a=D`mq6g$kWZ(` z?Dd}Z+ANN9){?oDvc)TjeIQUqV^48pIl4UN569Ggqe_F6>p_>i<#-vBBDNEnfCVk| zCtdP^6k^o@fwZ=(sx(E|OHgCCJEpFYdA*B*L2Uz=l|e&|<@$(z)Sa3JxgB^_^$XXY z*an(ZJ7#HDKfF1VW5tE^kdlJ!m(Q=jEdGSN3LR#HDYs1Ndl0VbHJoa z(PQ!N-)6BWaPM*oxXz6o@@ruAbr1RB;zA=D49^k>>5vVWKyG&{W;T!nmxQzInQyZ8 zELQ%7;Pu0HG(TEx?&n{lzBGf_chW?{h`ee{jeX-g2=q~b0p#o2m^UPI3;|)%$Ugk! zd)t*oTX-7lZUpIdDM62c=$5^DWPvA*j%mH99|HD18|!Y|u0+;16#`c71uqq!6dH9y z=n4Vrs#~SR;U~0xQE!#U2JKOe=Sh-TpSVmF%)*WzV+Po-3yo#Z^wBlyy*=oC7PZ>g zdk$>Yl5?|JQvIv?N@Lw2edR}bP&otFrNhtAqW4U$%gsAQ(n0lSOY+-kko~mKnA^-? z8Jc!fUhw4)B74YK)Mn$2bKuP)&t=nyu;dN?TQKH@1ng13xgWyGs*eD+7oIW7!t-Uh zpEh@tW#8Vfrwe6Td@Z(3C4cEgb&QAc$#fF-X{@iR=JX9+Hv)|)u;$1OU2Otl&J@j0 zn!EfFproQBQ+q|X)7G&;mn^+!@e{3ML(YY-SU64ibU`+npl7dTo^H>_-b6edP*Ws7 zX&q}9BChsmV)*PF66zt{uq7TB#7Gz}!x%F#@0Mnu)WMzxuQh|>TtQD+wKHnKC( z0N=@Km+Ye8Eova@qNpC5dY$!(1)M}yy-tssn~2Qq%5Bng?#paw8?s$5n($iJG}F#D z)6f)x_}1-Yk5kOxEnC96fOroulqKa8=|{DPP7ve}dsx9u9D%&Up8lbZF|y=PJj{w? zcI8CN@S^)aROZx#aWdFJ4}=et_;{9ZNKFjBHXI2==NBr)WKK^{wdmYM+1~HuWowPm z_M5tqR!SaaF7I8Me%xF%1u>bv$i4*HI0lxyLip=K*G z3*Yh;bIRAVc!<6|St(=QD)$(^vQ8(=)KY)iosorCxrK9nwC>ZbK%_g=K(tRLh_{CU z{a|-lQU@u&9Bv?z7x59EAVNL?lDUJ|W`m*`j+FN>B$W2i_JAlh7a#uI!WfGIH0uJo zIWEp4LoHUc-YlU2|HieIWY&K*0}mdDm~|BTF7R9-_aXS}?7>i9zbDOIYMaei)HslF zfiiN2H!Z8ezroy~3CRg=S-EgRG(mr~5hCQ0Raq$kPQ{F^Di-M7xcam1d^!{V%?W6Q z0T3qe51W;g2$d4@LAaJ_+B~FDi;-`rhE4a64~Izk6^DpTqoJN}fP5X|Sv&vCHm+13}mx zSrds#-Kpq~I7ie1nIP5v4XCaSA=`z90StU$G6wA?nv$OCm9C61BH{&!*M;OhL5Y3I zcUIBbjA9}$r{BkbmhoPtInruHT&?U!6Q;Vh;aID$r7Da@^MPoI9=E)BPiB7|ErWlE zj7j80PJP;7nKlgHMdUDwJ1Xg}a|y*WZz94Qy1H&?w3(_0$n<)W%#i#c&wQJL9CSwB zhvlAoLz74L@9ewMku-FE;BC;@c?TF0_?3j7iT)WrLs!Ig@HkEe7w7=rYl&_N>fci! zcIX_@5%S$~?855Xn;`rE)P_8aqu8dX{eWvX)$>y!UKG>!4+76QH1G9(Iy>UK|7@t{ zQ|D%4?iAj%hs0LIo=juRQ@EVNyEaiZ&ZlD-9erg9dPhMXsSIi*2`8W|3##61a$W1r zFf{Swu=Y-F77yRVapJqP^zFW5j|)N5)>z`RmDZ1K{J3TXkrCI4A_bhC_GC6x21k9L zOX^@}p^1zg$aat8JhUK)pBQ?JXR&#GJ;Gmh@&$;U{rT6#9lv3UC~m}3p>yUQi8UXG zZ9`+}qNS**3%u7ZC~;liLc@otUm6L|D7e;@b<5dd_j$vJj`)q|yjq2@_n&?77=oC_ z=G?2ZpRtaq#NqPkX+*%jeD=jP3rdz3d(=4LQ2k{`N_IYI{CcScW;M&ztXNlH>b0Cc z4jYB!M?Uk|2dB?bA6IYj2T?TA?6H?yoM}7`pfvUiP*gG)bAX*o2rmi!uyPF+S#R<09?yFRoO^gi-Ci>h|!ht-hEdcZL)T6$pJXY{Ch< z0mK}6R$;D5G+NWJ*(lQ%ns7gar9yG5O0j!F&qn2s>5>)PdY1z5fWXMdeA$bUK*gSy zucY>eW`gwMWaW#~J>G04sZ4PAO!S0XM4kDT3cXOsHQawD3CpgmQy4F)%iWQRp2tF1 zUaL`uw}ypZy?fa<9Ui`@84^TyKBi>Xf8QI?1Gfk31%J-2T?)rWna*bW3piKq4~~Bk ze0W#isM&(fxEHQg7NuOgFXCaLU}^HvA^OdgXKUbF8Q=3Z1j zipOL4(ctnVw)W*pcYWn^(xD5Won9+%q0G7=l+q3vE>fXr0~s*H~rbGM$Y` zUXivABBztsN;)_c!@>3>9*l0j-U)p@KN2VQam;{X(y`Q}IC}c6x|mcP8OoX= zPsrV$uWwE|ubm@$MwaI6(Bd4EtQBs9$8&h+8F`vB%FY$p{INAl4<~kOz*FO3j;M<= z%~@6675TPK$hA#yjbMiJwwn`sTFZC^RfZ}M69M6+AFWc=D!%rzbkxU>m@-Um@Xl5%`!qY0I zjgbj%esX(Mjl6TNDNERM%;TX=KM?ps#*Q0^`dwBGoMmYxir659*L|6gqQiA#`gU_r zSq)H|AQAYBc)*t_qBRar96f45Ge5&ZJm1VUj<-Z<@>%5KK73Wly0Ie2&ZDV!>AAGH z<mZW_AExhQ&Br#Tjp>8-7mwQ%oo9`P2pkZG0h4o; z>GVa%J+Sp18}B(5ZQa<_)VMDgtFIMu=v8uD=}zh17r!*(k}{Q&Ah%ISGbFkVlIf#6 z8^XOxd)h0k5qZd_-deo9JQiRN927$}5mn|awJFjHGJw^X!D2vEF-jYS^}d0I8SXAV zcKRTl{@E>t;LKfWQ`FAvB8syN0HeaEm+dv6yHc;hv1gi>;gwcK3T-Zg$%He53yX?S`U=~$s3dxu5_*u zWmromCWR~E$uiWBe84}4Lu7rx%aTBl0)$@;#IAB?oNPpsMrRab_laGVZCMvzfgAe1 z;eYzZ0(-E3RxZ2_@NZyjxgFqNMjeaC1HY|MKo>LW9IQz1k50(mOa)pRNlhAMnWog| zxYx`~%?dw6Go@mI=c3}HRryM8r8ctgv89=t-!%=AKM^M1Tv-hI(a?KM1+FaDGsuEG zHBpu)@$j<~^#s+@*r0ea>AR>DNI(ms?WcbB2;Q+;>%t9!&hoCTac4|XbnZ<02`UAC zgF@-N$IDUtFl+e|L+jg}pL+}=1ws4IBLr@m^_mTJSEj01Tw`|N^y{evHd}u5NwE@F zaA{9w=bkk|XHcgh6RTv9$Q!gw_N)*%rbbqXthNfd&Eq9(=fV)#aiA%^WcettcL*T&(Uwjo%IW4y%_>h?L~N6#Bo?}MTV5oL+5Jhx zthCa=YngW+f4cAG9rN$LGq-Tv@dTlZ4hd>g-JxJ8Ps^h&2kOd(F!r#UUO!I=@D(0b zbllo{Hs>#T6COuxbp=r((f#llUG#hEgN)7VCN>1C*v?t9PZY<|TE|Gf4~mf(_um2Q zyA~yo>aT(icS8o)#&G(G`u$j5ccOE((zwFk(Z~dW>s)w_YWFdO+dC8Xs)CC~lbGza zSaiMdq}+bAj4kvIMv-^|GWER8igTo4Os$$B5H6~7a1p4pqN*idh2_mR*K-$ zj1USFo1xX9)rKJ#MGgUzuR~P){n<64;w*k^QFUrjyeT2Wip+jV*FPyTo7hOL;W#t9 z$&stJj=dWI>LtKKm+XAr6VBUCeyuZ{w-p)%zsJ;(eM;0f|MsKVSnxok95!^|K?*&^ z(dPsHa=)a5Pa)(c0w%47lXL18)`w16E6L4K0wy7O<_vpKgrGk7#hEOEcWUeY+zK)*>1gQHi|R5B(PO+iS*0_k zZx00OdC}oo)~fmmJVyfu{ANyFE&X)C12hpVmHsF`Ajc>8?ATwCg;N00%^ktglD?co zj={T>L~erUz9MF69-_qeix`8$6*2!Uo?l(c!NI7z^rYaUIG*yh0}SDAb|n^0n^0lk zY}0&Wc^u$w0^K0tAC?9w)Sd;Q^C&2VG!U=>44iM^fg)XtokiyHds`?bL42@}Td0X27)6w6QFukz1CbmF7RQTJU_&2LU~$O1+E4keqL62Rm7 z!Cw!l94Eb62JiHW&(_A5`wjEyYDC?N@VCoWwZPAz3~TL{NVqDzY+bmU5Y^@7tGE4Y zh3V-*&ncyM2f!DKF1`tPFaea(S-t?79J?=-CxJd!OVEm3EzyjB(UerpoEMaL_7hR3 z4h5v5rHIas2uQdD60%Fu+kiP05B1fU6euwm@`gA@8A9jFzxiWAx zU?A2t8Tvhf#VbfF1duRgJp~7?cku~?u}EPIfT1j1rZt_sDN$y;`eyRthI9=CaXUXn zUYzt9HUlRSGv_aXf2*jZ^Qw2R^g}#nDzjeh=wt!D{(%>ZvbffRhQ47kM{lq_k>oQI zV4=#OP& z3#R>)Vg@1b@29sLDHVVlPto~`-s2;zYw3r~RnFn6wk{(lPRGy?{*-*PSxKCdp`lNX zoKs)nr8&UlAwNUHJgkPd-vB8&zefhzce;IFN*sr=q0e_0Ls;=WoddC=8o+`MrE{6M z$I=<4q)@5%Oe|^=PXs`NP8vWR$@A8O%2>R!Nh0K|NQk?-qA%@TzOfovaVCI9(A7GD zp~v@mun!~RntQWNTArjS)w1>xBs{+ z!NrsUkBtmDb`!=vtVuMj>Fbiu0)X}VD@zAI7_MOFy~(kIfFz1~uk>}T0UD6>6X>tV zdWTsyK-5Lm-2uS5&$amWWg8uTb|5Chg4c5uDRFjYazv9a;kwb|4#c_9WAO^?EC5!& zABcG+=RGqgO}?kVfym`6;8mrCNRs{KD&^xLK1afH+$5hS##OwIE%_)a*rMaH*`-FH z@=HR`On;^w)pE`Ywu>$Is#-2rsZX;WoMJwb`W&%sIfw4g+`XzlMe&X)4dXheRbGV3 zvX~XZzL0MQ_$YR-X2Tooh|ze9Iu#FbouwZ!YSJK;SwTeF#2l$khd`9^~&Zyc3r^j;iKyrGY#Yv5u5w|<4efyL}~oN;hd(KjZNStMKvG@ECmU62=n zO>e~9)}-FGyW^T49!r=zw)-9I606l_-eN@@n-Mc@c?ZO?cs`I;MV~u&%jVLpj=1zdW?&EjX-p)GpZO@ zvB+!|Fgp_N*$LtLWbIL=4HE9~)_qymCXVlDnrsU zSf85H3GML)ZsYpHc!rYU*DFc~byEIf{-0d%Q#2Ldbt}PTR78VspJvoX{cu;G^eENZ zCmst$6-xpU+laX|2UgL`zH0>{(p^17X*D;jOjO3T|i{$KjVb;v>xP3)27>I;cwAMRx6-t(V$ z>*K)<|Ar_{#I(DLgo&x^xMqmXJ((7(*H5N*vZ=x$3p9A1R?|(#LLR=fo+FuR65U9+ zv-Ps9Fnn@SJT3xsBO$eBg2Ob}|L$F&xD z=F;1_nemg1IVROD`ow2bKpL?w!>&SpcT3Q3%i3xId&YKQWg`6La!7^Cu^JnpXHF=S zvlh;Wq=)@8#+i2QPj~Ui{mg{Yn|4CUfc$swk5}t7>xPV9apN~F?Jn;v^%`Pi>D@VyDNMWUbo2`5fd-=I4fy-N{MG4xN1>ut zc4*Kn0e_I$og!2I1Wip zr92Bitx0HWOh=GM*I96TF`?!rsXyCTA}TGWdmnF4&6ox@(Hut>=j{psDPG8owyj+H zCb{A`JiuvXBiy5j(V6tUfmMTk{`|{2^?G*}*d5Bt7OrSR*wSuLt(`m&R&|@J#JMH3 zjVz@##aDRqwnsf|s(^ZQzBuaER8_n>aKSLLV>=6fSNASeiehbsB2l%%*TSm8mz2!| zB}*DzZ+N;VyKnY*&+H@_l@D@|#^(3HmItB`l-~CrdWAqe5t|VX-r8MM-d*WE zD#9ti!}M)Y5$SokR{E>)C~4X91=bz*015L^cFYnkfw-n~8`U^W$Dv~dG8MeSJ5+1UXN_7@(d1@JmhG@5aL>pfEitZx@~nQm6oqYO>r zG$??HZIe~tm6UUHu~_M#Sd%vJE0i&FTc*niAp_I(P7WItJq`f17f{UvEfYa~B&j^5 zLYI)O{Ghi4M*bh3yuHT;6}D<`e$T5_FKw*_Bs|>M+gx6{`OY}L!nu~5Fjva>b*i~s zdBklu8mP|?z$TJ(9?OY3t*C_|UQqCxS}C`=aNtq!7WMY-Qa=OUr@18#W!2G*j~GYB zD)DA_MhtC2xwr>tsXtUIJHNdE4zsAk)vD!N@Yj@?*gTptoN;!QSfOo>nxU4*`iM%& zMDSHH(A!HtYO$HzaV99F%I|)g3-?=!@!GkMNw^-u#6RjDBvgTcK~|t#7oD-AcT3v; znG@%xE{I5zn8D}>No3WiASY_1s`X_htyWDO^i)||jevwxz=&ZXsW7YO_Brw?2&~Di zrz9@O+ZG;56`Y>|&yTr;Cg+d1v|cqAGIRWWCu4sMp_kd8%j5xvDo5Ip_tpNs%&4={ zi`@6YYA>&$O7fzzUBdKg1L671d_ymK@C00|Qn#tQcWeECA{wjhobJshgBwx&Eyvlo zz?;SLeZhO`X9eI6s%3l7EbRcypN6jX0~4n}-;010vC6)1p;Pfgch^qv8Xkm_5ilk= zgtum|-veiJXerv_OfF>~x`L|5?jHJsf?RXw#X}u%rqM(L^9-4iU{>lCDYD0@B88<_gd7gS61)b)lI`_?+Pyt$%%6U_aA&Pw9o*i zJUnQpk`x~pq$g!B<6y?Cctg=^P!OnOi=)t|4Q_Tu0_mLRR%!6N3BXUEo^Bl)W{0-h za2EmR7F9+?RF?0Li8g~paE$mwGPezq7S6P!ll0c6>Sd1L3uhWYu};{hC)-0~1*ty_ zl=6xlcf0x<88r1BJPp2NuCX>JaXPF!cb{ZI+7iJoc|A|OMkf-htZgOMt4EfHOj?$a zMaI(yn%YB%#RRa>M$c}KJ5ajp`GE|mO=-$#CLPj;KMHgo7)Y#wK*A7{K>7KF0T?H^ zUsBmdSzOsB|L9@StHNym8+D?CgUa%l9$IMMGD&CvqSCe3J(Q=CMA}?3@!?BRIt>J) z6nI@M!^WSi$l1HHh4GXv>mJgqR=PQ?`xrZ%KjHxrGOtB578Pe;=n==`gVKT z;pU~Y77w#vkt@jM#m|!GhLm_ic%2TOetSUNW`#NPdDGGc3pT(!bh|VYif_*uJR$HF z762WR55!tNlznEY$}C&^`t;HQ;pM&68+y>|ozBBmTykA7XMf}3TJiNEj&YLK?Yhi+|BSmmUga@^_`+U!{p@H==T7n#To8Ym0YfFv6;x7`z z{i|i4S(Cs5HxGhAr43av;LqUq(B3azOR;$#Cru-L!yGdPB_sRYhmIBJZOIp1lT9A8 zAoim(?~Ai0k4@V&R8JS8{<4~L>SBAYt)l*9dl+U=7Q+M3bWF49g~!hmO8@l?8_Lz1_l8C!;Fn<+ZO$Jb%5HOO^N-#4AQ4`+7!s z4@oC`y-tIw*84;18zeYU$=fLPt9aXdAN^~Ou;$EL^F)o_r=K_cuQiwC8${exruQ9u zamSOSYtFJ^{FzayZ4=~T!TYquHk@68HEzY{5$0eRh6ISa&)YG}a6(eVGt3ZfPAX}9 z2fG!;w*A1k(*6dtb+13{n4|76a)_EkV^YZC9JhzC)? zzGxm3kDv%;cS|={)0kzJ`hksuHYUX0OAVEibG!#JU%P1}3*Cu*@FtTyIvnk`c61u% zjxc+`*-B=rEU^PfRx;>Xudr1$n`A)}(Xex*xBgiaoh!|-<{i)6!lM$RhQTKYE}45Y zbqLaiC$jsV8e06c*4p0c{Cz^KcYE3Zf8|M2r*-EXvl*d*(I<4If#KkCl&pfG1&v~%i#t#2&LnQw zUyNb(tsLh_R>LsGZ$XbW_;|CO>OJjZ9sQjMVd8pEug7$*r_bks)%=2f!lut#;+itU zne^Y!aS@f*l4(&HLYgT{@dXty!_B};7L z(3UO7$8fk)9XCC=U6Lu|GR;~!zr9m4L3N&}_P^GW=UA-AOh~Q6ON2~`O*p+ z#)odyb73MYKud%Zzg%v1!CoYm7jg1Vmbl|wtmxbBX&<|4k>Pj~qzWM-L733Tf#I&x zwTBLh5WYBa-;kWNjZ1P|>0ABk=N*X8W3tW^Oke5);i&*3|crrMaX2 zH)U)KxSn7zi3CX2e?L)`*-`EP_7>jU64yu6gNGrA5J`9`(N^+?h}t1pVuI)Ri7YyN z_MpF)Rg#fH#97R%)TAx^eE|Q~(Mp!3mfbaVe#s_Rq$3WN073*B@Dg5^|Jp}RtY}v| z_5OoU9eR{~3ME0Ej=Pc*j!!;X7;Z&b@`_XCoc>rLO%Vo7R<##N*qkGv2v3Q6)szsOqM? z?_kG5jiH%+v^DLIWvkW~x+7bc{JJ+8D?tvUWDZ}~+RJhcS(TBK{ z+$0~>s>~3mFtO}7W|3|$0b@N4n-%!xm$D*pX#4ija3iRt^?{w=-gYpin~{I-J*N0i z;mgvOCz;+75L*|B0skw{%RM0jT04D&dtuG#_R=K6;Bs%bOMk}zYJ0J?0hy`0ScbWH zpYKhDA!#p{3@#=?^=D05-l)rq?RwN2(|MS}{v=}N967=0E_sIlVo>9n7=l_`?h9Xc z7E0{tgb2mYKzZw%OrdQZ=gN^MQ;N$jqVY3RrqJWaliI{?#74(?U3@oUKGE@3{b=L~ z%9ydf14z&v!#2+u&+0nzA;l{&;L8clYCG&8#iOvz1IDwO4o*mM8*FpO{H%KFg?9yP z1L5p|nzGBUpX@nwsjj@R6B?b_T0Z@AAPT@t-as4ym{0(-@6hE8z?1|qS5B+{6xj5_ z+a+!Tfe&CB<=2aLAG!oqUTkxZ&QJqu{wZ()z)ajggaMeZ0nFY*mo@#O585O%=a|50}mz;y<2lK@;`hySB4 zPK($JUTd)4YV4E4l<5YD{^jyklgrE{a&Idq7ICq%;bMY1U7GO%zPb8*yVP4xn~QGsYVQ7(bHp0}c=rf}GWcYPKoW9uv9d}!;uPJ{|(F3&DeeUd1? z;N04vmAj8a8CtTP zIJ}pAR4;w9H)&9;srOxPhyC(2SO}2;Qn^9A_wn06yZ8A5;^Jfr0lhqvtV3OSOOmLD ztS5Uhr9-=lum$lc4iv=Vv0!XUgy?}58yFxy?OAH?<9lPl5hN$uXx3~?MZEhc^n?2vy< z5Lx0&!{^1FQt-zUXaG#0Zg$}>j$lz%O2^k6rQjv^x40 z(%ThmaMob)jt+P>7`HSq~*o$9O+-*32tt>7``fDK? z7|yTFh4=XX+RML&j2?5wG1^f4x>UW`7u%xv?T><6TC?RgRn`-w9 zz{Szw#bHHX`v=G8Q^`qLXC?ZrFx?2l=ml({7RdVjZ?XDe7JGZJno~xux(;i|-T@7A!XGM%w?E8knW;i!)G1^}0OoLjtN$V9y5OzETAbRJ0J; zl`5bvnierK0z1eR2_nI9G>j|U;)=?~fGV^Ast~$n6q_t3t(ZRoHX>tqRk2zl8XoAYyU;TNU`Azg2;}{N)=A7RH20mdX4M9R6%npIrDSV`o*TqRPtfS%nx6T94gS2T_?C+ zcVI6T`QMxL@R{F2NmHL^fA7kqcl!J`=|K0FNvC@Hi%Gu(9x&$>^PWgwNN zHVSGQUV+auwvTBUnE=u4H3USr5fI(LH6we%!KOuaK(;Lv2}<_kmaFPJrXj`qu+1~Z z>bed%q<8_gdCXW{+o2CB9)xY~GgjAh&;a#m*cL+be;|tg7kL){cZ+7;|3JdGrFd#9 z%6ipFPnT3}*%ll}XDsUrD$EA;-emTe>tn(XLugSb+4$(znF4o7rAEhETF9Yf&dI_D zFF_~o=MA5B2#7rAJ0)#7wOE&T&|Qu0y{39iW<+e^Qoep6#z3ukY{9gCk!x|jOhW&B z$+b~w7v_F*Cp~r{zUG{A-=UR>3^Z%YO;wG13+=yJdpa|86V% zcUz9rGK6qXV&Qar2DaHhs~WrsBiOX9bhNl5SlRuI?%am(Y)8Cw!_Dh_g)Of@K2CXK zkZo66A(`>SX5d7n;2wI8c98E<6ZH;F|Gd#dEWT{ z(fIyR!b#t+)#f>6RDI_Px6&ogtiDh_^Qz^5ZKH|V3huM+01~*0;Oflfzkm*(SrTS! zpj>kp8H%qe5nr_|j_l}z-(z>v8s8r(#r2U429<=bT>1Nu)>Jp9P6_&NaDoIh* zV+-*Gp^AGAtZhVBFHz|?T(wkvi%UXohf4aMk)zX#=|XNxO8TyYqknTI0Pmp+eo*7M&8}l@T0N!dsT&RIl`1tL=P`Zg9M4J^F? zh1N;d59(7pw_$?eG8lTQT5_$F3C{eFdVZ}p1ggFUe zF23Lrx?0gP{77$vs$&5x- z)8Q4T$;0Qn?Gmsm$y`GfWFWT0>!oDDShl+k$m=!S5f8&2hH$?x5!H3_hJVD*IV&M@ z@&@dX0}f%Xz1Y>;R2&02(6y_m4~^8CS!@w<+fveZkJOr8^cV7)>Jf+P{_=T$*<~Hr z)W6nu_`B5pQ9b;P*IjCRiAk%{S;|kmrYzs~U>%jes4BS$d$G)L2Pzokg`}A{tdY3b zj~*GNSIj(Ii$=1igCacz*F3YdB6^E>?H~JrQ{J)aXihn|5{$q}Rd*A5;;;|CGgE`=yW9(uL~R6D7@&q{E}QDPE>X18)m4|L zT$cxd$x!cxqk_w``tx0b8H+vCq3Fg@I3ljbg_$eZ;Ka)1RC30F%hDHd3H-z1?DiH| z+&bL;o2s*q>ZWP3_@%r#}eli-Szb;YzPF(C0#uwcyMk1)g~q zqbHKP7xD?p5y*%J&+b>#^nRE@$;(qM?~<1VFoPg=r$NVDgaZ;=XOWOt%(G_W z8t;U5(zGqu=gH!aXA)JnlL?#KFt(JEl}RTg2Awi$w_xdfTY6{+J>vkxnWj<7mahD< zY{@B^)_k4Q^LH*@N5!`hL~#UX%@(xyUKI9>bLVu#rY}Fbj?_^ zE*!kP?(_zVqjuwI)+6%SxabBRoVhWG?D{p7mHLgRV;@8w)j!BaH}!YzytNy3cV0>J zosjnZX;c5Wo9*k@#)n;D#KvlG&!pYoEK+R}pd`|9BT#QX<8MZ8+!RXX^csNEe&po1 zGe~^8NGQVS`=0lk7hwa7bucJ%lhjmcjm5fMSzFqT6MxfK1&kQ0{4E3`|vI8+w zbx)csyL`!{W7 zNbi43{J)llkv_8fg7*PtCB2w2al0~GQ09+a{rQjB<-YnEBe&e+)!A0fMDjkx29*K> z-+=)!U|=HmQ`_5dJn@#1{EOSQIg&Dnb%OrtQ|W_0bX6j@{t@VJh_aJ^!1^2LH%*nX zza-K!^XEh|E`Fd_W=qJ}SrL4IbprRk4cxm3(8d1%dk=J{uUO&om#pdGi1<^^0ep2p z|Hq8|&Gzs8ssAnC|6fnVG46JnaO8u2Wt(JcO3x%Q-;o$#+GXIyp{Zw^joIh3le{L%~ zoXNWzQjyb0w-kBEdENKVLQun(1C;gL{A;1fWC;hY5L_kqg(m@wwYzLh@o-~nE$?^J z!?dX`hk>hlsQd1J=rXrE|0B@f5L0dcfb}=fZ<@@Te@SE>^5;ar-psm9>a=u1Wr6KV zOMr=V0Zb${osa;~Z2`KFD~s7V!u?lVE*AJp3u=S+mk<19|6e|E)xUh;_=JD?!1ty9 zaw}v18rkbv}fMvA3vY4q#PBtx?CS}X|+vy?rqNxMy; zldTWZd{g;!bS>ak85Va`miU_#xvlFqvZ>6In)DRk{`_x1#soG^^KC4v0*kwRed~Hi zkGsb;lj^IX!mFW8U`WXW7@C|Oky!s^%Z$RWnECjton1gkiT8c+cLKeZlBRK!3lT=!t%|28GQB#<5OPNGg$h~p z!K~f|sy1AQNPPmcxa#{&KQc1c#k#%RRi~0kSMJw-S%0`@rqL!38gWY;WXbDvN<0wZ zTKEz2VSkNri@x(=#afw08~IzddiM2)eg`Xd-N!%b$Vxcv3_=>5swqu`>|9JnTBhtm z5)sZiy_3X!J;1jUxeg_p;H6n~QOI#QTf{}e9eBFOmo^6t9B7ugm#y)2uICvIeCj=| z;5!(jWXfw>d0nbeF2|FK4oQ5R6|(w`!s7eNDp?bS99B#(H}I3P!0fIPTt0L0MJ+dS z^KMp`R0T*PPhn!#0HsBQXUNsZZO#W@4qC}gT!2CHwjkEp*R8YmKc{UpUiC^7D^{jp zuYY6>P{{Sg2!!Zw_HxUqvjn_OQ?MXwvej;SiCG#T?=0x1G|VES=fI#!K#}EOcCn6r!J>%8Opcn;22_rmv(gz5555V=j!9_ zjysGnSTc*_$@%e<3*UZn;qe~N6*C@x{mHD5sn@9r7F0}E9P{h?IV~6Gs&`%Za*t(; ztKQ2Ag{bpJbwTv&#V!%x_uiV=UvDt9zfd#o&3`=JMKtJ%*QpPWBezjG+G{p7_A8EM zTBfCpsuklg8~?Pq6r|mI!;A~07$V55D^_2pJS2$qbf_WQ79%3d5A3n_Kou+V$oEMq zzVen?m<)B|L@;HL$iuQ&enO{LR$Qj^>$5}pbx&myp~&5{*m^hZiy0>!{crfTk_P&{ zKd(Q2Ue|wKkylqi^q<#TKd*OwUdixnBe|Ya8u3JY35+cyd^+6p?D>t)>vIgb*VPVp z2uZGGySoNygsY3!G%Gy$LZff&^gx4vt0?uumxhf)u0H44!)4-GbXy16XA{u6o?#Bl zvlBtBs?S?1lP?ZGmM237(VhYTCF^@v01m$^Kq=u*fbr7_MuS4ZM&o+`K_iBr>*M}h zAN^{5_G?$`=g?fOA02kJ{<_@N`mzu1?wszhH4?DSjVrM5Jh@Xp6qojH=A=edT_T98 zMS*EoPE}G11`H*2s}{fOl@#evCv+i0Zm|l6C|-XZNPZwNZl)4IzRyEP{*6l3)Y~;J zYmvYqYSWlC4Yz;h;3In)uJ%V>L=(07O~&}cIeb1jLDm(Zhszwj3-p?M+dT;&WbxZT z8zV~b=v5$jF`4O?K+zE5qNmb=CgRq_!k`gmdpFUv)#soP<5u@x<_U4_w5PQtavgLc zIZ1am+?q_wj>SSszm41zF+2z$N0-M68R0gOkdwPJ!pv*vDA#{IO+dj}Hbymo-S?o1 z6WGwG+_zOcK8(ma-0n>wA42iTYK>ao3|vnW>b@;%f)N5J=}&mn6&zO^_y1w-t;6Da znl(V&-GjRa3Bd+;7~BH^f&>XJ0|a+>hakZ{K!Up^KnU)^9R>mf2_D!p{OKH4?l&B~ZzKAc{15i-w zq#9-(TWh4)RUU&QFs24#x8eu5?oZo+{+0Ef$xNgH+RsqQ{x)n=XT22@*uCGT$%r&VJpB7iOXMR1pV? zkVFGTG=U-+KoKNjphzW9#PZt~mzzF@a3_bIVfGO>N5VGSacLvn|KbvcZ++*`H0b*O z!;=tTPx5I~I3x0$Uc~!fTodvm4FE9xfJrYnFOrmvnLad1tgyYC3>*gcqLy_GfumwP zz3u;Weqw$3KZ0)GoPUdcZuA_u9_}_J9&d4h7$U&`2iU{E+XEh;RRBFw;_*j&l800G zZB_SUM8KPeJBj<*_S471?t6e&eCv1k+N8_-&)**>Z#o|XuI01{bES&KK_QBtASg*~ zP%L`>nP;*G6?~C1de(Rq^<%WJM>|17^WA^Dgd3dSVw2Wkl#3iLLen%OqGOMum;I&@ zT8VIO+$I3dsA( zc~d9*gCaL~8S4poqubm8@Pzl1QXlSjoJ@lyZVghp?}=4@D|kAbWpsz2KkWZeeK^bD z>7@xl1@1go`8`PX6{v$vc+Z>4d-G$hALDP{b@nAHRP`?CV)s_T+wCuXXX``as*!}E z(}BcP_HE2X>BG@GzT`tyH_%l?J1RR0QV+;1P?mT0%_Nqk+KmDoJVd&CRS4~Xjad62m`{>$=ke1m)H zIS*Xp0g>J950OtdR{kj8ubk6tp40^VQ)AknHsI<$V$*5$ch}LQ@tsWf$Ck^8>-PWMHI`s>Cv>|9}_pzq>RZ5&_GsHqa8jg%6xUoORKGQ%DOOGH_@y zstN{*aM?-C_E(v~f6(bU+<*eFVgT=EJhtPa95mOyF}GwldWFMVLli-#yGAE(>=CIc zOl3YJ5J5IONGI>t!pO`LYBf(MFLHoaWCn?xoD%+O(?)6DAcw`+A2EoIiK9u^elSwA1e5tElVR8UTDj9@N4TwDfM$Q(U~hNMJ{L& z&^M)qMn3;Pi_Kuy(>2mZuS?7B#Uu6Zo7qQuuX=zHFL-jnQ4Hmkb5e_h}8ONe^BpRIe- z>2>*IqI7X7>nA?-$GwnPkaP+BrZnY zlMWLWhsI!q)buAri-~iR`dgBvg#k z1TnKZE#-@FqklLb&;n%;txgk&Hb4dDrb|We#T#9Ne$6l=I%-W%vAfbzu~9iNq1Rjy z%4TEin8A%8_5uo;4WEQe@HMRpPC=!;7*qG+1%_k3FH_T-{56_@uvM2;t|F%tHcgrJ zFH@J}hGivK<7`JOM_{|%o$msFy+hxZR^JG;$g^{$q`zEnXv}(ow$sWGrKJOUEKrzj z*O&_LhA?VM@BfbcA~W5tL7*7-8J{|Lu(YQeza}y_98J`F-p?>n0c6Tb<-l7xE`lR$ zN|w*QoroR4sf&EM5{(m_hGKwToPbv(fEHuBIw*0W(o!ZU#7NiaTY#p%jk5MDvCamZ zSuK8n0O@#ENgt+Yh)<3FF<&Hz!XdMy-pEmrM@8StSsf19>|O-(*>jA~Oa16?+rDI% zG!|F$3*Dj^8+SCIAk%SqODVkWL@~~K?|rL?pBJd+9ewy#g7&A+iH15!p4tFxj#w{= z;VC8wbJ zh=$-Oq^%uGik>H~OPxBDy>9m+|KZtNEYl1O9z<=t&1|=5hyvu!K_#HFcU?_k^)Rmz zt(k)tcGbN1*$iD-8{7#jILx=a-@_2y1he6Zk6$pu|E2r8Y%dTU@ppHitjdkwlsjbK`#)OKYKzCwJ&{(bDFRvp&rcRWjaku)2Ns0R zgc4S~V)Ml1*17`;_ZBDRnZ$AHJXeA;w)Eutl6A-zYQxw8Av0P(w5wa;oN4#A#DssA7iH z{G5+(5}Mi5^swbI98woH9<2d-dv?_wZ@Csm;Qv_lBuV_J$B|Ux8WeVk_tq2oliWAl zP6-ky2RdjM_NQ;8of5;vE&_Yn(7co9T#9><2K&o~=$M6PTRbHG4>eWAmeTB=gV9nw zn_y9xZ)lA6-bk)2o3X;++0lRX{Z)7U@o&fwND=jQo96p01YW-E0K zwI$eWR9@7Whpwc=gV8K_7CoGw!E`EovQ>l2EO;L0N%H>;VKf^~CE1g;KvP-dN(Z#0 zf(x9he1*Y*tK7T0WnlCzT=XlQI(PX?<~9Kn5hQluAGu|4H-~FFQwtR~)yy$E8dH-G zK~S(URrHsv8Y2H-?ApS9khpn>thw12J`r{U2B>5!xx^~)8Ez4%qatSq2kTBM=n8a` zqS|I-B=VJABPRR6fXyhIV%WIHhGu>FdHk_wxoFYXtToh$qfKB88t8j~j`_i@aMw@? zsBs|u8px6uD8X!OEQ$j*q;-sAPZT6#h-wq8liBFUp7K4#ae;1jdVQ%BLDZHf!AcbH zdjy|C(Z_^Z)}FzqsDYIgC`vuj^BY~eWtz83OwUCyxtsHLT=l9En=UOu75C)wZ=KYv<6dk zSxayXo+InmeIRC?j~{%K!b-a-0T}zsXMFJlfNFb=>U`Dk@i~=)&cXS9@>`x7N*?#C zhW6)FN||+M!7e*!nGH}5V4q&(>#K;NUv7(4kQR@xq=Zr`e|>55a$9Ftuo&{Q&7)zD z_TZ}sjm+|%)9VvxllxJfiy?D9h4pIGwEH1U4SPw!u@1sA%=sr9eOyktEcYQ*iPl9~ zZdpB~m=^=S5Opp&pJJzgu`BgP{aEvoD|3C`PJy8G;&4uC7%kJ(4)6k23uPBn36y77 zb+{1Rc8>+2PTjc}J_kEo2>z2~2}qsoLKCXL7AFVTy4A^-sNDf;v+^qvi(HKvlM}9# z*ZkAd>K6wr=h_PTm9Qotv|^Yon9TPF1|rEkW*H=ZQV>m(AFt6eDm^cd>WSvza<(PJ z`#v4`=XgCz%~!(K-D|5(p=k+BstL4rsRyjZ(?P}Kg*cE}zz~`h;}mYS-Xc53JnxQC zjH<6OO4D`MbiYNLNTz1`^|V7u!!|;-!YL zAKXF11A~PTSSoCwfEm+^D6DTO|2hX=1i}odq98bSk?;_KcyFR1vM9831z(f@aXGRK zlbOm)xCEC`<@)c-eHMkDVyZ~(8_B*_yuz8 zvj}cNgOzZKfz=8|ohmvq=7HU0RN<+Sk`P88Vbj?aT$f>QBf%ak3~ z;6A+{%(2~m7yCO?ZR*Ii?YY7DxUpP}d;9&#!1~Z9$3_J>HoK(bsrjb{r-CkE^Tm~R z%-9>9uv%?I9Vh6&8vkVsYvE@Lhc7insn#%a95mb?4mjTdbJ}qmmp1-{hSy!N;Ugz7 zj}KmYz1|qgQ1?eva;LP7fEz%DXRqt3T8;3fLKThTyU+i?&BIEdLr!RnSOXkJmVEXE zYVPk^P}{Cwiy-logh6@@5GZ&oLVpA@jm?1?c|K-H@kZe%m-}h3l=|YJAk)g<7Z|y* zlwO>CR2VM%M1_!6M}pdB&E-sWj^3aE&If+URAdsi=aO7%^U3FcYSBZPlyv=b>AQ!$ zwMdF&(@^A~zKt?HTDQvcmqazH)TX37Xv}sIaHSVl)MqNi4^!9@Y)KFz?`0_>Ly=I~ zZJjH*f(T$eznT<+iBp4#K@cjHHr?l(K+PUj^vc| zs?uA<=A;Xq5Rnvu?>*ReRSPOI*S1G9U#<0 zRwt(?RVi~Akr;5vCU_a($f6#zvSN4TxOzx#fbH&Za&HW6a zy$GR_7Dg;&cB<`(amTS*$6;{?1RM$-kXixF&z!Y|;zb13iY7cnRSlg zN;X*eyom&zF(;if-{SEqNB_kGcx3U$`*ygJ84^i;tLy3}9Z>~zh9h;S_3HBbcD(Qx zDt?-MrK0Y*tU@eb{N0G8w7JVK3~>Lh+x%TEzc*(ohitncCaK?e!bi{RVCaB?Tj8zW zJQxQO=%qMDv1z=s4nQ310-n!QZN**QFx>@TW(>O2P?FX02D-N2UnCw)e z8lU{jbh^)yI`gL{u`IV430)UalcImiZYDNSlSC6(fM)XVKhi-Z{7$vSZz6{8MRXr1 zv~v*B;y;#`cWY0-X{GT#)~R$TB2}krCH*xbXzjz@m>ShR$VB#(j8r4dg+(b3D8^oMn4KlG#o6z$ z+rE5>`WU&as7y{$&>=DBneLnM<^|qBdI0HSNjerI2b^PG^JdhxQl@AyaX$jn86-M{5E1_qBrg#YElEkVd7kYAkXdFWjb zFIR8JVfAp~;+tn`Xwz!FzZC0PoD6^OLS^9VaC3yuQ(r9ZYeBtxE?L(1lAh|uZ~euu z)(+-euGDdc%;+gJvwK5$rl#;$`WPI9Q!{jV6y+GxbsGK^fs`Y$I~6jb39jra3?ru; z$lIn?eR-g-=PBPXriBcFvrRVoU0JamfE#b_f0q=hP<8u~85R7}_yat7t26oq@u?q7 zp11(y5P%*=Oai+P$@z|!ilk*>FB`P?x%UnD%WwaBdZizm!(vhNSciF`fy4oF5B&-| zE=kO<=NYdDgDGo#X=SB8;ZF-#9r_BiuspZ_wf6yBI~19lD9*&hkK=yebm|AmrhFFA zG@atNqb8r_aHxjT4`y>Y0LxPaH!QRz_u3jchpCf_`|41W9(~IpKR(FMr_kTMZo!di z=PtAHvFHL1z~u(A-r)xfpCEqdkhJ-z2LP^_zF*(c)-sRYS?B>6h5t>RMN-R|$Y-&m zui%Itrh84n8kKYfs^CkLIiD*qu8)f)f!F~aQJSH;RLsYXHkX~EDKWVc7#5WAruI{x z-QDgBRrLs|LDC_TX6>7fLi4I1j=z=>5g8x;%on*-+yGGpjkfaca3;LinU_*}5!q3~ zGxeqAEcp$7_@C5|hHyId>d>uAWKD%cT^gxR4dPRVy7E~haMNj{R7d_ZIb|lGY8j0d zC$a8tDJFY3f&9#!ipiz%(BT=gSJL_>n3XBV z3u3}hMsTaC2#b@tx+;6$YtW^=iix(w&N6o0RKr^R>dty=mEH%&@0hh{p-A5$x&}j5 z7=46kc)Erj(Q5(^wAc5`lXEkb#N2yaj`oL?6WdCf(hW6pEB@N#BX2w}N>uc_AZ`+~ zA205^{(`;sK+v%+W0l)Wr0-c5d>d?C6ER=%!!(Ac4oTEl0$KOC0t8%244rdD_Uxs{ zsG_t+;Ze$^Q+FB~h4(<~dYjlE`B5pLONtx8e*5r}@+he%k8 zrY?kPJH_S(R`Wht-oY)aA)>2s6I^$$q|kqGJ^<5gM%(pl5anG*%C(gtyw;+<389D$ z*4||OSr`bO3i4J2MHgn)d>~i|W0h`etKHuh4n{HNHO}T>5TJ9YCugqpwaKaRWgX2g zEP6%+@fl9k5AB3AJDmQFWR3le4@XV`M|Ps1i^o{^Wj-NJOsR3wr1h5-&YS6rEEWCr z$qRH4mN3%FuHz#E)!p}CZZ&-@@`{*z2Hz=cF}n8albA<)p8^i#xfqHs?A4eK@>i7? z$@i_vgMk;F9LR5~By+aj&J9x5+($u^)Krv#iFnZrbnE5muultd2B9LGk+Up|3-+r_ z$kc8}z!I7=2A69@-<`+fSc*4mGmcc52Jn$6Y*W=BMWY+S4;;8#dPZaDaW8~ATh1cz z^iBFcwdBa4mGt1%01aDj&7;$vpI)vJN6T4)R&vXC-sx@yP83~ zsc-SzmCcGRT?%RQA=|}L2{G)ivSli`jEIncz=-ls@`YbOQ?%<~-apYlCQU5uyj`#- zWX^Wz8PK>pjG4kZK+diFtQCkhYfphV8=G7NlTtN0VImx-tiZ~Em~@WpXWibaw?wp09OGJM+hp{77{y9fomYr6Yu!y zULhq=cyzvihsOFs!;QGGU$~zBFwNKSx4vx$>30#i)gqG)Ld!}IAF5z;)iQ6NnV74- zk%&C~$}jqv^80MvxJ_hI+<6k~9a^QGg)iyVqX>SIXUF(1SMEGpqHJPOvq|L+(nCeL3m>WEtLp64G1yj^A#-ZXuY~2+XDw(VN6H5 z1dkO{Scz}97A2NJ7I<=*=CIu)kz`r}@Lw>SdRH};aEIIW}0=DhFB z%Sba1eGyDkLz6bO&xI3iJ|+z@J)^F0iG@&8NXs$#wV5)6F1&M}K6ZRW5V&uY8PY%t z@gPpd3FZEJdYpZJ2FI-olvoC!?{66?&?gau?IpkZ0!&laZppEdS}zY|V1 z$%Mwu<(Z_GKgE1ac~NA_E;erSsDU4pQh9uOC*Hw<7hcW-N=C8;7fi#Y){RyIch*%c zP-z)7qX))n?IW9hVABSI&l8E>=XL&QK{I4& zbYYQF54L`}D&UvTF}&4_JQ~6Z#1q(MziyVn6UOr$tF+3Z|KKN)h?7*h6WsfR9Yvs) zXns14mijj8lk_#aNuY%+TW$xmMEV*4xg6x&JK2di=R|-(8U#%7zN)Qav#C{74qixCxb33}mEvRY=W0PQ+7?`7 z_E%dY?IP?{`alFi4QncaFF;L=Y2H?WqU>IrC*rfS4@VwXCd7V2$25hf*XY~k5giYd zsGn_!*(}&S4tZJT36y#{_pn-~3_uEx{GfQ{p%%*y1cLT`pMJb&Br20?SCt=OD2P0t zuqkI-=(wKXM=gG(cl45O_=w?5ybgX?Zq;7)w87uh>&m^b(-Vrz6Oo_i%xci(j*o7VzCGwq;Z@7WjRM(2FQL?Aw^0$`cex6!2$@w$5_6dMt&SH9+& zrhnA*$%$r%fSc)GB0KBTYTcX53_W4k$aVpmbN=o4Qs-BV2b!ttfOpVN0#12{@ey8+ z4yF9bj-u&JJp#LpK7bj8EjoM7Hi**dU~PPf${p`LIp^=W)MotfxKnLy=Q1Ty z6An9gS!bd<5}~b=UE}dK$bBH{2Kc}zyMn&RVoxDz<;76O*Q|sZ=Wp*)r!KDw!6Ai) zPK`y_9Nyq%5w9C_Cm;4pJ;3;Ly#|6~zQ5#VSN}1-P&P&$fz#J%kH`o2PmE=AR(PF=so~mpWrW0cTM=#GajIsLnT-FgN1vcrTDK z+uoBz=p3!@#W#=1)oon%LAOyRu->Z1&01u=lsT=kk_sV*->q{UaN!2m(5~ zPtP4jp2dNWv969)lVIgALgeby2+N1H399M=XO*5x063Sm+MrR4(X5s>H`jCA#hkG-VJKh+d|_9qwOx5MZoRpNlsdG087UNfh|Qr@suMu9pOhS*wq zt2TM2-ZA|bVb43rNIN%wio&~1b=`yG8iJEhld93I+w(dkRiP7qRGgaXgNpZ*W&%QKinxPtk)CX7{L8J*pU zmDc=AO=vm)tml`!ZanELsc<7yC{kK{=04X@VioN_#Sx#mViyzYfXoX;Xy=IW8UZb{ z&q*bR)NB+<@l(>Ur%`!g5^9292}-4o?q@gLi{Z7Qn6Dsc3KTt6;-Hmr41@%O6;vR9 z``iA~*~{;_x7@Gbw%wYo+~e6*lER+6gg>`~25+k%@s(A&602fg0KQm8A3Ua^xi`Qo zPglJCQb}=kpWNd>CGuN+YH2ZpK%1laiogas>xU^9rfxMjdwxc`^gRLrV)qp2BB+#^ ziz;pE6XD)e)XRbV0RYYiGSrvQRlvYvX3NJgg$&i4c4phplR0eI;_j;~qiae99BgB8 zso_!%V40v{bzUU@u|I^32E{YzK&at*k{@f2M85wmsYdQWMtsu#RG8adp_!zzrZ^na zEXjT<&y>iI*L58`YhgTOI z*!E$2Sw)KmRU~JDzsJ`sppA25ISoy1t8}3Kc}2sg5{1rO!Oq#iAonO$;FGChbR&p5 z*PG9c~JITxX=N*!1-2G7j9| ztKF!tM7-(z$wbl5L{$#Nl5Xk7JI&?Zwa5kVr;lUb#p14IM%>)*rD058`^ov!P<}&U zaSI$4zoH~A*$^pALC-I#dqEKk`sy~VZB`mP9?@I7g`sA(+gyJ}H!gFAFopJgw)O4a z4DcbtVP#L`)blB09jX4V$9}-=WxBc+^bpRIxV)YQqK1kGz{2s;lW_8$+oxSdxyeC zUNrA_O8TOI{0@ra0}uqfw_+WZ&gOfvbirruRE^U+Jay=wyk7FKh<_ zbBEJ1a5=d8D**IeO>yC)xFvaeuj^A;Ux22R7#(kNl}wn3yNc5wpsa9(MC5g`NnU4a z<7BfUWj*it@XV_D=KDxQ(zMd&?a0YD{OveWNj2^f#_#<1 z968;eb>c`BeA0~z=9h@zIHz!<3Jx|nOatfD)>kbQPf1KgnYwf%2EEi%q5Fyil3EZ$ zys5jBGy`@$zMd8^tpeRMRBr3(`kR8af*;>`X?Bb3UK9@<)<47HGr*UpdFGr#ucG{0 zal;up^xfH~%akHSRTu6x^`I}MUx};&aU|gY8_P-z2hD(S#gwMY4vBK_Y(;kkh{_!e zLp)jTxogW=?_e4R9&yH2@vLu9MMle6%?Y?OH2Q~dmgf0v3`f8VnQR1W_5A7$ca3N) zNHbl3`qopE;J?B2(p7;$c=Gpul5lC#2IbP{+m6TU)B4kzJM@nS^o`aU)ew0au52&ZQ>`|V9`ojCelmy6akx7+2f9wX5g1o_-Deg6E& zUI@q1@VEWyW9A@^Hpo=Iwn&4{vFvV$dx;~XHHI^}xmEihw{6Tgg7(U6#g8OteW3HE z3YMYkC<$N-d1zi0WtKI08!DcA8#&eJn5NX&YpPTr*#eB{I z-Zps-rX#k|(Hpg_nxUd|4Qsce8fEHjEg%{kQCGOqa>liD3}TH^MB=}Qt%S(rpDuO# zJcfDg^AsU6Uw|-#KSH4$EX_bVn%&Y$WEP?=S%FE~`fc0JWN*?Z<(YPZ*DRgQ;9Id> zriMBSec-bW>JjgN;10otfnd$O*`in&FCb}oFSPcrq!u6c$(hBvQ5bG4{@TCyI!;p% zzi)MQX&dert|2hP3X>qvf7piRH6_9xaK0SJ(M{FCVr>FMnebB~TjcBGY`-|E5Hiki z0eoz>2fScSaI)hR)n~4ub#-~N>NYI1@FuK|6fe}J1-Yn<+0Bm1aN)}9SAJT!Ry5l$ ztlVk#)-q|#Mwxtq0=GS_B`1uRkPZ4*UOIkmY280N*6_=x=rp2;TW&u62ybi+nGM5i z5^e-^KW-(}_s*^_yGsQmg7jJnn6%a_Q04)oYJ2;1vW};PZ@I2?$vZ6-c)SoQJ2}i?{=*!<9@XKsE)a!9j|>fG$t(6gJPEJrbUw1 zUdl)TaUV1L2^EfbLr3nmI-@4M2X#2kOMYP+k?D3>6u^c4nT_tqLfOgv>cZ~b5#GY9 z=jRJkODkf?>y0v|gdCYw{-`unZ-~a z_OKGPNh*%EFc0iAL<CnXR;dx(4m528h`V~YK8n_)6vTM!W>2i1ldH-`DZD6 zhxNbIiLlb*i$!g4OZP#7H`yHK#%HwcM>7&pC={jxOe6OO15sF>0P(_*qy^wWXuGNF zzOe3Xy4e66Y1$UjI{;wCB^UNQ#ng41 z;3o;Q?%*TZ)o1=hfsNgRRfb$4zT!dnw6(!Traj(nsRCEhMg~E|Y~@#geOhD0(%ND6 zkwWciP1)%mp^08j&U#{fRJy|?BQN;QzF4YgA*)XSqef$Um=6{!3HxypVguUkIlsca z()kQz{xyR~6NG=`v$8dK95e%(yfeq~MFK}x=h|`+FkNpfyz7Na)tM*;a;abz^xn*9 zasfeQhl*Xyf?pMN@<)oph`&;cL(;f+l5h);ha5BFn?wtHQ8@k3`2tv7caDBzO!F*H zngaX~e{Ja<-G7-Tf?g-S5MYN?o-C!Ny=SK8TObQez7$k*!H6Qb6zny%fCDcc36-!j zg3%)XF%%}5<~sb^l3wWRAGeCE@t6YojWp2Et-)_hEHAoc3Ji%Fi^Pzn)SG?ae<*K` z_&_RofzU_J*zL(+mM2ultq@31Q(;ad@SRLo=*a-l2_WErDZKluX%iagmG5)b$bft< ziSlCiD7*)$%mhe1ODS6%JEExwEdvNUbJ*d?WTHzO&vEpSq2RY?J1L%`O#~{Yikju^ z_UUf~0mMcI5mZL75*lwrsv-zOqytXdP-Kw+Jp#@z`_^+Uc|ggX(-z27^F`+hox4BB z(V2(oBUZH#!{?;x`BoL69Keuy=_DR46_m1ERQ5=*FO+Z428{=f*y?9 z@lUv@7u054YG%X1qYDVRw8_V>w9XK_zh4nLkN+D^=>yBq3&7YGpQkNWVZ@swMj&}l zB_eig{6rDxMc147j4L$JR&ZScw`kg4wAGMkCIQ(-D`Q$c-cQw+ zwwq2>tSGdMwJznnxZNE7xH#!I2J4(2EV95UOA3Nz=nbua=08t7|0Z1mwD_qWV8pzN zbgThrMF2sdt`qHc3|@kIVs>^hDHOds9@%dXEt3cxyQSTm%+1psO(i-M#mGb~wzUI< zz`SDe3789JYd^hsGP={eTZ%NwA^(s&+YB6pvBWLhfGS1YOxBqtu=$;lMQ3vMn z^B0-MY&G|_Bc4PUX~0l64|}`pj^po7`2h44?;q8Kd%ONlq-xP&Id&(2axr`~n3LbG z{-KtXT3&J<0t1Hu#8p*>;G=-v@~{!Oa$PHDz92X-m;q)KAWi-wO~WLN)q*RMXF2SO zF4#Rv1scC`I-lALplL6A_0(M$dxZv5^An8baWQAWJqF=~6r01t2s6HGnxvTf26F&) ziU^3wWIAtC!@4NVr~|l-|LEa=2|x#7!Xi&mSBi;c;wJc{Hi4TKs3@Rjm*&2u@+j`% z3;$UI#?kpvO<@fp0d)nw0^*}WpWFfV78NE{1*hUaOOaP=@Kz9gR zD=;<(HDfUIUV#3-W`R~FUgcQc7Ifzl5mjZ7TOC7Ow0PLk#8-Z~C zBAPscq&4l%Q@>ziZ4L5v!O2v|_zip!bh@6ZQ|LS<3F9?vL0gN$bmP4&sdPI>+8?k>u)V>ea61js+))dePVhnoi-0W<5nm$YPXDd~mvBup?{kX6FEFRW`>1P`y0Dl8=bpw!}vwWNJH+YblAUT^Y*U={$A4(dNstK?unPWhOuribZ~; z*j~TvJAZ+Tx309K#<3ICcRn?#r~Jn&wT%*MAEmmJFl?^`pkY~YlTE}0Ds2Eh7BC$I zuSVHrCxBl_)7J#>J9*HPZ&_=i+6MQqRhbT~N_f3UgbUL&-zOi0y0633{m)SC5n}J7 zaH>a-=hEL0l->t*TI&LYC!?XM`gG&ip7_e!&8(`9109OlJHA}#%=_Z3RMZlDaRp5phu9gX? z_~Zl0@wT@_yg3U1+Tk$Gg3mvzB&V6+zfDwObtc8k7afNNFqd@fl80xL6Pl}@c}cX! zAKy0?Dk55M(Z^2kiiO=Iq?m8tC%~j;lg8ig_lySm-HHE;mOaf-W>OFnA?8I1C9B7U2LTS8OlUnTvdEvg&{`F+q_+58 zR;0X!UXifSqgJR7=*R(2FDdXX6P>Qc>?s2ot&$=)AOGecy{@;PjIFVFS#;hKkXv#u z2vty0B+vvZIO?Y~7d5XFZA8^b41NEB`e-v5TVavQdA2VHu&%g^p6ve{_fn;Iq&wEN zI71VrG$tW103iY1&q@IQ*eO&1^yj+lKW-8I>(p+Md z_uG?h+^_&YIo^eu$wO-fgV+_UWcum;0N@|@TQi=vBHS-)!SKC-{odZkFjj4!EfxwA zu${jbTyM?T%HJ~q$fv&@d)&AOrN%pBfoYz5z8nLx|JfCCfR`t6D4WL1Xf}u)#jbp- zBlUjK$TxtIs5>uj-!pdLyRz8b!Sd41n^EB@yBCcNRa~bAPRg?Q$AZEWK?V`IF(3Y{ z?CQ%}&n+oSkKG0a#xk#Dx{Xn=odwF#YL-aBGh?%ndAX~-CZRD7bv>5iPgk{?IzygS z*Qsj-^qkyC?rW^LSVqPgqXBq$%+<60+VC?1puYr4H0K}7Ke!#?5hQ72hrdBkemzY% z>r?||PjWt+n7)evdlKYwM1ezq9EJC}cj4v-7+r4FslL6*#i7#G1HS0bPU^IMbuB@X z!!e2|LFIM%Yx)Gm4ve;0GiG;0r7T@bd0TwhwmYI^)NM#-Az$^=#ied@d`DGk+qnP~S z)Gi|MThiMkkQh>-vxEPOBLsQ)j1-@>$VZMkwTQYK%g>l(-yTYUQX` zD4e`+vLT{0y5uvuvIOxMu~K(LE4$CGB&Ho6k5^DjT~2B?R?(NGMZT*zJ|0sQU0l7H zm-`eAE~#ONT(MGmJ(x}EY-J>}h&OAyq>W5Nmz_O2PHo&{x~B(Nw;&*b)UTVP%OVdI zCB6-?sQCa+E~@(p@O40ZF2r=uF}#?)ee5t3UR{b7`8%^;HtE1yF%epCK<_6)X%(3g zN2B~QWNG68;24E;Qbq&1x)IFYnGPdt`U*opMz_BPvsO7*fAuuZ0h`Je%biQ9XN(}k z4@hytFqzS3jIpWdZN5;7k&WZ3mpPcZ>re{$c3Q-0;3i<{k!(PfINB8qGVyoQrxGc=ji z?@@pAy%v)tOb-Sbo^1e-VZv6uKo^Ez^java#Typ;g?;}y^?3-9xo&eeE>HYzhaEi` zs;C_RDtA(v8-;owQavS>uzU|=DtYm}F0zgu1`BpHS1OP!RKC%vyvs*x7T-!rv}hj2 zcV$W=Rj4W`H4QYM{PSTkDHriN$pAsw8fkgrdDdbQlKh_xraE6naPwQh1(n7N0e?yF zu4hW(i`H>Q+AWjmq*5S2$aU|)fU?4~6{!lIH3eMf=~DOqiQ?UqZcOxMVWvTug3os8 zDy5X-F28pnS4-p5?-l!*gwf8CiO7s=J)YIIo>xt?7kI;X@=KJFbUEeE)`*Cmw^9wT zo8(UC1BB-_Kbfxff1t6^=gvJn;PnV7<$@QldS56*?ZT=Xl8U$LCy#AYt(BjEgr)+z zg-mrYsVwk)Q+p4vy!Uv4ZP;qYQ|r3)Ygz#HR(ReZ@RrKcIe+A%`t&&&3=TerfirC) zU_1WLLww~aRo>gFTwx(EeN9MvepDP-yV;i zb234Tyg@RA6b;+Nvwf68L$;iktQh8TWO~#-KsL|XaJq8(pCpy`w+6nBlGqg>4pok` z()5Sl=;!h-pNA8hMKdt7H&G*xR9$IafmGU`%AhcJNLSLB{BdQO?>94`4Fns8$zTZok-%!U=4G8OZKtm$;uf2=& zflUb~N<%$+ey80uIe{_}%U@X}hIZuMYxFr&2tY$032zon=;~D7{9zsF&>0Vweo>e$ z#w7aYE>bl9uP&uekc>U^Womi%-~OxWGcw6REMk!+mkDq(dAc4CxT2N{fuzd7SlHRN z*x5m+9+Pb2g=ti$*NOd3E}xvCe16Gpv|chon&9eo6t)8Aye>=mJ@x%1d+}>@#p1hP zf;&qN%B@OxW-?ycf|t%X0M~e3U%A#z`n(LANb#9Vs-gFGByssY8=@S?v;g=6d& zRFdGBA_kox_B$vE*(u(Sq~gA#SEJpM3t&c+C>b)1;~yGxa}I6Qw#}K{6ajGn&O?>t zji&-Kvw3#T5-C*ns|bj{ib^=sLT%LXO>uLzCj?Ft_J+g?ikTxjaJUVvD}x<4v|{^4 zYE|1HZvezOJ9eLb9A44#yXl-{WCblsk`bsu@KdMuifQV`XUq8E^EVOT#dj*DsEHFw zE&A+D-Y(gxL2=Ipj#?^%s6hM~f*@^Mrlfn1hxp^K^YPWP-ul=(Qp!==RA?(vz~DvM zU;gQm1^pmi-EkUUn>@N%1Oha*XJzV&$NO!*z(yxzU)$3|dQgEPLeG?(5OzhxnEtfg zcJ7+iGhHzy<7Pu$y^j>Z#piz0?e{UYo)?>TK(*Af>HcKbN|80Z&L7>^;P1P=MsC)q zbp{pULoemqYJsk?HiE7`blj<>WOR!KD4ZnD0dc79tKJup4rC{1x>v{hE|aiG$1P=8 zmZvGIt10YtJLnbFToK-_pMoV*vFSMu*6iyaGX5*>8lMel@EQ|i1VkY-&LKhC$;0h- zXLI58dNin-2F~Qu-A9^KK4r0f5c0dt@4l*cK6QONYfHfE&DfuNZ+em|FGb|;LZ%lC zf;e@1AH~kkYlYkQyrxb>9v^;F+aK8%{oTd(-}~4)(M~|a_-EAfKyN7`fhzdM>&q^( z6^q$)A6Bm^ksEu?d&=FLylaH|dhRA3Oom_PYDe#BB7{XnNIH6qK*QOcU{E8H z`vJ*J7NV}E%|zqQ%))4c^{!^mCiCfg__YQk-xNX~o7krt&vls4ii6I_p==mvv4C`K_XCJ|U35s|Y^~V){_dJo)^D@R ze}=zB8V=O#X{~?#EczWiKsT}$raEor&&w*rY>0APC~dlw>yHTH3)$#zrGsi)f9q72#&>Xr-a1q904}L5jDC)s(-eT1K?QT6(14s;%i* z4lz=y^7k+M-&(}6h|=7FfikzwaJ;)Yzhf~GBi*biATkLYrmi*7cmXA(nCr3<*Gke@ zoj+A)@jj&I&@MY+1c(xR39yT>oE);qCo$W0IUad8ne+xvHo=(V4{a^?#}?078a*vf)F;Kk9Ps9_D@?u~7KT^A0f{TgM~))sfb`1KjF z6{&SYfwV(z+yJhH&c+O=kUQ5w*LgF-fw$8-bLHC1%_tQ?^;8CGAEIa2C^GP;yKSh2 z`lDROr_xJB)=punUS2a7f&G&A$TJ#c*?0&EruRHrF|7WqxhsY`eQp_d8SfcV{Sjf~RfHAMLi(DyK%fpXJCFH7fMuILG9M1d6I!u znxU+wfHBtxVlk))w1+`ot1d>8$WRy(0At=0Ct&J2o18h*C**qb^u&p3jeFTkY6F#* z^3%vq)Oo&ROK+roLs)R9k)+%T-O+}klrw&{`8aP&jAKA-;(GL+i|k9Rjs%7Q*t+>v z*A9)&P)6hERRlD&i_c7C4n3Tc!8%+zed?zeUz*HvFFN{pAscm?j?}f_Oo8dp3s)=F zw`{rJvxJk5`ONt9-=ZSZjbT+u`&f}z(xxW37ku*V&9qG9dP$!S0Vt~tadUBc@_{Q7 z+%*qq%^sz2qGM(`U> zKj96n9pLToBz(^4aCG7n`VM+q`01<^sL*s!3VKb$Mb!7V8%>s9A*#>#&5QUXmiJ$* zWUW!7|4e9VOoJ{ivZtap^6v%=+KfAFo!LX}>=O3;rHF%%JqcMY{}0x_GN6uVNfRy- z+%34fTW~J!4grEY1PBhn-QC?i!6CQ>cMlQ>P6+O9+vL5s^JaEu_Rs#feJ_1VPJO4U zj?#@5_`u|C+cy$6tq~8a$4fQ{O6{pX9C$1fR&HHyk~3&>j^qMqe4P3gJV(kn64|w1 zS;IQz5=QxMoX=Rwml&&ZI%KX1Cevb2U2r2v>6-jhP+Bw8-xjGtekwVqYLHDKV!=*OLn9PddvYHrW;fp2)CJ>*31ViGyu-2Z5I^C-lw{xA^6;f)?#O3-%ty8`Nfn3ES7P(DTWwK7WaN9 z1I2bX(~PuZM#`1gnk(%39!d2-keIErR_?P7vofofD+_|z{h6vy83XNbXY58g?Z|FF z=LJfvt#f9e<^&qw^dt6$!gieEJz42eF?!oR)wZ)fHKJYhhPoOkb=r@>fX)7^ z#GU1v2xb1|4ARHVbU7cV`wf#&L!oc1sS{Yg9l_FO%!PUH2G8lHCJX%$r=B*z8-DL3 z`vZ7XQNoB&qHyOf{MJR4pU}U%?->7Nodvqon)0LcTBv|CkPk72E*#HWOv8_8v)Nrp zzT$>=5#bwJ1%hnIyR+(K{7HDi`ICX+k?=>c)D!k@m*xtAr;k=zFIg51bOzW#dB558 zbS|_|gMQbJ+gj%k1x5YHJIK8FA~JBSOV@);i`J#>dgoxms;`v)6)&2QuUMQeYKb$L zZ|rJtiC%KcfxEbgE=mciPCp7c2tOB-w?~p1|6L4(r;YeXF$*g0K{qU<9eW%{7@0gX z5ZB0J>eOx*0yzrO86=1aK$a2Ro>^cj+Vn9xn5#h%Z4OXIT!4>zgMiz!HIs_T{9elr z4JT5xN8>xz8RmE7$r94J`aSVMC<{zupgeP&pRd!nbsw2bOmv+3D=Oyomsn*e5$TUp z%DE+-1EO1O`%X?Bm)F|fK$v(7ykWcsl|ZeoC{B>VzR|t^8J4_xLiW>g3Y*S0!QZB5 zSpgee$G1sBodo_zZO?B9x6C-^bFuK=xS+UD3o>RFD&6}X6Z*|s z&-EyE(dY6u`wKGsdxFbKE_Z?=Td?Hao|$E_Y#1l5i{N$xWV@Y@P5DH|yN84F00L?! zR4oGM{?mNOttFeuh&-`MlUDsoYikiP?~Hx?NGn(?rLDNK%WvNXBdx^Ib_Y1cCZax7 zTR`NZr*=Yy#pXST^ktHdzU@QnPwwR29FP?*6g2t)=^D{5{>NaAMh>1l1^~2}dlA-1 zr9>Yp_yQx67d8Ud`1S5;-3>Jv+poK}Yr`ml9_(4VO{c8nkY&pQ5ypKyo@_Liq|O!R z8T}$QCR;KtS7F;Hqt?dfQyYXQqr#>6T&-JHN#tYvE}5jxMtnGn50pp&2=_DNzxMUJ zqe-OX42Wy!)Am8c=W2W2TkjfYQbD@CRQWU1n+n*gK!!|x&5*qf*bQ!ejkV{I!=%eN zh^er$@IA%*FWQjY6)_ z2C~NO7<){(>sr$0P1dl5Ikb1jy)CNv^bpqr$?_4gXI0KE#IN7g zxZN+;j+PmErK^y38e`rRJ76;%J&1>+UBuZ!(gtZ@a_pk=d;eHjhkx8G$^jhWat}u@ z*-g5AS741S`sN(x*pl|c+;Ae&k5I+ETI|-8iR&bfs!z&`I?5lH5~xsGTjN30nwosUe!*E<-8~nFHQirHx6_R< zSFcAz4Bk0@99l?LWaFxMP!OKVV`$uwj*;3EJxQv=I7>p%GvxoXAFx9S+_6U0Mn2gduzfbvQ1U}pJSR$_nGB&Y4KuV-MUB#tB zmxfol`wwMylWP!A0mjmgC17Rbo(Oo5u|${}zDojBDJ;Mwh)+f<@_D~`-8pAgP{?Ui zP3@7FF5W(&!kava%lW%gLZI`8M~>`|V6TFa^wA?kdwe6BbOntTh-k%`@;VxtJ3jp* zN|ES7MaR6XDVg8?Ka%jUUP@+flj=45+!gQl)_(yQ|;k7J#*y3)?} zXJQCk%sZmf5F4ixHEnIgfa%z#)mv<8-)^a|M2txlQ1OvoloQg3#j?D7HQTZ{hQC6M zy1_)rgYYT=3MN?pe1#60im~4+@!OGBL}T9EoHD-XD;}%>9u|t-x^fvi>fShTUqon2 z)H96JX3A0tdAR;iO=ka6Q_Cj$8~_}$o2@};)Z!4}pf?t!!U*CiM&|#V677+-TluCxw!Pc|U}H z$Er0U%UH1LM3|LU7E4HLcp0xK6otWRZVoRN1)Knv=QW}T>1isU7uVbFP8Y#VmkTW? zgIw1LBI;sngjq>b$rm%0)eeQ;2u`EHE$qfCL&GNd5FsH+j)a2(XVMdAbM}X58A4TB*m*hG zzIPiI`MolT0ui`b^8F|0pT0ggm{lXiVte6%F)jb^L+Sb5dCn2(=wBuSHp|P=VStue zOsUDhe-Eo~OBF)Khu)bx$6;e`$SRBbLAW<%qLGrkxjc>;RN4B z8ww_hnc*h0EDm#0-bLGRl7M5@m9)pCmY%jpCC6easnKVdG5d(_o4{n{V#soi#hCWh zTU-y~-6nQ6A?O|7O4e-jsI8l@n(PLQ%Jd`_|LV{L2>c6X#%uD}xf^nSgg!&g@oSO)L!>6+D=({9IPbOD8ex({W33P>6c@nO}d z_V=dP#cwd_GT`5X6;8ip{fIXl({4%0hml;dqurc4&D#&pO$oBXQqKJSq3*yEU*1*F zBP0nP%f6`ck>09SAsBD51fk5b ztZS%dLh{sBS?tOK3k^cOUZ`5*$>lPL-C88$lDaZRz-VTKC`IoC`~b@v9A-1v-DuX{ zQcBUA=2=DPF^)u_((Fj>M{yLlFxlN*BTGgLYlpCBlF{-lv8U4}^S3I(($l96qX|v5 zq2uoiTvoDV*JhqG$l5>P)%?i_-99Jp-?Gp|sD^o`Q%3lFk$50kSKlWa<#AF{3ZT1L zOf?FyMt~II@^i6N-j^Lk>y>>dgRz*|x5AhGaBVij*gVDTHPxu|* zUin7j)hKZy&c^M2ky~3{Kw_sL@El&B^xR8%yER@K?`6UBVrt}ACV;hwz-qNZo*%|p z16i)Qb1%vg^Ua%29j+kC?AwNR35%z0yt5X(5V|7N9rQ+!m zV5>aUF@-R=p9@7^J$`j}T=*=bka1q*y_C~{fZZ%x>Q^ZA{;4aAb|mq-m{j)jBauNJ z)+bI{89jM7!SDiS3j>4#o}O>J%VGA$W|9&-?9m+7SEgxoDc*E==5+Ifn@U2q1^5&C z3pYb0J)DfNkbS`-7Uz2=vz`ZBSNCR%B{+(EjI3*3Hx@D>yUSDdPwe~#XJ8MPPVd79 z%g0mOC)Kf3y^}5GhvY8Q(_oF>hvOfyr{V-(Lx9FnG1Kz+(PyBMhY)YZK)rUW>B-nRSDm6RdL+UfHmw>~hX z0NRvbuSU1MtU6`@9wk_+{y4u;H{(Xx?)6Gd=#_r4TrrBRIhKB`V39MoHE&q&28TCaVx@HG386|?A>d)hB?VUY@VWpfFC*oK0ML$<#k)D~2> zFtEpStrrT9h}+4tvA~QfQXNf%d9CNu^F_9k&&ciMpj6oFo@CAUDc1LH$M@;z#jEY` z_LtoV&CAm=&Czfr>}RTx^~_K7b}im6?`rodx$hsk2+b`>ZnDW6*fa=9z#s&-QWIZ% z>mXM#!gA1z9Q?kKZxaWXasOZoc4}uN`ES)Sn)`DgsKE4D-LMRp>O-sR?K* zC{52rg!Rz9TVOhF%iVJ0XeTnK;fCS0zzM@jj^FM(4h;`i5SR$xcy{BeZx}xAJ(5qp zc39w@sc%s=Yy8xTTD{AmD|E~+_L*CQqsXsMN$AKi(xG(cz2D54E+?Qa=>v%JQ`(G> z%d9t`?XGzNi-|;KWQQ)IRR+S!AZSj3FG6v*4zOr*&J;=q3V*KQfO;T1Sy+=+u(F9f zE9aoWYb8=`w%p*8_kPL49g?Twlq21aVNTxLc;Zx;m{besIQ^~UkG;x;e$RqfFBc>) z&({mp!c4#KW2;&0H1A?3eII;r;o*yE|Go zp4ebuV;G?u;eNE7n&^v)G~Z;Z7K;RyhO15d77Y1TC!B1?oeqU2=~yQ%KVrA&(hX$` zH})~lix`(4_pSEy-BW1t=W|p~_q9`9&y!!zXD@pCEgHJ6PF$>J>~=f|knkv(O%(}} zRJsebPCCU*%yyC1WbcwAWbLka=O|^-9B^wwO+P`$L~NpGPlz6$m+p(TY zO2z&Bd_P(Zyb8~*>+$NvI=Zoz^HW0(>iO1B+`)69gC^CB1128gQRRkFc}Nva1StvT zEk-rNt1Ok z)I%6wuUDu6Z+~H=uLK_nS3t42pDjjPpY@xe68N58*yA8AOU9$%Y; zqW|Yb$gQYuKXD}KP#k*F9Nw|!9)2RXHEF>shtcTzAtcuKrY=OSBo=Y(iXIEHwCNc0 zlG>15tC=6Sr9U}A-hAxS@Ps8j#j*gA>NGK4^l zf9EU%?mhRH3%2lk+ zRM1;Lxzd4y7Z^MhJ9wH*B)xZ5bgUKIi%sKRobm%d;_&<8@9->~jgKZ>{P)IUt#@ht zdtG=2e!ydJfWqD-C8N3rjo3Pi1s9@3OH+2swOzlfRq?Nrq5WrTl!RXFwVn7}>@KWz zKJLsmRgL-1mNoHv-()KJ`k;4YNoFeSVf{tWZKYsJO z>T_H_xa+IV5|YQK^${Ki5`wLPNZ=n86{znEU1z>6To2JNwWq=*ccn5iq!nf#xoHh#NVT(`j_6;OeeJ_v z%1?!h?`XL3EKzY9f_~-Ig6QtUYQ}I<9|Jc!&$^!8q~3O6KifGx!w_Id`$yj4apFMJmh=Lvf*YE*Nsw-$UyhPl&V+HFcR64BV5LmHcbQU1Z)Ll}dlybW_1u(JPl|4- zP182`o2Vs<;&&;0%p_%2*#9QKuc07o2}N^dYqPxWZe-K-;^2Ph?e2N_^c0)3ySnzG zMeODKQs{Gz-BT>2zeQHEZdv>#YHYY?_r~I7k{Cq@7ePo4c6FR$Eu^k={=&-J2KGug z)0=tHJa?ylsmURt_q;lK_6g~tMWEL!Ws-Gmpk)n%0Kc?t{zdoW(`4QJ3%8&?;o1`mzsSv`Iq=5JdT+Wh+SyRXF+lf?t~jMf#S_wC$@ZcOJbbL6>Z zOpe;QK!>Mzohw)O!kGAE=KVoPWy^W@WRl9)H|6f3P6*JRJu3Wingd+cM@)pZ$R9Qw zsXg0fvDJ_oz}m-uvVPs1BtEQiG@Xpjb*6G)b|9d=IJ)d|%64#dDD-N4(*EX>zqpA} zN0|=4=C&Qs+8FpfDf+x>l?~}q7=G!zgG3XwB0U;_Al=48&`kd24p{B?k*8#&f@Lh*2UcR?cjbarw6(n)aba{coBSp^mWX+dLv zSu?#=cV~Ww{)So8-MM9CWruze_~oK!_JVcqgDp_m?}9Cm*#}(idV$OD9^f+j9k{T) zUShjlu)UVofXnpb6TJaWf7MI>{>hKrw$H6e{syLRKXcD$;*~LE%}D4@Coyj#lsKbn zG8RWRi_+=!Vax21SAk`59r{&T!&stJS+9dtS|eDZW;DEvlBzZl3!SkI@QT0Q_R#9} z<4W$)ce2WAwxCJ=V#;CJF*=U)O5-Q^gE_DlQ2@xq0%R7@0x~54nY4gR2|y-81fW(0 zP#aNz6`?2c`Xe8pg#&2GUunSr?X3*1L$DG+>oFt246Wq0b|i`qXE7NB{!S_V+nt%TIA83by*Y{SDE{bi~?;PC|hdr`T z@k;;ST!02uXxD#J_1|b{K@<4Jf7zLoZD+uI;-wRNfwJZN|K zE}y2>5#5aL95o*}B&aoC%Hulhr895NaOm|FTeODBO**5e=IoI(3(iXDdXz*;3s$o@ z21YisbLsUZmjjT=E8seqeFPv=0|?SHm^Vk}08~p#B8TLk`d^rPQ>#b$K?clS6g9-V zJ_k1sJbHkX|4~g*&H^r3sr~;|=zr21pMD?ddvFhEh?D~~G&d0MKL5zOacKST+Vej_ zAYu4Fw*6n)c*O6sPpiX#hUFwcgF*{2&5^vz|IY^4eINa`OVjt*ZFKoSN^JXy;%EFm zLN0*dE#Q|uuYM^6fPDHdXZ8a8a^ux?bFl*T{_*2~qGb-6JQCJ=>bQ5p6zb~G^zgmA zuYS3`dRi=f+Recgy;(f>`SUDx*J`_cCAR`1t)f4)6L}o`TGJ_oc=jB zm@ipt^ADH#nd;ebYBl-4$FMhPBJKCR2 zTABurt503re;B^xdtHDXW9HbVP+Y&~_8Lwc@TNOUp;u}X&uFo#1H$-MpApQgFP_C( zX0-u2I>YsMK`^^W6=GMp&a?B__@f<97QVrC$&N4iE829tQm|NYJ{T&hQWoRVq$7cX z43>;Hu7OY3BlDF{p^h}E+l1w6-2_zp{k%l-6#lfRR*W_5_@lp8R{*Q(G)w^@yyU!6 zz^lpNOl0;0vet5yCCPV>tA?HtihJ8%l)1B#_wC6eAh!wME~*k48Cqc;2`A}M6!V!v z&?IP?mQ6+)7_7!tJzoFN8MZL!Pr(e1@e+$GZ~ZuCv62z+7qg~nn&<7}IjE`J5SPncg-4HSvY^cQtH5kKr~ z{q(H&(&aJ1-NGfNFv4=rndo~E$}aN|u2K-tn__8ePAx_8z<)D8d#)E!3gbI6dmj8d z+@$)BXug)vE1KtYA;gHW2JE+J(51+4hlh1~t~bNF3)1hL3NezhJD-E=?#Uzc&xHN; z(#ChxZZr^LG*D!eGUoj;jm-pa3qHs2=A|lzd~$Yf-AeYH6J06scR10n(OLEg9wq9B z2P-TkU||H|PAqs+SY7POiIB87sfY z;!_=N#d@O~w0c>z)gZ0LTQ)3Uq@#nMx;Qc}=q+!T&dv1U^MV`6FyUi+c4?as%LxLS(IlqmEH9&MufiZ4t7)@fbo@@XP;Z13Gq zW3mFT^!#Ss^`xzHg%DF6&T}UluES{d5M|*8y_=Uq?^U9xK%-`_bgl_^Qsy{xWkmKyvTe6b92^^>I8W9X6Wsy``# zfr$ku^sQa%TMNsYZzERMOR+kkM$SB&7>|VzVCXd*9GO)YNu!<0@~ng* zwG==(QGGCSB85Mx`Eu&suXMB6M6=Ib9j^|hWZmFSUp zS7=B}eph;%k2)TGJ=Ck2tEL5|z&~Ywz8^K- zRGjv#rLfrOkJ)34+NLEX{*bRl^td5PE9LIJ5|dqUV=Oh%6G=3yji+s9$ixLd^t%jv zE`{Bp!aEZ~3Sm3=Y5Jk4yq3$Xp}w|5j>E&?k3-G$GVwG-1P%WC$Z`79IdiaXG!A$| z86hlO65`}L!DhYC)_zD7DO!_L9n|~OP}Aud2h>5&ITYYuJ4S`2`Bxp$UE6n-+qZr_ zehtNzHu?aPq3kiC`FULEpO;{s#!P*z#*NKyyrh1Z#+(^P2wzmnI7}}=1y8wC(`0e9 zSZYJ>+E-V;$fn0l_7$l?Im{MPncN`Rv(MTb)9y|!84Hk~^6%3cg;C|v(W0E>Qg#Sh1TNnYWv4caanNjf+1ZeXKg(LyR>Y8a8H#u^X&k_6cG`4-~JK zQcuTu`e?Wk$A+ib#E$;Xc=Y}@D8A8oW%x{Xr0`7&P$wSZ()M%PH-i+yabWgFPytl! z*9Cr6*aWbB(0hMV>f`fnW7ncLIQ1s?{xHMwU<8%~jZ;Bn${qW(p`W#*P?1aV#9Cu9 zdv9<|ll_Zf(=FvhdI_ZbGlPHeh09QUd?{?((zW9?#m3mTN>)8Sua=at=wq&o8e|f2i{}Y-H-)$|-ziNu?0q|0~CTwC(edZs(+9i!M})Zs*|y zm7rtR(-vQ=kS=%c&R+$(e-#)vJF70y>8>7l-ZX@Mz7tZ|IXikdLZ(cPuB%LtGRuU3 zME0@{H~s9Z;EOG|=g zo$4q@tuz(i5N$pswZF~lYi3tAy__FFZpf|L;5_i{x?kS%Q9AqhaPB?dpK6^K$zt$Z z?@{@g;qQtWbi$nufM7 z$}Lg@>n`FZlq9|*;NgHR5z&bEL*%kI3utit<=C;wsz;x`iK9M@)g-OSPOAz}*@Omu z|Bm0dgCbl3R493hFp%3IJuFFmLxs57J`D`%ttG*|XCENBOd#3V7L3-kn3Z1Y{ zT&MZ%(xpc=9J~jeExv=D2hqY2k|e=mqseGg`Hi-N!nlaHq6l=MH!+4j!M(S+KistP zmd)$Wt%3gVNT&m;mB)^opq>RM(0LjY(ZlG&6s3_xFP1`ohzg^cGVZg1?;DdQm3SvX zm(yA5M@w+MUWERT1dc?n1t`*({L=tGdza&v{#t7y$Cw9E?wK${Z>v5bDrLdcH*$F> zgXfG3>lkH&`2rM;j7zI9VWCEJPExTY_=R7Co9lX-KY;u@DOv3a#hLtvte3F&M>4vS z=(-=I=aq|*)-yVrKFjB-mbi?loJBg*1+r^^`O1`_WY&5xr3404A%|~@f!eTFQp5ld z79+72`hAu!EWnB_PzNU=d)m*0dCR8vhiQ#4O3J6Q1MW~s{{5RkqXbL>6ORw#Yfums zqt_QlQB^$Du{w9^dFUf)KZ_Kk-MOaLfBe17#J&?{rp#zS6<1IVeXsG}pArW?$Q0FB zJDrE1Nz+wexRZgOdZwCG3PeUI``&>i8&u%9xL5Bw7IK_Sq=a!g5)+QZsAZOSR4*!J z?u5sBN=W~&WQx;awR;&#$Dvc&^{e=8hgxRoGBKHdb7a&HRY%(6d2`(sGGf9Ba)uj% zQx^!xsINbLdl4vQVbGL1jk2z$Sk-G@us^bDuufnpNZ~hbuYEU=67ns)odE(-2MEM^ zW&>FRZ&dyJu`oxmpSPaZb_eVC%1cpRqc=ol+s#d0?&-4brs6@viUnD>q>1d)I}ePB zqg-*rJENoW`q;(2-w)P3l(p9!!Sqgcyk0AT0cb!nFgbb-HEKcrB?>)*7u^8>-j#l#=?}X%bfM@qP-l?jV zN0S!K*ye3GwU9kC=&rACkI;@Qg95*u_=%b2{X*Y#htm9V$%f$7 z?IbPs;4P3mLGI&L?iU%Vw7WmW zVU|&HjM<7E$jV0YsoWM#&SI+5kgA37&P4tMbJ>QY%Q$oQ2Hwc^D=Cb}&f((~r&TG8UISUeu2HtSJ% zD-H#I{zOvP(sMNwb9!8+h>-2EXs`rrAIHKt=R(3Bnsw`CKXjg~JL*y~8MxZ>VuV2_ zq)?%a6=%70H$hXO^~f@C<6gLyCq$r^%hF5AYsw5oK_}G9${Hby0DTD|aRQJ?sIVzi zlhuJlk`Si~`*VW8L%7W-5`pqybQ=t|60hk5IwSf$jfw@9H4Cw8M`Wa>(bT=T=!YEq z>#74{O034FWPTCC?B}WOborVPH1KL$nO_5$G9;8|m~F)8<}!#Gf(>Nd%2C=QdQ$D$ z{AZ!gpN!QhC;YecshXpy?gkPf^rXiTNnllWsZc)kb4Qq>&vOX-{q1@qUya4-t0U0s zcd$7q{I@G2X8f}>AXT^zcL1#EfP(UNddaaAO`rp`WrEkNUc_;c;?y?S#!C4|56GsY zuU&%o8GGM_&Gha~c;3?yvxUmTGLz|I=c*UC+>DWe#mlX?mmXXtjUz{X3q=bMGa`SI z#acRoW^|1ImSw{g`#cZYaG3QswQ<7#2=3oW@rj!BQtHAfX3M8W+Oz9*j?<`lA&Y{B{~QK3iiWc& zvjVI0QC0q=^(7x7!XGGSjiuyEfKj$-#J05IBH?tXt$NJqeGt*VmsH4-YN*W+K5Izl z6Iw~3`8As4ntnJ88*W%+%a)JVNS2?DN%)b+n={ul{rgXn{J{)7%`CAT&8P(a@Z|{b zR7yT>9jdsf2_dcb&@COK-5ydirO*LmKR;^Auh6CUuw($nDwascK&x_5X$S9$1A|7m zYNf?mHTGZ%FoLeUUA(lp6jxMX2!~lp<$l;_J zito0!g%YCmFC*Nzg6DUYEwP_p8Hu?wm93y(XV6d^A#BK&0sU)&p*V~clL=HVS3dkd z0GPnE*L+otX32B}@PeAgmJJbHU|xr=pIZYowQOij)nS@K$=Da5g^I`gE%c;G>7;(5 zL+2eW(xl_?8?!UHj!~`< zw)d1r3EuTvtrO|{BygOz4pU?}KNVnM7SNg{iF64R{?k9r{r=qt^bUsr7))>EC|L*g>hjqD)K>mj+DRKFZc1Ooro2gV0`$FE#zS;s;FWUVZG< zJ;xzm%ltM|8!K(WX07 zk3a}2e>YXc2SdTDLDUK{7?LFLeMidw8Hc0-$1?BF-l$@u{lgE0fJj46wE8CqaIu~< zgzq9&G5%GLYZ)Mb)8v8K@GzFP#xT}VnO1bhmf}O`H(ov}wQpl>kAYt7w#*mIuP_i7 zQ2U!YUyI0o$SQqJLG_1EmH zoV|0@^Wla&;2TgbsRZP>fX3^?NHQvmYj;7q{oBo<0mte}VNaUH<58wQu!hMo0)R#%#~ zJ_b6o>oNBXJKu5V$`{g*{`!NL7=rqNy}yzjsltuYTb6XFqCCZT^WPnd7eo3-k}>w= zz6$ui^ZsQ>JF0#8$K@X*LhT23X|vGAF-PQ*b6K?kIVyqj+^1!}W+8QMUw<25P?-Gq zU^1GTTHbzrVWLRQ|8%>kH90Lt%}-d^q#Kyt?iiM%mZXl9^~!^yt|<4(PPxS^x7e`- zR<*@zDO*;$*5aiRr3y7!;YGjm1WfgCbG~CnUwzkz^bymJUlg$@=u3!>W%%N3R3ed8HL#1)=1;j**D2 zJ*MhSs?&pr{hiSG&dR2vtG&BQO8kW`0=iz#;fV9z_bPc!!3FQkS!Hn-q*1Q>wL=Yo^t^i9)vq2ro)W5BHW`*35ws&PxFqxV}vcs zeI^@1DH-rK2KEM!xuu933d?ug%r}_@QR*pR@aqdBIA;if7#CGesMws>2F4v>$=yLj zZI?V_F>_n2{N|p7K%(zS4CQ=toSpK&bFJL!JAT#J-ovyb2iC6zgWp}%D zf#J`zP6m`}CXfw^h(sWM#&{=K)lyK;{v$Fp*d_R7-v|Cq+r!hhjbR?X^r?Q5`anbf zLYgT*D#4nbV&}q+P3&KqK##c&`GpA+&rVOEXDAU@e)%>IVD?6XT)|%l+g+)vY_ohyw~wdg)PQ+*DfE7cehm2L(FwU?C?!O;K zS_`zwG~B369K&(cGDqZfPlx;~(N&YZ?Iv!igRb5aH~qUuTGHDq)@5p~&j*zC!GtMG zqKf+F;Fuf}l5{~0PMv3w59Xs1TIQooM5Z`4x?3`33Yb`{_d^wNf$R+1+h(u;rmpcz zkE&~@U0zX63zK}B1JEA9P-*L0l!@nm@9xEAg&l=FdO@GTv$w5KG#6b?wCXV${fbZV8 zr+*`c909C{O>R%prp$P2mu))l0nO@o&JG16yI<@NR`IiS)(TY76|FD;yR<+E-^eLu3$2RaCbz|^yNOPzLeX%Jj#5! zND{+by;B3{``%s(cfQ;!b-iq$Myeb5@;?@Pov)=T>1I4N$IxwWk*m@p`+hTUg)HX` z$a`wXFEiLUEoH~k)L$kzEgiSBCG9Z0{q%$6M`Vs`awWHJ$-BurbM_t45mOa}@Nn2b zTQ!a<+d8|s$c2=PxzwT4Xb)_1tSf1lt)Fvi%gu;bC@)J`z#`%5CRStVT$~*p2JGVo z+iI|#Tl+MTLj z-Z1CrFy}-dx>m8Ly^XMzfO(qR*a=(ok62*Bu8u$;LoDdQWghqyc)dgSw7jsfbI|p? zwXhSueZ5>R6yNTFZEVQ)3OH z^UK9eZc2AY``4T6QkoB4PZt;kYtJt`&Fw3;Bx!4%^yCDcJr~#b?WiUgHiga!=cQfC z0Y{?-&zEkfRKE9~M%zlhsX?4$b`z4;kQiZI#teR2a0!Xs@Z^E8s@@cSvrN(xL2npX zryf7_L00vp(271gig1b4)zvJ@Vfxj6 zkngQOUM$y^G}78PQ9HxXl4pX9O)DLMEw=-@E!5-RDkh%M`=}k2! z`V>Bh52NILI*Xc!sL>lgYEIsXAV2t!R2Z!pcxdDqEOH$N!c(3SS6mPBejePz;T#N- zvS+Ue@$TaNxQM#Sc0TvM*M}3X>o&V7stbdXT3a7V9aajgc^E z3;y*}!dD|4v`7{4e2xN{^=A$m87ZMRau?&zP0aq#_k5u;i&k0AWwy#^{ihZs&e7k= zP2!1*HL94%Z}lMAz;vVoZ(;i0V8YJN!BQ)OQGlI02T4c=_c(v@30l&WslR_hQAO~w zgm}aBK@|HXuJMceVRTD5ml5XOsz_rYA%wZ-`jdMzkeVC8zCX!>%kxXago#rF{3HaU zn<3nszvRc-SK*s*bN82BrfJI6hw|`{s474IHNG!Kxi&O@Tid=^Uurq=mdML1L&Q}h zLB`hl5G2kRI)!Lo96|tpo2fS8_T!om_g@S%HH_w=6mZg8C~J0P5HB19DXb9;665^aIK^i5Zuq8WcI9nEm_F_x z0C1IV@Z0ws>T!gMwg_QQ;xK(#w0<`Wc|;`6c?qzvN4MSMS+ComkWkNBejK)XI#d}< zi2qU#y4NX)XUVxZl?9$tAB4x+$`@3f2B(|%O$~{4J+KutQ(p#BY&O${*c&v)M#)8Q zL8uy_(UB&NR)>tohrgVh-p1*VKZ8L*{ie(#%%-vW?EjvWHwmOFJ_{b{1Pwi4QL*w! z>5eG#M3jI+%QZLh7`3TVnRfrGPaJhD8q{%=A>2{vg`TGM-=POK;p#l zM96_c&m=a6g7GwagI+?&S?NdxGvr8NsUfrM>${*~cLC~+0I2@k0{d;n_81lfXqY~M z$!^z9oaSz%ukCb(w4~-DklUuzuX|C)qK->^E3YU1GPlp2czF$1^ zE}fU0PvWks{~ogPXN-G?EStd(0zmrWJS zhzw)jZf)&?A!yaotW}N_N`UUfH4|Do69apoSFy%Gx%r=S}?3Di!ojLybsAH zwOEsHG`jy>?@BrMPDCwVV8`KD66p12u>6TZ2y;~jul&sO)B4C>V@`|kfDo1(0&%`9 zhw+Ex<9N8rdav+chWyh=`S4tI7Q!XNAmLkFFTiRB6|leuEeMikPBMSP7>(ju?44IH zd5|^Xg;?K3c>`gXr2?KSg-!tW>S1XivkFkBZ`CgG@d)XkPm5tyP1U-)BmKxd5DiW9 z^Kc}5-b*avcAr)S-adRwW5HrozKitA_k)brK^wSk1xdOUI&}iz0d=d6Ls%#L?9}6T zOF9rqR$#0SW_-k>-G2wU%^v0dga6U3g8U}YB%xQj%1^5RZarDngdzTK{z@Kj1ocf4 zDf)Hy^T7wX)2#w%u`3P4ZOZNI(GJ>W0bYD?@KsbG*OXETBWYdN0-T=FC< z%cHY2A)yAd=ES#f!3vx{PIKa7pmixSCsQw6pmWMl1+PTW`4U>@p#>I+F4t7V?H~zzi3-5B z6g`~~iqldbJ{d8P{zimBQhz2l0Nl?TGV7Gp1O_P!mh3zj!f{a(Ea0XMVX=}@?Wcux zR$o(whmD3P3>$H;0MUYEVt9q}LiBa$X)M53(~X3HbV;`&&6X}f8l+p14hcy?Ktwu3T2i{CyBiciI;6Y1zPZu+eth2d zJLmktZ?o23YtFI8yv8-gn0wB#f-K7AQw?nI*1UMA04^ULz$wwSmYS&Ez(XMcx`nR?}&_&2Ht5mVOY}E8|TOn{xl=)b7AN->cx2XUYDX zt#h$`^~sYL9_N>5VT~6nqU<+2wU6d)_|Il38==@DPVO=AMUY&##-4!WBqIKCwxTyS zsLC8~lb~fQiAj$OU6PE$B43Y*D~lJhr@<2;#T|s}kwsXk>ZLQc?k3D6uOM2oj&wxUz@FnoW7FN9#X5bsA zAhir#0sd1%6=4s$o^SK{V+KFdla0N{h%UrejJ=)g#@JYUjX7?{3SlDfxpBL1LAM~CBD7E zX4wA}|4$QtiU0p-^nZ!J2E>me1L98*U8t@Y-z@)%?W)&faOoku7;YF#0qPYI`KXRV z3DgU_0>~$c;&`iGB_QAWU-G>$*i-`Y5#0{8M2YCu`>QXuB|FA`Oh?5LjK|rYC89@c z$4e5UL}~jPDmAbX`qM=3J6#j;r+=UMcg+4rqm9u9fp5xRmhAlUlResvV3fACXulTd zb`QRqY#3{FWidhp19w zdqJT3M7P9ymgwT-F90>}yuW(=*9go4K-K>x@eYu9<}Zm)fW$k0jnD#eDJUY7h#?yH!zc2HB`Jc$zqbyMh;LAFzY{UEJ+lWP%}=$p!TQNe@E`0UjHG2%u4}+3_SoOxp`H_G_N~Bm9LFm# zO#RVS3K)^8zeeByn9$!QK0|cjIBRU6XzF=~*_J+&6!y%2nn>(=$cy-2XZ}x(%AYwi z%G3hl5c#ME4AbGzO=GhtsaSj$lCkdVrg1j^h+CApgaof7Y~?0_39TMh}@hiHNL*U(L?i&PTg~K zUi!HJUhc++97`!c+A7-ezVU%@h3~>9I}1+MX*KbgoB3jjqtrD?$Yoh)mo4wLO~&G# zl9D?_S6FR=*FCfexjE~{VxqoZd`@eBVwUqae8)LPqq-4U^@koL`Z}@tF7iWMTMf?4 z43kW72APy-EY2Iwp(;mD&^QZsG-;x}v1@vM;FJrBbm5`%4U-}5zN@hC#Q4TpJP<)9 z4sX$Qjdz+cduryXDR{0|GVsm2lbQe10=aK?1lMYCugPvai@xW*!a|v^nr(z{Cx{s( z(tVzz9WzqjLq`9`=xLIuV%cg3R#&v56WdAN$+kfi`q2KkQ%gf)fNWvCMaCJ^y%bdD zn1Abip#>NJlv?$Y+EU}iLy6+ZdnwnJCFe-?v~3-a{o3#AYC*d1ac|dZIu0z>v)U(h zU#!c;b-zwkzb>vD_is7gB!UDPr97lv?1xg@!b?aKJTn=zC`if`%=u~tzo+n0^XHws z(VRfM(TVF9%cay>FCjtC@b60WVjyg%$kHNTZp_|HYm^7fVt2NOE=^9kOILfXEhHOU zI!ITV>sqpxDDd47N~Z*Q__oq~uy+LYVj%AkRSb;`Bf1YW(i}EfRXtRedaDFfO5Y+z zqR6It2NSLu_%b&|gf#PEuvdjM`>6W-KtGIn=9{60hB^4n_5(WRCzBQwV%Wsi)Eu2r zFljo}G-mj{EMd2iVf=z;UlB?JlADeKrPqbSCxgh1wWV{jg&$|_9JXntm)y?YpKGHs z_$_txX~;0j<^tmL*?giz6+W_4KBnSs4ILU73&~5C?n9*#42PRxtl}(uZcfIy4}R=N z?n#~*Fb^rSy36Kf%h{ZQYhFJirkI`Muf8_8o%`b^m9@^h4LJ@H8ck39e8!&Eg#dbt zjr?pI>+lyd|Dq4VNXH7Z zoq9r9JBqcgzs@_z29~nmOX3-PqjM1LK3zfOufOF+T!5^OG?V~0&l^5uwRV6Dz0F+} zE_*ukyDijaC=t0Tm4(7(?<32riFSM!NrDfM&-94llC2c<60|;6uhVQpRmH5yvgyJD za(z}?F$wI0fncHV}M(2Wa4&hRj_N>3Bg zeSx?u^#;F6u$`zQp0N8I63A_)`>??~m2j2v1yUr7T&jT$Au;{RcBL26x$`q0m#KoR zeLRgO%zWW{+<}nK9Fg+gPI)SW9bVPeEHijLFQ@PT32SO_5$^9>o*W>M6`J^U@-CTx0PEM;8dX(;E z>W-G|W!BfeXwhvmv+c)};79o2;L)Dqdq2--n#2Zh>%j;ZY|hb2ealgVjI zFAOeb4%}q)S%8Z4;Z)tydgt_$<=~`OkHGHGoDaS&c#y8oMqzlLjZ%y2haPn@0f0)v zFY?g?R{ss}VJ$MJkcI90jvE3uP`+n)_ z*UVjvElcAVyJH?v23tZt)^cLaBzAPna&vUt3-S<_{Tid42=PKT9mMm27*hA1*rrwT zJ!gG1x@^Lx)q8@K5U@&y+2=xF68=yp9nva}RqFnFFQEj|O-di09EWJvp+`c)w)aX0 zeo>WMLX7kk#>p+P+a6CwvAWP{&cAs59R_TrV7jbVskl|roKpvWb#T9++C#B!YhEEd z&S%0qYB8EV58vQrLV_AgnnNx6!c7T6e-$IgGWrk%#VV=rhrsI zGIDyiZsEGz6=i795-GBSK3psiQL4My{RyNy4{`ThULu)B-eM4#difrS*aTmlbU_%3 z+*4I%YzdpUkh)B9{W%ytd87(JOq~HhOr24`u@WHrmgt+py;C1nA6lDlCaFsSO*GJY zlTwZcdxa@Xl^fZ*XH#8Qude*9g^YjUHZh&=PXu*>>A^N%=@-m~PG_bal`VX{pH>VV zqM_czMUICE8)TJ`Ah&_;LdszmP#4JFb76x**XACsi-#=L(j#OI&nPsK=hdRc6xvCm z>#aDAIGR-Pe!fPYn((;%=)~64Pfq3VPn;AHbcYDTYQ6dh1-H&+t7x>v;FTX>62PEa zVUb!ayrI*^q>->|1m^J>d>1bPbmi1`FS>{UbPFkA(DiBw<(eC&0nE&*T5B@bT#?&v zAgAs*Oh%$*t+WUEk9oazp5Qx>Kx(l$P6Y0Ok7#vFI!s4As=i*9%;As< zM3rVXpQ`PCK2wP>3qyd?qgykA+k6-)(Ygi!l>2|ioLPCV5^f{GJ1 zO^Z*!CQ(07c`ZB)G!0h}lAR`~ zp$CbM-uHRrLH@?qIkY<>K1>XBG?2FX5-l}$8(vU|k3kTb2J6nPsv)rYW@SA#{hyv>6y^^@4Ua<%0L9vFn z)QkJ!`=bt~OkT`KcrqgSKJc0#u!yr&G_fDz3Qh3p;}Wnw2Z1!TQ&U_J2_q^YH+k_* z6L&#usvvi9@O|AnWewA>?{bJuLMBzJp(koHc%aIIVY^NRi3VFk`tNP5or_^=ei({74p@i?^zoyYwaXp}EKE zuR3KtzbrzUX$}r;TeJd|nP|b&jeFANc=Uk-EAB9>rRrExiYRv#HBaB)=y8O}OvA?M}w8SOSg`(m;(Rz+ODYB3KZWrW*uQ1_FJ8_|-l}||p8=p?<&p!T2p0EY@vH!Su z!d&RCw$_`G`@R{xMq(NXob!oSN!72*CcTtgCTEMhOJ&Zy?00DBohP*!6A`WZ;V`J+ z6N|00d~!J9+u(YtY81#3Lr=w3AwjlnT!oD10Z{BCb4k`jBs=tS9hUH9W&+OQQ7vCn zEMyIh?niV(qCe0vaYk%A(KD!+eF-Y)fB(SH`Ac})c zUB>g^lLp>@3T&+=Jy$1Gp_NkIo zgzX*ud`4nn#OxuWSG7PfmZSP%p5qQ!tsn=_WhrA=WlSr`Y-s2LMUo1<_r$KU>3$e` zh8C-@*OFSQ0Y4I7`i>zv#r37H|fqCE25E(Py{|CRy7M0K7s#FTv4x?{#anI{%fyUo>ttWD zRG=aEE_2-Pk@yw5PW6}+j=f+}`aRFUn&!iJ@Ao{zq`W6Sznt}IF!;3|6om;y3SS_I z+s!WFTP5x+5LzY%Jxh?K?{cR-M+`?JH~fx5_38*D@LkYi1vO3N1R{#ce#9K`uNQbJNt4 zd#-0?5ZN#2K`2VHRPADDhm$3ct5^BZ#zp4vI&nA)-KQ%s@Vc0$BKb^9ox<{LGvw5I zp;*Mo-u3dhm8)O%$NP2xcQR2^4hwyXyc*e#8&GI5lOJ~QMKBO+nY-c;=$K_xEri)W zBvkQ;fZoVR?yEzs3VDW1@mDDb3>Q&HEIX*MtLG!D_0YHm{N+NMV_r>*l-JM0cuVsa zfqKhT1&)2ueN>ovfia!Q1l1QFP+t2rF zD)j}%9BmEO>YZui!yp?3cL~+0e-CXDXd)cRih9!c(WyG@JH}=9ehToxm$Q&{Bx&%$ zB5z*2roPF*<*DN|;PR8`fy+;#-`Wya>SNYzyW1h1FGZ|n)mMv$WQQ#=;NDpfLMxTE zCGKc*@$|RTrwkse!hQ5h-wWPjZmrBJ_j4{O1#iAjl}VEC{m>@zC!tzS4&gk5&DM5! zGT2l;9&PL(W{u*A`P2|L83=NH(j04Q>j=Pqq}cz80O?=)F7arpzcpDu+< z>_$=w%eQPj1ZtY`VS?u&nw;f{mDR>-=_?QO`zc<5kc>(bwzs{y@7$7;(}PqY@LIM zC0t1EA9TZiOP83N4TPclU#Wki8~nlwXZ8)%ljo^xG+e0(>erHkvI)f_a^QKOxd4Hc$7w zA(Y`BsJo`Xu}-`vY(r}s z2APUdJlyk>@FkzcT!AeLcM!c!i|-+dLrfB5kg8%-;G|h45OH~^StiU^PhJh1%@rp& zh%3m4;FGAqorKzv1YMXQvb)!{;I66@P;I|m##L7EZR0HDb`QNkGkTA&5x-0%^MYeg z`iEU?=5@B&aeK=~kZm!j9)Q#WE$i~DlY>`Az$_;Su0`Rq`>`MSgW1RAP$7lOJqsrV z@xCuXI}&aIm;?+x6{7X|Cic9go(ymGkvGzLzk#pUfu><};_6zG>fzx4LzcZF`N*T^ zp_uv3OmCSLDYo8-&qpReQ|eGw<0lbd6A8kw985T)9%YrV>`qaZc2H+03;ZI!8u4>U z*1L3K9Shq_`9TpcaE5p)QF3nC`WQ~q%BpSNJpgVdorC0q))M+$h(mPK+|v}-2E0x_ z4F0Mr7GDW$fadNc#NFzbG3`Ptra0jsDx^rQYACV9HCyM4cus&jtA3}6gwhU74lDf- zo25;@!{D1?i-eh9o7{6)+90j_4lHL7Q-ibcP*hGz((}Qn`DKq24q^;psJ*7dH%6*w zVsP3ITGF*1K)Nc3&X@V$EF6~_OzplrdYb1 zAAgGm%v!qtCpFGxqRK zlOTm?Ur#v&1zLzb4I7xg!o?U?dG*8ZGStw$qmmyH_kew&@A&kSKV0Z@aribGnR(nU zuFvHC#1_$!SV)Df#>`tp=cptDDx)`6{mHi2A-201RE>8zd{&jwS15DbXg;O*K8!ni zpW5?9v`U>yk_nA&g$U}!uK|}nxyayaR%OJdz6i#nW&zgd1AAoyFZe4KB~NE zxWSROK6~+n?aK-8)6cG*-U`h($+MkJaULgJyBj2hn2Ev0olVm$(Ub@V$73Ftm**Xy z%;r9RvY)&7+U35GY2|v*=h$aox_xiSq;%N5G|INLd^b2s7<=lf4zysF-PPGtWQ)Uu zko^@(#;5&)k?U7!d`nu!g9TCZ*YyYn<`=sI$9%h6n8FAKpE4;C8fU245E@rdwr8Rb zxpp&gj)4^YMcj51`hf@xo-vHjw{3+E(}wKw4mvZgsLyI1Q6lQujuX6j9`+?6)kxP| zo>28Ywc$}$_=RT#PJsST%=&ZU&*WB*1E4r0>>RX&GLXs9%(pjXBt@_+73yDtsh^i6l|=d}v1Xx99Swe3E~x$g?kYsFmLtoa7( zY>J|&Dc}hjuWnKE^_uF2FantXdKrOHDi=Xkp2K@0o7OA`(!w|!DV53xoY)49*U2XC z3HRrYp{jKXWW3J5=2!?&*a=>YOBW;7P{krvqa^3US8~ zYJWd$q?&}?u-X@B2zV+an96}}oJc1nHy=AyFE z>uS&C=H#Y?b+P{Pc!^`@=7Ln(oqUH}b#!m$CQ!F?Qpv4xAN{b07T(<&Wyg8*-bLb|o(-v1iEV(e3A6JHhW!fR%-{DN1C9rJ}G zcL(Une;&Tena;g7obTb8w&CTMO?f`Sw!XDWUgF%vT;s&pSc(9@z=ElG6ZftzYq@!q|gs1u=cDOlKwI%kekDWvu(+M3HxfMUXm3H7x_^;^q zABL%m72Z{;+#VfdtnJcq(I?Zb@21UxN9u{%wn%mgbN_xdCwr35Fn_^mVLIiQ>?G>? zU3f$Glw#;?SM{GG+Im}Ok;`a?X`E!IL3g}syj9qjhkUO?UP}nC+3#jZk4CNf?_62q zll56V93@*a6tUy9;IA4+{>JmTk6(Du;N+X>fK-&A^}GnBm)zhWkB&%sg$ISz+2O?g zmD_QTNXC|gin#|x-|68edmabr7yEtMyGN{*jZ$od+5-xNo<6AM-_ zzbM8K@;W7NM}(O1qU~r0G#(|Joht6|W8aiw#?3PbJtw&y^^2Vs6kg@K>{ZbXNZI{t zcB;eiEMVvG^TKW#o)AoBim^w5&$D}0$0FzThi9W6+cGBGM?4#;yqOFWS(Ev>(QJ0e zBp-V;?5TiRn1UU6G~C?iRWn;?U!APA92p#RF*0nnt0=yWvV*$vMZb;v)Kkc*XkiB& zE`M>}Fx$O&o@2m{=jY2cMGt+(~T+1&OCgDx6BP*XT+IrsVGO@?$A%Jn= zaXK&aywyNjjI@CVk0Q|9-m^P^@|cG7THx-)Zc@oE-{t#}a4gX!*}$G+m|0kxX^F_X zMt(I~g%sBSV?^|=Sl>pTo2T3^KJF~c+8knr^-(SVaozZQ`B3$7O zUN@lO^&tCsMi5RIKJ`Jt<0i#iA4Ukb%LB9a>tCFn!b&V31Bkx1ZL;U;TSY|Kdr?yCl zto`f;Yl>;6Yqj?CGFdgI3;k>?QKt6Zelb$7mZ;-;MN%)7;JAdhyqEqATd$LNFRfx6 zJoz7tZ0OE0usjoYl6k zm&FX@|C|`!XqN*+u%98W#!4wA{DPFQmjO+w^sR$H>j@Y!wQ^8Mj0vA`W0wQjnbfN% zHqiNc-{?oI{9X+`ZR3Da!qi}wO#Z>-?ny;o(LtktRlL@U!Q7vVdcAeHxi>EFTb-A@ z0Z`hwW?`qZw7g>`{#P+ReIQFf!igZQA^6MWEkMj}LC)!ez- z{}~aYw$UHZ7^7Qwq!H*{^1-rI$+XfFoT601aXyWUa?Cw4Z=Dt4TYP5w=dhwl>2ADV zK_x`URmD7_I^5oQF!#xQv!1Jaj)e#2>5X+>;g)r5@|1xewM0U+O5q;G0zk9z>lN@@ z^Ui++oRaW8AmstT+;aJRpvh+}Z*qL=)9#Oa-=t~}<^##Q0~$l$@m`uLBzC-)*X9MT zgxnZ_bzOE6v?uGTvZbq+&u+!bq+{dv`OkRfVcbHjL+6asve$Z{z$3Ca)48yaBFUyq zcHCjowQRoA8u&R>mUkVK5YY!SJ-|5G!>9quK0LxushzE|ii~((Hx2{igPHYRj@W+Q zOSOPW-We;vD$=4}0Q%7k)}*$;+5#r8h%<=+y<>l<1b~i;y{RxYy=Pm|BrQ4$tnKq2 zP}XaetUZ`69nE0uQUOkdy;dP_&_bEO2K+MFk?==sP4M1lCMAJed6Xu2XL=a4mF_Vz zZ0#ga%@4V;P)f;;y@H*@yRX!oxC)bRo^IGJuhoTaSz^W7mr}rXsWpeKGO2Q3b~Lti zHK^d-KmwMxZLiacH8FX~!*6b7^E;~IH3z&Qp<|y`>)2$^OeI;WdJKF7nU*!q*uLpr zeI-qJ@>y=YXdhHgR3WpCYn8K%0T7Kbwux!PzHz8edA3wJZ<`lA#q3Rfz2q+XwWqO3 zNu&Ht-X)UjZbo0QMu7_Z&xtB}ztUeY%nJyj4l6eQjOJi_~i@%IcpJ?|9LZVSF5z17PI- zotHTln6nyD4@VYi!jqoZNpKrXt=H{ejs7B-Nx(C};$mqE8dw}*N1@U4`0(L&tEAZg%1SfPV9jhmN{`*7GmuB9b!6Tk|H2xK(mM*6 zTmL+>K9yCvS7h^|1bn+zJYWEOwEfxGkUjx#YCnpaZ$rLob zWv9%ez8|~-t7$cZ_9NbN0wSB1X2W{q7z&}cTT|YeI4v&E1 zjuhfD<4DSTC@Y%nLCka5kfJIQ5pXw`vMBkkHSkF37ha)Qq9l$Tq+`1tr|-h6cUH!B zqqr;***Ul}&iQ#Stsj2cSotw;pidxkM~N{C*&i z5=IQp;B2RfF&HO?djK#I05E`5!aCaoF5jb#+`1%%-!2Kim%&Y`=2XTIh67Rp>(dvv zN3BZZ`$PRqlv38!Tg%Z(copTmOCx^1x0F%~9-xYCW0zc+>s!C}T%e8OEu}ol29#oU z=h(?5JYscu3`P`Aj_bSROf=v6OJMFOD?v4IN9N8un@}w8lzHyyL?FzdE^N$WYzExZ zGHxRzSSv>vzWU#%W*YG@BZb?{S#HTWi10BpTdT@FN1okxTPKLlEKW(f0v2%_d|NLb@IG@g1=bTQFTkd3Z{53Z)E0|WRbmFClCyQ454;J*Y}ThQ zbtZPBDiVw2Knz&A)XZ@k)LwyzvDi%ZWMPD!8b-uAjQ|+Hy?U2ul+UkNxvhT`AL9v3 ze~CTus2zj%Y0(2^o_aFcrxCA(*YtOgU)Hz#A=E=+U1r7w7>-P)?m5lj^+}$3Woly( zOl_clbCstOSc=ZPD)aJZq?FQgn7n36kqKNxH5*@E7t8Lye~D_;I{Th|`1(t0;7BHj z7bvL#0Z5~gdhOpaZm1c0(W-QIS>@(mJkLN$y_|;uB4l8LZwKlb-rg0!*qxI-dpnTF zkUn9hl3Z@}a~wx>O?G%BN*PA*?qou15l0zo>)ko9n}o5G54+sIKhV{cF9u}&B|o-E z2N@ujfo;P|hx>cp5(3-HTUWhX8@bfVhz6ooJnr5;XSa?$f&{JXuk$;tvgYyC*t5!- zbfYhbPB$9No`MW$|H!cSr$5^k*Y0`%Enw`529Vl*DmEi;psi?F&Ny|K!76(9!?3ln zrnNgDCH$9cZ>k4z)Gyf0vNGTB`j3huElI|9D^)g!xT`$Q6R||+WiMiVu|(P11ulD) zxkk8)VICWs&2Me}nj3gLkci&*WAnR>)?R$iB?u!>m5;i;V@)>B`>Zd3vSKMx5$C`C zbux#P%b0QOfqo8~_!R$8>+4fSEZ6j9@K+d{B)wWl?y|xWw$1 zeSxC~>^nwK3Crd4hZ4F$N~Qg%Tkz`e(iI;g=K}e4%uEQx77vlO&drLU@5Efb#EuZ1emiZ*8{0W zr|=ihC@RP^FfRYN>sP?_M;_6gKM93RVvxnc(hclbq!u<55XxVS@Js+tBFv$*e=*OH z-hH)Z*V)DmERpp9me=ZD0}jz%uH=ys9u#?Z8#l$qn_UZdNL$IV!qTC5>asqAz#T>v zyxvQU0|ty|&1Tn-DcO=%?i<&}x{SzY%OD`j95agdt~1bAk!6FKyvk;ApQyg@Fwog< z#uZ3LM0GNBZx8n~qL2#axV_;igqZ46kLv2mKY@tu+YUKXee#i$zi*SnD6G1G_eE#X z61r_0N35>G+14=D*+g_gFQKXpJN=V0k-S0C>+OTE*$-hi74$2fr3hPaSM!QIDoAzT8d51cc&z ztk`HqXwJ0rSGubWR1YuIr&GKY@XyyG$0S%c)JDU3DkY`O^|Wg}v?)B_LAgwgQb+sC zx(Nfz#41HZ%#ydjaa|g=5epJs4=I#%-7h5Hheyaoeh#04hb}yHU$f9Loi_yed`C+= zlV6T%Tp8ZtdoDyI-6i8K5t7Ge4SuSxe%o%k3BVw7h{hOhiQeM?Q?*&?K*JE+gC->NJ-pi zV1Ai0!+4Xu(-9i2xy&elI;YSX+*QXdt`*T_f$N$*OZ5GkxS!<*^H7svG-Zk@HX0%h z?BCZzIGVXAMG?I0FH*G##@)}3DT}~P^6}mM&gNdqxca&_b+2t2dsG866x`%ifmmo{ zI%4DpdQL{^P-(EO7!=cL35FOu;X+^W7sAsfmp$~IbX@jZ=@S{OJ~}4jjftk6R)!l_ zlM6LvMp|5@Qfp66_aWAx3vUTV3$wDW+1oxa74e?hr zg1@uS039~r;*cgir>nHnzN32eWRi}0J%!rQx+D4-mfgkuLt3aU3qz8WRTVJ zl3IqkuX}czB3KQ_!<^nmRy`-bGdE#BcBhAn>?AVxH zI3v?oLWH;ZRf(wG%tQ}Q^k&j@;vTWG*!YwPU^nihHbpel0h-(ImLT1ebeh;!j{atpN4o8en<`CbJ_V~@_KtUCYi7hZZZoJ(~fY(DsfcJJz1 zScK4t>lY-lxu1K7n3qC}3mxeM<){!}Vr8_5L7JTj?8G$An)6EK#ABeiP1SK0HFd3N zy@E~~`=P$-Wb<$>j#{1QL15)$X$d0oQrDggJQsy5zZ5xKx*uF=@4I_LYy9!-J9ex4 z*wZz2MuSGGviy->5W}BjF3Nz#5TV#&>YJdZmXRbpSH6s)Qx1W~06Eq05;^v7bCNo6!nBiHCD5NFrvm_fpma;sn|>W$W~CS zkw%&>k+lB4`{!-0@qg}n^jSI$o0>%f1>voLmPQMLe}uLMCP68l`ddwn>YQp_V}?A% z{+XbBxsRkRAD))Sz|kyWrD74zuBtU@Hg0HPrxNiz4BuwdwP;C`ql&@8%+D7ar}91J zu7V3aWMRKY+(0WE>N~pG?nuD*n_{{jyzUe)bJ-l-{NNwMeRHSR0QnhRBYyZ3GhU6x zXLV*m!~crb%!dSrT=DKDT;7+t(3KF2PxT9FGpeY`7Cm< zW5lu{#%v6i_NWYWtgLk5Z@vNnAil`RD}3KM+T^M3>v`?wx@4$GLDFdWPxckcT!eri zHvKb9#o@GMP*>~?Er=``qzlkVO?o(OCoTMCLyj~=*y;{71Q4Wyn1=u zA&f#o3syY$Q!6_Wv^= z$CR~7^`Gjk%;v+#3^c0@;ZWqhf8{MvSCpoQr^yTQ)E^9YeIv*#13rhJo^mruXr&zU^Ma5?m3&1v3!@txXeT z7;;W6^pePk>sNB^|hBiFXOqml&=3+`q0($ z3E}koVP2~beg-L8#(@a^?j7>=R&VJvX(T$pAkCB)kx=(QHAwnveyJ&KYTxp?z9viT z(sbA&Q-ifkeg~)}%5MTu1hn-7&=xieLIXxWl!uTaray~YUHSFtEmiz^D8vOib$E$i z_nIu3;gWeknZVoIih4=2JTiD)5MVHAbIX)+OVx$!WPpMtkmee&`jZhXe?XKHN4ee% zgnU=ZdSPgngCEO%IR};&!fQk3Ls#_wX{n(1HAem~)IZ(^FAy;@l#rA8kGJW*(;5u< zT!cRG*l_68!t? zxwwIF0@jJ3kxurV(utjyqDFCXz{NPA)_r^G8y!Ix9zyRs*S*rw^U7TD8BfCu<}3Gc zEgF4Vgr2zZ9z4_zffb?QimD{u*FSsp3e9)6hBoV+UmnjOu<%z<`H_xGw2-p_7<%5o>ikgWW%)17I!@CPpr%k&g|w5)|kA zqoC53XBY{x0d}hN`4r{1~$lXGo z`MdULbily7(?GCtmWcS>;VtA>nIB>#9I;kH{h|gaGxzvJs1O)rrFhxNXu&uPArB#h zb}d8-<7(z66PV5eu36)+1s}Y&1!jfTVRVfi)W$R?VOtIW^5VC+jpnu=_q&voHJ4Fy z_R+~>=zS}x>{>J*XNpQ4pl{PeHQBBD7_#~Ll4}qW_l@FP(^v|*j_`kfN{@?Zx4i_6 z_Frvb@VCJGuM~#@tIhFYlt#nsiCBmLbps+DFa_ZxQQ%GE7VdHW;{VSr0m6a6y98z7 zL3v{$Y2)SK`2|qbOIBp4-V&l^6o2+HGRQ1;*oOPh4fn}>6f%7z5*>VsL!||9)KK{M z)zL6#?);&TKa!N@Mr*b|k#qnh&1s7Y(d|)FhdV$UrDNWkB1e|Tr7>^CkwcGp$b|b? z$h76=p&|c^K4|A#{-Td6oj8|?S8hM^*bCWru7;NCT{iYbARqwoJ+V*s?~WfGo!pC- zK^~R*ORdZHdSb7y0h3sF1 z;5&(-rTM+ArGo|(_eNJ?-6#`69D1>2Drm@ejDTz)4p&K39P?Iw|AGV$^@l7~gB-0d zXQ!hHeOz7O!l#otox=_`WDv)cM8GeBI0lIh7RP8~dn7i!ouLM7xicLJjWj6oZ=@4++6pM6&I@nj(3(4Hm8 zsRR{D#w+lebe8y;#f9RPh56|N-}-SGt&%en7JuIkzAYNfEcd5c{1zTBDIP7<+MKpd zW9?`cX>>4A@Dx8ugovyO=Q?)qZ2jy^ySd&yoSoeIiMrH5cI{dRC$a}B4CyyBa`-N4 zfR(;vF`~%WZ*#ydsS+CS8Ws&a;w0L;2N1sWvS5@Vl%xmQzTwM*^+LnDo@B4$g@kGq zK4H!B*MzrXQwaq+=lkTCpwW@D7^;Pmh0%roiio5QAViWdApDaRMZGu`!JdqwNZyj| z53n6`{Af=vU0woL`Xz&`Sq;7G+vA+zbU(=FURf$h6ts|Y=C?79C|wUcCut-JV&AGAPf&u#l{`o^W(+ur3!3d_FaU71SxtI<|@>l*pEpc*zKgxXJeO^?B^DL zc?!08IxLQ;Q)9zBP4EqG>fOBvn`YS2xbvHt0=zduy*Hmiy0!37lRa$m*{HotlFH3J z>Pf7QOG_l`q>!UI%3|noL!1ZBMiV>|2VoJg{hH9gS(J zX9%iII#jCQy*30Eh9ABV5No~s%JA3*)Gn3&M9YvUhmCn8G3{77E=c*Q-tm9?Ek@dO znBQtwmz04;!M4W(z>D0`)*glSX#WEovmDxn_v}6%HK6QR42!Rm^t=E*d0Vc;;Mc;5I*|OI z;1^FuK%*&#jGqD&XrrZ<#$-VcYGC%+bkIeR5@HzMOah5UwNBZiP4HgdNZJW4kV313 z8vaS4zdeR@FTp4Z65tur(gPUgQ$0*r7Z59x!;xr0X%zXPTAixpM?HUoq|D{FAo?0H z`?*zLWd8pUlC9sbUr}n;1wSngVG@-A=@H~{_+JqX@9X~^(YPjqh^BgEWYZIb--F;m zf3C_6kb4(J;TIPCl-9u*Y!cKs3dOxg+T_sbhTP3q(9iSM8}>RDv=!N{D12wpsQ#Ii zwDAj&rC@DDj5L?xtsAC3Md_7u_2K01m4nD?e9qnNfu+nqhX6iW8YYJ_#@AbnXqfBv zbx{JMpj*=S5W)u>f>Gu;aZMy)YHZEAp zNnRq&bXqWmC(s{5kVb*BSRA5;ty27&>jtxHxc6#U8f%NE4cb*+^!E6}Py^wFi`eV^ ze!uwR#dIE?t?t?q?yYX5Yrpyp*W&+=wzm$8a%=mB6=?+N4r!#jyF*I4yFp4?KtQ@% zx}-t6OM2*(knZl1{;t98zV~xK_xpUu@x~uB>pFjHt+US+<2a1a&>lpVBWqXL2~7P0dSD$kZxU_yMY-Wp?r1?#}X_uc1FK?=842! zCLziGG~;IduK){vqbv0ercXY_eCRa{9PSyc6N)K)g)tYG|uzC0SzKNksnq#SyQj=88(S-nFJ?=ivObp{}ksDAcB>F z^C9&68r@;{9U47!5cf*Ve`Nnpc_Vh)tE5TqQCxSyjyvvprU)bN@b8ZLrqn4e4SaLub>*m<~yEaUKu{%V7?GdGwAytBLo&~#`)od zSfCEpQM0#2(@wwlwW5bu13lorMzH(F0r$xO{`_~~Wuoc~%}ZO%gagc}$@hx?am+s_ z@pa~IH2FW^Fnrjlyze*_(M`Hcq6bo-5u5_!Ku*X2Iq?qwJpR`I!GI4KPtbWf;hyy0 zb@(^_HZKxnVCr5LSMrIR?mYiz=YKX~gPv}Qxy08aKxH>7Oz1W*E=RA7qbt~8HQ@59c=^&GzRlY_s$iqfdO8mt3?`|m`*q%Rc#ONDzc`G z{+JBsb|jzYNmp(A`LcAh747F0P^kpvK&2Y_gDX`LJ?4KKH2L29pPm0Jt>)TBPPdoY4Ih+7t}{J_pNy!C+C*6H>(*(hYuSM^xBNMk>+xL|&(>heLCEM4l&?3%yN5M@ycrkK40EZMT<^lvZbR zZ;JAW0!_5i9!y$yLOF;8TCXoS5B2!n?@rlxDNJyu7{>St|!|ktAW!j>pj>6{WcD&GW|P&;Sq1QgaWYe2$JFtYJRPWw_Mg% zauw4#un#O+v-5DL)d~E-BS;J9<38yPcRz6Wuo|hgzMs%CYvcTZyX@S8gPWVj0|41$kO1Eptc@tN?uey96b23QB7 zb-Eig?|BkRYkPW5uwY+Wbvv+epJgkc0HI=Xl4J-^Qp>|Dm%E5YQXHY1Tm7q8rq5`4 zhmnkuu#@+1<}N<8@!s1Yr%&IqBQx!J;#C_r{jBq`D^$C$z0gE$J*cylqSQYi;#+K6 z9AaU^mQ2!nynbmx0@0~DO9HVLxkcd9nacQ6%L97ieKZyNd- zb~Bn-?Bl$x5|jHOSkLAy&o=>K0EAgev?e$eB z$NjUb{u?s3L@5jFrqlXF{PSdM=C)ezW9-d{l;+5cOqMbmq{+L{nYN*gs1eb22ktV#()bgwy%&Hc)q5s^a^5$pD5B!2-v z^N2F@XbI)&Dh+Nd>~vybZq7{p!PODrET!|+;^b!Y7hT@lo7022NS6$L+0~WHg#$+N z*@>FzCZc0aeqs-7oUpT;|Sn4({>aMd?YE4BTjS%vAVW!LX zwBDWBE?Y9z$<^EN>MVVs!FlIXOS3DDnA^ro1*X5*Yo^+9y-u0%Z3Yfv3J>MGtQtsSd$VySNFgyI!Q`fjuN3~PKHiqSGW6LilXz?Fk zf!2TC&QJDs^dnpJZPpmF_KU(og;GE=1ezeFV7TfUE7mY7-yY#X>2=|kj-tc zBc0XFqqbT3oGW)cnv;(4{XzM6p|464(Q}A$lFgh>`h0T=@y_P+`AD~{Ug-Q`%}^g% zFf2=LC_Zy2WhK-bS@CQSMF`qD&xr{fesbMEg9f$v3~1$_B+$z@_Zo<7KM;3H`hSa?YpdspB`koc-4&z+~Db6a?2_5Ub+QTdM3W2y&1aqS}gYB z+E(`6W6xN2m!7!5oBD7jkij+#GO}%VzdM^ z{CIL#ZV;_FtIF`cR3v#W95z$Z*>Bo?=J{mH)ltJ&!OaA))XNBTBM{HvpP}c5zG{DE zhdmeQ?C1B90x9wY$74XGdH4Pc|Lt{v*vst5cyAG^YO;L!YV#O|TK3zStPf3>X0Z8B#=Er6EYR{70#WH#&jSeGx~?J)2O}Q! zY<5usvaI-RR#|zKCrR1u-DgM`2=eP{4Lw$KgGZ+FS1$G#4j;}Eo8+$=;_GO3yjbp4 zhLMKPBqB4~zR?f%yj4jvnBx*`yW?fsTnHT~yV{Juj3jJQ)15x^nZ2Qv9~@5gZfxy} zX1w>zlkYKXk_mw0vqKZZ;bJf{qqbx%A72TvrO0f*r0pVibn$x+ZF*5B$+M)nIPN2%HD;1 zsa!tyk9Rv4u2WG0k5<&{i)B|QR}qiDR(oGfs5Q4eekkfZ&&@boYJNO997|l<2abv( zE-sHZu2+c?M@NV4P!yp}8D72!zjox09_~(tdi7Se+FCqaZqIsM9$j4?4>MdcydH1K zrwa$m7}}aV?XNEi=jD%@4Iio-Y)fg>0W2&O%t%V-dA;$SnJEK z*ZZ4Ia{_Od&WENcW95k+9K3HQ7_J1`+^#APCAEqc<}9(DjVc#f*o)@bi&U+1ik)?a ze{PgauQWI4CRW*VrJ$QpZdvcbP2OqX)H&JD$*wumzfbHq*r@mP=;8ywCYOp^bZ460 zZ|+^XI8JtoCmUfYq69jV_EiNuSWbz;d{_2Xi|`fS*^hiB4fem3}B{QwmT;>qFkb%KZXq!4X_<;#_ z^E#DLg-^Q=d=Tg*qjSsm>>fB%J2s;RDVgQ!wtf+FkPB{^O{Km85OEEqyztHb&o-P+ zDQ@d8H~B8uxA*xe(l7b0O{)S^N?jfA=KE+1* zk)nQM?9K!DCSjG2jU2SEqmOrF;U^BXnN88}DKOTKxXVKjwOnw=o6qJ_At243Jrbfl zlYg{TdhpnN-0yC4BkNA7Ys=mnCu^jf`ibysPV@7zwi@0C7sQE7-*PgEfUUwAqbGhu<~$adjo{mogeCm9?N z`buD%P5o#|>jKyOjW6w*qXr2hFk|LAPlQ=<*}))HTr}JZdHvS|poy>#9fr{Q<+s=u+jRCSa#LoinMSUDIJ%?iUFOsri1D!sGq zisaoxw@rg=H**oEI9qSQ3U&>CPK42MboeHb=7TW_f46o-9t!KSO?&`Eak zniv>~!1{sDP{gI%wUZaHCWhD>%K7l!9wvgrF4boZ0bdPt4ryh>IAB;o#zvDpjiq&WDOEE3)soQ~yxv-~H9Z4+jM?JPgIF=U%i%*T01 z**}bj<3tk@u#g051Aog4nu*i_yDJQ2fD8aa{}nKt8BJn0?Yg0ez#6jv9!^NO`+CAS zbw%@MzM%AmZbRa+07G`zz&#NHcJn@Ki1=p9zaV-snE8k)i+^JFeRY2uE>nE%Qvik8 z+Z!!zlaN98(DEyv%|o{t0#tJ2QinBw^E5`1+{Iu;-{BB{iS- zx`vLdZ8(O#3(+Z;aPH=-jJ*WOEs`n(A|s?P(;61$(#A*So(UaW#9X5@PLd&~#l2ov z?(BE;Q_=gYM&p0|YJ*DI@c`=<5STdup4H|j-h%&zr^-=dvvxJHca zu@f2X<~w@G!_vF0OC-J}*4d$18Z?8fR|IoU1#xjC@gUkm;gBgG281+mB(cCmRDqSf zAUBRA(G$V0`esh{RL~s4z1F*=NK zFErDLq_g0lW6Z>B<+Qh11`(Y?Wr-)T-9A-@VOosPAzK^qF#nZDZ03#}l&@G~VKv7ryJiV)SOI z>vEgk>OGwJ9O^indwrx1{pPcw+ZC6=3%$+#rgB`!j6ovH=l0g z`9_T#9}muQ9{F_|T_?FtZvKE{*d20rJ!Z^(o$gkEiWd13Y7pHAH@SVeUCGSXb#sU1 zEw3fs$!Dw<2I*I?2pdqlSR!=|Ruy^D8;s-;UlG=T0c`+Csrn66fB{VaxCH@#P!BGz zYqd1;2(O`ku!N1JL82of< zl(j$*Ip3P*z>Z&|FG=gBZ3dbY=DiYQBOsONu)j|jpk;dIM?sZBA z;&7J?LZ!L-SZ5C8?45!&g|22)cW?UCI#z}cL02p+^Xb0v;f&H#@3TL>xP;`O&0bxW zlW|{K{>#Z@Dj{}(qom+OOyjW%EX|5mMB|0XY0~sQk0lN{5iAyd=_EVHfc9I>1%7g@kLRjY=$eGs~r}&%4N6NL& zc_Lrzy$7=?WCpt0r*l-^Fenpn)AY131lSG3j3LICta?8jOF&Dql~I#igR|7>xZx2 zha0{s&0iQ{mL@frcO+#s$28bKEFFtCx|)0~Hx@_i%V#%g|L*9wr28eNYqg?gth%@5 z?Rj_X$cjtoWuy_kJrvXP`s{Y=3s&)`SelqR7w#nAkfI%F10xDl9JiTPGBpJiUL4Mc zq-bsYB*7ZZuNX+H`=?`M-Oi6|tAPWt=Qo}|cQF&F=&N2a>G1#LTBTVzkm-C!GGE;E z_HZz*)o^{P^q2ZCa(XQt3ammoJtn(7)sL4CsA}}|v3uzGW!nu-G_CC`sELI1u?UC& zT@TQq>!{O6^szKh`DNzdew8g$%E!dM!iOE2c{qLNg^;7b#;)006NhhJH}EbHi8^(w zDZ}*kH=aqU))}oGI)|WdTF#5yKM?aq68rj2fms(J`;8i9XP}}vugBHC4BhW~QEEj9 zlC=3&VsAE$O=E_3w(hE{3gk9wg_Spv$&XVy$#S7YoZU4izsqKK!*29_5c*VTB@~s` zg^6LOWWyxn)~|!C@2iDuoZl!0HUC-rtwQ=2EXXg=Sdg=D_KMFrY_QPc@{gdNQw%@} zIsepF{w{mi4Y?uZCG_cy6Tn6r3&W0FkV&WkT^(6}RR>_REC!{WrSMjP9vc(#OFF+&~Dx<^*8Ft*87Qg8*Q&Y9#cD!+{nhhQsc2(QCPZZjI|*9CNfN3bhew z^u8Y~=pLR=t;n%v@=gW=KsWbF1moTXsnPTyfe_=db%6WC9ShZgj-@iC;IO{|+yz8M%dTWhX zd3EXxOg+0Gbn4;P(%EkbO9cY)wpjwIVq^-@gJIefnA&@&h__#b(Y`p44W63rF?e&k zuibW4X#`BIT7B3dra8>O+=$Jm$l{eXML$a+=QLLY*?WGkr_ZVv585Mp@t_L_fsKxu z$!kI3OX6hMC8e2yuf2}Lq5H|;4a(+)*{s|B6Jfvbx=dhTcyFZs1mb1r9<I+?6d#SAl^UB_>n(4DJ|J~{j&&XZf( z_AdjvonAJ`wfqt3ixddara?a7kzd0 zriobLrqH=pZSzD^y7PPF4Wl*PliRw3!*Sn;IoP23QED+LRIUu2Bb*Q$Ea(&>;t)_P zJ(zd|ngZtIu&E^aq59@qzNF3Rh`i@Uj3eEEAYRrToPq-8V!-rAJNN|IF_>DxPe-Nn zyx5Rz5d2h+Rdo?$1&V*>Co~++Y*(pP`Yl_Nh%*;Njx&-IO9GpgVl zFnn39mSjsH9m_dgDc-?eOjFdo+l|1`KUXZyUskhQR9{+7W}sz&vzn0Ig20bfr&`~F zy~u_W++y`Dx_OA?q2^;QeDM$!QIm-q4uyt6%FZthNm2aKdhU+wmo*yE$((UhRMkmu z6~6?IIr(6w=-u^Lmo;eD)ocpO{M=PWZ7Y>Ck<_x>?#shju6bWj_x zb8sP1wI~N#;e%DC{B60|x<5dk{mK$EnhQ{cn)#7rrEEzsT2_s^^O}wtF;mQ9Ag$0# z`a6Y1L(vqbkNfKB%?n+0fn^!wxJdl5)p#>7DTw@3@7Y{=N~*^;0aIS;BFogwzP4s@ z#a1jIf|g4`gtsxZ?6PHX6%BE7HVzHNw4<7RaP^{58PI7^_wt)?RXypuJag$wt=yPv zb9RJv_BlwN4K%XeJ!N60uW@yp$-~@7nPaPp9G;onpfuOEJejJHrJE6|wK63tsVE#6 znXaE)4L3>AtZZwhG7pl7=%#6HhiQ>0A*BHl7=>c-2q7AW zm(d_5SknJYEu$LAiX$CzubtwNM3c6xV%r9!U1I;V2+z_XbJ+hJ{h~mncT~>mWqSvi zEL|wRU@3Qiv!xLg>q^DwY!#syzL@yC*}L8oa4d#ij)Wm9Q)P{Zo#tsMuQnUxle8ElcDZ+c!`?$|lCI|1S8nFmj|sA!!60ol zPz%1c(a*W)T6A#H!rF*^QAGFCrA9^>R!%)a8lfka;^VjRkPkejUF6xy@t?V-<#Om= zm@#5yt}cXuOvQV2p*6C_@%o2N();03A2kI-rcFs?Sa4`qjDvCMsCdwvY4by+YvoF2 zxHZe2cv}Ln;66-h61)CDlPAB} zEeao3(jdlC^SK{M7AA0B(lEG3%uvit0HxUcwoo^&J}rp6A2S$3>|V4adpqA<5sw9} z!dMSF7$f%%K-L1;+4%@HEmE;HndM%VQppMSCFhu0!o@4H{0I#}L#rT@dtI?wkQAgM zKBUY*E%&x7hSR4jB*&CQ6_?FE7b2rL4Bvg9F&^GM-}haTRsLv#(YatB6~8?5c(0WB ztOY8ShEidew3(Fn4OJf%kCbm$2$PF4w*=5FDL(rKl}t6PA!i&XCYoQExnC~ob%o&| zLHuy!KI7^;dqc{IIv(zyhvOCOQxFQ3rl~VR7SwdoJ;7<#KL~>{*1F^@>I@|qrjLxR zE+k8^DV?P~(3M&WIGIpnRv}SofN|r)T}UnzAWq`Nq?s`uw5Ic6(P}7t2|*S)R%v^P zsaq*S#nfkpL!4t&-N6A7XyLSS&niq)&Er-@MS6q9F)2T({~r0uE81T8yg4xzSkHgg!>KdnRo7f9qyUxjZ zH6mu9DmzWWk(|A(m192R{3t@Dj32Vq6QVRLEwVL(KVIQjqVZZAzcA^7hZRzGrY~Lf|(w2b#$s{(g3Aa#T))x z2WrJE#0vay%q|_|N+|NC*N)d85b|7vK&Wh*$o*sH?dGD^T__bZ=;q92YFC}DcT}z2Y=VG1CI;tm4_;v~)?X#!YV?+0 z9?E>7*4`2&z5&ZYDVAY9VX!qy%l_1Vp1O)*JugoWM3>}ET(^3T(4exZ_rj?T+V@oq zUv$Gq2Xw?8K9ovPfAh~91=aezxb0iirIK|yjJUE;1>&UEDDmc>=apH7-%A0nZs3`E z47Q;VXk&m^2=J_uJx0&1H6Lsi%Hv*Jzcz$f@gH~{oWHl=s|k_@cu?aK*O37)L*V(} zd5@camRBjEmd0P1Ak2@1BHcMg%<}m_#m^P7&1^z!p%^92vsw!Ifk*m~90>PUf(aM2 zQIn!-QuMDA5$nu@&sESDY~B~Kv8?i_eEaaGrwCXPlXKg@E53R`qlw4+@x?*{PO+cp zSDyIN5A$n4hMW=hCPSfaL?GtVq)RfB}&4bpRm;kke&JIu$U2(vqM=1UsKrF#C(RQe3XQ&Cy_dx_L%frBD)>cUIyNn5NXy zlg8^`3dEvc6ta;@Ae^C-TQWPmoy-RLOeRO?_-ll~vlSU|JAi)J;VE|7Xd?EeJZCMi zTsN;FkvP8mNm@he@T|siJ!(nmV+jrc*UZ%neSr#T;9cvg(a<(%4E||fLAq|~b`+Xy zKgZE_V7@;_j0Uyyr+86oaX5hF^NFm)C6F$!&!NCYyYV;JW#F6}YJT`p`U=W9wV<-^`TZvJ*W=3#%<4f_l*5&bd zpN!Z-{OyZizux4rXutcx_9q(!oQ~C5xE;zfc?o%tX`%+(T&%@9UvNI{wZ`*1EJ-so z+d@70*po)&qyLAfvM8izjB%lQVR*0~g{=HP1eHV~bzr>vIu(ZRHwC|gtU*iwgbD09 z=u(Vv;!0s|ST)ILO%{ij(llS4{Aj*npm60y=0+P)`-b69m;uFPV&#s;8W_SMG*kd& zWemum0HX!2z^VDojpQfIW>eJ(8B-_s?l9UDVXs^6gq$(odRM{POxJ_VyhNnk^Zw_+ zX#zo*i<-_A&odR`_qxiQk*m-N5vVG&TTQ;GFIfBa*Mo0zYfGlwl8#_P!B~JogfIfT zqMmhE`pl@;%yBcajIy~9twb)=+8PeOnuS;+LDE`7w1Bvm22XhH*Yqw^!ESjDSb;hZ z`qb$F1>p1omiOhjN4g!)%ru*zVUfz)?7q?&*9ONES&h^SNlio`pm>GPA3MEE3@ z1VgXzNh16EUEg5>HwCKC4(OWY2RTLJz`ZbMnd&&(Y% z`ou;XQ4TLFp?p}M>2u&r__Gx9aEHIBp>hCM#Fqjml3rz&f31B+`Cn%;P5-qv_R2}s zI1$jJD~)^Kr~^wW2?)gGNfCmtUYo}uIg!#GSLM&k4xXtz8(lBD;@rrcCo(<#-=3&?#R z{1_*QYl=85Ot{aurGBP#@zfBs;zn9dpX=H*;|wC)q0iNfo#s)j%7%}o4DQ`37sfXi zhI%MbUggoR%BGE`aNF4KRs)c$66HBXrs_qWTwh9$t2ORMg+*9bo+5TirnQPKZjIpt zcCc&?BRg?T9!I!zg+=}M7yEG>vP3d17Sz7yc{?o890s$=i;zQEtFj6#{eaI`W&iel z()%0rL)i~`Dg0>eu?~5Dn+m5C+!N1h22(`F^Esh9sqj#G-v1lQOsRj|pYc?Ix98@36A zq`-@1k(R&~+5`h>C~IA|8XgABve+(@{xUCdnZqvm)Fe2tSyaz8X>=wM9g9H@#1C(LN)&3Y&k1l1F2@@7lOQBbT zk?l=Fv`$;Jq5!s+(!E3P(mCCWCa)JcUW}0WHyaV{J6i6}_wM5Nno4c#ZC(UTUIaN_ zX@z=;dTj|hZ3&8Pi<4y|Wkf9Y*(PrH&`NE@ZC-=x!3i4|c(V6BcQ;IERGJCXwr0C^ z1Hho*Y!icfU4uoOyymkas-tFRw=ET~MYryV6myM1|9=p=0wA8U)3ae3=XueA(;J~h zxA+Vf-HJ5|fZ40l;o`gIvk(G~u}a{V_gr*0w$jx0>%lVpn$M^Oj+za7Wvgk+h}P58 zuHCjUc;17hE`CY6T%eQ`aD?R3t#VN0PM{y?C}^am;%U`nb09sKPF%{JYkwm&N`mb{ z7cx&}{i8j+cLTlKem46Ptc~J&k7Y5^`$_tIs)$8Qs4n|Z5{_&wCp#k#E;0MCI;z({ zwa^>vmx}ySp7*~AVet`r&efg`8bVSfnCDfLQ%q%`g$LndioHjw*j+Y_bqHB4F;o=v zs-SXU30HyP*osp^-%2O)zPbGosJFO8lyLP*AaOm_4{;Ot+E|}qp|9AJZZksRH zI0lZ-_a?geTv-EOI+nOlH+AjmU`#a`{s>1lege~Ca{`9O6oVeefQNJk)Ht& z#UlVwgCPku(2kko^w=j-efgZ)Y6cu0@h%7hk`WGQ1H{K zqqzzBSP^HabS#xXpz5Ci|64F`D7@A1NLGBjXz?m7HSozb*Li+ZUEN6f-N~li!{+f> z;o?riemnG5CEz~C*<2O4vyN|Z7Yq5fST5ezkW~e6E|BxrF>HzbuleJRGv&L<8eVzU zmV~GDRH>%#L;SMwLAxdw`UbC|`dxOb8?yWQqtr7^W2vZO@dOOJ33nzTdtE)$PZS!c z>qRjpQ1j=yZxvFP5+O5XfnSo|HP(2}F@%MNzI9Fh+&m6oBMoMA1!m&~urUGHsDjye z0c;ilHl|=U31BvQ0GmgEjSiTNiN3e@TA1TM>_@FNoENsdD zLC3R1{bQDan9SEzFXc>eu#-%pOfhLcEnzzUO$Z9=ngDhVh}Uw{yql zV^kG%WJ#O7`t*D6vtG8lj0yhcXq^iAb)JtTX-oom^D71yb#etUJw^TTa^E`@<>Te* zQF~~bX%@z=>cm{~v;xx4HkWc*t1Z!$AL!O}u~WL8=I@%OY%u)|K=nL5ruJ#Z;yv>@1vKjBNT}Ek#PM8)Bw`trt>r7ntB3kcY>={bA!^fuk#WUPS zYlk{;Jkxr%txR&tF2wZ)a6OMNv7j)=wyj#mfY0HHnkU43#8_{RH-x9$3ANWF_|Hg) z_oN-p>Aag@ZeuX*+q6efJlcU2`NALqEd z2|Sy$T+R<-a%`{XOfSm6O3mAvaX@_woizw*pn_J>V23c{DjA>QEFoLz)qz=~^?mNo zc^-s$KL3MZcpOV1$S=Yir_2FDt{av^kQj+0yPK!LhjN?xu!lH|*(|k!9Z7ata!#z) zJ}7I%XeErppAUMC3L-&)Y@_!bLo)N)n_DR{_GHHF#+Jd*B6uG1?<66`y2d6ws{T5t z`Y7T246V$hB^^j`xS$T{>2yak);~ z#`dC-NGM8323{js`2>R5W7+D0Kr0zltM^AKeM*esZ+hKLSVmF`9~pXLp2>|dz&XeR zZ|YZuv~VFu-Rh{oA7dmJIt1RH@9Bn-3!SuJ%K-l~xQz_N2fXPogjN8ofcekzw-X^7 zz;EAN87PopUCu`LfO#zgG7xH&SZJ{8jc&g#VqRbMrO7~WG=PD*JLG+G;Y-Kz#Fwkp zgTwu;q6Tp2TIq42vA7A;qNT5+DQX$tB$6w5MAM=Kp=!kd|I$^^BpC&}9z-YJp9?#w zLna0(+?7DQK?1o;k4g*yXNVBxaQ)l~tM8T1uku`phT~6-p`QZgN ~BV zb6Phj(z9nCl2i~!;nt2)CE|QZGj=iyeJNqc2nju@`$ZEf=||H=#pXfjr;c)5--JEX@D<)YGMk5qU4va-c5SWUf!cJU2Q z{nIK!ztql33*SOJ^M=Lg_>A0J4(V~0t}{7hz?PiZJiB>ka6H<7VqjG(_+==g2lsU> zhhyTAJ}ywBj;1G|j=r2#g14O{xSsWXI}!X-NEEn>jX7l>VbDsJ>0jFqd`C{G4&%7N zo!a(m`h>17np4)`B%#-d>uHA-1uhx3#ceC~oL7es@4(5~96h2L8W1`^X0`+hRC_8R zSDJTHq4j$7=5Wo0{Xg7GQi>wTRAs)}7Qs6)%tI+pl4J=lKk!HmxY`#ObraVkNcxDa zAGvnUoEE~k0(E?eXyoeuW57@R^PvgK&sKxMayxg8k7sl32V%7EYj-qe#h-{-+C`k7 z$vlrA%ra6N@;eq_KanYj9X7|Z>?zmdJ*R@qL9DH?32`|3O|1>`(;=X5P)n}7hs=x$ zD<9P)JbMqx`k@!cijLvKV0N>Pv6b850&IXk%Od*Jsi+I-GX4a&LwmCR&)9*}V3Qa3 zE489ytqP=^4hHg+1Nkuu9%Ip=0p9B!z+WkpC&)U?CdER01o`vn&}L8zFNjId<32=( z_Ha9lp%XO#2^OKvdPj;C?zEo$hTj25K7t1GU%;3>keJfPb%353Mz3)g|bYS4pvcr(<{zzr$D@!2c(LQt|65cYtB~CrEz- zHb@a(L!3oeYIJQ=MftFs|{giE6)4NzEPto52%`^gIH5vG1z{4c|lOb!)L&j^T?FyCvw6zL8kq zlqC*ewY>}#WmgsUv`7tcIKseg~i5skl#y707^9{n=$5g26$n z;|T|EI8SPwy4(UBSGtG+s*~i@qUEz9>cGN}&KM2N$31luEW z6#Pu^p+d$vEvY_}B2vw)y|gR`Iuvmw5dH1Kp8FerzP3kaIF(3Z8U8{li!bqt9tfmM zHB)a2X9OWQ(g&z3V(%H|iqOHnXqNe4hXE99i}p7;L~x*4qYM2q7~Gmf&9^TcuIW+K zgI$7OCR5q|Y!VFsuRJ;=og{80rC=-$RDA4)muQ7|^E;$roc=u3qB#BL9g z0I@H8X?J}yXiVTjEvGb8Po?eu7qyFw?ODQy!rBb%6xZpn>cPOoWb^Y`V4^?EO&54R z>&-qNN+)o3Tm>3n^SMk9GFS=YKLHw`sBPsT85|^tXrKS2i*2KXXhVF&s0q5=@J%?r z6g?)XBaiB`4E|fO=(ag9W3<30#_LCm>99|`B&F}uE{Vouo(*dQSb!M&JEWW;E~Wti661M=xyn!13xc&N~u7Tk1ZH%zN--~t;3 zc{7^k^Zh^!D7spP*voQW)o@8JSV)qj!M0f4ZEvidHtzspIzI2jlY zgB4vJ#`E(p0w~<`r^4O3U^LG#dA$68S-435EL@)dMd6A(749K2P`H7=3s>Z+a2JUG z6fV;5!X@}!xPMblcB%r$BrfN(O(L%3TsH}A;d^uBbgNzsndHs!?#&<@IFDSyGV~fq z+O2Xe;qrG&w|jzo99xYziLtgAQ$Ek6kOLRA2l}ev_XGi#e!t`fTq>dG@ANtoxl7lB z0C4Hrw+;Y=&3>;>@W-WRSpH6#f7Zh5&<^g=c11{9Y^j5=cPB=LSh6@L=+0P0t3vxz zzO!V0_O~XMIIeQ9Zt(|tu(6RMO(c~NURNj~OzQ9K3o7pJ1_*!A{N$UanF&2G34xwl z*sXx>Zjy=Ywe5pTtAwBsmxn1r{E|no9f>;+TF&yX^>|YfEkEI3`=J~ij08%#tBeu& z#Nuq(PE(?b$q-JUEjG>!?0g8$oxmLrBFTJrc}r$-92?iYMZ1+1T6Hy-3A`I#j(>St zYb*x;+6`rl0>li?;SzdYhQ^BF{7rqw$ND@!)~ksu+zH%Iavntm)(HAZcJHz~3j`IFCB!Z{~ zBrc16Nlw((XwUuit7qp#1r-m|;DLr1+w77ZMIo;EH8Ae4vzcQGEaHNFkLG~V3R#9;1tRS^U*3 zt<~i6o9q75YWV&Y%dI-E^Q#CF{S#$m@On}D8GMQ{uveL9hF~*RpVy^V6Ach62zrU2 zg@%)mOU+E1+XyPB3gh=-W~P;~F;1~C#MzGy<)!pC$NAXUlz72RfU4V^; z4r&>h#L{VPD~IGdjoJ^OXqyy1FWU1t zZ@KM9Y*eV6tk0K1HAz8Cux%O-r4`vzSi*-+eIi2+IV1~b5mQpHhK3h<=8$ie+LzRk z&87e=9LdA9_I3arv(!fz`2$4glxws=e<~28U+>hb`TxY|i2%pQ?8X&G!ljuoK)-c= zi6Fm0xp$pUC-Q*|-HO~?Mux_pn2S7$ck4J6DpBDe;@4fuSz4&!K>0t;+S z87;D()zpE*h^+A__%K58`!E7D*<)t5Xuw}~+eQO7W7}1A!7mZa&VzvlxGlNOiMmGf z-0a(sG{@k34lJ44qWwOou>U@&09=0`RM>wXRAhl84-jFX5q&tcK?ASloiTL_%o}r} z`s9FAU~5AhkfR6h%5$PHPj#2~F$G9d*Ao2{^R1)c{rWR`m&?8+59e(a$u=4=yfR_&5Gn)EgL&G zCdc=M?;xHe+vnJFVjWt&iI4~JOIjF|Z^=*$p6P*Q7%pv2Snh?oCgwn9J2Oa~J2Ka( z;vAQ@B`o(!-5paVzkR$<9XirPzoHtKwlvJ-TwNR!L8-dQKSPd5YC=hMpWno|#Z#V9Ckz%V8>hb!8|VBsSgg+cYM1!P zLMbGuC)y1|W>W>1`4s|61t)WvVPCVf$ff}?38uuR3T={_1&Ehcv}+aA9729ZjhGY& zh{aD*t1#BhB|a-hMhPW-;>>DHh)H5-Z>-x52n!hO6c(k8081$wk8)EF3hIt`i=t2Z ztsPB9z+c);1KPcP5MwU8G}ax8ajOX#WXYApg-M>yGWftcDY7_`hmI0XdS(e|&i02t zc--IoHJHn$e)ErZW0TqZ@wXACea)JGjQ9Z2|X4@pjP-ES-zUBb(GhB=$Ga#rDG-tR8gMRKHkDqZQ*@b+# z0fSb5Ti+Su7XC*&E5Q1(KiVP6Y_k2Wok3r-_#f@qXp^SR|7Zu6@<+Sra%0_`|4BPP zKs)0QW8J;J<^=LH&A+wN{Fin>JO*OiN`Gq<-rEuN=Q(1~3h>>JKibjBY}Wj(ok?G_ z>L2ZD0PQUQXa|<^w|14ry2by4c1K}@eoeEOf&<^R$m3bTtr0*gR>FEoj_(=h;Kb-6!5ME;N8hRRx(a-Zhh75jd4#4 zfb0e5*5sc0yRwO>WpHjq15{fb44Ivowc)M)5$2^AKcf1(8 z!Y31OMg49hj>H0AE#Qh42k9c}s``zX=vr7uI(erDVj;L9QkiC|L|w~>FPEVl$qK2-bd>v(1jPj&kG0%s=%CoiK>^Cu0}C$GG|Z$yhvfX4}y}IRWPUCns$6`~D|60gwLvgl~)_d4BnqM_MfQ3x9h=DsvF~ zFOUB0{Fg^*vnEC(|CE7CRxIG%KP&eC^{$8OUO`N++xPkEe7>|(;W9`3rohQVXZ$)@ zDle6+q2RQmDhnx__C9k^VdKN$E60z+8#v`X2ZdA5AVWxSjvHWq^<6{4 zrxq;+b$5s^IJs&)_9ch`=8(tbGT`(Y>8XtHS_O8%c6lA5Gyi}g{`YXD%ol9UOp3(;s zPK0-bnSORz8OLK%jZ%kuI!09*Uo_i=6TvLkiNLia_``baf3m*v(3kMOgShjb3 z_B9#-b%j#`yImmv{}DFO!yW8ZmZ+&RD(ncgEa(G^=|66G-fl6el<{F`SPoDm6VdCN zXVts=k6K^(uUgOjFV+G0-&y}3!T-&Ao~@?doxs}NpIRTi{b#LPmi`y(-v7n={eRZ_ zANb#E{eJ`hKee8RP&vLGz8VPBdSuJrwGQk0pS8Zf_0L)_D`h}w($z!kW-<-z0Ip|V z$EZT%i+{moz(?VK3)eEl8XK0AYAn?$t_!m%Zd2DAxqf|nCyj^iwV#P49;X(PXZ>Preg_++`uRr+gReFUMnnsW z8TuK*Fjc7$L2^)-Yk!)0e-PDBnB38p@80vts>5x*TL?-^<*Qr4heo{bO&LOjq|VZ) z!x?x{?c_1n8S9EAgYe2YnJULzLu<+ts)oYm&vHy42bMF~4-*AhiJ!<*OeP2h*O0|M zCuXnc3?V^cC#Wa!P0pzgESIENB!(LUaGKayO81;&JPR_uv=fiw6HJ4eCoGQSD97JdQK290&XD^G^y3FE^+6OVvuAai$7 zjBZoNVkCbmTEiG5kkghr|J94>NJy?lK5L3WJyx`KS2+c`cJ+N7rY*jqD(P246uP;R zfP&NTaBIjj)g(m?HHl_*sXcA6 z<7Uf`GJj4M(8+(?tX;Hhtz0YCex@-3+4g0(6SInGLE@Xb%x`Tuz))AlNn*G);<+h= zV%CPed|A7XCZ318TWswgCX@4klfNE-;BK|)|1v1@v+D`t?FIY{!U%sVTegnWuax?R zIl1}IVE(UN-dz5I zbhAKoc5z`{Q7*ipYNUH%55v?&(n|%GN>5u*SChzn9 zM~>%{1ApC@Ek6hAxZguPHqqf9)}lwjK%g9!FO|*^A12UH3xh%?UEx0N>dT&w%wsr( zvt?IdCI^POGg7n;9Kp5+r^!*cIj)_$F;Z}L1MB`IIX7YoFoJV^Sv&h=jEXhqVcmu? zL|c3o#h>ScdlVdc#PWhB9?o zLLI!(NrJRYxT+IDP<9Uhi8BEZx$vN%Ccqw#XBGIs0EpYICTh zPV_;mO@MJ4y%s4wGYJ5q`~k<3*#80QR0CiO?cZSLF#uNm4Xzdg;1c!UAn_kC4gix# zoXCT8@&NFT5&(%wmWkWSj{wjiiCsCF*r}laR?5!k&o0DP2-nZ=Ef1mP1M!4@-OnEH zCtoglo(`T@YxDe^eZ6mfSK5>T=`#SH9Dj1;N{jN}e)qlFT~`p`d-@HuExLEl*Y|k{ zZ+Cbzsf$j==OTL?lf7v5> zlQ8Ide7Ksd9X{tuxr1*f%c=dQc?|+t1?rhW~0 zzmJwM@acGeI0w2O@x3i?^1ry;9~$t#Ubx%8*RIpOBX!*O_iQMfU1RfmerCTfYV+T5 z`eyaLg5c-JBtj#PCkl^yKK$k%rmVWvT)r@coyJ;0MhMXu}UPZC3t-S`4 zdET8snmKL^EC1an{P(NDc)}6`KmYe5)obQJ-lyyAZ!nDsv?aWE^FWg<3c-Gem*1uC z{%;QlyS0}$n;YGoxuCxUHr9Kd57$dK-~EmjN?G24ZcWM*e%iVvn|Vx53e{HPOpnE! z&sfV>_6VHM^FYH>cii*Ei#H!3MAM4!m_d_+Ny+dYAHw5}1=Al&QRY1#!jCVk?+JNrqei(H#ru0t;rN3S|&; zh?_fjh9xTuu2qFGXcsPp_u)ALZ-Q7PYJzaZ0=E@f7ZB7@tP$5yBoNb4oD$bgxObn$ zT#(ZF8FX#=v#1R!Iy;J+@U8mw`Q6bq_2gyPY%C%fEw#`$hlL0)f`y0=I1&J_*K8J| z=NJ~EZ`n)*xq$2oy!5hJsLtBv#EV|M?xti7?)Ko@6{UDOoplAyqVCe`ZKSKuSgfUE zL7vCk*vZrT$8Ds&I$q6Avy^$DW3rS*Cf*wQvd*_Z!v5I(nQxuiZDAab$M?Lahk_2r z&e4QiG8-%5U(d{3;XMv}Z*E5dAMH}61?Lf%ixlN7hBof}$jUO!;jN_iqW z8laLJrI2^(_{lh*ms(*lasi~28zUcQWjFEO_d140tF$S;RKc6e)eF%PQ{UyXi)`vA z-Z2{tI|w-jn3W*8-B`dx{#TIHp@8N(rQCU{O9mLO0Am9NEBny@22hoMXgChtuGcgM6cVCy7Xnj{oWU{B`|o{NDb}&w?RA;!AoDG?sL{__VDr@E`fkKHSCHNpPj6-P1S)WhTbepqD|5&g1yfLr3uYtHzv#TAZn=VUPaG7q3doloZU zZa-2oF6`!m!+8Re3YV~(K!&@5JVxJh)im<_y+$K@gM<3JdLR{n|LG4oKkRl4UU6V%_hRQ@nfu=F5KC=w-_0J3 zoV)GfDCuz5dit5AOEs^9~yUQp) zjh=_Mi6YCEMB%r^DAJRFPjsyiajhV1k(VozpX^^MM_4S^E0(__dO9@NT=VPlz1xfD zjQ^Pb_KKUA$+rlZ$DFEFIBhlZ5aF*!l;z)Z4o|}SW`Y*sum5Kiww$BT99Sx!OSto= zE8d?bo=ZjiCy@l@D22DkIScn0a!s7}{;!igM_g7N-qCRZp6{2z>2D@#4>2!+&?Q{y zWi|r0yQ;=dM1<;V8|UbyHEwUG<{b|KY8Bi);%fH=7!78th0^CV{S~RlKNX9&j=|I` zej@ngmPFI49--v~0yAQUdGMXEdLHk~c~u$I8>gvjR;o68vSl|y7e_r&zhy<}fAe0) zCGj9Sc|@6{TF#KFo#qJrNe~>*xHf45_0ieWO;Dld?OM;SdFA`BLw`Bo%d#Hxw3%T8 zAkJHfp2T)z=>10!G(N4++P4QitXh#av$JqBw%dz0H>0-&(^8e(SyeS>BLZ`}fpd`` zzObF?CiiC-Z!Mhu3^9ucsCZe8#Rp9gq>jr zn_OC;&A3YrFnTA%!JS#tTK6?4?3EpKflq59sKI6W*zkc`; z$i<;2YqaciO6|!PU;=f}I6sJf{)o88Jit>k(CMXJ!zf&7fYtVdv8#q^(ukYMKDxhu z{NPHZusF(F93oJ9*q?tJtVMAA%DwU|&HPf>WZ4O4sDP#N!veRzGkS*m2!bJb$RXAE zC)lNS=Q))AnyW#eyQ}-TuyYIp-|&p%AyhBIz^PrQb2I~6>n{$z)?dPa$k(bUJzhr# z{^;>k8Qilxj5NXA@f%+R=6&u3=decu%S=x)*xd@DCdA%qE*c8^LXApKW7MS@ZHn*% zXG(QtbHgpzWmto2>cmn-mUoZeQjM@yr?mOmrm>ExTbNJ z^g2S3*%P6B1)*r~4~txLALl9RF#ncyz3?E9T=<>pocr%=)&x-=1C1l*3ES5@_^rx|h-(aWaF@?A5%BT^;O+r(rGzlsZ?3^5)`N4}`9~r}TrkV&WgnS_c`|i_`Aj9&T?Q?A;)DtU5_<+P0&-FuPoO%M!jUjcq+2`6D^VTw!yA z@RcYC(%yF99H;M!#6B{+5P9i73jVH$B*>pO<5R&S472#CDN3?=YM?uwSh@My&RgER zF1D;wYeOd#>VE#I>>$;9RV0-2Hy}ay{*hX^$_~ie0cjZrkcvA1Ny7#}$-4lll^2kT zzX1|f!5_PxKhoA8T`wS|L-PQ-J{{3-p%w#=3Z@eET)xPI-5owxJ8I(~{{PWIlf8Ew zc*M;AxvUMwG-#GB@Ec0IWzc~6URqXf7Aa#k5x>HQJlet?7=yf=BIQS?`a+7ji(xG` zp`E-OdiKC(?S{`m4^g9TSp|*DWIrA^b$JpIuHP$sGmny1am??=>WJ4_5GGng@kShZ@2uw6?2u5>5U?Whuab!IP}1P4TM_?gVE$-O z|7f79?@jDrt}aW?ajq`&&Zn{JPfq&28X?D{EE4%mp`=E8#FRl^Imeah4w#6tN8~$; z?vs2Ztq^+2A+<&!=`uTS>Bu0bdHLPZF``^1?frHu7duT9(4#e+1N`Bhod)4!gf?7i z=`|wa@BF$qI_bJ;U|^unBJT;EkSniWnOx4QwVe}QklifFsqw`uFG_U6FKe`yIaj5T+QSh&unfkoSSJp~$D zi*XVtAM~ZXc1tKCB$CE5_?Zl929i@kxj>o$UJA?Ue34Cmy9XmMUI`~~Icf|l(NQn^ zT^-zxe(T{a|D(fd^Rv~6ejJtw=T849jEe7;39j%~^W4m!SnxZTl&7oXVhyy9tO#_M zI<7TtTdr+R!sI3`C=#ZF&xHgM_95(iensWwg=>J0&BrzK$>7wv*@b46o|?r*XfIg_ z=niA(H+qqd3EU+c+-f%q$u$_?R=z_b{XK*j= z#$M&Tot+zdOnJ{V1AKCjaQB)iLY}iL*C&(L&ySC-yR<*~5Lko&+fW<12Q!WYb(%Al zzUx?>tq>6&{!vS96T|g;4Uet-03PCps6E&jO}3g7`1q!S@*_@fbf%b0U`g+bs3MOs zIanI%ua3$oXzStf=Dr2=Ld4eA-5EWUhqi>^UK{#7UHOE|O(V!Il1oQ0h`?k#D(POx z`K_h&%Ms{4Rl}qdS7-;D@#pQ1U=r7Fj!E+d1TPq)UYAX zd~dNCAbT^WxXQ;XyW-Lac+>@Yf29kBRE zWmXMuUuJ`vUVDpf`;?Oz`4&_r!eno_?`!4*NoW%QG`i-t)j z!=(|<@CV9t$CQBW?)Jiq+R1OGXWaKmI9vTR;UMxgPP_~A;ST}fY6xH%x{Hc)>Xi%= ziyk~fO4{3J*f!L((DDV@+ZVSkjjr=KAo9l*>WJ`+--3CD)kAnoa#HP6Sp6%k2eUuJ zUn)sfdwszlG5w(Ddbsg{(E0O5tdlgzu~Qy?6|~nxb>#+;`)ps!D;LLudnd)EQRTn~ z%2w%=03<$dha(`F#-M@8#=Yed%pBv3kxEjo;dGyjp5Bl28wbcVyO@DZp;c{Iv{jB?7x( z8ThAMJQ4eOXW4e?!k+p!%@*^a_KKdalpZtH{PW`ID+u$GeEAm*YA!O5Evl{naac$3 z;L5HdzO`@w>h)0Nb$?=5Rlwxw=24Y!`c6v(Yx+KSbcQ|pVZ7H0^Lm=hA?uh?XH)gP zO4}cYOYZiMBHfYR+Frl!R!jYj(EXih7o$>k`GVCmT3QDoIf~{>M}*;#RoBLwiXT}~ zr{z#ZUB5A3NjOK(jZZ6$_BU8uUT4#%8`I70{A(RfF3U+8%dj$@iBC_)Y*U&xyO!F~ z9-N%ZRi5Zj?Lq18qYD=En&edVgd3|H62?ftp_2WnlZqk7$Kk3|da@Xn} znub28XLIe&DwnsUD31Fn(Eb_t3>uTkOOQ7up(@Ywe}AtILU-op`wGJG(QYXc*;N?t z2p?P*f?TNR>-9(B-j>RQ?|B=O2ss=9@9R}_7BJPNl5Tq)TH$oWk0~RVs+SfR4H?%w zoACH({y$YWyFKpC78~vL{q8OKx?NL;Y50m;XaBRR^$k)xZfkh-}xlOD>5BwuF5 zdyC}tVxXF&K%-J7Pg8aYlY7+00yFd0{!oUhEofujw5rGOt|2>wt$0Rb%K7ji`XsvF zf6z3BUuFcHzQHDkFGC>50*7e?HAyJ?^Z++M&?i7An2wk*WrW)XtNVR&A3TA4F9dZ( zL^L6!gG;61juQ<}6#_0CPMxU`FGXFFA?x@c?8cH>K6@Bf59!G3vt7luHNn>miaC*r zC%sKw_I*wZ#{|?MNjR81eAZVji1Jv{`k@X16hb>c@7Mq?n8z;*B1G_pAg&l+U0sm4 z*1A-dzE5c};|@Qf_DPhtez0Nx>WYpO0{!4@d&jjbq$6=X;T*Nd!3jd2R`HN@NL+d$ znK~m?L12#9RP9)XFpKIX1!Mcs>`eA9o6rudDPw5nZm_wPGq5)H@(2d;a){k>X%=sN z2H_Gt(U`;|+*AV#g?ujzbv7%x(p7aR%egXSM3F?>**bLwQ(Dv}_6y>ka!3T>a5*ey z7(>+-_qE|!Bt+35t^pE)17dRY;*7EOZRO3%l4x4S((F8_&F60)SYbF45$M(bSB@O{^_^Y*{$zKa{H8ib7xL znKENu6m2x514fU@_mD}&o2_Yq2%=j5p-8Uz4j<+LRVhU5(BhE&KvFOn8ebacGkr6x zXrxD>Xwy;^Qp8dwX*K%^Sdr_2q|M}002Q-@GKsbgK0?n5FE#$~a^!|2Mz(G)vwBr4 z#d{@}V#|Brb9E4EvY401QGtnuP?Qx3-&abFgJ~McGaAW}^FJ#^d$HzGb+%-n;V^U066;_&^sCTV zP_u-sVprM^T`(Xr5-_DrZ*s9J`JQk_mXxq<1_w|?RYD|r%&X9-1FXF}V9HQ&w5)Uq z-2ApLn!D;^lk2@sj7WH92CgqPwBywtCn>uHlSbMOot7{Zm!w*4kn+|kM7R`f8AHGp zxru$wk{JZkptq$>Z3ILhMo_nPBTtE+=ukGMV@`M1fu6tjNBk%#w|fI}Guxdo`x+|KBCiLUZ7F$mbTA8*SNcUwxyDrlgvR>Iv(Ne_+ju`ecGdq5?CJV8c<=GE*Wm3EcXR)7t2aO0 z-3JF>+8}RQvB=gxc?cH1m{B0TFvYIwIy1ej9`WK!Gal`3Zd1OPNvZ{#l1cOTA?xX{ z^<#(lMMK9yv5UD~qHQh5XfXzXybV5rKd^^yM;iwCJMx40?Hgf3 zUouWn_RhET=$R~jAByUkd#()UG0tv}LirF@OsNZ^3EHpL2#O5Ry<(s@9_TqvuvoKR z?}Z)Uhx$gALB%vRz-O2fX^+XZHOG~`LFdq0>?q!)wM7BF_8F@U0km}$ z;ykE#T|Ce+>=(!`yklc;U_oeO*CZ%Z5a!eZ2OK`MHdi2*v!UqIpPO1aGIL}G<+i`v z^acx$vep#^ZDiBe{p!Jm0L%UGWH#VFb;(g|Xdd?1y6s0X zQWQj)Q7nfjLS+-)*SE^TFge@kpSr`gXJ^XZANf`67y2!%r~56AZN0fZQ-+ioi5PV* z)GZJcg$&_t(;YHx?@lpBq)*;q(cel^;-R^p+ zLYrxQ|N8cK?ioExUhVlpWfPk?9dq5)&b-i}=79DZiEc-CV#9NWDj1zi$Na~^@KsbA zL3+;7&)LB+U3uM?I+ygjW)x?pb19iyVDICymyhXY6b}PYPRX(tdRdz8&|l>)H`ZCu zx-?>#Jk5m7M&NV8aK~U+4_cMLh>gTO-3H*hvcF^Ep`);3W5?J>$CgIm#83~l2IAbT zmepf0_q$hKPGG0T48}y@@c+uN7%DTRlNOt}Y?qg1mD$)^W!^IW&TJ$OF#^CXffhrC z{X8+A<^!$1%&$}Vln5REPi2qaYzdT+zbezwhoi<0?jt95)!{2kr=^LMkL@@`sH$ip zma|8X6PsEXeM$k1MR#`Ck^DK;#9%)c>`E4Cp(&B%6y{1cYOak|$luSM#KT%W9{xC& zWpE=YJlS;M?@qUEmX$7%Sj?U{%u+>qLzf1P?47(8K{&-%QEspwl~8-92Fs(7uN9-aove4E@Ubmp6DDyKRiOlBLu zMzL#PaK+$123PdG@ll59t~piFq^V6dSZk`&k5`yz-O^PCnz1)jxp}G!=zn{3x%Z7U zprd2)H8x5-LV}ByHPF;Fefr)m^fl_EUPk=ZB8PXK~jZ4<~!l~^{AFch%Vg7STq%Rhk5jt1fPB|4c&4QPl8_0sN zoF9lN(=>g6Zq_J6fOhgIV}NGND6kCuBl!W6w)^7}$7_5gaO0ua*-!mvNetMd{nf>w z0CVVTVMS-{`=!CGDuyj8OPoaY2sB1B$rqJ{s2Eu#S{53y>X8hB=Ax2BM_QImiRv;d z)3vB9ac*^-dC8w7^OP(liA`c9jHa@rR?)JABN^gz0C^bse;>Fpt*UL_{ls_jfhWHk z2YayI2MX?gpD=C?l5EVwb%HwZq`z+kI>?cuOVS`h0d57%0c%<7I=DzsqlX zO4DiP7Lw-TUwb@|+Vc%s?fs4fWX1|)OjP|OjbCQWK->!_fjPz8{cF>AeF)Kf^sA_<|XBgL4!uufWw z)|65n0uz$3BPpft`QtVt8h%1RSL)?3r1o)J3sNAIljXtMresN#LuyRnBdi|Fd&FA5!E+<6p}IUxtR{S z!f*+l!jEWxjKg=Vr~sCt@Yf;IPDXjz!4!l-Sftc%!NFP6{-{Gx0(Ptn0m&vwV+O%i zN#mt4dlU#lVsn%ms0K{pVPTq^S-DK6s!@B{J=q2%EtLkS1$nZc>11ivvjB%iU9yX5*qF)z3uI$dux>>) zOGD+OB_F`MB@ggqH=dR zJGH3F#Ys5u3}lG}H^mzHN?S`qWqa+<+3`zMgQ*OazpwvUn9~;Hih?BnNX!rbybP{a z4IW|Eq2bR$GR?461|IO1yZ=QGmkcX(07h)i0=^$3hapP?p7|#{#+R^a>@6!Z0@x%!NsqzRDxXU91gNym0L~Rcidn*qAWIYWXEEA{ zu2n7%maLt@K?u#998TS!oC|s&cw6!)81{+Go7MjFn8q$t1?MD`@Pw)TL985v><(4` zOCU0qJ1Lat!`g+Q# z6Kbp|zvFVjV{U}XZ22Y_QQpu~86*Xs_6SBy!OX}YlS7j+V7&%7l$>FdMlO#bYfQoC zk31|3MId}mzA90*^i05gqI+2;b|JaY<6bng!BxiU}{)ybVwyWSv zfRq*94FF-s`~@jt0NUaAL~h+r{s{px_?)hKv{;{02y5`mRl;weV3<0VgXr@S4)8Th15vnL-iScb z6d8P&M!~Vfh5g}bv0E6s&;6M$uu1}Ry#D%$V@r?(l%fIxv`=;0j%(Qt`A`9@n9ZLM2MG3_`yf2 zs89PxaIBsa&H)U@sm_GT={GZEKSO*_k!^+fVIw$*^C3jD6Oh1)%8CMOm1soZL|8Q?3c>ZI+oiswx{HAs3YduqW2}a1G4?w zwhwLTRCur3XN#@^Ch&3<+NoCarN`m?H^1lY^k*9NFEhbw*Lhm_>@j=|TxODC*JVbh zfrHc{`f4WewDLGf?UZJcW(8k~Guyv})k|QM!h6P|c`G`OF||56<$dzO<{d}E`ZLRE z7?uX>!`Hr?*EuP8Jq2SM^WVPNIs`WQenPzB2q`}8D z6EllkCC$uv=)-HX?9qXJf^&~XjU2p|e2v!dOB=ee+iiHmd5%eZLW8AHe&2y&po@7u z9=BEihwQjZ8k^<`1im=;Ev9-=wA1kUmNuaZ zRX*|$E_>`p5ICt8Ve(D6oMu?5(<`yXI(cP2GoV->p~13RJQ|mN?3kxvlxRz3MA^kb z(Av`wm33hh)m?ugrlCsm0NzQd8{MMajn?e*+4lLJ^1?QIpCiuY`^7UY_EX*NV-tc4 z=girUAJ9?gt~lGw!zsSC@>ETfY%IeEadcLaKheG3JAIZ+YUN%0lNap&r4>ICQ(upN8H{n=6S`o68Wvr z@lvaq2i{EV6)Pf?Q?>+XH(V-k0R;3S2V7Va4N%4)jjXVpUxU=CiJKVRn4z{w1}ZDc z->G8Dcs5&+zmvWfwa8uDMCpu0@6M6L!A_)XD{5|2Tow(;;j^(bG-NdJx32 zeUHcrRl?TxLPc5s_<>0;ez`VRfA>ap#CXMcn~$OA&Lg&e*K3fjYj|_{T>tni1%oQ& zp6kh&9Xpg!A0Z9Kpsg+XhZq(Utiex+uTMsxK}=pCDB}oqlR_lQ?ScA|(!ygE7k%>P z0}R}mj;L(8vbvu?q#AF-b!JGZIB$C^#6@UL;on6bHK1sQyh;k9-5F&ukSbz7k-DKg zk%GwmDuq@YQ-wD8hvLg+){KJqG|M)ei2neCbQe`uNgpXedL3x!W$2(D{1>=kK2Lnk zSd02cvH+2X(0TD~c6k}=Ke-8y{Si7TvCYmA&u-70{TKSzQUQjr-wc1gft!LGkpnc^ ziyn1m!A7;s1(~X9g~Uy%Q4BOI3t_d}OfD1L0lKHmwdiuN8L?0@^wO+Z-fPVCBg<;r zzAi4yN~t>4wgd=%-?WH1YB!rHCaeJ_%e)B-+$1N%q;vdwLLVgnGO`hdGA{XKUWR57 zF3UR~trC5+rUi$XV5=ZDOp@Uu4Szfz8Yy&fH`y_S=EGv<#m8hw;Ii}y$cN$y7nYFR z3Z*1N9IWZJC1bko^fBsQz};W2YOZ@JWBrayrDGer<$3f8a6b=97{7a4grqG-jwC8o zRUJtCPf1@6YnWxJ58;<5FiR7Rgumczj+LOH0w149P-dzaAE+!S6kEC_e|UQ4L0gVF zTSJy@u-Q9|QhxVJ5O<)JL={>o6D~H34hzX;#7&ZBkA{>kj)VQ`u$?fqGzrrd=hYBP zf|^KH2Tr`hRS$Z{rp#j%+J_}R<3iy~-bFE}qH_o=DIWeTeXJf1<0+J($Lu^p zZkcvRW()Jh+#GXx%z%=EW0e`0;?a=ukUNZ0=Jno57k5~9MHRwfjh94PocmBU+n}!9 z$Kd3;P)4DlG6*@231^UjLF$%>0n|p7WZH^ZU%J_VsU+*lVs=;xo_6ahG%E)Tzk==Y zq_Fg%SWxZ^pMB4!s|X)!{Fu7jL-a1dUDT!(k!7Io4jxPtwP614o_ zpkh-A7SFFxO5<{=hxS~ce%$0ChK#qzKl2G6wtNg-{=rSoTPUV=$)XcK(_Ss{oxMd~ zES=;#K?{Fv<(xM;Mv%%?PKKA(WkV5--RAx?_xAFYW1q6SoC)rPFGWQ1$i$_EDBF@k zwE@29n2%xKwDdYo;*L|gP4uPcSjBim{Cak#2^q%GDbl&?Ydg6xJ7h`Ik|fI9q=+ONIHS3IDAcZQ&i+mm5osfv z8!z-)rixlWiOdEj>^i{bopzRer)RC%da2q$2X z`44uqmN^XPGHVt)fV)w5Y0%`%XA(WqwgjPIoVT$;R-(uC4#FyAe~RgAr=p;ejxZLp zq8;(x+RMd(<{S6l^;) zm#hY}$K(o=9y?1K(WhYbOY<3<9SxU_wv1@F6Quwc;h>*3Hrc^1G7mb6W|3)9!0mCEcw4s$lO$uJh48y@kL5|4st+gYA_>m zhzX#BM-^jK;)rX1$8(~I(uO- zL_{H5gUCULtWb!eQZlIDt)*>U{LC8H6W1f{DMOH-`FZ6kx|rV8XUNiYm@%zo;fho} z3G_Z&KF??$|zt2pAsIN<5=8D*$PaCllLG6OB#jBBGN0J%>m8PTn=aSLF5|eJJ%~V2YWqaLL9+e~FionXs_$#)h(t1B+o}-g zlMeK--NvVC<_?{02aV()WMTSZi1cX7J`H3610y_W>5ZZQfT;Vy6SNlF{dTA(fdiAh zIJy>`A=O>4*J__$&xaf!;(E%-b8uj26i!yX1`pr?4Udws%xzy*iLSOxKPCK2S67?t z$GBF8L=9C&%34Fjpf0f|`f5CqG_3SiNauBALF4saNjTi*4o!7aIOCOGN!pqpZIbF_ z)tc-~b5SSk3~?Azw3rAnO*_`HjjJS9gIPjKf?Ax01M*nf&g+X4wK()9_Z0XpM zA>BUHX6OT|o=w_#TQ-;&t8TQ9tPAr&nDOjLrf}j>8fGjCt<-pNJ&Bb}^iV|V*Zm~T z#3lfgq~*x^omP|3!a4f*wAjP@dXvBT&GVfo zdqo}WXBV6|hMqSn*2>1yxdz+M#h81{BqIJ&X{zx)4OeB1{0g%3Zef#o16mncucKp; zFE;p^KqBD2W?B`dpg@AsW5n4CefF%>^qT-b;H?)lbPh#VGLHP}vH==6E-PG>)TRSU zz29L15N2>k3D4c_06>i?Da_OM{qE#)f6v|g6akFf)zeG za7ozfuNtV9R40DQg-N=(t@*c$k*?ZT8KboH9L6iLP@eOc?=+#L4)uM59We;T!db{g zno1Oipx%Ua>J!Og*BF{39L0vIp+t4#!m3sxniGLwZL!ebF1W!uP z3gJr8sxFbqMxZg*0TZ21a+I(ogY(oa80Iwlc8IEDRS6S3xwDmIj+uC%@j1eTQ6Fsl zq^1@X>YCtafo)W z#Hp=SbDsr#I_Q1;)=Q0z7$j_dm$swZWrB3d=&$Vu!3z@lK_wndi{*KzBCNeI0o`Fu zJ3Crgo0*zvE{Hm1SG~Te_!IG;s~szblsCs{JBzyF>7;n;c)v`_vo(YAeDG0P+35#6 zVl5E7JKtEKE8><_G+sui)7OeyR8O-)*$VAp@8T}w3Q)vi&4ew(KUYsnwS`qKO$-?w zTZ!c;_D0Xsy}yNT&K2A-w){L; za4HEQ>=0_rG)ew|tNZ)-rhqD$&sw3f6gvLi*bDe)#0h8c{)g*>w|jy2OG5j+*Xw!4 zi}U=A%~E?!F`XCVj_dXLi}~;@^!y)Fr!E{mGcsKelk}{b(hN|oz?ks)^d*h8?w7aD z2MBl0Ru4GZ)=FJ|yCW4O^w!@}zhKvXqS_5mQhi?Q?(R|8tkQCPetN#xp)_4v+o7*o zt8sd41Id2rNRu1VL3eOo-CLfa=&3PFzQTl9v;JZFqD?YN@7Gcf+3E|^N|qkK3gX1G zu;WIxowj%F$Rlhwti2XTzLunmXjf}Q=cz}VHlU-~uD5^f2v)!6TCIs{uhct9Afw-} zdEKE-7uia+br47XFH3ZX#=)eBSHga#oB~fJflgw*Uh4!G&oWWep>m>`e*?>A~O?C2MunsQr7J%$YXmWRdI$g}E4+?#ls`@}= z?;)n>7)(}-$_!4e-upt^{NSe{$iSMjp=^Vqc5Hyy1x%q9Vl^#uP{h>=0P9a~w|8@V} zi{NcKnbN>#`Q7dQ^|Z8{<-uZHQsl|&dhm3!XVrIl8M}S*(6*W589E);<5ZB8aek-R z6Mth3$>+8?07WURu?N%Com~`X-^}bU&c4l=-P%$H zS`p(`CcZF&q1`h>@k$2AD)K4acbVOHN!_9bpx!LXDlb0*UxTF#JUM$54UTtVQ@Rl| zyAc7j6|~8WNb&bq#->M%p18i?!zX2dH_4-ujH45(BN-Q3K^{iuWx)bVy%&~WlWyF4Pxf(BEbln#{s+|74DD#AR26rSAVF2sv_(y-6?4aR0SYeA* z!Jz{T0<(0oYGF_=-M2Cv`Aj9PXx<|aB6cPWgWE|vIHMeB;$Ms%^)non>UfH}pe&I= z$RxfPTf2gyWV|Ba=YpxdA>H$*g0GSKpL$rHz3&eDlJmcHhz3~H(xGgvRAPhVeM2P; zxSD~WiQ7ep-OGcrI}BWPhHE}dTyaj+T%sBoDIjuG8or@CrtGKu-j{XJ-Zr-jA=1qb zQ{s1XOQxx*%ZHV&+GRN6O!FG{`|*_; z*3AjV4Hbe_<7hfuk`SDy6PzbHD06(fmZANUR;K%b<{-Luz~}0eHVsJ{8x%g&86+&I z`h!TJVnCrFd9NZiis8s81h$h9NEp6o0PzjNk}2D&fbPT{5@zG82p~q7XV*ppWgAY| zN1Jvzmm*q+_X`SirY`#j*^a4L(`g!AVV;JL_TnSTy)U-{bqOb-je<1km}Q!4zsg) z=ZD?x-$cyE83xdZ4Vhnl5NV9W1nVl33^*00y~r{+%i`_<51=NV-51V=Wo@6R-&wu1PNPasv8mIwTsEdiU%7#57pB44OJadagR_mE*kgIV z()+zTQ}vDY@k;M{x06MnTLq8;d)CMWx@m8A$)J+ zDeolZ=cBR3=sp^MG4{eWO8(ay$=tj4x_{ea_Ta34dXRro`JLYdBOtSB_1FbADTflF zJ^#qBkNA0;fOTuroR7u@8`G%oatSW*eJr z(AbUD*w#Dg_xHZfz0bY(zx&5NXJ+la*ZM5ZnK?6iAiULi`UWPzf`{b0Se<%N$4lXo zPNc^k0{t0+L%-lr-~~Doup>gcae`5Bw!^dhwIPSa*dLOjHjb|bL%h?FhYsG`BWVR! z$pP_;>PhursQ5x>6I9-syYPuZ{}!H91Cz?ucg?EeYFFt8Ta^QM&+ldeYiCy}fMw{| zhVz4Fg85ylU7pGVxg;1EngJbuOaiIjIR2XZB5gLJH2x(+rQ&ZcSM+yh7w zWG!%17>0PT7SZS+8mxjSCs5y=dCw}B5j_!wEZDf10AVC#X{F}Cn(4` zh(Uc+WP$Y*1=$!1`{<}8E?|)}JU=s7-@fIK8^zwy(h#Jh`0iM7YCWWE*bE@>2>Imdy(~cnn^+ zh1wezwm}56iB16_@+&t87s|wiu4jNIXd1ew&I{MD#TiDpWp-RRHuab3QwQpJ4id9) zBRCVxmO?9NVANBItpPzP&}iWT)L*j=7OoQ{^MSTZ7!EcpIq)yLACKcVFVikiKjR{Z z!WZ(lC(d4>3v3@lr=xUsj!wplz*7=kRR6E!dWuwq()@zZA4Y*jc3O1wh9x+G+%pKm z*#{z|{o&xqA!9MU`M6`k$tR^_OhXATQnL39Y?ux>+Q5U1uwetV$jrm! z+$Y_DUucRI@o8%Ste~ljZsU5;9wVC(NW#~MbvwShyphsc@QB7A)$k-vV(9RT=dAHjd%Kr z1KvM5zktH+@WBvqHo!t3v;|;9UXe(2ZK8wnwFZS_bzAIHl_t0P#OL$Mqe$dpN6z)OR+!oEU=B77);mvEs}@2z_y1KZpZ2Jh?21r1cf zE7cKT!ys&nQiAn3B8fl;_f^eehmB$@1vcu@1R7;|fiTo92I`Q6kwZ$b4AY^15vN8j zkx}^Y{_N%YA&w!8qemWaIF0O@GwHiXJxa$ig4st$OQ?i0L}!uj6<0 zj`DrQ3*qxNsx=GYlQyb4wRQ*$EGX!$`f)xRq&DbGolW2MSDZVWLiJacz3r3)KaXyy zOyp`zbm>e8>@@u|z@WE1YGP8!Qr2WJ6`ak+hp3Tl^tl8A&1e3_z?0 zheiLU(F(+cLO?Av{00fhlMn)I$AkAyTB8ZKEcmgZZtJytgohBphv%0>CNyJ0`j4;V?BRYFPhsfphlf;3ex|8-B#TL{f;30>Q5k-iY z_ZY(4t2*KoRy)}cLmPkR;M`!xEgWf4$Ae(4h}B5}gU#x|jZF~JjAKP553s)&7LKLr z$JUTTLqQNn4!IsPiZ9?noaX4M8)S=MJq*<2aK_~*&5t(22}B(7%)-rAPTXRVvLgfz zQ;;a+Ik^1s)F4Ei2R2+Sv0T7-7-Mrf8Q z5hvW82tw#VvOuF5R=`|=A9ayKVo?nj&j*bH(VTG$OIqFdq#(@s7C}Hm$RY$rLEG(Muu3eogmDJeRkJ{wbRez3o3PMe zflILvn&u%wwsHhI>bM|6mLtv<9#=pb7EF_j7J>*c{ooBW@91;O3liS8vdDia0-tPUlh zXYSHSVsFni5}g*OGb?Vo1|DJo1PQAn=?^f(<^f1l_b50PTc<&z*i7>ndgzc?eBl;K zDw?N7wy1uR_DvS48A9kVpvcY+83_+qu>OR{mFu;O^)TY&B^)#j(+5z%}iksIu=rLIG{9h+q=?#4{Pql zHIF#}8v}t@z!DPV#vCG|3@g021t>}bSs~c;9SEE`Lg;dQ!^J*u!^LYPmgDKzUfj7M zGY!xt8$E=G3q&Go`;ak<1Z-L~F02Y(U>!XVv`KR8xF_KdH`j4+LNBiPHg*6>KqC*3 z3THbkGZf!(cUy*)o=-4UPb znJ+i~UR}8()(0N-zB5X*N$*lk@46@*v`;gJE=`!GQ0PzJce*)@#P%{PDgTISd3@>l zQc3-EeDLTM4~}*Ro=fk52VtApje>$beq-j>W+_iFI8w!-2O;kF!|nP?faeJP&A z0mP&NVq8HnUC2ix9z5jQouCjtk>Yd*e@y?`zDMnLfK&VKgQ7` znj9D;t%#7Fe0tB*=F1_a|Hg_aKSY}cUsHmM|GVf=dpU9!uigbCzDxf|KAi@Oc{4c3 z9MUyHzy3+%f#^BKp&bG$L+!yGREl5#?UsVm6;X9AaF1Y6#q{ktac)qY*>2V6e718~ z>yESDBtUtI-xm*l-9+P_Xt(bUYdqI$;UW4(m}F)5$CLqfo@DF2sVVldwo67DRHyQe z_=|_yY=9^~_i3Pw479&kSiJ3~(I}#ros7ZmQH+Vk+lQB%b+pcKtqKdwN709+vf9g= zLDtH*uhEZ+u=(-t9=GHXBDhiS>f$!PSyxtmi@FWFrlixJ#gUnm@Jp#>{`&c!h=Pp# z-=(maG*=f0V)FloIo3_yyLZTxW&!6QKGGQ&5H^CJm(hU41H>NDg9^G@?#(7OA0CY- z7kFkCmdb1U@owm$o(_$Q85!g<^~*Z%dn}Y(A4VurwqNFH=PiTDP5h#S zIX;0aeX~?s91J<&_pJGQIjEP$c*;Atr4S1O0vxi3odSdvy=VMgWNNpvk4Xp1{Z-w_ z13IOM;}grQOmz5X5u8G#XeI-!aBTqt!=II+B6C_M!4(&TaLU@>teIp8)bzR-4!0}n z@|fZGm6z*ijvK{|3_55`bNTcW&IIP{@~Yu{yyR){WG{x};smU_<%DXOr(Kg zOg;V8+a;MM%_>tUkD=&Pa1yoe=SJpX11^29} zy-cj1(8%fx_~CUdQp^Bm^qj~hw*sEebdCo=V7pziZq%&eqznj+#tzh)617&L$&5*d z7Ei;8Exq{!b~RV>&5+*=YFnBKcQDySSd|LYjsYv0FNQ>&)g$9ke413+={F)Xn^Yi% zwGEQ0PV~}FaqU`IxS&@25HK<_npDt%iMR#Z3=TyyTa%p<{2$WYd)i8L#b`R^STAAc zUuF3PyF13SnqKODBNYQl1v1HFJCLHCu>1%{MEkIXT_cr;sv4BJnCbQYjf{Z}1gwpE)xIjS6^N0ZIJJMjmKs*QD&X+iJmmU)Fa zGcDw|7L8(ITd(UH8NbkLk?b%Q>z7&aa6qrZm2)lRw04ZT;mB<1dKiCZz$ci_DRWi! zppnD=`&;*Lw+&&}sfMp!4BHK+LlQcgk_|oss*OF(uk}>4Jp1Uk4wK1hl(4c#*)u{L z#uE8rrYo!U*YN4u3=5PlIVWhRqE6*#5qyDMKlrd%)g#u zBcMlNzYRmyddfEtzNk;^8z0jep4&bHM%GdJ;ql!iZ$0VZfJ7CB$lN@#WA7Ii(OcE| z*5{*!YP3;K34g~|@LvvsPB}5%HQD&B&WY$~zNB@KVg#$PeWBX)n(3_qIrO%AOdpL~ z2O?1}vC!9BM=T?wixcRvX&-wZu-S&ua#Rx=?I&|UzuoqA%{ascr+;F_B)fe(4ITS7 z`C+6Ds?DW_4ZpzHYA0G89_M^~o(x!jm-`4X&wrdQG#QjUfWr1c+YE9wsGV$;M-y+{ z&k}mC?TQn!{!}c#4AAHQ(7C|?tNFm+!*>57*@@nxD3TF;R~8U_pyW`)|6o}A*H_9% zv`NV_F2+;hBqQc<31KnsYpLtgSt)V15ARG?$ADHWcHzD;jmz=#uz`QeTl2O3jk(g^ z#2=kSJX@XMm(ksv)7?d~49P0Vy-o;%wO)g0B!^cnlXV`~kH#_o)5P^&jNo!wB^{Ul zk|9UDu<_bHt(c$I8Km+aryZb98q+ulMBBC8E3Iz!g zY#(ti46mQ=xxBa?=mz8{3^InJ`v@gFe-}yARKOfA&i>=t&BU%}_Xqo+Megn>>;(aZ80J3!5# z*?Q z)1uuZWw3uE!y#ow2qX}}Z4;&Rv<#k%yochM5g_Yx zjVsgN7oq(G)JAj;k+ET^VrLV;Eq zC~Pl9I%L)S$23$cokBE~TY5ur|+#tVBijb`p&QiCqFLXOuBy8;rUDEEFf)W{d%P-w-2wLM%cCd6eNc zc9>y5YRaiOp0b(9)B(fnlh) z-myw-g=`olH=Gep4Q8-G%jKLy?{884{gTzNXHBn&pbGXd+d*NaNJA1!4Hn2HatRV2 z3N%~7K3L2kX~-;b*1jTo&NE{Goo|Ub3C%n!Dh8I|M;6qXfh%$L@O0a-YE>5SK_>Lx z67g6TR*M-j2`x|9sN^6mhOoG6!hr&2!8_wn%J~a=;@G@fr7%U(_SVcDady4Vb~Zo-)1E8_{~Jvrj>BCRG&K5ghTS8L&()&F|l23 zR9d=pR9k^h)ihz8R29CK@%nLIana`RJx=2{{ zo`=Z~@Mc2c`O*|SW#o1E@LRrdzrjg`UY|g=vYuW z&JYKRn3`@W(QJeJVbvgvAS;4sLY029p(1#Ei>D;D1(PCObpASm=u0X_x+qA54D$8&JB@qMwmR9>M57Bp4JTG&~L8%K(LLxmz@E=t;>M~YY{CwVObnNN4A_EbQgijj;c174EzHD9c8#5CrW`KvL-^h1zDh@6ve_r0xzJJy+<%Y5iAc}K$i*gjE8N? zlOw&~V~N@TC(A2n(A3sdUPo&MefC+s;Pa5F-HjgQbF>)d#e|HlAVU9JDe?hrq7iaHx9(M2=jFhidV)IjW7wOiaPs)AnO9*Swy z`8p}c?#dqF_)>PDocNOmht|zVkuARP#tO5>h{i(OZVHMr$b~BKz(oxilTNse{OU8} zFf!Ext{UNl=O0Uuk+~Hyp!c4|8%FL@J%`h%LtcCUN>DfxeDB$O0<3%USFyU!IOOr< zDzLYx$dLI<`6C#wv&a%8YZSo(`|k-wVZyaaAsSC=3<)oU8&r}lCT7wsM;br``t=2JSDHcuK#t!WV(^G6#>9|tW8(k>3fq)1}Lx+JaFeRuTH z1tBr?t#)E9bX_0Vgt`E53u)trdmRc=!KZNP0Y!pzX@r5{cIyEJPa%I2_Zi$I(K^9u0RoH z_KhxD?xJKc^+u=9xyP>?lbobZbTbTq?~8R#teU!;V|x3S-Rc zXrnQb=;Qwq^TY_5CWneZl>L*=b84(OZa`Pi?Bk1ZPV13JX(IS^cj{R7Erf;(R4m1q zw3U1J=-8KF9Dt;%9=fq7$I)&8i_hL$>=^{bmGOtBh394L6ldfyV?#wc4hrSlGF=PL zHbAj{04N^-%G45_r?M;l84mnCK)Lt|g)*3`eqQi5Suh);V8%aI;Q+_R6$E?7G-}6B&6+zMSbfx-p&&U0 zL3pO85urDS#y3OUqgCeaF0Z@I?mXY8_VoatxAwH|x5L-L(RDwp(8u#V=KhWGi$BCQ z^0D^;TW@z+#Ou%3GfB1U&(C{VZ?6x-*R{m2PYYa%U9X3C2A%#7wvf+z#18Av3&%nT zZ}@MG>j5{z>z&Vgahk1LNb4_8C_-Ic&R8sLewSIqYn@M<-L)037irxukDE-KEE9Kk z?bHTxLWq@RPpcj;4uw9s&_CWDPTIMI{5_vWClvKx4&vS(OAqX=t`Y9u76l&0pHR-$ zUZ2yb4W1Va0v-;=yI)>j-d=7e-p*90-we`!8oVCui_jW`(i)w!vGb73^P=%I%{}6&;V8MK7!?NPq2@ zEZhITbbPZMm3Qlgi%u&4&8<+w86sbo&m#tn-zBf5&~VWr*By|*hSKKk_n!-b|Hh7or4CoTO3g>CoN@bnG}0%PZP9{SwDvVLBP4^4zgaW-AU zfHce`=6U|XofAMVmBHo7M=A&AmH)dmuWmj1F0q|TEMiXH#{V=3!D9XeO)qHsJK7?n zNylQ@el$hAdvC?zcV_8`acc`w%3z7{WhJ#i$MTSH-oho#lDuiIzi8($Xdw+-#s7yfUhSF*7b zSu6C;B${>BzSu9cPo3P}UX-MF*a8nFc67pT<0t)}nP&1HUw*CVOv$r)NplYD_`7KE z$0(ohO4xaEwY@V~Il@z9^;+_WXZ2FW8ag@}ru*9d^nL@(FPsNv0`E5R!7}rU@#a2)61E&2{3oIR~~| z#+!(?Z6k-dv#R-J+>2YhDe9Ky3r&$OXg<4)#w8|T5>#|pHEFEJL;W72%MPb=%KS3N zjikQAK~U+8UomFIsj<(Y_HD+tYTBwyrL^@yd;ein>gZuqhw}2$pv%7!+lN-)U(q+| z94#=QL(u@tGt%efCg?Q9EzJMnDZ=3Gl~mjJK6CZDcKv2OU?KLT)_m#B`@x4{e&2*L z-Lmc#Z)(*%D%`<;EV3z!5?>IKgWP+OVd{J{A8%?~*wywY*XY;hY7C@2m>jedPQJp; zr!4k@(s6Vo1BFa9J5E^+-#SYP*KWpwnG$6RALR8Pe}>~G7-~aLHeAEj*gD)U6FfbG z-5wd0B_`_DU^hR`9C2`+?5>c^sts3GQVxEp3!mX%x`$i#yf>X-T)EG@vHVlH)TMO~ zP0j0X?is+%`1f@CnW)F=uTCjHkNaQ2>7ftItKZ!|J^reWvw2zzY4mJX@QgNl639IB zwA#MTn(Qn(7?$O-JGEIW%n30hVzT8(C!P>v5z6Hv8oOgjjiBeUTZ#8|KJxG8Jlh-| zmu&oydHz204rV}5x7EtUps{O_Cvx{Q3d~-O_oe94 zjSwFy{UX`$8oS4t` z&n%Z}z4<{!k+G#H0)VlIx5%5&F|-&%SoRJJRc{YP?| zUCZ{aXgn%Z#+T>YoEAI}S6)1$zw#ysa&|{kp?4=P_U(SVYBS_Tm3{~(ZswUG-!)rs zDMDOp@jFT`6e4bT6~5lz9Jc#?SxhPV;PUL1#hw%UB*q`j``j{~K}73;X?Ff2P^UEe zxm7Q>O8WJW{k5gMHi2r)z)J~s6#WPv85fF>{ZgG`vQZwV-J(wcA)fyqj@{ja*95lv zz4>a@ui>l8;j4BlbytOf+x+X#JiHHqk>BGbm&xlmk-wPxm zneQn6%knsu0q`TEll&_7lp{VuyE8ra80UIKdV~+BlwCP_4j%Ww!MGCnjc`UNBBE6B zME#gI%Aav~Eyp0+0CViosO;6_O9*5)LCZKtK_@=!xIY;ITC&a)(J^kF@M^W^UAz;DCWuYY*TYw z8$;})PCpm<95woukllWcUF6~&J^30r+aHI%N|3kfE@|6{3@G5>mP!z9Pd?pw^VvR< za`3!R>`B9dhwm}@Z&Lwt09#uZTajIP_1x`u^)??eVjz@Knd+4Y{geM{9EH2KpTD)O z#^7weWgc)1?|Ise9;hM=Yv^-p6cGP}S>6Xaat-*oU&zJZXr_hk4He))^_&nJPP)6v#U8CU=v?b9oXTdWQRpe)7CI zTs^&tK}{D%+cap_?b@J5{LN$A3DLiJUic8ZP(lC!GzOK zZXJSA;;M?JC_*yHM`P#x3!kYgs`%}is){mwd|F#Q8ePjD53hx9<~~h-Fo09YDRmgI zRV(-4kqWRx9{$AJ@R)CrB>A{w^ESBi9q(~gm3{n^TaA!_-yvn=m-I@mPkG*QRZE7A z%u@oY-%g*EzMW#6s(iUFUlNRI)Qfth{!=Uy=WfxicF=3@m3FvM`UclzhOv8GBwfIe z$I)sw60xg7p)43Fn;;|cTJF~&fKFH-3ducD zqDu`njeW5+Q{RDsPj%iGySi27-hV@3S}NoI=P+Qx2X{vAC0pDFL8ZpcUPkXcfoH;l zQ{vOjeMQ5m2Lxov5fqMolr@EA)sv#mKMHN1+mb~_PYSb&Wf^F0o!kGKAEd=IN* z&DK+T?uSl0^xo%Rv|ZCWeY}gF9L;X2>;4`?VMR4O2S>{!3k@1qjIJ%m(~LUUm8n_y zAF{REM9nXqZ$nJI&6>HV34rqcj-vFe+rpL#Ua>vXTy)N%`fJ($`RdBm;>0%%Eb#nZ zGGsQNR86Y{`8?5R?=E{|blf%s`Mg*!u}WLMiVL+s+|5R``#fN&aM?|XQ5OZ2aV-jZ zjvbbR7o{P@>l9~oYSK=ntXH}MX>i^#n50UsXZ*tP?ryOOi+d-4`m5I4ZL`T})m_sTPR>tnjCBRRhBKz-19NjvD-iSvW5HI9E z_5=hcGw(1aDVtKfAJ}2IWYa1tc3hbLeSgiWt|&9%@AQq`e~-KsyLpdBoH0N@9d$P~ z^*OtyiV=OLtiL17X`P?j)YNa3&Qkq6+m>K-fP4t423W|5q1=3%R}=;|O2?@RFn%by zqTfXUrO?UJ?Eb=~_-GYsYzk=-24<4vT!4 z^IW^%V|-*dAJAsE{jxC)7+W!^^Fj7;S>pC3%#qa3>C+>0e`9H_!TJZ8$nM7lp|!Ws z%6(>oj_1RNqCkh&1xM*=qt9@w6M&*9w1+ zw8>ZwVo18}lkP_<2hBk}Nvh(QIxJ;YZcK6aT@sGTLG^u3KPlS)#R_{m`+kB4xlL`> zCySTqTuxevzZGwXuIK%!Zw!-G$Ugm{pH+mhmunWUV4d^V%%2fouw zn*>kv>B?ITu-kAQgAARP;73-~p!1!9RJFR_QHAhCvMRP|ikg67_>Jm6U@;h!aajp_ zmac_kP6irs>w0p~X>pxPV(|wfzursh=Lf~9WBAH39evf@x~%8b2UM1t>9c_tZS}Pe zZ}pw{Qv}*ncUe*Hxs-keH0{49Pyx}*5Xq-VTsstk6McH)q%~aal7shzJQ=;`&}e*i zRhSj_C96ae%xfgYLLILx3Lc*9z*nzBh6JFAP~fW9t&QNRpV!|y3({q|74tScXcZq? zaD5v^0bUnJ;+t&DM@OPPtqeh2P5z?XTBUj;wO7A$xC_&3j(@KOcG##2a@wJ?+F;FY zm8KKcKd;Z;Fme9O+>M?lS@#?bzsPk&BsbgRxLIEYqBw|~|M2{b3Boq?*)&lhQ0XO_ zrR@(JE&w-$Bp!tpq{Bg7h(I8Y8n@ue$ya!=e1go&0ar49((*bXcRonXgU|Zu+PB{~ zvgB(&vxGK5N{PiE4wE2(6*#xxxH(k@3jJ|fDDdUxp&V4z-8OuVx=;p=y3!tZi@UI2 z3a+&28duVH5V^me2v5`x;aCW-q<4<{+b@m|GIwh})Fc z2Zp$L`f|)|qNzs=OR9b#QvPrEve3%~i$C))-m`eMEEwo;FXKHXl6RBp=(keqEi6Zl z^5FhL=Lt-_Y>fFgvQAQCQV5^^ZvxhJ+%Wtk{>zC-jnww!CJfey(wG3r;8K^FyqWr4%zxq(F>C2ae{55{j-OYGsY z9V2L@!yPm?!xlmv0aqt4Of-#Nm*S5LXYL{qzLmScFJ6=3^|blH%Sz+b&Aivg-TR4| z&AjusjJZXQ_H8fbiiCncOte=qhu+B^qXEb$(q7#~W6TAWetf5u5k;O_Dm#t6!WVx_ zTO78lx^Q1Tyh$p?r!5FlCNv5jjqfd*5hgsre@f=_K3Eq$Ds??>4Za?hG3$Rvk@32m zllpu;*O_-|RkwW_#N8x|V6Tp?Yo(oKl0LaNN!a&>lyw4nM59qm1rD&OzB;7bT}#fH zbN8aMP8#%s#iPJ2fph(dhnq&_r;mEi_UwVP#ooI3r^;q6b7hoKrnA$7-T>|S}pj7EdD3<$rt|15jc6dWOGm1Ocmm=eop}XAvJ#>Qk~n4 zi{2NCm%ke1Fx~!{OhtzjlpRx<6{OSh^j*I)=upop<{VR#ha8idiSRAE5m;Z%K(=FUweRHXZwvbC7TjJ&9A zw(nndC_p5ep@ykg7)e)w31NU}quiwU`cOujy|J`vFpG(Ddfa6(cfIv+RQqgg{d%xo z=S?!$@4xuL1c8efj>NgGfmUr0O@h_KHYtuNQM{W_B%z;W0DIw#-ad0*f)CvLx8H~w9+R*WZnenj(W zYKSH(`M|;Fl&I!v^RgD9GE=bba|ip_=`remmea7VL*2r!#C~nRbl$1T=}QjJa`kN*d4|Dk?%N=^d8gquCJPtx1fR-5q@AA6XDy*%OzJw9hw=JGs8h`$4Au2sB zDXe{5?Ymb5Q67*U{FxG?3$hW0Vt$RSUSz8>?DgzU=#@rH58{Y{f);B7yx zS*ZKr>bR-<;U>+47(B;U+ngAdSPRK%!FB+4Bx^qn^5dV z6YW(vr2Xg^TTmLx8Gdgmo0;T!ms6x4jjH=Hl^#<hQfx?&9GSR+)b_nD<9oP?p-qk@ARjk!W&lSi4($y=6q0#K+7WN{$#X7tDjko9n<(QdIjx6E3Pg61kmd&}?yZ6l-REtaqwFGbw=SSXf4gFpJ z9UtagVSCEz*XooFjAZi%?*7jUUP!;{TL@sz@m2N_BlXTw^6xU8&pz(1*^G29B>R+7 z-cpxRdP8xaWYcl;RQJ^P$#{mKRWGMNGwT}#y}j%?IK19EIIIbHyAHh_S>8g8mn>M=ns2tFbSRf`1b4+ zG6Tl=cFT(kVQHej(t!n0=~std(Mf&X`c zUe%g%8Mp19v=UqjlrJ-B$ZVv!pkYX9Ipf5>WcrL;3$j#fup5$+itn)=Y9t#Bl90PmH)sc zssP}MX8(Z$L=%cjm;VDNBLjd-Q~|&hjSZJ8snmpn8eeYEZ*R`>X5LnJHP)-NEAXt; z=gJAi&kdb`dtXWk2S;h}L$uTm!V|3Nc4>l*4kZ$zQFdwe%JuPDHpthjuZNh(*jBo{{3l;ICn>diUJ5}LVMGE>^=P9l;IL(S2+nW7cOSYwiA z97#B>RXRQ6+uf|H?~UF3EyEKk#s5JQ(Eb>WTg*|| za>Ddw>kE<d>xZ4&IzC&8RWqA*YXdb zE-*D7kUv71Gf3@+<;A(3zf8yWDis_`VjaGLV2Be`*t&K(A*j1mg%6~CUIydX5l?~y zS~q^D{Ziop`DPWz#{I(Vo>Jip>_^!G-H)d(gKgN5r9fBE0b^ZP=X z>jPr>^42!+I$<&K_szayEmqNg0=OXuud+Gq?ea#aM$G3&2in7}4=P#Tqzo<7#~nF4 zT7M{x$90XBWd2FOhWof%2v zrEIWK*WTdj0zcEXp@?PgYP^jPepC1)OAi5(o_I4T-sr^9=o z{7$!CC4#{r0hlP$*hFkM3(NATx2{*)+)24~Y!vXsl1?$y2a{zQa=t6&Ww7 z(B)Gc+P(@>N^9?A9R$aj>kM<*Op0}70Kn!i#_>549NvBG<_q}An1FKX+OAL+8qmv^ z9eA-Nnmk8V7diVoOZ@dJQH(0?cP#lD%@5{SnTEYQK-6I3WaF=2PsPURe~3cf1T+W)CqzlFJrp_49(NH(V`deu>bf|sY?{T%DmpmLXvo~z^?zQ}S_vmY7W(UvthCgk!9#>Z?eVyarjvxTktv0pg! zV(R~~F=5VM!uXO1c&KNFgO}QOAuPW}(540R0&)g`;}v}wHB;{NFLp)t2!WW7&S)Hf z2NG;>5l9CNOu?ZgeothPFNuL;@3w=?n8voYnWR7tq0a2;-*`7OU17BdMwqq%&egko zOW3(WxQgYA;3V^u3eEr*RIb&RMGEAY@Q=^KO9lGZpCL$F!=!CDxpcasEImsmGrg&Ao^79H6*#2Z(*@x)b!zO6oJW zua|+I5PMRMqM`}wf#nreK!n3lzN5m39(fOUADZ{l)e=!~2}FGFRQ^AU7K%K?Y{pm? zT=v|NJeWB~mHg(SpA_2-+QTi8Qe{B-XD;nXb?&gG(NY$-cjIYCJ`hoK{|7pNG#okW zD^Ou}`%BuEs)R8f%)@4)I(>PSajaf+#0S`0$;aWlqPn4$Nm1lRTwO3l4mwcis`2 zb6%k+V^2ZCnY|ZAD$H@)N6T=dtt0Eqn@{tb#@^MxJrhcwjw$JFJ7TUsCtK7OQFx;@ zA@9#!|K_1D(Hi_*Xa3Pb5ivL1O0zQzZ{M{Z+~Qm0q_cY`yfNokg<+eVMKe&hB zaDWhkySuvtx8T9u-QE4*POu>Tn4!Z?M{41ceD#3&$gRqQoJZF&R<_$@z9FIhgr|0j`QRPtn7HM#UpaZ? za+5LDksI4Hl+(AW=K{GdxZaII; zq@kPM8@ZFY;P&l;vQ(Es{v-x%N#I&xondjyQC&0f?1B>#O9|60E3&;y*j7x_5pXNa z)&(n_xsrs${N>1wIaZNe!FvKG=BVodcg^02vii{GY8^6*e)mmm<+mGL0`8tIc82Be zS4#{suAL=8t;?V6iHtq6gg&`D<%mbG&MzR8F-YQ4ucRS*2J^ubn^Q!?XEe?7WK1Ib}SK z@r-RmF}KO1qkr3X<>Q_lrmXvR@AB;e5Gn~x-;2-5l8l)|Lk!e1Z^~jF3#}6QU{qvV zBs(nT-dLFyuoqi770``1&g#6SY`4YB{yUcOg$Ta;F-0z=qLYcvrDsYyTLtZ{%62K2 z?!45aX=HeN2D=7pbq*6x!^J$#p7 z4{tf}?MpX4tDUpyW_Yy|=TzijY~9!ROwLx%TXHMx(Sy12QBF(U2FUuM^Gb{Sk8g^_J?$-R>Sp z>cDRBWLom81M#`FWd3yY~FCPQVnA)$bfbBa}E3+7w^&+ z5^hkifg&)3G5<{=ifeulV*)e(XH@qQ*SstSKK)&&W8g^oDWj9BdWRb8Xpq6##h=M< z%N%T%3k*7aXN6l9d{y@zy)-9}VJpm2vM4ecRY^135Asv$500ek3^>naaV=viKQ33! zEe{8+g~dBQgImWxqz)NQO20N}Q}=hwB=$RLAgD8FUZ>P47idugEvUVfbt~eKn0rWg zj2?^3n95n|+E+x#Fvc#XTv|Kj6h628WY|iJMns`8wKdZiSS4dl!gPQMBVRWb3Y#kL zO(jLZP#l=oRvYdzx=D3V70yf+qmRn;d0$Dd+(tTXRuPru%b6Dn#WEraBUKg-$EMme z7nV;ZZy|W(2CsR9G!C~OQUnrb#X@h^La6-UxjOjp^yhDAOOIttzb_1bVHpT5?KeNj%$Z_*gxzvreVY3T0Yb$wC7k&w}d-I0)Zkex2M`ryANzXAkB3d-(30NEAr57{2{0bT z>}K70X^?szP(AD_sJ_ijM(>b?W;V*SX5?iDyl*jPyhy7tl``2^k#Mo$B6Fy1PK-0) zaBeTJxhe(G`MT_3vSyCx{?3lEvyg)4O`*BO&OM^}aMbrlDEoC%*E&9d$w31CT2@;D zoS6hy2Q~vk4Mdxsk<#`qUa{zVweXh;hgv#F+qXkA#bR*b3U;-&DkBpc2K_JI^n&_9 zZ}M?VI8#yC8OJw3p#W(DQI@niCc{vmqm0I^OVXShx+bT9^QKb)CelANZbH2xp$``2 zwPct-G9guUUM9`f$`Va6R;-Jvz{!sR5tR*(TYvPDhb~0rCw(|tZ)TW8;FX9$k_i4S zRMDI>1PXb8eRnzCt-)9km|7J<;1DK;k|ksw!!yB=E=-fahyT!)@I~qkuOuzV)fS(> zo3{Yn9tll3@gtgjKV|_>ZQj}j%v6QsB@V5MvHmGhtcftGq7*!#DVfuT8KQOh_hs%r zE~ax)BNb)Wve6vwDkR_9JR7(%%a&N5yn>-fH(v4->mYuO7w`ObUi=j2SNLiN)_<(bB2K<(hFCK8*l|0i_SN2y=RU0MrCKR7n(`eh zn({r+9)R`;v?rkb1{xF?O&J=DHVyreUbngUq(q7tPH^l=s!%u?Q7Gu=srI(xQESh2xMgFC&@ya*li&-w63rv}?{Bi3}2oO6w-68Yg!k z*2Nb9e>C-G-_RJNKGcuHLg4(^klKvvbMR=LY+Twwcd>uP3kj`;0$0l>dBt=5y**|v zfys8wN$vw2NekU5UZQoyn2VewW#%ab#axlci%>2^**N0-0^lX;Le}_{ zN7Hfphsp`l+T`3sK`3!o77|X*w2~I6-9l8vlsu(W*oSORw&OLE5|hRjiV|*7aal8Q zubd%?(+|Ja&y&gOj)I1-9tx=%c)URli|5IP_CvL&5$gL?q>85~q8wE)sgL~IiGf39 z;#-LW!q#e~Rpjlslt@NfxaSvTZmybirSocfz1)uw-K<$ji>nzm7P+sGu5zTYBF5S^3IxfiD^zTc( zqGJ%7VO15iF40O8S&Hk3nyoiZ95Vh{?)(J_QmH#zoB>WO{7Hu8Z25(|=+jnXxjwKl zmwot-Tc64X`*6+6MZ>@H<>>uIAcuff?_*`7j!!?Cue5H((fyF7ORx1xt7GGddE(Li zAU-A^t#rt?gdZl~WGcLuQ+sJhHU)v~L(*&i&}?VxYF;#*$ZS3wl!qj#0DzEK&D*03 z5QvY*m<5iJ{a^mptADc)PJGQ~XsnGs=QvB=mlE-7kci zci(yHKg_`PEfZQ(xc=@YtA*obt}Z`#5lCNlbBpq&yR)_uj8$8{e%$JA4?@?N)e*<4 zy>Ndl_2>28*CzJfH}mFmnrYW*R|Cgh-QRUaUtIX=S@SWi?p&YwlI(53eqA8e?HoH< zf#sWQMeu6yZ1vH5F~-|9v%0r=eu(+&FutpfJ;|;XM-X=BRgfJ_lqV}^V;{5R?(NZf z#A3Ipp4JW0Lif&s^;-LvcKgZ+9A5v%UtgTHnnSYr*0Tk)ZnRq&w7-p={4gEI;hnR2 zjeA&UtHGUt(iiUtOKnKheOsau1SXY&F}{9sAYV#i*PJv3*ASvN3OEhR$GVRC01#sxL+?($? zfgi)6r&ul(*rABshB0q4hVx1=MeuS1sQDRsFNQ*NplP>JlRc6ITwVmNASdO9-OgY8 zsr8`c-5PnVifIKEGUSBaQa$`+;ro?u=(Vc$emnUWu(~_$wA%U%P)Mk3oT{*a3b zT%U+s@W=wVquJLfXA2S>X4=__z6BiG1{~@I9P%0?zKI0{)EKst&jDDw>!gU=j$pT| z)!eN1yy@Z%!BT<5-k|r_ z*F)8pZ9L0+IeG-z@7*(2C7B#9=-Mr8bfw3P9@X4ZgZ1`Q&QA>8*oU*EopGajzuTDH zb>3J~w_ePGdzARWXIUTL8NKw#F{wSTE??g%14bHipjR5IcGoJk={4m^hurj!x^mW* z)JcV{X&cS;by`{%Up7W(Xinw77TY8J-ZK9wD;y!c7`Lr4;4-cnlBZ(;xtDOA5)pxt*z zSNDZZZPWZ9e=;rk6Qz)8rX@nU>`?x+LDOzxVV{Jn)&8n=(%{mt0`PKFS$gb5#^5Rk zxPZxP5o%UpDnAaUYc4NFS$D?i6cKiQXjd|tSzELyaaJRW8Id;JnrIJJE8rvcEa%cb zTu-7ddomD}XuPHU({n)GP?MqCQwVwl<`rwV$k#pCjVch!`qDSKh}d%FzAH0cF(#t@~%-$?-;g5I-TlQMo$8@WSRMs6ujI8LUR2>shX4 z#iFOc7R;dt#8xvh{^6f!V5VbNJ#*+xtXbcRNsOW4O|hDbwgI)#dkV zv+hJ20lXi5xi!&+cNZ!hfL3Ons=ML^nbIT>$EL))QColFK3^SORc_OKhFf> zBZqLySX+L8{QNogVZbHUxWQw`VDI!U#q_&F^G;{&4dF4T&GF$z&Lua`GD0R6#kBL< zK~2Q#rr}`#x%Agq`>jKlLN6!$YssowF!uPlyHX0zuIyUg3Wtx=^fk?|ZUV|gC8xb3 zlLRsBuRpB_lc&{3)Y2n;d(A$LzBAGpP*_$zZ#U`P{5gtcf^w_ayxsU}ff4dSamoi* z0w%Z3;-JJffXZHH&!{&!);!Y*n}jaPWgms~hTr|b19e&VHuiX0#iO!0BYP!xbQ%{9 zqt{{YOT*AWy>SLzmX=f+_(HO>;4#TgH&d(itjr1ol=dV$@hb8h`VIL9TEC%z0%Jmp zjxhacLc}_82jBWdRv5lPR+z5~NeZ1~wjc&4az+I!srwB8e8`OMs$Xnm?;5iT&^S?f zx1r|Mz>x2gy~2tzJ@*o$*T0ODV6`65mu#}6;Qeh~a={i9tTJ{pSk-8Z^$RG|EMDGe z^$|vU*TqZ}Q%QE^H;;P-?|ZbEp7q&jdwSmuR@E9O0Ft7?brL&6)I-w(?*p+QDnn?F1F%s$Me==v)Sf`y%To%Fx{su0h0zV-H4r(n^DH%A2yM;x# zSRqSgNrQ+{hwzwq7);BrIoCQPl56@ei*gt2rZ6=XZ%}_GVZnDLVO7pH7RZtevKmj< z6b#^LDt^?Sj~fK3)f5cfyCl8AcrzNM_KW@)dZgqSIMzpOim5(1>{o`Y_M`|0*51C1 zxcG0`mMo(%sg|MmFwl}kFm|S6SwM)mi|a?0e66GDym*_;oAH;Yxn*c1g2Cv0!N(Ne z5FRJZOGCI$$;JbLRzXA}xi=e%>dP2`>T7d!BQMz`>w)NK05r?~V8s@v^`2&ofYV%$ z_sz`+QK%}oK@x>##sd&Gn!JDH==y^V0Xd* zZ$AAzJtfn=?h9syk3d~Byu5*u?Qo!t3@gDPA=kkoAzxZJ1uh^@yg}Q`1KMm9YN2D< zw_tJH-eB=2XAhdfgGki9TzW#1b$|V}77AYN6M^2~1LXbQ;Ll&<8P;Xpegy@GGN2Pv zH_mkXM_0V#lC}!nWv;2fA@Z)9-Q;k9{v^w|})QQs<)Y)inMPm$1U+wrvet00I zdji}gGt=?0ZAIhvw>d>rCqq~>DOsz7z97R!pua2mpT60D^^YZ}fkSjRFNrxhl#e8+ zBNtlQ;)(aa!H>sf`~wF+9{XSYn7{jF--4!Y=55zkr6~z3-Hn9sVEckT)!18^r59SL z_Q>aygwVoc?d7ea?d|*f_vsAosn+ED`pIA_um)UVxn9>k;Md)|fb;PuWeS!;uOGS2 zM}b%ySKhLs1A)pYX9Sedc9K+pIu}+$8ttvKCS=&t5jHG`| zMA)$lov~JalrUl@mt2aiXTq0pF`zHC;Fff=zGSi-NLZ_IF^#C9niq_dKXn7STP}l) z@^&*>DpfDtKx$z)zAOqiqj+j%9_&rf zQ8>PKTV@KQ&5HGi5q3bM6AQQROUx6|kH{C%*M6^=0ItMjSg2`K=iOwdAbNszsYmo* zHAAnQjl$U_Aer{|K(F%SWr{w`Sv~MD+;KxK8EzRn_;!EEpO_IU%A*|Gx@(XbYQ0pV zHQXpU9BHL65NUNF`qQvcW!?H?c(?UOt#=DJbrl$vTDi=x=ay=G>4v&{2W!@pbkQgci+ZjvgFA3M&dcs zehmMcA^&@F!Zk8;z%?cs3B6sUt?_-&L-g{4oSfbXw2{!#)YPfhNM#OInZ1WwUbvso z(#Di*i%K3W7az|=1pyI|FfUPep)Q4+Y(CQzYrWw;><^;k3Pk%2>kB~bI{z?;E4)ClCbA0w;GxmYO{4CFAWqqsOUp!$3L}8WnGBR`OAbL z`+rK**3I~_b+mv?Sc#!PEMpjIY$Y$R8DUX|4fboUV9e`?7l8-5uU{GQzkY>lsU@!# zQ=d-`QI{_Wr>B{$uoCEX9KpF5Da5<&GsIl^_WgI!GJXF$hNHr0_&|g5hxIm3qZHCy zxN%8~(aV)@1y3^VhZTv_hvX~YJRW4)zm+9UTP>}0Aa8pT58p2~;oLu6S+o7JU4bw> z+)5>ez7xy+kV_<{m}H;fN#%+nIH-g|(aQtun=+bPFbF_cuJ1wYXV@}W2d73}?5S>X z9x2d#YS_s5xgWZ5NU(PJ)jq>ti-#rB8KDU|YNNTBC(^;O>`mm<96o~d{SXmcvHTQF z)kmLFHqoG~+Q81w{Wztva98?GanRJ+{EHdnpHMU6po;A&-t)XPBF%4>xEEjOZzNs#LK$txZay`}7s(Mu*ne007i%@;G6YLJR2DE1uyv7(q{uL z@X+8YdIsJLJ{$3e53zf;`*{kmoev+er$h>NiSyW8EgAj~$A*ykNZN-4)5!qiKu%w@ zU}l?GrZ7bjP6=OekhOal*jANVoG@-lq8QdV&nq2Lv{)(e7MS=}1RO1Jug9=#8r|79xK{TcYABc3GnPSY7^nLU^9& z(SRE)s~87x=R~?Mu?Q*GCwiD`eibC#tI!x>8q)uyrO2`kFXA%v^edJ>o#(zP{NQ4(X;b;Dho|$p)c_-x;#0ZyD8HEws^pg{5 z+prdQ0zCvfwck!?1JWZKP-P8K`6Zm}8-xMhl~D4{Y%GEVj!+MnJ1rx6G7fg(+5LaL zy=3e8aPIcIU+?MaAlP;zGkwX^?d0Q4z}dyU%gC|&;yv{_%SQT-Gw7KUV|S{=oqRk0 z&k~3mN(!*b)11oF^0XEfWr#exVu%~c3T*jF(dC;Z!d>aHmpl>SrX&-{@9Z zPPR(S4cCMA5-muYeNtUrYw^iD1N5+~Nk%Vo88AHYqK zCkjCe?pE9$Og0r&?OlB;AW1HUYK)=m+^d4f6XbtDk0l6J2&}Ys+D%MsPL|?97J46q z<^jBGAJ*xvjrKJy8%s|jq-DAvH=pfCN~-)<`cMn5iJu9d-vS^c;wL3AfAmGFQ2ahKXw6D%2l*sDfZX3+1ymPwz zi@mF%gUkQ=6-O3p%LPh)qPiEsiTKIUzkn_U*Us=K*j8-`sf&H?3At|3k=F&0*YE_m ztkWe-MxrN=Ajli*ws$|8N8Lw;e=aY^b1eVs-_duZnYkhPLV)fr2-@ES@OXwAI%MHb zX6Bn~=-kd0Z$dAYnxUdzcIFdA2%RY%F-np9BnIq9Q^@@S)>`J<_X<{7<&)+xQjTd*yb z+4nk1>)tv_z;XqsOe%Apf7#zlnAX(yBUvXM7eQ=gQq5f-8j4BaPKL5!Q7N`Z@q?7^_raMe`D$V1B6jA%ZtxyCN(&XJ z6c`H=F8hfKzEw5A#VSg2^;sqrB*C|A36CknPpU86g)AA+F9V{5>0V!js&47q7HuJs z?CqI#!*DYS-i0hn)Z^r=@QVw#<5VvUOV(Rx`6MRI!K_yz8bBAOliNuT!q97xbG?R= zfGZhnvW+oA$I+ihozVCrWw;ny?K9bEL>OP|6K36iN*Xhw;nBV$9A51c*7_o_z6}Sh z6%z0w!C7S^CEnm(uAlCZykKF4s5 z6Y{F#1iNJLx@5|RTM`8UeZ(o=Ez?K^&Z~xATJ7^7EhVTue zq6J64c&i?2KjMIYSOG!NIH%*4GGo%jqQYEWbG^{f$kmosoq@36hr3r2r9>Chiw!i4 zNAiocp0|}&c(LPA9d6?m2nF+hs9FFu>JOE-Zg3%VF|q_ygVS3`WbvVqX(bZ*dA&`E zG(LDne4stLxiWNjqXX!nf9NMYz=THsWyKC)LS{2^>#Xb^;~!OCsH(HFwM4h25eitz z&g;6%Ed_K-gRcQ)rC(zaqTY`OM%$Xw*lVgeT)Lb_WNZNTxj4bT z7q}f75W8Tcfz6nJLOa&_#zcV8?FmBXpGrNnI6ZKIl6c{^cZ|YP+klL|jdSCQ7PQkcmHBBz({hW;A() zszb{@_`TGiZ^Bi91HgXc(^a;FI*aGP3%rWwQl<0|rTsf8RYl%_Muf*^zkli(B=J6%)D8eK;<6=1#{bpu_K$ zl|V_Adnp;oM!iJ`E6n`;r`mQL83$X@24z0k8x+CYxu(#F7v$f-!b{#vrz9=()KbDLrdWX7n!9ZZA;Dp2Jw2L1zUKdmo2Da9SP&`K!>%8pGE`E zETx>AzmXZrphp8mA%Ggc8{UUr3li=OQuh!mKtm7N4lhMa5R8hMY#?b!n54C zW^tMfik`>!lLfj@p>e#PMB^aeO#FVx$bm@kC3HENi*>tCQ=79KuR5`bm|5HNGtOE~UqQyNF(z-pXQO)K>hl+U-&FsVoJ?ehkTa@4h&T5071Y zbCVoVC- zV%~niEsy{B=1sL1`SJ18_cMj$P`^iw=CQZJJA3J76!6cK}2u($M-8un6IL-TZ zhpV-6P~qURdBNtz=sa$nNdm2VactbwhmADPqs&6fD`xVw%(Jwm?aUGaak|n=x1B?$ z7_g*M`;_GOz05jPqY;{!OIbRqVwc7Mm&U}u$V1T{0CHo@A7lnf0J%0>RdKJW-6Ual zS>seDQzRi{`Tc#tT!fXu{v(!R z^tGzW3TI6XI)2<4vnY zMr4(rFvK@WN(e?}kD`h!S>iJUZeOs z&)u9lX}?kYHzhzf*DIdLw8S}nsUhY2_#JgCn~=!)kDqC|6Am^MMYABO%_Zoza8kEz&m>2LQ^`MunV z8gJj4)KZq1!o1v1U7-GB^p`qd`aCvp`KORpwhkFn{(#tbXSZJP8j`iAo5=9TVR=Wd zUU0*xJXt)8(8Noev1u-$Ka3|o&=)h}x=fQ(X-)v$1RV?l zV`ZA2N>_lD2cD7h{zY~$)!e=VGgS6U2XSyuVc4{(pH*%Bis?fVG1cT&szaJ#d7f&1 zDm}ug-2Xhas29vWBu@kw{a;fXd8b&*h0B~4Q*p)u!1b4@I4sXz0i$VpAt%7A|I)_h zQe<4oH?^)g{L!oj%wRwqUomT4tjUczIv!N4>G&+X^Iy=@XI%|7p zXj+op@3xQ9<&lsyi=<=1>NwB%2kZaTO+w9L=j$H*5r#O}{n)Hyp_U-^vWWjMRtP9aVyDz}s1` znu-*oNfR2U((h@1iu0gFZ^t**F}t`mkF|qM-ONl)h05VH4pWs8SF48$Um($;c#)^m8t-$R)g1IIpE0(_GvK>VQ+LdGtJ zgES>SBhPE!%_hZDUu3~KM;xn3 zFo*QLSYZY^^f)J5kVO?L?_DDmqU1xpzHoMavp*q^#hWKp81>MEhLdK zi{RYd_eYa++}K;S%L-iIm%i4v`{^_0Mtn=E`wQ9w{1NpTO;K~M*H z{Dnl$MEVT?FT)v7FoTkh@@ZjW8k$cRo|aUlr|==fKs=^w3qpoo=9h~)n(3h+hc#Lf_G$I5kx#Bg~zf=K$i6%e(r+B$Dp3?i`KY&5_{7 z*fdo%hI`J@-k`7D(`6A%M)moq9yA$lss3diIG*dms8Lf8=ePz#o44jMN0Xt~PcLKaaltqJ+yqpt#&E zXGjNY>9i`Wa=D@GTkUqRT+A?H^+$0Ik(>A3gwPFXu$ipUP#1`!J~N-;LTbt>I{B7Z zz%cdEE*I9@qWnDw+)=rZk{7!Pu5dYG-0h|>I5QIO?Zx3ppCDBJ^IY;dQsELSx!G6hsp#XsKxUHk2Z+Dq<_066jy4)R z(!ZdOKS66Hs<1c?a>I;MG{UGZqLcR5MnV0)C>ll_=k563lu7`NOtQ)rBuSlSEeHR% z9$88H4a8qk&}~|V>Mu8OU>=;H9GU`t()M+vbZO zV~F*Wk~zGJVOBwrfvx1Idb?YGF`;3cS7(8jx&B4(SrFlNmvA@V zAtrQGpl26Lj@(G?V&dhPAh)<-ohsi;JLECJGquTRD= zF13AI$YR%>gz~)0f;K5CEP|$Ilz<`*Q@$RPi|_=x(t8to<+Mu9D5W(cKfq2jqH}W9+Eq& za`x5&yGMW}9wAeXH@(t==A>U=T!&ASUD>hUj3yfLt{_`R4NUp`t)TL*95kx-&9B0u zM0|5X{yo$-f}|Sm^v19KpfeAopiRu*kf1?<4*7&LeQ}M5X>(QxqSC(xl@d zQfWUl3Td~=c70KvkFfZxHrt}qUG0-j)&bwOZ7||QZ3JZm&NY9A(BE^p(=@Hl)At#M zKUbP+FUh9qrMuF`Y!qA}Jioyp;4@5R8cE`<4ww>`hFwTeQVnVEh2%&~!X&$py=ocA zB43h8-XdtBWb$=FS}q6V0;bSJoKYUI?U@3}^?*{wp-~ZXX5nsK2NcUs5as7`LzZK7bEf|gVzhIV|3J#e4&>+BLyf;q z2qSCfFU21`XTghmhOm#B0+qJ^Bv@K*QQj6o9ioZ8;>Q$y1%=u58U6!z5i}SN zLHe#)@SVN|DxGlV03s7xY;ZET<&3&_LghqQ5PO1$6?`RrH_b9f(O?>t`%9EZuvsnz zYwA@R90@KAChl?>n$BD-S*JAmzzM$c*!~!iAhj=p6(<_?Ek-kKYUh`7uX}dFoX^fh zNo;{1bEH?>l)W#ASxet=WO6zftrv`awhvWu@5bDB7G2+z2@8dl!K0v}c$yE5uQ8@Z zA)JhWj(PWX8=uW!n8G#Q&`ni@BJl;Y1Sk~Np(1O}5r;lPBZil_-&o*ijq_8{R>Tzs=v2o7N&8w%^c9o>loV2`Tisni6C61R>tMyis+Te@ z^fn#6xU8v3w-?J?CpNHCuNe1(ZmVR~KBwL6hn>%Ear~<{7^sVdl_x)m!tGWVjy#)o zL(mHPmGi2Y?<9kdZNwrb)SNGJ%RX9h7GXR><&*4=FSQ4;-1!n^wkgu{6rnvH-KV>p z*tR|P>AlnWa%*wNaoRGP&9*}k!=@4mJ$+N6t{_BW0sLBc###n4=Om6D_m@}6r%+T2 zuzmw!yU6?;Cu4L}G|x8M5ZZ`V`|7>5I&~Fja!!86Q09^m-O^4++~|n0QQSzU{f8g% z9L0O|18#nNy_(<09v=JNmmVI4I|C|8%fBza760&dapS!F>gLvr;hpj#3^J3Sr(h0E+_VyYAG zmLFL6CyiN3zmc`Zy1e&cM%sSwS5L=qzdU1k)~8Zpi~KlS4?!9lF3a9`jYXJyj()%jD?`y>f~^wHKGP~(Zf(Aff&6WOR7K35eAX54h$u#xNLrEI(TCpxiKCQ@w3I8Q z0MZ96{WAlw;vghp=-C6}X_?00C2~m?9U@O2`rz}pIP>KAF^ABgOUa)^fC3C)DA4y_H1IUOa|G4WFauri? z$SA(J3bUu$b6$etAa(GH*wUfcKuG>JZwYrK8>e*Nf&qsEu*AFOP%X@$1>wkA`F zi1L36e*+%9{~CLI=WSxR%dqIinCaNfX;eCgQ(a0%_fpwXqe3f1&rjR0&e$(^vyjm7 zPkX~WSc-B`I!arT)ev)2J;7VRscQj=&^3W!`(47v)j5=n!)VGBs>Q8G;)l3gbenT&D8bvx` zD(-P2ob-T#D#pD9JbY&_G_M$>hj@XI`?m3iSBvJZUW#S2?>XO!FYu8%f>! zQm6o71Vk1JX=VOS;~{#w8fy2y(->gv`-M3Xcap0li$fTX6jkisf19NKXRr&@nZKr6 ztYE%RBGvELx1Qnf(lOg`9kjbj-D)AX#40QI}X{MXH zxj`BgHE~Ggp<*KS-=SGL142{zcdevrRnAnJ`?v{Bujmw5us_JC@;&_V94OxVuOwy? zbs(>v4aJUw(8ViXs&!mR#R3-n0gHpI4K4{JbpAWc|NRgSrONRS7Z?^U3{w9A|MQvf zby{P-08-YV{v)MuKddE#N$x+Te}a&*vg?Gy?d&XauH=}9d@UQp4xhe_7MY~X{(^?; z>cs4R6!x(A#B5Q+M=de}=c&PAosrwtV=MRwD6+t}B`JCh6lvEn;G&;c^Hc?SZ<#0z@AX79qjroIz6dvvley=bC#F!Syj>ZW@#(G?%P zo-0kCSV=3-eSA^nRC$x`3gTGND>f1Jwqy;u!fmT-TCb}50_bvk)`bJ;LYV_}sSQ2r zG6r-h0lGf$&^_I`K`!UY;wRQ1^tn98AE_#b+B6eS96s~>=+bK?an_@uz2|Dfw7q6h zX-7KIPn;e)9CD&MRQT?wYY8#=rK!kXXWNMF%7ZPt(@^9LUq#gbz7I2qom0961Zd3w z0fD*`MI1mt0T74+1e`90RmI-@{*b5$5Yhm`3_y?p2-wO1p$H(bUk!tkul?swfZeCqJ zZdb>{s80^3QzpJ2E{1w-EN6fB{~mk9#jVmkYWKcAd)Qu|Pe0dV?0)y|YkTXD`?H(V z)2aBLiS4K0p>Vw^y%yUCCK3`pZ^yI4+tL5U*Efb|(sbQMlZkClY)@?4wr$%^CblPb zGO;;HCbn(cK6mDM@tyCw&iT=IR;^mK_pa`AbyatDHM{R@xo=ii^Wuv8(XWT|99`|K zk4EVGlba0ttWH-z%W_kWi#?8zFtLMWe>&C-5&*Klvw^J7o+5Ed4)f86rLECX( zFXt^sPv0{X>vQ-W@bR<4H&i5drQ5Tto%U9@1CtM~^ZOXrgjOnfSJ$LgI{63JBoA_V zc)+hpUiKRBu`eF)rC-L!x<|69Ecb9-aoFzeRVC1q=fXtS^UH@Fw=B0%XkiQ}%F+ly z+jEEKitL8#*L;wbt{?WJH&4HvQyk9N)>t$43RkqT?JqE_57xnwwp}`QaKE^eRog<_ z!Z|@;!PLM--zCd{7uslV+i0(E>94w;+#!pty7D&D**?h8(zhYPhP~N!&UteMbhf&+ z{2f+$57>OzpCCCuY`sS|VXv^VE-3CiG-*0}>hkbFY3ka{oR9U7m5-cc)L8C!Uix}F zKkdvt&DoxB@OHIpb+xs6dAmD4ZEZhC(iwy%uq7lEuho%krMRU+?g|&6u9hEs(`@ar-=T+4vaF z#Icy~OC8Z?-1RZGhVTkW5B?m(d8{;* zw$+a6LoORJYEpY3Z`hii%<`j-?2%#yCP9#TwZnkUG@`u}P%`LHsNu6|S=m(Fx|3 z#k0@r&Tbq8a&gY7fMwy<^dYvYIob5P*lp|s&OU}aKU8v!%{YeRk#%08H!f;LC~M=G zJFD4JYU=AuP+a?$B-FH(lVfUczhz=Zi&iX6{ai-YVHU@=xR{NrZ*2^+YS8q{3#W(1 zbxaPL46@MZN5dnu~3rgPIrXCVgGK;PbMT6<{yhQn79+IXWZa*YHp0H9F7%v54 z-fx2O+Wr`k_QrVp6vcaWB~P)F^1?&np#`0e>|0BW1TBNy3u^GD{|L zgq4o&-SeRv>Vt0ohQg=%MK_rB3B3C?EeX*GQ;Muzs-?a+ejxKjsANPhwA@-UM z5?Y~9d%D$plZll>RVqQh{cGVQO1>5CJ_LdRm#uu07%n?thY!(!cm05R?`}&7q>H$! zAEZgvv5g-O=6+4G@UY$O2f-{LI+Y5$vJma%*m%tvEX$`v)V#2p_e&YTu@CiQBFH~a zY+j6fi-ede?YtT`?6HR+GP1t7W7!<^?Zr4&36tj`swoU z=ofB%^LjB*=-bZ|@GrT(9-~5PzAmFQLQ;?yc)6U;yud25s~bFgt{uZ*Y8`5O_rR@W zj#7SegAS_zQo;hHB0IL#+wXjJ8cdD5&glhcO*{{>#v|eoAgtp?*1OZ1I0JPur6cV= zZi!q0Ve8mgQ;fbCkM1lRYK(F0fDW%@GbVztm@!Sq$D#IoSN@($)Lsk5EuWB*)3&|i zBwR$sci!FuLT=-30EHJV$ROIiILfGHMRzcD_@P=96D^3AHakCVB+XBmjyl zuU_PR9u_l=XhQXjI6#|e>3 zM7aWy!`VBJm|^yDb9}wO{W$g%Q%(CC-Xu_?sV&2wBUEg+8a?Lowk_{hhspOPGgNd! z$?)r4NxN$^8+x_3j?>AT$3lIJ&ZX^jr~W!lQtz!Rq)D?ReO(*K%vxclJ-sWbDb8rt z5aw6M5l0iML6(p9mc=#4t&jfp0|OH>n2Vnuj|)*>{+yvc0mr)v`%wJ}9jphh&=q#$ zTV{csyw7_@AXpcEvL1LE?3$!Tu&FMC4{9$z#)kFZ7B=xs{kFN!>KbqTP`#Ws9@@1d zzSjS4RR!#HP(|83Y+k+saX1bs?Uyve--I}sWtj#nGzPtIaeD7HjN1y~sm9zJHZ z|NgwIY~d2_WyGh;$I@k3MZIM7)M_WP>qx1;x9Skobq#3^b4Lrq921E9Utt(^u&eN z&t$OTrIa7yf(n%TzSFUzJfUDA1;k|UR^ROB_GPMd1n!z&!rsFfnc`VN{t0D|=^4QuxVXN>9S(<%L(U&)$oa)sd|1j zGWOWvxExAZ50TuyZhP1AY;uMvpid0B_L{WWJ&nr6$h&Is*WkArLwJ6@K|Js7Xzt5N?syK)vXGrG z#Jc+L9VZ96Z*RU4j-tz6Tp8A+vIewN*xZQNQ-Pg&f^d%ku(nBb7>O@4@vBRKg;65m5>_CX+(? zlVCj^gK~z%yzvIna#Dy9m;n_Y^^nS!evpQifsC8Ur~?Dw#@#q6QP!}-e(Dk!8CZ$H zjzRQ|%;cc^hk90K1>mP|QM0G5tt~QG^k4rNb>k2u06Y3AH5pCk+_)G;uvmHs7Fmd1 z4AM)Lo?(PgG+_797qS>)6wT_u^d&AvU5q!XbgNG8I;iC?4<&`+rn1*zkSmd!(g(c(^{|t@#3*K`FgajH5WFKB^_T)vw8H>xQa|tYeYN=oXkl z?muP`xPj%&$F{Rht* z^&UWqM}6-59uX2rPrnHF>ut2G#CKHJz2qb=O{Mt>Wy_BmQ&}V?R+0lNH0IrkE=5p^ z0SFB`P5A`FsfhJqYK_vopB#ylXk~J83D#3#NQwbQB*`bTt3QNh^@naSg{cTI@+YQ5 z@7w8T559aPT({fRPQ;-6B6DC=O8+y$8RsoRD}7(r>Mi0EcFMGuX)CKDJZ2}|6c%M@ zrh+f{PL{-=biz07Ee$mNgH{BnkphEu2Y=+~aqmIf4b&7j-rd{nN(Yz^5~t37%u(xo zgi&>h%)m6(J;{a?#h^kMZp7ETTVu8+!c}OordOMqLfOqn6BkNH4ZW>FHV2+sQ=SYA zTBTlx8Z*w}myu9Jay%kMjD$#m3V^sSF%lZik4G$Iix8oj%O%$qlxL*U5|U?_!I5^Q zpZg_&uAnr^EIRWusj84X&73hx$1gDog(dieVpt_Y45g}sT$3WYFpZUYCd6bu_r5`r zWtQW#K+<~qCPwc1G%9R4tL1|UFlSmcp6m5w>h zbaSXv3Z+1d@7A5TV;@?2K2{=rB4k&??hCQM!$#|uPvah}Z7Ty?=f$Yx>_D{t;z?M}7Mh1zxp3fkP}SI$GS_ z(r#@}ghEF@`%9dPBMdgb9^Ey?9{>8xN?utqpc#{qajt}KX`T>Mj}JvIt#&6?%2^cN z2)oDTS7r~p8NE89X9WpPy_4$ZWWpSO{tzX=s;Wf!5WuQ?t-uTN@!~FNxwh440_w3~ zXBJIa8RhpiEe8y?;XUeGp*gec z-ks1Sxgz!9_5NF&Ije{O??D!({uvft`I!WF7ljyK3>bEK8yiL$X$!2Gnmf)LjH0IV zibwR;aPv!dkS}oToa`lNGm2Mv&jLKc<9pVTfaRbu^P;6qA^@wXXXV@dt><@X#TAo3 zf0XhCWR;$c{D9^8jEg8kjnd0+`5F=1*C<8^+!^|ZPq%fn0$~SVeX^mFx+@5i4~#*o zXC1}d$n6f@TjH#!*Xxwh`K5Xhb68XcNm=PwXQG?opIjPCmiLV%cx{Lje@M9NkhZqR z|5NF9z+?gsf*A-GbWFYU9yaBt2eU~1$uIB@$x;(A1JUwzsn7k|vHt2Nu+PFge&tV9{4zu?D!kLNSO!gULZ`aQARya?ZOlk*Jy>X z!R`H0R`SO|?ZQ_sRTaYf*M!p`TwCGRtR2|tkKBKzZw=J)(v3v*Nso;3?b_Yxv4S%_ zztmAEokpIvD;+~)rFidPpYyY7gcP6E#?DD^;KeF*ulPpkOHOU4sR?=Gg+A5~ zZ~O{?$kP}g+OgVL>sfD>M_*S?@oB+)G5*kx(;Iu}@6DqxlllF9Wm-t9jz;=_fnSBN zJ>$|CV*U1V7281^q48`uq)g^2)QpPC{e%fd1;P;m5JZcDC!c)HhF9H?#apSJt~~tndR^?Wm`qJV-d^X+0o9;VKvRN>WzAn1X$Eca z9YiKNzgWy$ls7L~UxK^NAMn%q`P1{$q}BT{b@@5U2Zgj|pXY0vnJ|xHi9u0qUWnFG z1p8@oOgF6t!&mGF;6-7oeS{sJ_f~*{9Lx;NL8xlz%w|zY1Bil1;N#_2LmO^NYNq=@ zI?=+p+AgMLv=w{JR`Xm2v>SlZj4dq}QXgobs#a?p-BFp4Mswv=5=m^N!B8eK9cv_J zw`?RCQm>;CA5XUycbK=5jIT40#12Tn&+PviTlzaP;IrE)R?rFxAv=#0y8MQ7Du?VY zcL`$@FxkO;%!M!6GU&prWvXC@GLBG~UmI06q*+HpVhh++?SNf%4KVl*(Vxsr4M%d1 zN>r-etX9CB2vx4>rj%WL8p*9tcS+6MQ2cw|dTOSAn1S0Fb=BE$Y^4{R08^D7)fBG$ z#w}y@d+`V|U}cK+JAvHrA>r+g932feI$3T5j|8_1vSze(R61<=<_K-)Nyc!-lN9!-M5pKU<*ry@8ZTxml+5jnTB9qMKUR!B*{u2@8RD!Gkaj?UXWklG9d=)(DkD+7E7+pssOm5M+8gG(-HtG z0f5s20MY>9#S8#~!C@?*^G%N?TF^V5e_?%)JWKt!0Nm_dBpuk#CuU;deJK6)Wc!`< zxHmMjw^u(%=|Ep|Ce9ho`n3tnmia1d=YW#5Q!TCT3652C>b;DYHGq`6)BBBttR|d3 z??Dnx4;mDAHb>*vQVOj|=zY)5DlGMPx>yQQ_-^FKYK-kp{kj(gY|R1D^hT z$XmJn$fxR&(`VlZ4vNL&hXcAc?Xg+Gi>4r$1CYPO3D{b~#s57Dq&xvQ^uy*KiRhOM`@|Kp{ z^&P@Eb6I9F^GP|EBlAfuJWh{WmgtVN4OaDRv~~l=tS$#s#^xyI(v=2| z_mX-Mb4EgkNHEAf)=MJsX@l^rCA4C-{7Mo1ZlMnH7-PTFxCY7$yX808gX`6l?-YBE zKH%hIn7e9u91zhY_l6*wY8oPj=H^(Ev(bRg+O!&>0xV02l5yKgzNA6notob7vDf6l=lSr^ z-!VDcP;5-}>y%eg)OV;H2Y3~uzNDRgr$nh)n0EQnG2d_M&9P#Re{E&cxf6jnl!4Mm zduVAuO4{a69Qa1C{s+I>^6U+=J+SNiqvlP(0o>MdM|R8l;~RGL>#0Q zXOp;DVjFD|MNUYBl%1vGNS+9mY z(9xSv>mwuW=*UGf&9?*ap288R@|hp9CyryGMSAVAq834w+s)RU!&XifoyDx{h@cWK zfAlHqEqRgYES|Zo(e^|)HA?dpMp~gER{Ob(w;CUgFD-L0ALDE}>dPZ1To@>K-K^1U zxO6Ve+m8D8d(fWmwyUk4XS!O(XWcXiL%LqavRA7F@uy$3S6kftd7FOOGCs$4(x9BR zkoduVY`lK5r<4MC-a%T@yrZM*+N@ZnbL#drvRVcveJ8UnmZXuq) z`pJlM%Iry%d=DHQ*Y-;_U6;0kZ<_|NiA<%!#~o^mq=W-m$xva7@^M!urK`C5Ihb<` z^K;?*3AAI1(!PvbdgM-*6D-)Zj%J!`u-S(7GR#c&kC(u5)GJWhr3_ZZ@+;c$StDmo z(~*Y?3_@|>AAAjIX!61y1bN%X0jB-og5rf^U15~dkcgV&aYh$S?VT&U?&T&TVxR3_ok!-*tYKRlMo(ALX}@xB<2nBhc?L5T=ZXc}FU z@UzS{(ct31YjV7YkX|A@(jDA2anHtEgSol=})_XZ-@eZtRfYk-au1^3m$=;>TR@n7TOKZoJ+oaZZ))UM9 zW%I^r8z$CAjg>zn-i5S*W6$a88Na-pv734pmWyZrK~R{^FGwBf1FV^UTGIen0~z?V z#=g|~Tvyn$bX=-1t$EGSRngW0je+_8pJ#hbFY+tCk`{OK11TqGyk)4^*#=QLG6K3l z&{gG9Kf+G*2|t3S(yA!dDAJCo#KZC;6}4lFd{z3uXhDs6R*lMc2!Wo4J`UAnR)u61 zP-K?OCH9Rn(Q>Y1;P!-Mb{(aU61|IdWd=;I!ru?&WKM;67EolDOeOA(ywGw=KSiyBDqgXPXG~&FhC>^5TOA?wm(He9rDPp zTZ*EFc@(e7ck0{>nK=@XMdK#E2P@sD#fxL+^m`C-B%+JQ%_tWt-KQr?Vm5s*MBsw9 z;RsH7$&kY)c&U`&^DR04;zogD1}5=az)l^$tFP;39J>~1MTzGcPh4Ebx>G#y{ zLF-p7Smmv<^D324nMlon7G#rGdbfs#;1cmlB%wL*{3^l{jF{t=wB^J!>2j?NSz?RYSipC`nsK(w9$IBc7h1gTBi_5_tWlpTGECs5; z9CCYk^)^##C!;QmcF?+tFrf*~e=s_DnJV|w?NwuLP)ySJNc$x7W#YTXMku3pB|e#W zk(o3-ekaicDXsLSVy{?H+7MuKZUY3if+S?kuQenD{X1=jr97*VJ;5@gPHZJpy>wXkdm{0@lf*-m-KEA!#p=? z*hv`Op{LaX7WV?amPJKVZJBJE!%`vERPU{2nh*VA^;p`wZA&buyKq5H^-EbdeE64g zi1ACTx~Gwc!E(cBTeA5A$B7O82JsImw=ZKeUv+W6n0h5SKCpK4ibdem-$4sM8awwx zR8cOWyf7%7<2wG{!_X85f^S@ilzeN{m8QP*Zd`xf@qdJ18i$)N_anq@OZ`4=$w z#)#SKJQyk0ybhg;K@B7wEPytaJB1)TGK08!;#dr#+r1pIz@-yFsDp$2<~xdE)tjRI zXxwm&CZcFf27xDeB}Km&q)XRJR}MW0B4|zZNdeIUC|Uq@G610HKB*dN07V0!Mm{N~ zPs)p8F=zulL|2713>v0kjlhk%pDAAtd5aR_vGP$PEH$qcA1tQGEgi**kW2rokEZXm z3}k*I(I;1fV%2Nivr7+y+SW?;N&Nm4@qCJ+K1Eaju6Z4W1re_tw5yU=r#MKytqK%R z+UEQZe8Lmhz(>2$o&BEL3v z4k`UPKX!({G`prU(1$+f2*e5g>848Opz89VYD)y3rXInN zYD*Lz1CP;zvm4; z1-(mz*YV?)*VF6ub!w>YfV1lrxcc4secQnHAopO+^XfR|Zsmtc^9SG#{C5A?-U_Q{ z@dlUg^_UU1k0uEw+_P|deFjf3sC8SLQ_j_H-;m*QuPm4`+98WRV{)y&rdTdoij9s$DI98EOo{@ZpucAX7m4m|~sqgnb>_NjN~hJ*DG) zFfd}ZA4@o<5h3MxotWP7#@pyB4F7FAzeC0Du;EOFeH|-`#{+wPb>Yu7LNozD7x4=> zT-UEN1Ru1Zo^hf&tNOdfc92{?X44XZ;a{D78lN-gSR^t>l@S3HX%9jjx0X0AsBk+ezfFEc=XhE>!9Ot zpCjh+j`!!uUK@8l3%7XzXoal$wS*S_m{T$5X6FSN_F_Zu#~!+htDAO`u8Mb_^4x`d z(RE~*Y<~5 zQ>5PGh@Y@q?^06aqtjtEvJmCaK_Wrd?qVCEf&yx&GCv^phQ&8R>w;=35^YHI8O6#C z>&Jwin`A>%q9%`l-qsR3q*i6zMlh-=otC3yntlquChyLS)0d}Kmsu`fdJj{&A>1e% zOJR4)DuJ%`^PW>Co$(HoD~WZ6iiQrlbe_}kQnt#*`2bI#27^s`%l~fA8aYC7r;b2& zXrVohqFYZG_XlaME8;N^+E8twe;!!}lM;qsSmzja2U(ItU270Sg zaPiwZPvQiJkO=iGyuq!;eNSG6WLq5*>|GzwxtkbX1`f$^x4L7xaAj5MVxdFqmaVFKbHLPxJ`$^Vqhkly{avPJh0vv)}aDk%N>w0kc zO0&(`c{P6t@U%boer^l?sJTzJ$;oDw;QYr8{VfYbiulPb@b6!D-v?rMd>y|`0iWOD z#VsG=AFD0r;@2nVg{tw|u5>MOHr}(d&w{hJM!~)hXpckB@8>iZ3Bl)Ung^sKt8Gog zuor>i2p7*z2^A-{C$;a=w|vpQx?OHs==>C6IBVYMR1f>y zz?&(AS-YhmYk|wTnF=?{uNXmF#EI?_4VV@1r)v<+C6dl62dj&fmO8T)3bYF6ifF% zK#WDNfev<;PB8;8&2Z1RiF=%>70fSu$KcojA5+#xi_*JbF&L&H03UE0MA_? zJSWj++nCLuk2_H7zuZNT0c1astiOFf><=tR!58Eb`}6gD25p<4&_&`jVUgsj1~o?G zDi<|I-QiEN%S5U}*eW}Pc^p~kSW{@|)5cUovql);#+#@vqzk-zk?HH1|CQx_`PcOZ z20W2{CDrTWSQzJZ(BlUu)I69!Pr-}?Olkk$4dFeTmOIh^5n?)ye*t%xkAtxQPaHS? znFzOJ+MW`(Xj)zZcKQqj{euB;cT@_@(`H~zw@dvXXB^{QY5rytRdxw<7qKV+>_n$1 z&dF3>e0i$iUDjB^&4kS{Xc*-55~Z@6@yDNyi&V>kV)eJ2;fmn5;L#oktARS;z$di(`P@1 zq%_psYS6%>#Zs6{KN8ZITOcSzDaY+djv@eR%7kGP-Q!jQk@nx!-ecb#`0Ac{f2m_a zn(Co;m9Wl;$yyd(lCe+`?cG*%Jl;jMh?q5?y#F>aCeHG22-Y|@2c(otjNR1Pna0b? zrGxZk%K>^O3rZ)(l4~y2ylD%H*P$p4Wyx!1K*Hf~B1!U^8jvV(mK(r|P7OD*Y(_i5 z;*ihSHUBQnj=Kz$Afq4>&G=l$cys++7ffEDm>BnyQCy6E;cM_?u1`quy9LiM#;|3}g4gzSM!;pzTf^ zWfk17Hg~5QV_L1zsf=x0G>jzv0`NW$y2(GIA#zHFyhm;z?Isg8-%>bm%37t__D0S9 z0<*8ryJolKS`B+COyxi`uhvK@qJ0O$aY7YzkjDp07&#rAy0JEdn6@72M%d(SQr?Kr z^vPZ@iEMMr-`jS6V0Ta;7{;VzZb_h=Vve&}$F#Llpco1^!6>trD+N!}#AZFYg?`J$ z!e_SaHdu30+a6wfE&+{%o(3zb5Zet%mXBZl)-P->prAtHpgut^c^13f%lyrpN>Q6F zwy+deK`WD#*w~q{$qi#~C<-MWQwC}4=Vgd^fU~2$ef*zMMvfYz_(gwnGDwS`V`;?c z-$)XE!-;c>%eBE~isxY}Fp8hX)+c~9?DhW7%omwV@!l|IQl?bm0kTX@Qpl5D7S@r& z?rm`c5JefJ-4IH{c(YIxStR6mhj@afB+LXM&H}gi)Y!xXu$GqbRANBP3BQ91GKv2v z!bFMpeg;MuEJ}(UdyJGUGCWF$RDdhbb#~@gU#D|aN|GsFjjF`8cb1#Tq|>qPC_nCW7fi!G)zBM$0Ctk7mx>t0_RYB`2qAmgDiy)Ok_}8b z9g&eKB7!m-(M4$()4zSzpMj))JG?juH^xDNp!!~K6tdE7n_Yh}KNI=*M9o3QEEstL zk`reyQ%<)p-Z22q)bnn8eZAx2;iL7V?|viUMYm=}t!*&)qG|-jb}&!SZrYfy-HoU! zCK8S)Xm#KZ|9u_o-aRznvEgLefx-X$R7IBI+-Tg%S#YU1_+3&81_?< z_ydcc=@;f-8YKB|^~c+pN̫-+y6BmpXaJPfjPd$m?5%Cy-E;2rnZq=V@fLW!H- z)KE+a%_f!b_m|^;+NGu055>CwjHehisyG}iras0MyrV$fUlypNXT|QzbpMq=F}m3U zjHGxhV`heRhoH|N+|tM`pPKOl;7_j%;14LjSBsUTPikT;=nJ4=k&3&sTSgW2b?s+`yt^teSsBFFX3n~%#G9jfX>sMM34Vdsr!1M70l zQ2^gH=MO3GY$ZHsU$yx~t~2ypW?9osxFbBFJ?%D^BEGw89IaX_cdSv77zQ!~`MrUl zkVzfu_*o%5^Kta244R~N;2aXG4!dGm${%?eEo$XzR+`bYeKE3=!m6HtaCHO5{j@`6uG=ac2L_rB+Q_xSjfpI|!Ss`J#R{dV%&-@iW2E!*|*P?h6* z_wcdQ_CJP)WHC8jOlcuGDh&H;UU+n4MY3CLs^|;othzewEzKOhcT?~#AFs#9uT9!$ zR^ZuPu?H_()jGtZonhQ;Z~N?Ly>uB2UjL8RFsj~iMv9ucY&P%z4j)6rXIH=GAVGdH zhxqyT)%K_Kvlb4wb2faQ9(z8rmOh)j&5xUowi0vXnWxIr5|~9PW|7fD@W)B{2!8c=wU6V+`_tau z^V;0r$w2z>&J(rE)sO8eHR5j8GgzP*~Efw7G4$?7duZn z$azfj(+nQwgy{I>$LZzN(H8Ih`NyNnjOc;w{rQGgM;8J7!|70d!oi7XF?@7(r7Zpn zxJ1$2qEhd+&kQZKE;q-WKW*s1QZ|>5%j<1R9cnMP_vPHyO>qn<@k77KrFMt+oduJM ztKQ~G*yTc#YFDh*uG1<_h)>*MPP=EZu5^{QEr`3L{oC!?$x!tpXPsgn{RMpdSw)57 z1Rn3>;MHz&0^-T%47`@GTKebl)A@G`o(vLf5BH{VJp3XZ?w7N%$l2n{A9MME!o0e( zxX&iO4uc&fCkrDV>aGdAE7kXLf+trSFEU$5oo|oFul;l<_#5vJ&udR3cWooAip$L* zbQ?||6Zd<1M*CVH_a+^drnSEBw;6`hArV6sH@<=nECl!oWK+9u?`tDB8PN1j@pP5k zb9a$X0dc0-O)SVKyz`=D8#3p(+CI(goo@JJATz8cgZHK{eP!p1O`%h%A~TgAmgbFP zjhK*EH6Tur46N0IDGM*QS`B3I=RRsYt@OlldHX~G?H92RYc$tBTHZUNG8<4AUw7ZS zZ{MFTHhtQU-%1|Fam%hEJQox`xySs`!@pr zHsyOXgxAEO-ODxWq1`wFz_yuebS)MO*z6=3-HF8jTQf--7ZNdGTdk7HHig*t)dD%# zn^@>^zIebN?_(QKOB^VY(DjpMYLkC?7>Wuo45Vq%aUiW1I_E;c>VjCT!E zk6lWa*vycae6&_kC03f9lA5{1y&vx{=`T(k`L&?3&t(#3@cc`16ENIA2675*gg(Ls zHUM)k58(0ex!tg`YTRzmwp5EJLcT3=lVmbNQeu2Mh*&Z=A$T2=lPu>pxm&l6Oz+St!Nk&(|6v@h2HW1l?QD`d}v znBNk#M@kYXpPa~V9z38W8A!*S`Y#Lyz~fKWBjB7*Z1Z5Y-$W*uNL^@>8QDWw+YZK# zS`st2!=GOjJ%l78KkBEk_Mx-0ejm^dxv}3pIJmO*A-u|`kHE&I+%o8BAQ5>Hph{S< zver$A%~9G<7a#yLV0I=ch9>*Al=A>6j6&(NzVJ6qR z0phGuo(JnXer0qV;HbPPAPsB?CFdT*?lkTH<;5eQrHXbG-HbRABHg4{KoyokrH?!4?oewTFRlEO;U46w0kiz}9hXQAPQ6N(nOyGJJ1hJFe zXrS>^Mi8?~GBqgR*F+9#>XCir8D_O(s1=x(ZnD(xv{qEG0ViOokzQa6kdwwMoTClS9?)G9!k+2+Qo9EE|XXi$8qXO$C^bk0pZa4CI4DW7Lbte+P~vD1>I z0300wN)AFOwkkkj9oe4&htes`Y+zhCun-Dch|XYA(wM@br?4oLM^ki;&=NesD;C!3 z*KkVPL~`A;i3)&!lwc(vAr$)W|M*VANBn}b@Q?4|7y?sOM@1c{${PVn-ha6O#P@$| z!a$-e|HfBB>Dn}!mAb9iOtTo`-cyG5_${JkhhY?fbV4+#-43bL!)tb!Wt5+g{{@Ey znZF+a9Qrmu77-l!vu-2`LcqoFf9=$OduM~l;Qx(bQoNv(Nt#!1=Sb8G*G33%R$x-noNrE`pFzBQlRI6J5g)}Sb0I?o>f9zE_!i6AX89o1(`D;(Q27RGL8Rs+OENQOU>yx|HX-F|)1k{w zyX{o&1x_}zVSpO_3X6zW>|Hu?j-$+(5=nv{viPBOScNTKr*bY~+NW(D?1;(x;UM`H zlA+E|b!wi)J1wkI&r*rY~4=~Ep=kxK*=__Z6F@K2N2qTgG)?p9!G>}r3(1q41!|p*z=Of@W7(&DIn@u{Yv)2zxo?$ zL4C$%;k?biiIEZjI6=ZRGH)9tiB>Vwl0EFJXwUwur)v9;{&@KOKl-9t&oj&Rt0`?9 zHUdELqFPx3yC_Ni+zwzN#U(NXwt+%|$#tN-(o{stxqNmhj@& zl8Mfr+W^)6pUzcX02kQ5yX!xQLggMpZ?$C|$H#Zf+2^F5lW7l1kE%GOpAs$$PYW=3 zI+1D9b}?NVJu>JIk#Utor+2$;U9j1h32sYU=)Z>PZ~@$-u6jAW|C#=*Rq;D+V$0wp zt(^1n(79Thk{K7jzZTma_Z)SW{VdsHyf$s7A1P9xGJ{8pl-apeO-8;z`>zYm&MZi<)}_852SqZL!$zs~)=*Yc*ZLwIk4u3R;Ip!fFlLp52)rG1{eH*dA;6We82tho z{eH{;!owQ9hpUM}A*?xuOU&%QC$2zo?7T+UavS{f)qGEI?EL>ugzUe}V+DR+<1vIa2*C{4eIoZM)51C~ zLoX?1o1Nqc9sfN^{sR)!B=@gBW(lH9m)gCHNk-qVSAly9Fsc6kROVCjZ#RhpLH~{q zpx0{DYl}3VXJKWQFzofq*ZjLnEef~(6Rn21+dt82#C-GNT1nh)ERQ~rb`*T|YDD?B z&BOBMvk1e`&!h27Y16j8__v<*5iy>7W?DDEXx2HMY|WwMr3jm;$%KGYETG;aR9fB3 z6bD~_sTI8-$uknrSrG;_?_lP0aG>VlbS}G06&kLA^+p#MdY|0`oe4RgUF?26cl90q z9UXGsFVUoa42ehpQ~vc5w$-G9^1Dnd9MGxo3*M&D8 z-6Nm?5zJ?SoF)kR2n8@RTfW%&gDWTz6k(p;3THa8ksCB%5%~z9vpa}(vVoueMu_4! z10CI->003b@URfm&p1fa#r0?QpyyEP?U(eiV&%*P{tQWg77R4JUghgn<2Uu?@s2i4 zh~z)(K!lZx6cAA*e_O=}XU?yacdgLtYq&VD)wuXdF*!FgfU9NlYT=FajHX7tA_5y) z!Dp*MNV7JRdTdpUzveAWGqZAi%Cds_hY!$V5l;(r60z4f4;7_WqXW$6Q5+!u+dT8! zYVnKzS*x+@jm(I<-h}QlgmPI<=;CppKcdCRqpi?2FxHedr zTPd5_YMxG-evKFRFFr_lLpOUPGpDob3a?^5t?F@q2yw!f^`^1nxP7qk+lM|-@VlU6 z$H#OcM7X!!(waR)^H*31YIY=7{)LP|1fC0hAiW_37$8x91fX%SN;&*LY)b<;y3=C-=m8EVLS(KrT{nf+ zBJ|{{9|0PoCKy{dG(ZK+Pfg^Z3N6PH0aSy)3>0Q81mp**4goX<3q*~HxL2zi-!g{- zL&%%5M%c0!AmT;!5e+;bKMZsR3qx%mXXu9S#<+_L&fHVV8i;2Py-Aa zBw_6&IdXg4xTTrBi^yxKHwg4K@NbMt7JUrezX<;J-OWqkW-Mb&0bki}Gs@pmGZ>Sc zP&?5H!R!SzDay#4!eF6P96T1r*!#I*x!!OY;RMEuu&9TiIp1JV0sZGmiab2ojlW%K zIf^_RfAljiaMv--kwjEp9Q{F{!GM4E39@W7aP*hI6*;_}$j&0Q*0mMneiwxQeKwv+nRu~|Sa$vWV)7+u@ zrc5Eb+A4jt%c`ZJ|M<}6R#Pvl6*Nz&Bq_PLBPY*d`c<|TK(*`&ySTdU65((OBGh3b3^VdY-Ea`_Tt7nD@C73B1K)}5<-^cUwHY#%yz!lcrk3+cpk>H zp4D~>pg&-|Xeku+j6|dD6G^14t6%*;ti1(L9#0cKhy=Ib1P>OR;O_45;_mM5n&1I~ z2e;tv?v|jz-2()7x7&n#^82dpUsrcmmCDX^Kl5yNPtUx&!|v?(N_EgsC2HOf?WJpN zgXM3`uA^eb`lf5$ha>A{@{yI|R8F^uPFnd0Q53HQ8lLoq(^~cY%7PC|lfE611$}5C zyy;Xthnnu1GNs?fPJZINmx#DKxui5$KKG-x$LEijM&xY9;JDj3dAQr)KBhVHPN-R$ zx^8dgrD?BOENtE>pCi~ZeVV(skKOQG=vNzuwI2&JX-SFl-;)`(ulFW_=GS#p?3M-bI%9CILK~1A7j2$FvQ98b<;c zYtcT%c(hj`9cbHGTARzS`Mz-!FAa}0N~8#bN>^3TaAe5gti?n@v?nTPjcz$)0V9PH z;S-s}aB-H~!IGG;o4bYhpAE~(0y+h=Uja&i@PtNah=Gr2uh?=SsIb~_VV6pk0y|BW ziAAdvA(9zRhN+-5*h)fMd0E3Dqip^dkX>}}R5}}wM;P4A*BFUA7aA_4G~0mj{u4F) zpYmh_hJB)KtPjD(xF@xKq#tw_t z8TCo25q&T-7oB>HfMz&*xb4HrdQ`z$NcED)r}qJ9$Q?cd{HWwVj_pN7K6&6KRD-XClG|+Q2hXG+KdleR&%V)%{X+bvfyvHAvE>e zvwnkLrTaE8S0=b%-4mwAfT7g+zL*j)8(vm+71XIACJK(HsA#@-n+PGt_$LnC3PV-h zafZXG zYur9G)4V3tp(VMEAKI-A4``50zkv)14GIFzV^CPq2z=V$6FekaPPammLkM`mn?I!4 z6`Kg&EvXnrE41tvF5Urlo=OJ4<9Wx`-r3Mz^!`tn3G~+R)|CH7pZyz>+A}VBNP85! zMAV-XpRBx#&vc}FM723vqr(E?6|2QX2L3x$5bKth^vM4NF+U}m8H*5|`gJLb3URP! z)ZYYRRCeZ}h<^!l{${}kNQ>entRnGARa9JEx)0XgM-G8qDGtxg%{T0CVu+(;1EbGm=1UA~#Y{B0(*@Z=j`1*Acfsr~NrcPe0>icCF2&{z-$Fuz@6kTi9l z&-#--7lFnB1(H<#rf@+}HGoDO%RN!~PY-)CR(G12zNC|GIPFQY#!HsHCTTR4WL)yB zS9HYr2urW|C+@;Z_uWG#GVD@v@C^e%$FhKoVIYKyfI(p{z=E&E2oXKj?XKgVSPL&e zD(1=yz9WSNaRfK_30{O$+*@rJ%e_VtD)6{LIiL_K47kq|`V+ZA^8YG}0_7m}7rDuI zCa2RNj9$8LRn!U&g)U*6|Bi@rn4VDb(bZB})+c_ux~pc?mnCBWQ)iR#T{dtgSvx7@0#wf3OEuY7|fUiE5w!cajfT7`5s+pj#U z#Tp%XJ!fC}=jlT}1XXZ5Fr`-hnNk^lp|nPReVK0HzmC+w`owY9c}=4O&&u+zS?I!V zBreEe6}3IR`4IJYdhJn^`CNL>%%Xe`rfTpw2XPR}+g`Uv7~!G+OnB4H zA%CoCnfsB%-ZM3(saAngJD!&_I%Fw3g0CQ;U+FW@VDLNA!3sy^va}Q44P8c!k5F-& z>oKg&TAjD{eNx=sqbqkf(gQtwqIO$ylLr`~<{G=4S;DGlKrG&Jy<%Xbif%+nOLYCh zKJs<^ppB$MWn|W8~slmzk zw{87Y9;fKY0XF4ZmTmn89?LzsQCs%5vPBN++NaQ^1M0}!a^{6VWuJ@xxmy_9=L(MP zFxkK=a&yTNJDyOTD?boQ3HftIQU7eP*GJ?hN2AW(LjW^oq=UTug`hkaY5c#BeSCCLjt1t;8 z5<$N1v<_eQRI;=%oo(B9VA@&GEMryO&$nbxUbnJ#pXIv~FYdRALriyU!0A*rKN42aA`r zwBo&Se_Lwb^g!GEZ7WM;{O$hJke+`~$EWTOGrTLJjo+!_&z$xgn|5@c$z44M z+t?hoRXYzA*pMRG0=BU_%Z5_mjM&*u@|*j&3=c`^pOa@k{zChj^PG&}zhvL#_Qp~m z9J2};qC#*Y0Qfy6?vv7h2~gYJ70{=_{cLzjCeSVA9(;^5t`<;{sR9=q zEEs*ovI;kF*PRoX*->^2U82g6+2xxsi5a29`lPt9E=c^HY6T@P(Ga% z0WVz&givy&Fu%UJ05&3g*zLO=T9uL$+Ii}@#-3$&x$e(|BN$c> z)Asi9JqP}PITG;Xq3!5!UgH;tszM}{?0_ii_z}u(_0jY4N{2CVQEv(v#PVA7WZADn zv%~#T`g_qx=B(Z7s&ifL6rz4n>BCd1&v*s1~{@!BI&GGKw_QQZUN(SNKaz}epv-kPr zN}Kp9p|8uGHO^6Ah(GOY!SkKkY*S;r1c?QPag2gtD+fgOotOyUdjKQ64>m z)0w~;3tJ*LuSEJvuZE|?($5PXFXm5kyUBAF-q|d(TiKCAPDMjQOErE_az+UxZk}&l znumB|j)PyXS!%pQF8G~JhaK8x*z za&>j&<99OqNJO}FZ@9mU?Lw2pbWP~p@!Vsa;N;RGe5!veP*W8!q8y5cx|Vi0H(KsI zD#V;#)8N?xi%CFfod;KlE_fncPZ1a_vHwfJ zfJRmz-9J#T`Vxahgi8`IVcg%>t!Y|qRYwYA+AT>}*vIX=s%$E$U{Fu@=-jSA8`c8H zunK=Fq;Ouh3(f)+foqEC=6kh1Yh*-Bm{|k!0_Qo4xX2!D)@si8%E;Ow+36Do1&nOS zPAYxdof6<#1Qt=4*ysyXjOpET`i`uCu<)toefD2jJ0QdSExY@VtQnA9_5!l2|H$eA z+4OJO*?(l!fUNGf?C7t|;{90bpt_B6f3k#08dQQqzuFtK#>6UtTpCuCrIFxDRD!yS zHM0kJ-(ZB)K`-F0-%D+D>}Kl$ah4|G8o0r~F0ao#wYt~HN1R5dG0HOxj-*W1$>!h0 zzN?XuHDnZ~!DO};`F>FR^rmAk(*zzP;*Dm}7hqAr^d0!<4cZ#5QknYj52-#xJVhpM zUi0Yl97Tx8y+_yicx^#>tBjXZ{+xvP5{C62La)^o%ii?9Elklm7|zM409RAuD(2t zVd#Q6MsF()=VW*c@>Lx)X8kxkD~dcXrv>x^n$xp}# z))uhLvMlfh6m$@UBy?P~m6(4_rc(% z36Mcxu#LlGSOLprKYsl`YRm$j$Kq)>`Kx`a6=U4Od3L@?Abe`&2jp5YB5T~`hBQgU)lv#ZXxhrWx5F&slpm98VY9+(asCzUjjurU z>%Xfn!T0I>XYj%Q8GM+32A}9(T>r<$aFluDFu${7Cl82rOH?p#S?F8KT*cSth9U*y z>8X_!tc8`4s)djN#c`wxfBWK1*|-^76@|tu`~FeodJ-)q~}XYW&WG{PuJZczQo|S8!skFqo#|w5g>VKq6$xmu-iVFo0F{4lY%!(TG(ZFQdu+i`*5M>CVvz!g zwmm^7rY+vHq|*DkZk#gIV>(ak69>D&mF0_X&HFMXEkDi_KEN-U2c@X!HoGJ_p_^6$ zbAo}m&JUW3Ae*f%tc`@e18hY$;R|C#p0@?}<& zqLaX?hYGXfWDs>mK>Oz~!Xg`$otwo~o)e>)D#af!x`0|qQu{NfNo(dZ*!*W4C93O7 z3xBc5QG{i-08-APs`pHHr|KU+Xf$&x{%bx^{a-)2 z|LI52KmDNjA3y$QY{38154HdC*J&g)$P@K*(Q?~AqF z@s-E@)RG}~{=;Rjzt6m_>n;g}eUCIBP{!-RP#Q4V<0pt~p%`JDE*H%G;z_S`z57L* ziz{FAPEiIj$>MpEk#j(s>*_7RV@Dn*Cq*Jk)U!A%w|popW)1qs%e{@ejqRZbc5Jns zikWw#DQuB#KgR;Ts;ukye;omCa(-g%_lQ5M6Qz42^a~#uwX5|PkzYvJ_-1?NadbTV z_f3z~!4AjNQ}m?7Ne5e!!V~sREOv#jhFv(mqXxkpu*G%(_Ol~Hxr4{_;j_z)!M#o_ z_NS$k6nLkxDH#{!1-W-9QBfIBhne!rekbK{yq`F?o0ejrzS#-)DlZ*|%+b|~lH>+% zBNZ-X2+Q;>TZJwQ-M?j`Hec}{w0RFeB7*?P?b{cKtq=ea1t8o6e<1__gzf_XDfWTi0CLNO1=@;kJO09Idi&&^D{6-suwB}&z?N6bQ6K;8l2qDX5ugAnnFHvxJZMg<(0np6JC)Kn*s9i0Pm4tq6);LIwZ6&PQS==2R` zGet<#;;)S;4;zHseMKWV(8J1-7DP9BysqI&$)ZSKiekI@P7u5fFbe1+aNjGDoA|6H zUCvR-sbI0{=SxN05mW9}X{DvmT6Xrc4#i)%J7ULQyrJA>7M09g52r;?$OpIb*ZzS{ z?eM3bANZRwmQM))m47p&p8IXszrg<=l@qailP|RNbN-Q58BMXo8PHJVKFH-{U(PGY zLYD<+!Sw}i$(4sX_FcL~2ji^xdoPusrj1J?Sq^H1;!#`91 zOF;I=IJ8q%wa^OA8MznB|Nl~gPPf7<#k2$*{Fl*^ce+W|HH~}Kcnn~BXCXo>p!B@S zvDX}5DRv%u!-cPc6dw{B zUAJI?OoSbRh{x*4%ekR*I7CzG`kh~=TGZjIXtVT;nAV*#2^jycl>lx24V}ld^c2wF z2~8=agdYPp8X)%PG5vV)T9ovnNX}dn*VC!hOPXxAaU|*ak0bhkEuXy~BuOK*j z8>AVU3xU_Eh-yfV>C;#73l6LFn<4F-{~H>rT^e6W`AU6BEF-{VbxB#hQ!CFvD6485 z1UCJCsqnjSy(x5B_4q#ZN^oXX(6rh^afW_ZF>ODP;8n5J?Hfs#uMiSV7_qE7KPAju zCS;Yr)32nD=+cLzuAJRr2XB2j3Yfhz= zr$@F)t|$@yWKYJB53xS|Z@WM2Ac#2sXv9pLGzaeQ6(ZKMrqH?sH&yNBO1l{5!|4J) zI7+{QiPY-i{0`k=yk8HC98Qml&teO;^AYbMwrqujgR)J;{x?CK9Y7Ea5CEU&`$NzR z5J=GneqfS$A)tZ*2$}!_#utKD1OUMvK=A#AAj#!KtGzzrBy0Hfx}XvH$|d(T;*b4; z0k>A|E4QMZm3ac20lw|L}#aYiRc0T&KIet6R$Fc7^-v(4#I{9mtUY`lxQ+Ugr3h|Y%K|N(-hI~d@U|)C2 z!9H_fWjH~hJJ9^%cXKRnpM0T>Vq0L6a+{Qv;X3IHJd1(X4RIsh>E7qI=C;Wq&3FQ5Vdv;ly#KRXES zV`(=*^z+d)oFo3X+TovSg#S_7{kM81j0Oid554)a|4^pPN9}`}u>Vru_3=eC{w1$> zw814{z=8ClNadH&@0N{=q+4N~_x`@Z77*;kJIt>-wKu{7OSB^H@O8-m9O>HgONXp0150m9>R&)00C*)V+`9S~P(2spXu=3EWW4}d zCpQ)5wRnG^9fwnE7%gip6A`{K!fZ&s7H!YAKLXos&VIDOEPq^G2aN zjVk`DO`Oy6`GICry{7;yPD<1mhPBZcXr)tiNIj)&r{*#P%5_xeQ1`U`sKIcGO|%X+qq5ytO{8QNC@Rt34GdChSjm3u`@IxG)a6h>$K z4!<4+qj;~;o0V%4$qq#_K;qxHD3(KaiF5kLqbu3GPAm_KQb#D=W72nodVko*|C$=n z;q?5(+ABhzR(ZnCc)n^{LN*YoO(^+$?a8Q>H_vE2jxeC6N%V?S7@k2%P+H2kPd}ytC2S+;VzE{X3dzrRtw!)WODsYH?o?O@KL8 z8wv*NfQw*vSibgtfRJc26vRB(T+79d)#oAaA;$6Y+3-?gx)Pc_2fk9pKtP@SDRJ51 z1h&DzPK4s^_oru@tti`7QRlNI|3;LIdjlrC!%LA>S5mVhZS0Hq<13bn%y0HPj`LWFobJ=;kk5EX>@0e$$knq(mhB?cX*X+Yb}f0TS7JBImA-ux zOxl@$#h$V2*`+$O=@U(M+Hg=9X@387ArvN7Ql;&^oq2OyduTS?5k7wXjvE*H=QzT< z51qg_7o7Klye6azT+X+{o!!2Y_d4LTzIzlb|8PH=QAVY=crmfdwVcY`LL{DwVPj)w z?kt$ZBIVwy>AkAJ?P0sP2K`|kWBeWPayFgsC@+o149Iks^Wrr&UW_n7Pdx?CZ7f6d)^}k9Y4UY zD&}(7q`0aLiZD1+Z)uC_P@-CdS348?@~(&sd?gP@xiV|Jo|ylBS5@IW_2`Q1a~Pse zv6<_t#qLKSE0F)u{{x&V_kC_|`lD%X&xvg`v;suPC&I7Fw#N&7(&9fWty1?cvrAIV z1cG4$jS^3oy3k!(QiRYC%-dWU<)JY#U#G#kyv;Cec+P!wOP}{M&5U9l zf($>0@H^AyKBrx#Go{#}&4Fq7LPU!1}qd|h&{KBU-!&raLitGA=c$vsu| zEaD}0TCR`!R!)nx&;`vpOO&7Yqoy%s9+lZ|pIb@Q{BFH#knL`c313fm%{pkZiaU8e zpeFk`RyNgG9bTqGK7Ir?{VZN`mh6AFcA5kr*_s?sCgFAPLhFf9!E2vlP0wes`P+8~_!j|9=#z`PtFUk=Y_ ze}wlhhwGQa`OD!L_!Lh)!x#b$@xk6}Hcy^yFe+I>PzKLeCXa6$Ki^8ng($y6(a7K- ztF?Jfr>ME;u2`A!>X!^jC09eaBN*_XSAsgiO&&jpBfLf>*0aN{U$OtBL_pYeV9{`# zx=d0NLZ>yG!kfK1nYt9;`|OiKTitnCe>5kSJK!Tze!Y-lF=JgbAj^-|WYT@P_FREj z;F5LiTws}wgTw_HjaK{^QO72rY%GsKbf_xE6TOL%%!wl_}!^-RrckD z&d@yc8`ma_gG=HuWWs%Q1%h?%jpP1Wr zH(I>;$)(${Ug;xs;?l7ZgdQ{=|8l-@a%`{>_$Zf~$IhNQqWSDzQL>m~G+*&4e=>*o z;q6=1**D~#+Owf2>!m0Bq|Dr66)kbL=SwIk-?y6-qy^`0|IacJI`*U1s=Ds<;Hx&NO;5Uf6`gk7JmZQ9(N^kB&jh72&$ks@4sH2V-l!EDU*Ku* z91Q|>2b9(4DdQ=AVK!`5wMwCv=ARlR^j@#m-RPcC=Tkow zxF_a$5kq68!4vsY{bDew!1=4lgT{0u7Wo|$<(O$}vDFpgbLDtXe}q-AA=VpW^Jy!( z8~@j}O6Di>&e`Btp?*oU$KCh6>${F{p21CKxUc4CMgx-~ep2)quOtrMBnnm4i<d0AUcYDbiB)FrDwWGWdaYj>RSiZVZYg$4VEXj5i{!f6|mBJWav?o(?f(#S!V zfT`2ZSNUux)BDXDct}-L#ubMj!zxINBrSE;JVoD-*h5Gm^RpHPhk@?!TW$tn=0t3a zz#*D6Zpx2fJ~vqJ(WhYziodu+Gn*=ORcxA1lc@{ZybbAH2;7JgWygPY^Q-sO4FhbZ z2=JL)1(i~od>;5ETKVzCli4 zJ&7`=+-Bhww@wHMl>`kW!o+u!2(biziiLkL&WksRQ+F>FoG>)941AuI|6Ym&3pY!I z@YA$l)zvF{eY)=#LiqZ$ghMXyhdxvhjsSYj_wJX9O|{wIF!2d=2_zmevwAoLn5v?^ z6ehhkGgWV9_OmtJ;lpoy#(XiqI!BK)qyF01!uWy7t{nC}fTod;@z8>Bb))s}dO2;T zeTkdne#ewl}oIl;RytA8#>EQ0%l&C-MZwj}Mi{w>%Vxky$bPKML z%Tt4jd6z8;Z00ioVZvSuMn*xH@RjV=%J^eK#~KKy$+WABovHJ^Zn##j7Q(l_);q5F zDk^5TrzS4mLi$>Eqc9UE4*V!!Pd{)?MV|oW{hF@V!=Or6Nu-y<)3qh!{VUXQ+yl(^h z(y|=-CgtLzzMd&a-jVTmYx;olnBILV4`Kfp&p}pL!d*%$34ygX1oH~jv(_Dsz6iJ9{g>-KEL2FlRB! zaQJIVYn=qbFu;}DQeowv&q# zMpg#~M(wHM4OnB>L1aC^qr4@<)l!B8rN2szk}XW!pS6e$TC`5vQ_zF;oeYwsNiZ9P zL-CUs>3xrg*~EU4bux)SXHvx2GSQH`7ogM669)ocZZ&QGqNABO=cAt3y&E1?exF1N zcPX=FWJOM;`Kj*p(k5Bg$*LZCW)R+mncYew5s`IC`zOC39&~3vTCkgIjHZpfZxt~^ zQ>f|;Ijo~7lBcLC3NvpgAdg=aHC$5f!HkABuvCk7txq{ znx;x~Y@_`r+H(r=5$+i-yrcpe>(3s^!!4=&o2EgujW7{zF2)a9jSNZ9YgO$yfsz>$JB?8!q=+pl9dw~k zD#~aDP35YOs#I*UeMERot4k|5?I=8uYn>%Qs*wA}l-%DPX}! z$E@C`U=iOZV`~T`MlxeHj`Qwok{z(b!Tr{+gt5d0@A&_DvmwTD<7Hj~2IdeB0fzKn z*U?Vq2KFYyJ}|9V11=1!qMe}2U6SvleNYASa)#m{d@bLiLYkP55V1y_L6r4qiw6Hu^K%HtXW7xfp&(#Mq-QHjVoZOiAG^UTbvj!m=2ZL7xy2-^>CS81GP zd+gk1qx`HDYR8*U(;d%c$ImDC{C5qi3~i^As)y~@cl_<+dquO)x0fBhkMHar#7f>h zuM_!QZ5}@#UOzQW8%6WnO{{+omV^Tynj2 zx2qTZbcikeM)4SruKDfwUFJ$rPOnp5@MuEKc?HX3t&`RxmCEY9^1hm8gJ1FC`KtF_ z-jzJhqqS3kuFGb9nV$7?`f=OSBT+&+$fZUt%=_`(s>frC9gACWF&_W)kqJ-xY?0pb z#VwnBtzY|yl6=RHyE?2<-f7uTiZk7U0Pm%v-FkW6TS|dJ?j4r86D9+hv`0CZ{87s7d$uc9?gaG+qe}j+!ZD zK%X@2nR-TH$(8I;G~LNB?R)cCL4qQHxY+wL`jp0WkZB>dDIPvJs_MwsK3_T6=(>kH z!tJOP9}i6KWO|5s{g}8_v85{dg}umkd(k$E{bPen=g^zc4i(LE*IRPoWL~ZP@xsU^ z!#nR1fi7LIq&-Qp8n9)SS=#uGp6&JJefnx4y%T4qXXyxfMcV__&d@YnWa6s_!5y0E zX|Zjy49WG7wAE7I&QUpezAYZmaxAM=#R*KBc*!xdgW)%^!HjorR0ch`z@)|^Gj<^yluGULnEk&=q|^Z_`5U<~sE z@7!iV_}07Og0yFqWhUv?iKo7qLtT&NvY)3}=iJlNor`Th?o#EYZ}@iHCb7uzj!89o zTE}jA#R#F!?x#m4{T9tCl-lpp_}|BfYjOE~nVxex%=9k5lxE<46uPg?(Bvv>@*50P z<(B`M&ZxF63Ce#&AM2Pn3fjr^Za&~qS(ZW7$ZcKqXl_n}JOlEO*`mkiDbEh{R<~)) zV@8?m@aI@^_}6de8dZ9en75?pl9;!fGBLBxqJ)mRg}wpr~RY*5AAC7m6_}y8D_{j$hZE;zodyw>nJMEl(Qd6>nL*tU5r=w z?2o&Sq#bXG6hB^Lo~qydgbBZ~lzK=fA(RgzLOa!pM*Yw+78c(XjS<6m10!mT_@sy$ z-C(uxpyVP)Ozi93??uR_XR5Lbot-5OV5qG<7!?vJ8BLN|w!Kg<6GOgtXjsh2DX|lO7M5(3LBG z!a=G2w%$U`yZMo3Ebp1`KFyvhabjG21e~GP<1D&nzIFs1g)3cZ`daW4wBO9GcCjzz z$dfm9(^*-+ToUM#*G6fLufVGL#DiqIq0O37HqA|H8Yx4)cu#Q;Oy?POB1NKyM_k*{#U?1_h3iYjO3efTE9vg6ZT!;s2W!4QGeR!pAb zDBB8=vHq?5A70{OUIM$o38a9$K~LqNSo@Va?V#Y6>3GI zu-HK*kcSktJmy(EMrQ1w_8=jv-9)@<{7oE){Gll6sbgcFpoqF)MJ??FpK3l!o1UHC zuVkr8`ANe=tB9vm*|+>5m*uhN%nVef;jWe%Kb5`couFl+rsNr$pM6OzY@;0HDlzoU-@6n?{Dch(~^Px>C3 zMc%c_zBx-J%6tmc^0WzVNrP?DTl;xudWn8@-Z}A6SkqhgthxNKc#qE}7qoAgrGqtc zvU1zOv1K4CU~&`D}5 zD9@Tj&TH>G=+FUW+m0VU-wv5Ryz?=gL3)nkt*P1Z^Ib7h)_TG!E(@tncG9N0=RPFy0ZvHi zr%dgqF7+;<7T2$1sdcG9IYW{9xm;DtBjG2j;&BFr$|d}B&gl<+foei}zp@8cs>58G zLf!|(8L9I4!Dk)YSfqGA6H~Ih|sA0VOz%Ehc7_cW6vbiCM!M zflOv_gHF+d-qC|;

    GZuwpyu!aaKq@bs&}5K!o0Q_cQoa?=Z$ZdXiu+VdPJ~x{ zqm;^H9_&v znsp+1VZ#AW+_rCH-w-OZTy7>7(WlD1g%?V{sC z=YxdwPDPgH?ctfvJd1Cx^2%qG9_B5oJjYaLg6GuTL8`K^9p8aB4m0g!pUW<25C!k z!PwxhH|f%5wtg)S*0;_@51#ejZOYWg)CteTHfYf%2n8QlgbS3+HxG73)4IFfGvHkh zdgIVO;2}9O&L8HT9e|p|sR(d=RdqE6pE};(H_g|3e*x{Pp9(bjjs#vh2;%u6K+V2K zf}&L-|dFh%szB-;FJ=)V_UE+7#A23MwgLY)eGe zHOl1EV2#`BQeTrzZBhqRx}gCnHo*d7Cu!93y93VV0_vYu=XeG{GS=bWxFnXAJcve^#DAtj|09#E*k# z#Y0(nq}_0;1y5G&q(#9?;$5(_Y;IT0qhZeOTbGrSrEVB>+ zn}ToqG>9)#b=d+^l-kZNW=dwlkpxEqA*B|kIqzU{^do5Igu>(=qo=y*lz-A>dJ42a z_LQ?kIr-Ibm#ofK;k?~qVQ_^MvVdQAY{DD3ddYKaJBuF59QvAP`fNF~kTH~>m#s>3 z?^lOUS0pn1+_z<(K*{P{AC>BtXW?TeRTQ&H2wT2%<0@>nR;!$pAH_~{X6MaKqo(vu zQ*;-Ucr^oRrj~Bmq|)J+9ylH$Ovyj~KCzD5jc2?IY@38^z zX(EzpjBIdHB)+&^T`KSE)=ZSLa)LBU;wd;6>sZhPwsf>IukRRww9Q40`p)B6Za95D{6*GM@mRBLj^P&p{GJMiuwsASiyD)MIRN4kk4wd3{uumNo|TGEKoC?Zoi3HEcxU)1E)_ld zA>O>~EqP-j{U#!F+jrBm?a#O>QjyM2IZo_U`yoSf9;n|Yi*<@csO-dA5U-A?Oo?3d zub$>wYQ!^CD}EjHv_X5Vk|*}A@Qde!`tUp*9mEubeGg`VoCbov%SyAass86gH#&1EIcwbAEoJ|JPQM_3SL>i`BQN**&oNf}0L$Y0` z+TOM;Luwe?U5ceLomXXUFgCJjtea?RnjEes(6?1A8@%!mo)k5mA2qL2D5>`8FAQRa zW_KIKFAuVaZ%1fgz%${tvOjlYYB1KbY4qu1z9dgiUH!hcZ8Mp& zyS-rV)vM4JQglbSjb&Yo)Ue9Zh{W0$#3w(vf|C)CH{}A#u#p*DQCAw&C@){bP*aUL zckyZTAH*RI^KtrJ*MH(EdzyYf$})L%pX}2pZKQ{=Ni1LD$GjZ^mG8h+HiW0}+8(mG zpd86Oaoqo5&iaDLky)0aDn7U<9=h&xAX2#UVA=$BiMo`1=jV-MVY0@VIoti$bD*) zc8$ZGk*H86TuP-3#pfsJr@#qP$KA=Na1SSo#t3dWGP zGCHzOB-x`j0mj9Vp#&VaRkMsKRc3KXJge#IH6oQDOj(CH-R(-Vl!oDqG{2G*QCSDR z5!J{ZH93oxqhF1#r}?F0SRM<|pvm+Q<^BE^oox6_Y${+AL`?@G+gGv$Sab`z+b)2> zM(T})L|E-@AOAN{%B;#Di4#7ajiz;_X_XFbo`p^xEzA8-E2H?u_}N0rr<#Xs35(Ju zHpOOmX$|C;9O^ z_<5)UHk4RcI>B!+-C++F!Sbua`vzPfk zouBkqZhEp}9GQK+$p|+gcqHrW7~>HpvH!nFIuEcWp6B5!U77?#jUe67TL@L8B}gZL z^bSJkT|lseP9TVYfS^F=N;3jd6)B-89i$7=n}UE!5&gdV`@hfQ$J@D?+uhqTvv=g~ zdPUhtE&5J%LVJM@ItM2IA>QSWH$sDESRi3Y9q4{^>Gt2SG1q+lu6>sz_~I+Q#u+;f zh#eC|(?nb6V1#V(Q#VX@(s9Ccb*L4-uycz%RF{%8!?G-i)amgSE=)d&@XJnM_Y`$7 zfJ_gyTDvB}{|P^z`qcR{Y;+}c7HgFkM#|MsDa?DJCwR_oZ^j`x3=`=3RnGp$S_e_c z#8y+8k|getGUxF-<(-7U;s=2Y6|bO97RWWkAJnqCmUksj*vphF9uD?$psv5mPBvPT zlsu4gE}p3mNVpC>)vhQL#44iTht#1OWMqBc?BCnQQ?=#23dDN@a#neyfReUXG@FgV zzH32JWI>>!dEQ2HgwV^`>VyNHibyl^xgjY!`Zy7WC+phC{zoOgQ9wk9vhx5x&o1Fg zE+)<$zapK#``!r-;RD@70W%?B%nRLlnR4Z$9mMHHEa8&56BNIqAX3$H${wr(!; zky!Ysz&_+kX07QhRvKcyU z5;kkLPv8waZw0OIM)SqcYjWnIex~a`{hD6?jIPq$hq>dGq)%BQw@ZP2VTJoh+DG9o z_&9H4r{HX#k?am8iktw$3t!{L$6s$2ZN)ywRcH3XOKy~Jjd+k3#H+0%QaadZb3_T; zZ94y}kfp@c9wXWD{FQ?_Me>sb*F9@((iWvZelN^YVyoL|%&wS#KWgAs9mWd>c$KVR zEG5(i@WQZ4afZxwQnu!Q$o<7U%c+QqG?1d-VxLPb!Dxeq- zu=t9GM3CF_qv66WAMw;Tun28}i$HJSl1-ItwbtvynO8Mx*@tS`zEc~d$8bVsVpppRF~Ae1T#Aa{PVlu$wp&`8=%$djA`zIJ>sXq_q_*4SCp%*G$5`QuJ;NcmlDP z>V7&KgH^rjB9o;AS3f?!&%17hGo;zcZOU5hhFcuBs)^URud=b1nS`3A*PxrOC}H`* zl``Utp1zxfnuZtSaiXXZp1J}~SpLUYHrAI0mP>D+jW@hw6Ur+8UgLhP%qvPwVz~-`@)ZH*Rgyir&u~FBB+v{kSL`vyqsz zsi1$;@Xn0Mwp0JO)Jb&SB8p30+be6i-bQ6cdwT@J+}8MtD6lMDXt}mfEdX1u|DaML zASm%y#@ewM@t}O!m-DuJjJ5-on2cm7TL1Wzz0p2ZbQ*5N#^pdnwDPvH-FE+xHl=l6 zzeIp!VqwlO)R%RzA@O?ARAO73lI=nHFTRVM<| zv*IJq|HyL>z+R6jSPuTD0W(kda+}n?YlQH`F>T}q+xgeHx2;`aowWX&Fs!|<@<6}9 zsqCTt@3)+0U8uRKKJUe|A0C*zK@Ot|#53tBX;j9O#8*D=q#6;avzc5e%9Yd!eD7%yCV04zMwOk{jU`6B0JS7(bvu` zK5WI_r(vve^LA>=9C8V(BU;N~=Fjs#&U?66g?WhGE~hPX`4yCv2tA@T=f?jcA`(8o z&+3g{Hs>b1y#{(#(y=vSpXFiofE257_p7MPaYXf5{+0t{Rk}g9cg!0XYwBybAiNNwJydF#@OGkvvV%xoXsmfwZ;?_~R9NaTwLDW9VoFdOPAChyrr&L-z2)G` z$+(%enzlBk?ie+lmihR%JmZmYA|rVvr8<{E_(1%-ocM+Vn66{h)<0^Ib0!|-^Sx?o znHaW^B~NnKVzqS)hQ?sE?eXsx*NH)|Z*j!j6lE@-e|w0vqTaw}Zow{9wVR2X5tT<^ z&E*-%^czo5fTox{!!xaNA4z%nJOJ_JU)=Qh zqMsx8A2?ieqmXZ1Hlh3eg`*>;#Y+bxX50p=Y^4`^0~_eh_1kpRe9R=SbyET#8#4=S zBDr?*`r|u+Q|(Gq6{%RZHNV=AZ^>*ZPhw+D5cnokEP8h=Z{b^jbRj_}#{$9n06{(%@2gL(l4`jO*PMm{dW=mr8 zP2H;In{BmkcY}|=m$u(Dk%b=BJ)>kpew6hr*mSzJNX?6jl~SXK`eRMnQhWSC>cJ%! zFWhCPt&x)<6FfPB15f;~WcGNSJ7o9ufcmhh#7U2Ru>IJEVYI#0!`^JMyp;#Mm1-t- zj6c`78AO838P&g*bNZy2RF<(Z(i=ja2v_izH_}Y z_;S6Rn0wR8?)}K}dX$VE*siU&b>MRL2N)gSCq8)_?KJY~I6_c*DK@M<`to$;dQ_gL zWSOj|fRVXDbJ94Xu}2(EczK7n?4Zq4q0GzsK)oDcB&q%Y`89mxj{d~tic~3(7o+tj z?q(zgkKZ+;$RE9%ars{{jrQuw;N)nCoc2;?U1q?ulAl_BpX1e2m-Idij4o1jjm4xV z5Zlbm`<&kv9J&R)NgY4AC{s9Y9TuOJKs*jEe0+1w=s!-_Q-#K&=&Sa!#dhB+1z&{QL8TDi?Cx=ug&kZUUn3h0xnTmHP&(E*vMXs ztfY=qgcI1~5RFBgp1&%osXv{xJ19L4JP&p>|8sP=ZRqIkK8z+f%Euo{Iji^qRuxS- z{u4ZLy)kWeR=`cQnviVqgJ39Ah~##qOY`@Ro${;Y;&3`Pm5*xn=xVy3U5LUeGTYFj|Y(R7$3;DN?*_yUfJBW-4zbO1LAa=0>W(-H&?3^^j#cRW;Ea zGbCgVC)`=p(l=jXzHXLxc2_OiJLBwbFy6c1>@97fyDyt``T2|(v*=$_BXk99$gCtl z-dmN|oBKW)>R2V{U}pH)OV~9>)uu*%N9MrjE>8<{EWdr?qU66rXp1dR?fNwz7wJ6* zP1-$&#S425|KwJ*!#Jgi5j(Hj@-X|@#|x*?70YeknH8aQ(q_0@ICr_*R8uPN-~=?M zDfbn|LuWmi=i{8mi2YM%DRCCYRbfrJ7#OkXw3dEtcr(Z7_&-Pjh5Cbz5)K~zi`I@# z>k3A}x#QLoS4$BOZWnAQ82uCx|N5)!nki@VK_{zEo=cVp^-64`)z??s|89<3d{`C9 z3SAL_{@!%?04rA@vMt0FzX#wJ;xZH)FWzHT*Qd;^lqUgwFTk-WTkwv08}{J3sdvDO)J42LCXiVe~?C zosG?k(;9Ih@X|*N25vMOo9HX|9{ccJ9aQ)lRju&`=fh`R9JZ2QYG_2iW`u1cYWyS; zA2sFCHe&O+C&#rUiV!LX%=&I=mqiK2?V01H8glvLxq2IB+@F@fn56&#NBIGy>>&1z zY?*9d?ByY$0e$@mLstKCgk|!CD1j--Cae6J(5$i7;K2mkNM4VTXMInp%uD2wfWF() zBspMeScdS(kOWpec4)7&t*yEe#Qjji^j=-v{<6nui!}NZy1L=&Wd~zH%4ORnB^}A* zHAy47S^w156CFEp%LLp17-Z4@I{akumMiNY+sFDR;~lozu^$|~ykcka6zHxOuJ`6n zm@V6Y*9W&SZAd6ixmUa(|Dp||)ob7n?_Z;O<1VvT4-v+X~K2b85n+5AJ$!a>0_am}Xg5I*bN$llm(ssTR+~U)2 zv|(OC%IZCTUqx}s5;pLBT_m^aWXP5YThX6kV^29|Sc`K`{e47LVIk97uvU2epzr*s z2mFB0L-}fH7kHy56Grsm_c((?$L~!-G`TlTM7Z(f)t953QC41V`#P3`g(G-WO0!q| zYD4)ie#M$ChZ+xNGlI+SHWZkE{QBCY+;P)y@G`HhU}>|wgxaqnWhA47CSw5 z_D+M-&E%$nAGUwhW_={Ay9cJu65={Fc;H4f^tDIdxSy~7GCGkRsg-)mNYdEtF;d*_ z+Rdl4iymn!esnr)9UCtC6xj!Uw zRLiIaGYOseq3I)jxObm<1bk;Z>ACt>T~4<{W7ln>aT)d(52d>vaxFv`d*2s&Ou{N3 zlc?q+w%jUOXkr}fDVJsU+&ZcE+zul5+=vFzqkYUF*N)O@^KUiVQY~FqUr}clY20^< zN>$%5QhZ3orm{YXa6tElZjZ?HH5jA*St^j`eP1(pYoLfXk_hw_PFSeiAR|Do(c+ z@L4q9(t03rBjdgJCw%K`i4iy9cbe7iaRwpYWot&-1K9U3*I3xUF;7srxg}8129Ulw z>wTwQlB4QlFeI3GGv|2D!p6I)?JB3PWT6}V%R>n<+vstN!2Q8VH8m-Oo$Bx2q66&I z%>8@?=9{AkN1G@@0F1jW#n61!lMUf$;zj4!e{(*grhR*Vhjx^7Jyrp7S9$$a*7!_o z^H7!9zV_nJaQ>78g#hX@lH&VswRUe$^C};DV0hEmVR+P0v)ErY`=?TYW)il;x4CL9 zZa=F5moQ5uC2+#QJ)tt$b(1ng@9w3n-mIJdcj=xxexj_HGJaB^_I!NE$CQ=-mzi)B zr~aA2;M~ML?C7(6qXoTP;w|j~RAT@#fJ{nf`aZaJ;g*yjQ=NRq| z$$CAT#SHs?q`n>nXX_{9Y3v@N#>9 z_u_B5e%Z_0WEtaca-ivNvZ(BD!W-yqGJyD8uvf__)o%1Oa+=eBh0S9#BIl_d4N1-= z+)^ai=Gn>Amzv7nmhizK|1xE!aF@<8S_!4CmzUnx5<&{$;I0k^>-(mCz%o?z4coh> zS$xptx30mlCzB0~I@a+PIH?4RJ@1L&M4OA@gz^u@mVC3l*&$ClEVFm-(EXmub$EA; z;kPLd_ThbnPF{vI=cl%p_PptSPv_m9BDCErH8RqC=$Fd%^7gE5c!2n;zIR?}vb%$| zX^t1nS9>W9d;6?c12a8#Zh1Yg`6&9OC_#%)-_tg!AmR7&J@csON-h50`rknTL*M>P zFND2|Kd({A)gGh?NlK28`tA9{{;JE-GxyUu`>Qph>L1)D@>LT56B!}%zIB!52rok< za6W(5W3N`Qx#CVgLS8#sS zSD!8OJQMIY_&6zFy&j-2f5tjwOB*RYOr8#VV;T5jzBMuSdaiL3cB(7!n#4%Lo5rsE z_Pw8fNR3@%zBN?$8551(eqOZw%jiQr&q!W)me=@tL;E_7F`jJDh+*(CHIvA#Lf15m z=I-jrUMt~(<{NoctL9+1=i9-O#Dm4OwLFYMi=q5usAAToru@udsl~?RyTOvDdG#e# z-*bEO7@8m2&&!fu-j=w>d{my8_{BW+Rx^y^7j^!>a6ZdfHM6CN+y z9->1eg{?{2vej!u&cmYm;bmL;gh0v2{Se7W&VwROZ^}PjRn6Jwdatt0T5henU4BsG zthlMIzv?!^s^8sReY5P70w)E3yqR{WJk!Bg`^8p%`kU(&@gJsh#r!O)msvC7H$F7? zvtXiEgY$Z=%?uzgM#%DAbDiZoGhSz*4m!SaIz6gP5OPy^1b+%U|QKoJe5h3dVCa5xTdpDRHk`t3TQ_+B90^lk@5x5nHAhy4DxNg~eHT?ohX{gGGRnC>`}%5(TODgWRHEnBpO?*CAy8N$Y&XV}#9z zsM1bL{qBH}w{LY@^Nw$I547uY3zkwcuYRlj%j@O(?a7$|BV~L}#8k>zJub%Im+$x$ zvfzC;4PK=lcfROb6nF{NpI_xCpgSjW7>Z2qc)_%0>_$meoz*y?L7K|kp4Z_WpgFXB zjVrEiR2=o{*61|r))>23LrwNAir|3tJCP;fGyOEd(dgEcr?evDZ9w)FsdL(W0!@lwNYWH1_TwO;dO4Mq`XY;cK0I zbFoZo0ppAfI`S;3C>r|ddR%d%ZxNNlWg6Q~8v0GFgKzfl7ao~YF$;4|McoOw6}AMN zy}d1tJx-iyH0F082gBVE4u+;c4ue3!3pCqgTMk%tV^Lb1TYB%dVfA)b+pyeePP1FD zL}oPt`Xi8^Rg@*6S_6^GmLyV7u_$1K8>@bla$e~Yz1cG?<)11*-St4t=q&v5+f4Dm zF4GSVen{6%mU98CGE@*>q@r&`AjSUMk_ zi-r_9xiM?0i?f(~_P)^Mq=CEKz@6K#@U>%vKCasaN5$_dLx^AK>x#F%)*YROxhH%~ za^^iIahmg4j>xw>CUZ8(=s#Xo-w@nlV4f6QGpjk9;R1R3ATfTzn`v&8!V|L^16RSY z$YO$aTc3n6xVcC>zw+Q)AnDWK13yrxDl5g|;#u|_$$hkp7Vbq@Sy(@cnE`r zJ`N?@QAecd8(sNPe`IB2jUQabG}7;KaCaQ-A* z@xMkV(cTTd`#mzIae{_2qpqN*1QXngoRwhk=R-8XK|fjCMk$b{qc|q0ajBM@C?VEY zW|TtTEw2#VlpTkO5@hL=dW*cW5^#YtOf+31cO}X^eL56=Prj%AE>F8%QjW7c;DoNS zP(BzQ*^q@>54f4eU6$N(t#8o0C-PX+MH-nNj@or?&fwYQdtRr*pfW58?_}GR8NKMQ z$vf=j%Gf$2*>4Ai6XY#x?9Y}t*w!PDqMv%&o+smxHd%wRL$HA%vRH+FB+JY1eF!(f zmM;+%760M}MQpZ)Qt3zBkJ^jd8_(*oxPKC|iy>Z2#7bw7H^+~;?+|Z?NbNTl$g5YH5A^lcN1jE=MsACV?YzpF2q8SDWXd12 zH2XI=I6DoWjqWbE!HAcl9C#^pR-eS)s@(YHtFp#J>Egc{sCYLf9%k4+L2+jpkMTZa z8y2Of%gOm>IF%Pt0R3i;QUCsDja9|tFMkE=UmGRIhZ_?P4*1}0bjA5Qew)VwA&bMz zMQbCApYA3dW$=usYm14^RhiGYmGk0eo_KR`+j~u)8k>+l8Jj>3Ouu)pI?RQ4Oqlx? zPMGUFvw(g335D&1-^1Tx_-ZIC3>&a!e9elIY@RTux>i(?#5a2@;rgSp=0R!`2n<&s z;OH*Mb>rgQJ1x{Ev9NoDp8HMSSq}{!vM)}Fb~HUXD*h+Y>h+_&);zg$0#+Gvscpw1 z+Gb?iY%R`yk?Mt(1@$<~@+tQ~Gef#&5c}Rw=rXG_51woG7LCOP&sOK}#wOh_?}@gr z&pP~yyp*#&!rHo|J{{k6r-%*1IE0ofqWM{Vfg98{|8NWscl8jA%u!z({c;NSq?{9( z;^_xz>>lV_{aM-;rH~snr;_v)(nfwTh88cUnS^i6^|djo){MV&&Nq(le$(C7a{u~P zmO;*|_1`c2OiMz=9+*@_xAsfsG&xm#UDvVw@ZZZPso;~~Yv8ff3Z+AWB|5QF{!X*; zHp|J2f&_zKW^ubrHQTR|?~>gXY-rv}@GQNbF#j*3a~tpS)VKwy|-7u&a-2aIn zra(ff-Z2@Q(Sxr9E6-8}i|OzN3p8Mwugt-(GWbEI64>C%usQewvmcZ>2}y6I1B*9z zrPkj|M$+q|VDX=d30;w6=;dB(T!wZDp{o(3*RUml;SCri^*OjbpSWpo0>};pvJ>)y z)@C5-EA(LTe@Y2mPsY*9W?m(MwLonH)KWm5gQUN12#Z%OCv?e9pqGn*dJ3rhEQ@|# z#F^TkYrv)My~fy|I+rw`6Di7k_CU&T`LBsE|n zUe3eMKl?!oc9Hbg?!w{~+X!8CU(n0T{v|)cnlKUX=HdRDe9&XTN76h@jhKa0P&>g+ zbdX&PVP!FnKFim*F`6G~y)kRQT{!LWFr7DCs+0(#lsza&tt3DaCO z4}U)92ld}Z($j{);;Zga>*q*A@IKEz;F$52MfQ$NQJC=L((rfz~VXT z2wjEK=pgM2#AekCaKAOsdQ6~!u7L*9`+yFrctlvadl5#yL5ak}XnmpKmuKMq{J=mL z7(4?8x;VnhTM8KY9W^ju6f-@m^(<-r`~iJHiZ_i;z#-1Qxt5$pagIP(5@w{WCB(J@^yrjSil58@HJs2MT&Sbm69>m<4wi zh?|}jc$b{K0rUdqY;oh^R^R|@Z~(x{24o1xu>~30feZoR_COCR^M4guq|ahzgW!u+ z;nFWMNSHWS6NZYn2@}WHG`404!M|PuA5vRK;!OcXfFA&j4J1At@D(7liNrqwi~{(! zkoZ7Arb*n2A@p#Z3k)PLKnviKD2!|acnLTK=!wC|&j8y1WpNle88BN#pJnVa3meP6K%s$a6rR2l4`t7lFJ4 zp`YbDPZv1K?5+7TH#0wIEJLD)@qlj%^n{zD*d;q3v=M4O+t1q-x7WgzS zSbSS5p)05ty{zR{5?Bt@pDl{koXv3=RRluUHrW3F?C$~l*Jj|)LwuoWLUCPWs=ItS ztg!fyWJ1?a4|+Keq~$nQ zY2ql2q`!P!Yxz%{TzXw5p=EqigNZN%ZluB&>S%$a zpZ3&Rh8n@27x_W=Mv?S=U_{%O`hl0EA?cgEVDXfVgs!J^=w%DPlEAvR7$w|A;bWOV zzVO%dSy#J#p;E3$dQVwc{CqB<3pa>f*7qn06syu0cn8v0kbWQn^&gAtVgfm^fE)-Q z2fcatLYW^lZ2~l#H!NNr6i@@y&OqG=)DA#hCvIBs_m^C{!COL?@;rLE9O!0hHP$b! ze^WR-(dO#!9zieff(AUR$DI5J+WMj&R2_$;-`9Y}7Zwq^+(yyMs@RerAcCHN2&x7m zsCojDK0q55zeFT-QIaTxoj?S2<0l3xQ#nN*&gm@sg2*`!bQeM7#DmDG58~Z*5DwWu z@J&I|Z<6V=jx7;TW&&t2G%P+71lcdZ^aPmVK#u1J+dQJlP(*=zD0uC&|cswoz z7KS9u!V3_+P-JvaA)c_}!3O&!{UfqOyYne5W1k#dLnL0JHYQ}x#{|)quu$gvDWh=@SGU&7RL?JwzyBM}^*?IDEbIo9G%t5h+)>5C{r>{_zkre? zD(8}hgs`zz8M*Fg?D|gB~$cyo5(dk}Lq}QIh=j-i@9=!fyCcXUfM^ z+^um(j{WE1A6&d4JI8}2XWm#H#qaajh^#W%9d!KGxBxTVM-;p(41)h*4_vYXaLItL z02xQ%k^$ga4A18TTr%JTKqK%qWqeYsu&B^;f`F1ewZ6-WFZAN7m?=GvX9=AE;JOvg z%vl+RN@}6cQkXK0OW=oUx~1o6fivnNTNFW+deIOqfMGdB*=sov800}<02Bd!{4XsL zCvc15n}(*1FLW7zv-O4Y+xbE}0WS8SFb7{K5uoV^3O#l$=^TF^8+Bv<%Rz>~YKp_!XV-#_N}KOlphIJfIM5I+O%tt!#!LiH8dy@ezRj|81+`lqhd%C;XsyNz#}t z&P<0MhFY+Mp^8|ta9T+$_;hfK z2m*4n#H87aUZD`Mbb?$4y`&0yNe%Q&)rCK1J=8@|mrgXqhe)w5hlQ_5g9YQ=>(HF{ zv6Q>z#OA#(=%9l(u9SrpYzm#sY6nk2cubHdoOZC2T}1Ksj2j;Kdg)G_B*HN;aTMdEu~Mu5Z_} z?DL{(>6p&eq7^2Wo^3KQzZR}zBQ6hV{gjv{TW8;WMaLAierI$v0%jL%pN3Y&n>y9k zC74-@X8B6Z=THEztp%Q+hyiaS@&9)nDtpn{34akx1sVVYfW;JN77EdVgj3xuQW8Ys zlK?XSxDeUOMngMjcr&RLA2{_W7m_@U|P^ExDz|V9r-q0FcfkhX>c6X^-_$V=-@^eTIxv-C z?tb-~tgu_d^fiE2wW$ARlRv55OIe3TOZ<0H|KmH^@jA$IQZu z-?HE%r1}kHIl%O(p+hx`&)~Z3X5kbLppP6uA2|UeSn)qn;FR%p4HzoN227kY*t!93 z$ArNbSc!CX?j>{$fEK`eK(__XOhW{Q!UEC(&48}}y6Z5M3}9413o=XxkC%Rfp^|%p zi9>*`BG@W}ts2;>gRSN(jngTLckWQm0W?GaAOR>%GL4f4h1x$M2m}J+0J(r_zy}Pq zJ~0iP#be+k0JwDEB%S~#0U&C-$kU7I!()=>;9bdcaEg>UxK^rVQM5@c_ytcTLEyqm zf&df%2dDu|y{Ps14g8>;8<$X=_hwSNhUeiFqw{dBv3YpR_&mI80?40%JO$)wAkP39 zOd_p$ATNNl0R*3J{#Ap&98>_{0v0J3D}5&`OfA451pEMK+y;)M8k}n#IA_u;4bh_8 z)c3Ob5|=#>^M@h~KbsyKLb!Rvv|>>Uj~m;ghtaxy>_py&Zl4X1%`7)=3k@9|-3Z}X zKWb1>fj6ZkhW!&QWjsR>7iV~*N?TTs~cOx-)^SV^@Qd2Svl zozvfP?-<2XOastQ8r-ix?yz;_4G)z(`%yVq`Kd;Qn;fagPCVEUK&Y9%&0C44Gc z|MDr@9Wmz=m#~W;bqAq3$l$YS;q>Ku0}<%yv8GuS?!-v7)l|={K5r(%jFrtuR3#lD zLZOnlmuIiY#AL!o?A#GREL+wP4-&MzzTrx9!kr*H2Y9{B4^4L?yPMs2Q%wJ(e=L)F z@7td$;(!B$wvo1ne-N*RV<* zEME%eHFcZViDME4l$=I0D)$`yG2Gm~aIJT4C~VKv*w{O@4zFlwHeyiIg37(f7Ef{s z*B7U_dlSK`SgcYb-LHt6Y9eMNTDY9(pHXNvHTu;Ovg0JB^+gah?&`1F>c3F`Gvil( zP08ld zY0RQptJsT=q%yW8IJSDj#j|ynuMCt3c?+wjI>KuqZgCL;zkO$qaU-c zGnudxFa5_X)6oAX-SLeH-t<2#Ci30z!fSG zM3=@!l(o~<&b$J~)su(9vO9OzoGen$qV0-m$u(Vvi-X_4N-xXVum55$(R|$Q&b@PV zW~Dppc3azlmw=dbbBcP=JuZNlwU2B4My@DnV<`J2JuaYPlQq3LpOE>~H_=TkTZX${ zIIKbZ*c$z}9yCKdD)QZx_-F=9VF1VIX|0sjI~Zy_1Bc>H?86_n<}_b^@iilC^Y{sjS$d^a!neVxG4u&&_kKG}8(PllJ>q|B&i7qHC;?bY-UYr%* zKZT9J0z0vi#drb47SPZ4Nj@FhQajc{e-V$bd|TCv?ea6zUUl`##E%vQKz0uA>27`y zCpq(!EEFRq*Hvi|h-Fn)#H7ig9JaFWovC)WT}!XZy&5{gOa>V1lcS^HF)74B%IK|L z8PZ4b62UuM{(%E$Yg1#RU-dDIxgA4WTc_=e+&lY{D==PZtt-DNCy%7WwK5G*;{v}w zOq-gZHRUjhQY+~{mrwm}X zOTJ0IDw)QYo_=d9+2rY6O-qm(R511@`QHcujlsV8!Zb@!N++{6k}LH6x8*XT`cieV zLB3PmS+rY9LPAu^N0x{En@PVD&KI`u+OTjfxj#Q0q!F1b4_@9g3*l{8}U@&`f zj2U&cT^vly0!46LVy%D(JhCh%N<~F%U$s0)%g)Yu7mAqN3}9{TJzrNiiBrb@L8qRz z_tg=X{|QVUMI^W*HVzE6W0T{zz#?p+0xYDUdLfDIV76Sb%t5|N(jHXz8{prFYNaDc zaS(Z%EN<*$c^`5yEe;u!69?7=CiflVXaw=5$A1O9T=t9GFFj6Dp)IIKAT|PK#;B#b z3$%V>A>0S*H3?_kAzmtpxX1x8G8AftaaMlZdDta81hWIaG@cTFc=ir&8sG~_taK`v ze%E!ChJ$$N=<}!yT+8jBR87d&-$g`!7p``GROQHbLv6vgX^wA>Qw~MqTjTfHgOZvr zqiB`(rvwnnPH{99AS3uRb%|`PZ{E=nHrb0^AUk_fTA4;D?Bu&j_s-Mkw9iMs#Rj9wWcEu1yp}<%;I@sU zkx%*GnS5c0zXPu(25LaK4F@p+(k138qp!vP7|8D&!?mt(T^s+X+D!NB3`*2o5|}&& z(*jLu`1pbMduu~D{ii4rye_Jq?pF(H`zn2y0e#ICj%ijod2Wz^XSvNQ6c_ADqm zvoY5^j;0>?Ob^IT09-4R3x(xmBkr9EAQr9TXh6IPG=gx~Nou{*LfBix^@62#pd%!& z8aR%}DW(q%AlweXQOBby6+2iY8*I$1j~)a?u3r5$C6joBs(jcEVk(r_Ii?kA4%vAj zp%qJs!bX6rPh$|Up9vt;&EnAcDWiO%TABCbv81qC5G~09lk=8IppE{5VQQ9yrezqL zKw<4?c+a&A?oET@A3}E6v-zbamB7Cl^SIu4zw2{PO8%)!Y0G|800XsF>!%ik+gDnv zndd-_S)b{+A$ zp8DE`^q-(Kk<7!KkcN+5SkI$-KR*2pfKR^bkroF#ES`LKczYlg zg}v1oB!lWsj1RL1kxC{O9IQ95)&%c0)t|m-j&%IWJ~9O=zlp>9o(Ie2<}>d;FS$J& zd-v_@kbl7o@k~hNhswHD&rN1$)oM43+|pX>TmDz~I3_hJ!5`pFhrw9EN_NRVC6Sa_ zBMGS=r38mQ^?xrq7gl-7Y0+#^QGEMHT+XEWf(`#te$maN%ex~n184HbMycn)w+hQ{ z8u;(*M;<274v;@DrG&!{Yj^g^hYuJAjwJuyuv%2*FZ?xLcxv_2)8(@EB1HT6C_!y| zUNn+->?M}t&;7l@-EL14t8mD4#!r>(xk6;~-qcHL^-uVg8xLoTUepToeMS|zG^(bAK<%+z!7P%5z-O<^XxqgAIcB-%?pK1Prz-Cv%| z%q5E7aim<;t{41rx_F^)mH<6L4ZAUpaAAN~n2JT&6 z>8)o@Xtzs{O8EIz@`h)`DE!m)1T{tFLeo?Jpo}N@u zUaVFQo1_qj>G8^qP&rJmd?;T~;hL76eX(tJ9UffmW$S>_%{=u9{HGC^|jD`eO-`_yI%FYmBhEr10oe+6iMbczHv#<$GPb zJ1ZeYuen5QL6PdxVMR5_gA_9rzwuadQ;s{Kho7IYcO*YQ zNtWh)!m4qDp8n=G*)8L`pIp*XhMMO6+lQq!Gzase7jMpzr-e*RooM3=w^oAIdV14O zXque`bOZ&-x?fub3>2{Nfeh7d#tYia2H{dsSf?E}HnhPRhAsgUvTQzZC4+X3;>+;rl50 zZU#f8l@P~V=#{#dF67gbatQ?oIR8J3?D*$ASkbKhzwMz9| zrKj+d=UNZnKME-G_mqw-wE>h-Ltj*&6#GNrB|FW@UD0(!j=%m&U0JBUtf3x(0lsp>j|HXUKJr@4g z#%9A}T(t7=ji+R(YI~po-!hJGF-s`zaY_@oE5HyLY}GDVxbo3)j9>5t`(ZZo7{;Tw z({xPFqgS%EI0TLK=YI@Ik6yghZTgs>S@tTslK1pj_vnh7G7PSVqOP4nr|VPR>r3@N(3f&>5I7UpTw{}> zfora{^DUakXfS69G1H}J>a)CXy%H-g*C<3mi$9i^ljrH@=d$tUuPb7|)?cAiA(fzS zsdOkDJwUJcImyM0+FnvR!GQJpU=N*MSEE*H*1iBTms7ZKrLvy0h6;CXnxT{@(R+(^ z)0u3ox58PiDR>Ltv{=j9F5i@BODGgo zJJt$e%{ChdZ zj>+F&4f2IoYK?Qtc&kflFZWWS;-i^E_vIlnL_r5JwbY1h5FA; zt2GL;gwB(DM1^y%-XVLDxz@PI&!lccJa2onGTn-(;s$lrs{7!iaq$g5u zgXBlh@}K^)%mEr`9O_=5c3NUt4W3D9GG;IZPT*X5!Snl3b4 zosx!UQ=UvR#aYP%ES8cLepq3EmU846RDuDk;eLMA)h-$T-g4s-SxEDS4}o>Pg~j z&Z5P#*r}BpWqkd(j2;M9_SRE;v|x|(FDagD9Q#$_n#`E}@q>p4I5C=jBB+Try%VYU z%8+ZWD|K+QnEV$gKN>z9su08ZQYrRoncRe|uB`1Pic{i>;U24N>b)Gbb+Yv@sTTd@ zpdE+w)!Y2rDwqE^u$e?fUYU)_ddceDDbL6BxCvC)G7lYGWQ59ii`!YUdlcY#I z+m{x;jVd#oJ)hq5R(1w9PZf4aEi%5Hr$>N{Pn*S)_4lX*a@^gK`x9em5<+laZ|Q~p z+MCqDDdh%9iEK~z*3e|l+W!8~&xr&tlAPdfQ@ZDMve*E<-MDLGH2x^t)d=lTPt)I+ z(eqM|WXwMPLVN9=4de3yC~VE#Hz4I!Q2Ad!5+-9$OF(fz3(cqa$;@Tm-uDUja!=)q zSjw`bNN~PTP?x!HjyqLw;@UT9!C+5xd3H}i>r9zGikH*1NkZP9xreum;)Nbtl(6Sj zPqsg6<~iqUJr4%B{6hq?O@b1$l!~lzYH+<1;}q=-MkFNCI-4tMc_nFu@vt%)|H3~= zLa=^G=_i-Q)scSRrwoU27BX|1YkC78m-N;|Ut%Z@8=^V>9Id3TU5!-}x1o+oI=A-d z-K2a1*W??~V=cVWbDBCR23?~rgTzy_a0n;QTwKWzg79kCXUFTbUkpNJf9xo|9<@0|TF8VQ{SyGS6hQOMA__Hg`Fft4z3lDS_3rRxId}8^i)w;I8 zOoxf2l`#+`VCCUHb+T>$$hu6QI=E2?lCM?$WFPzu%355nM-j4HYEL!6vip<|uO@&5 zIniB)=nX4f<3j>xn2Vb!1+%l>4A&)>B$=UOafx)>0}ZDo84Z-3Tyl*z3Q4M zK_eiN^n&XdlO|vIz+jRs-(3S%e%>D50j>jdk0Ti$qmp$0l?7B!^P5$*M(-<5=ohW4 z=|U9NNwIi&vMEbqDVmOvpZQZok~4oATod|S^XgL}SA`_ELx;FXmcFOLARv0g1CmxQs9U7fX(CaD1%Pn|50?6 z0c|uvv^WH}qNNaA3dP-BgEY9iyKAxF6e;e--QC^YU5mSv0tLQ&zmi&HLt?PF57ai2S?uLRTl@_+5~wbZ37V-RuJQUNg$m5Uh_{o`6YkA) z0>Pe)l=VX**vtd#4D1LnSf8M+Hd*-QxK}^2@q`>x$x4J`Wl=|b#r+jUg*`9g3a+5L z4)m1%2>st*f*TsN;s`eJE#d?Z+FNAw?}*T!4;2QR3RCJ%_9mgH-iW)hE8m%s6rC)6G=p75l`?xnS}5Q@}hC$ zoi=|~@vbObed8kEQ+sOXWM6JrULB_*M>ykHUjo+81G-u=@aR}~Y;0%h!rxtl8jUbUK zl==7<06)dj_(XU+1=q*~ z4;C$uo**87Kq`$Wk9dG4jaNYOz#J^Y2c&J1!FM!PvQ08|gpAllvS+#CuK!jG@xkJ! zvdCP*<%hm6#cDTYb^N1v4jlwi@$*sW>Bt}D=DKALx}qnFZpS4qH4fZ>CbEd!;R58c zq{+BQU}@zzKXl;&*mSv)$V&m`m$=uSr<8f3xdRYXqWVC6TR_zr zD3PsNNSvc5L#rgu2J0o2WDOf{0WKBsui#N@fs2Q_2DzFovXfFm3!!S}^hm}2%ticO zkZ&Y8(cUj6c4N43`P0ob34Qe-b8Iik6aI{ma<+Q;c+LS%dgMT^0onxi%Wof-f2-+s zFxiiI1S3dn{mjIc6WK_(f<%RPeAz}%#yT;VPN~bT`#Hi*O%&)WpYX;8+)k#ddL;P7 z#ztZy>i*NTCSgP=AzB@Km6x)fK}X({Ko;o#9V&z1C9$qdO^aA0m9RFc(!QTFM+Jsc z{|fUxwWF#l_9eCj-s*v)Cnda9zb*YD7P4GLMHD&L?@Ozl2p z(9r{nSHuebRxoX~Schj&lykINW z^bxBBg(jsOTDHGMB^A;E2*nxSz)5)q%P!DfkdoVk(KMis9p( zDTPVg9hiazw^alMwpCeZ@b@tAC#b(%f>|}Q8oO0fC`Oc7CG%uY2`YZr>w|S^q5{9N z8@DpB56WRP9;jV?jAsO=iB=^9Vev^+OpUlUi80~SO4UV*R3(A1FQhBfuH4C;I}b?R zsGNg+BfpCR6Dn}xNPf@Pu*YXmow!jr*PDhaiyB8U;7d+m>zExDki@T>9@g;3uNRX4 zfISKS1ofOxwQ5e6vIoqvZC5z0I;9_+f2alm7s5NC`IldU%SzP9s~iHro62~U0ntlD zXpp*m&rr(TM9Bb%N#9D2$=HgwEJZpxV(T=QGmOJl zfIbIU7R^JQGei!Oj|rlX$c_hL+e&4EgGBt9z#_S1P^}{re?)bnvIBI|FZ<3TwHHJc zyepyqEl3K>OZFortd~|oxD^F-lU?$L;1-4`>{#G!`owt*%`I->Y?y?8N&!D)ge3A# z6X+nv2ID1f#SuHQerqL=EyK;vlv$fDbC8h`Ez*?~uZ6DaigD9Kg@h z2hpL$T(hy2`^9xqMf3>$YTgsb7!gvf;tm_>N|1o3p9ML`iu}fsRM`sk;W%3fxzwmb!WIQx0V95vNZc(4~{r~xtYXs*~EARDa|PIf#aYx zLOdlNBfy+ON^VX^@_Ht3f|iZ8*yWx1(KMd0%21op^7*|+A1 z4RYD(N#-5AcwcVBR+W#Gmom`3YRaHE|2&Ik$pcxRJ14R9$9wwEGGy21hNt=<4U)-v^xCqq29srkn27yGed>=B)^Z}Sly zUt}Gi+jSgfC*s2$Km|N_j0w1%0NPxY=*o)MD*S#<&Q9wReoi;)Nfw`V(BA6{9BS0I+Te=Jfe1KSQ369X z==jo&Rg;0xp5oT;39q>&isE*Y@Br!7swQS6Pwvtk=vO$40SuF!LK)e;-Si}YV!M1( zBKBw6rl3S@0u?j+$NVHI*7(n39{F$*u`13dU9PO^qX@i830p?MVMcZ7@Jw>8R_TaL zI(BJt+RXg^bp*9Gmby=?gLZ(L5|;4dfqE~L`YzGO;ftF2qYtE8sqJ@0x% z2N}A-6D$WB)orM0kLP=8UMni7R7V!E!`6Xe?s z9!Dw`@g-x+(9nxWZ{yM>F2XX=WE&OC5=p6lwGfvk_qW=Qw)eTsF(rH$E2bA^DM2Rn z=*s_MIE9M89WDi zAiV%GPGthI9#)fP|B|3#^a_>glOYuGC5MY4S=?%7-0w8vOPmUhV=^|<44!LkdDdw= z(G+KMG(0xB{>_|IL@O1$UT1fj2rQa8i{sHwvxIY3Ttn0(eLM&(B+hs62-Sou9J0OT zOXc(c{dyQ{&&{>0q8;>nt?q2nNknK3^!c@{yqzy_Zk;3>TuNNlp2L<^8HGD~X9bYQ zip^EPR`X3+P=cr7h4tSVNR3RdwEChk!sm6*W%|DZ!CM=e)jMi%Hr$MVCwgemb9BUg z@G5TnvCbL=D-Dq_y2>VtwSDA18~#JTZFjn|e+9yLu$D9)C(O;RbAH`N_m0w;-M zzaBvN0kIsadZx(v(dK#)$oX~>r%5w}CSo%V;X|6`Pk}*h>UZJ@!$c)fD~26bI`5jj zDC+gzCtNgZSR9$AhMQ^#v^tYz);L9k?Typ;nbrl0#7gX{uYJ}E&FTDfJpI<{)R3?9 zklbCS^49vG`bu;3Bh5)YybA7`qVgb@%0qj=6#E?H5-`O*w?+nFzRu+i>crEv9El-j zt}F9qY*`83!drBVi08UjaV(8r`U7@MQ&}w7bv|8~xm3kK8to@lc7|-y9r%p!kH>JslCXG3lw`*f0h7oo5fWCJhXNq0g?t-CB5j0l z2$+kNs5MeLZXlNIqRclL)dkU3Qh;wiD5}?&(M732eW5s}!M-iX!WNkwPrxR(Dm2;! zdhkeb{aFj3|5bmn1c=aLSlFlg4bbdSP#*#MScM2H@Y7&cuw{_dja&hDHbL) zt|2ip{pN6IAL%^jiRZ49IUAIF*AbVPRj*?M6^XYv%T5UxJ*SDaf9?3$Se6E1LV@y` z*s7lm;WLqDyKe6=6kec;JbZvqBTUt|>okhxO4aLD&H|&DM*v`Y#u|a&b0(@pv^N&& zE-RyPXUlXet1>oA3@gyGf}H2phMRl{?NB!~^02WC4ib!RknPWw((YF2)mOixLGaO; z%)mc4;j~# z_&sPJ3ISTpb|m~v%L{9sQ5hRdMxIsZ1mKbEU?UW-efYt^GYRc=roG`ED}u#Jcq}8& zJTYJ14pVuVZ13ul1^N-8riWawcll{UkV{O%pXi{wifLjfT%YR!%wOlyT>#7t5Ds0_ z0=FZ4UE6|5V&+YvAcxaoV(u1#iK)f_oRae%S!H zG{uRlSnU@KG#o1%eZ+g+mZ~6Um7C@W+^J2I#P%F>kPLu5+uWKCz@Do{7RtYub_mVd z?H+g=<^?gtP@G;dwDd#GFAhY1#M?02@2ob=4}?CQwPA-Y_|5T0;N%ay4I8kax?>Lb zL8AAS3mzSF1uL7ubEYTV0zg(LB&=~=v#2|N+)IhLOLcwk2Ie}Qh(6rNn)aldA zKpKjRxb&cWU4SmyPlM^rO%5hPEJo8Jh#j_)SAQsT9FlQ^@ydM2^}r}wyW_Ed`xbiO zv}I{eT)u;lFGsN1E1561eAY`1mqEkexf(}O#NattmR__2hC3@}^qg!_VHfW`;{u{P z5Q5#<@ok}GYXgOAdLfj2Z7di)*L%{v5v~ZuWBvy$@gcqEG#{@)?+X-3BE3jb;C(S@ zHN2G$C;>xs+a-F3rPc~|apBHV82&DlX&3FV&o|k(22IB#P7*J9viRM?>gE|D!MTkx zxZdZcv{k6qB*vfq(mp;Hy<#LrZzn84)yXSI>f`|R+b_)!Bed&?+u)xYp>bNR%m?cq zRjAdZsDSJBd$*tqI3Rv#3xmxw%TTU)Ap$pM;8iqI*s9cYg9~>*tgA21^oiiA^m8E~ zY2`FC@~r%=kPkEpXI&`SRi><5FWmVG#rrNI7Y74RpY>Mdj!b@;|Bs;jis>>~G%1qF zHgdEfLRvR7&*+ET%Ip;zqn=c@7@{bpT^wm>RDUD4Jka-%(#|t?M}5Db z>Nvzss!TrKsNJgEhSVf1unmjN-Fs%e`df^_c^!lUs!SdWZqyJwNHkv*dIh0pIt}ea z8TgSO6JEO;>$T7}~-^xYG*XD!7+&DdrNYEg+wqI^)-R!>PyZi81RTKV>eoSF zPKn+q^+ja_7NV7cT{F0|FnZ5HL>}GVa`ii8glerxO8gG{^;q?5$W57fbkJneg(iZ- zT9X@KtIj@J?YhZoT|lLmKUqMww|%L+6tGpFUQF+~9lUk*gTvQwT}k6QkCQ|Ee^j%} z_YSKs+9Ko-LM4q_xpi#NG+ITa#&v7pgA0Dejeh+xFE6MU18VxpY|mOKz?}_B5>rhV z#t7|%D;*NORft?n;F=6@qeAZ`*}cDEp%Er!r9qV;sNA|RsMB_R5%8zU-g0HQ$xgYZ z8r>)+=oPI(r`)je!8$AGwes)*fKgM%MaMJKv{|r2F2D6_#}Lk@iJoTw$~YxE9#XlH5@bD zRQsW|H9o0222p=jar{MX!!s)7`mJ{qI>yDC+_CgLi)~K2y;fX3xB#W*vUI#mqdmJN z$09o)?Ov@VS#%2>+Gz%!;Wj6wUhlIZFN7m#OeVm~v;bT2Z1x1nyJ81G5?>}Ck_1H|;FD1jRN*d4 zY3uCclZXY!FS)BY#!!1ei5XpV(X)?lT^*mH&-)AlAwgS^Y^a%q%Fa(liO?uJ$@NPc zq1kihWwe-~EVOcIh8&@ph?_W!u4NN?AvAs_tt9+@CCWwcP>sB-0sA0@{*R9Jx2)#6 zMFT*Gy_|6e*{jmfXuv!F`@g~-3q|bkWwop3_uSX8ZTolqm&a}YNBKZd#$#_c*~{eg zw*BLq4zK-NIz2cKpYIe`k6An*K|SY~nD99<`dOko^8F#a_{2f@+VzZJQD-yTraDby z#Ae9>Prh4byG}qahYJDW-a=SI-*4?FcTJ9$zu($7>zSO_iR*iv*Gi%3bx_7BVpHYO zXz?Wf-!GuE`3C|f(917*Sxu6*$|@~GFE2as#qt_~N)SPCjle}zRf&<;GrJyLzyIOt z?aPa9oBzAyaL*wE;F7b(C@<&*%~rc$$G_YFo!eBhjnA!d)*LnIzO6g#RT6LFHi#K)(*#PW8GDsS{1HpONgo zD{7@;2V8Dl9<=V*W?8{TfJy~Gb)ry7bk2(Dji4%34^p)VAo&Wt92f5W?SDH9lq5Wu-jBDgk|eLF#P ztv3a0!E9ER*#rK3RbB>(faKK+KhBJc=#^r23Ld|bsU;nYe}=R9hA(vgkju@@aemah zP+s&#ZjIh*l^XPluBDMQ@B!1CHVOhKczp3*)^Azt~a z)N4d`f2o{^M{0k5N}NJybiPzt7fNU~N*sG@7~Y>#x?@SX*oezI^jsk)Y+T`{3T~Ci zvMNXAG0wb^)6g97oL49C8-S;@(=XeR*e_rXijb8m+phgF3LW748OO*Nnaic0dXbSgtSOJb?iIHcVr*wP!$0}6sxIpDPu1IJ6sm8lW zRs5;Pqe(VWXO-G-RMu^&g00^_5Fix>7e7LmVzL0tY%r&NFq*bd0Ui;5>KvhI&2gq3 zqj@Ompv<9rDe9n9)|ROWO-Fyis1r}N0qND#n%Yuf)qQ4sYy!);A`$1Cc3XmM6uvi> zEx|4km&mgiX3gpbsP;ZAS%CjylT=VVs0aKXToyyTjT@aVVXQ=Ajs* z#)iRT4KB4t;W4K?E!c4qUjh?2_7TpxjXBN*Z@4KEbESo!w$pn;m87;ZKG1QkVR7)2 z`odzeuVEyk~21LEn2mfGcx3r%}du8wd0LYQ>->t{w*{*S6tJgYto#K{5mEpw|GzdJoA~l z>)(F&KdE-^uE(Q`uA9y7XZEfSR-04OZCMjFYdL^BFxhx(^8W8T>(CR|k>II;acj>e z@D=6or&|7G%YAXG<`inDbY03j0KLmO?6K;dL}zSN5zp$GJ-V74TJqSBam3}P=sVyR zjrUkzsp|FULO6;O`pf-sqq8#uMc=%RjrBmU(BG^Z-KbIDdf)?`B6MASvOFG>ja{4@ z@3_){QqO#8yYrPHNzhim--$E+369Kl_X=zu5@pN1e)NsD+3kVzRn*1ar{VvG$ zGRE$E{fbm^2c8al4j91MexpBy`AdxWV!gxr*Ny2O-{q9iW?}6U{z!KD9=!-_q34Y&`0wF*;Duu8nb|M9 zd2I8uQHk&{`%va*lW>+{R-<=(h*wt$)5YXx-*=mNa@evEC{odf~ipJ$N%|M9ET;lJa;QqZ%Tb$TMKcu+G-Lc<4q#V`Vb9?UCY&*H!V@SO;gN{lBmHvM@ z3CR5s;1m0PWC`8<((E-L%BAZT?d2Uc^E%05_3eE?#NdTU$^Q)_-*?^Ombo(uyX%%| zI>%to7QriUOFSUp1ZFq0zH!@8mDLSg5A#c#hDGoZOp)PL_3cEZdY$~*izZ|WoSOmAVE)M277{2R38`I5k zq%TYI24(*VC5=JZ@#hU9nna61H`L}0N^|BdL*0?!rJY1nFK~j~z>~7*cxf3&m6f zZR{Q{19bZ?2}U^06M4FV`UZyYCS5duO#LbhL(kSEGX>L9itnRcG#g^cOYk)tIXylP zYai5~a6NN$33_?~Avl%L5G@>txr7c=aYuXo#nI%$IJ5%?wgAIY;lSe8!w<=SaqF1v zPz+;)1DkUzVHRF+Z;94E_P`p5#k)*iz}P~q$_zY5HXJYZXSoUe2=A7+L?rwfh2P8X z8N(~yBTWyc;(k?oc0Ux!E{+QfLp2irmIm7IdR_i_&Q@zAA~|E)nM@b^c>#@V zr7|yGF4YQqi|*v#hr8ZdG7HJj41*n3m~)Q8cz^-0w0U80$2x&=d6Y1u4|>(i7Vy$= zsr%IwS2MLA%>Uv7m_K0}h6)EdgwVpST!D6+c!_$L0>oHBikZGoe@p{0)6`(19~PAr zicefW;0ir}?{Yew;f9I_h^C4dG0IX7v(R%*BqcN5a`x}{7b7!)Sx2diE~ITeFJ5L! z5zMzj`$r8*>VK7dA|BhvB6Zs)5^L*#`lK3v2`fs%=ab7}T+2JgoF&_aT4QoJ757d8 zve*wJmr`AVJ!FL?$1czuLMCA*s!PJvzGwJyJ=3dZGU>GAiyTGfPmjO3e~7`y=k=Z0 z+GVttRHezuZ-?2alo|WT=K4d!lY}bl63MZQEn@iuuFGEivXE2|%~0A*(sw`@upQLyiA=vz8jO+27}R%J9`BS>LV)G~)yVkhP7~DgFSBu;gJ|a?zHk z>!XW~)_!ya2y2{?gi(2$9OJK(s{{W6OE@q7r!jNqZzKaQ!jkC+%J1Y&&-8P811OK* z$%izkybRJY(2UDIkGA|Q6crUHmvQ#A$HdrEmF#}vAj28Bj3n*4Ktngq|16CulMF$q z9r%%de_hKd5H9GiWFy$I8jWl$DX9v<9E}&9sFZQ$q5q6O02EF*$!Che*mE}P{zQyB z;4dsWR45RGZd{ZDyimsUQ{@s@3r^Kf8)$!NAXjP@Wth7s_kOWUgnau&Bzj+> zz))aij-|(#xIsDz*i$mg;W`6LK)_sxtZb<>?Hc0oEgsc{t?1W z!`{Hbg{wanE(4=;eFJ&84s?XQSMzg z6wR#IfaBHvIMBg`XJ+MvWxGMc-1=5}<6COQ?ts&w^omqEfU%-{JYN;ND^K{t@4hMUJoXe*=^UPiyesr^ zKKv9Ii;`iU6^(H|y+**4c-@~o?JxFZRy!uo&}CFzeswA<)Mn6 zThp`cd$WcQIq#=e7s-pd+N{bwa_Sv2YRJc@d28@g+R)DlDrf|2uBn=GW!i|I(;Z3G zrdJ`&wwf{42X7)9>$IvDT3a9HcV(6+D{}LmSeDLI4C?r{TG6wyA9EQ<9*;*%zh1L+ z{W;qHH;{X}Lz+$G@wY}^-4O4@9_xjH72x^mXTH0d+4P|m~wjc-DPX+l_*p--nz_j zCMVtlu#g9Mc#9UJm;Y4mIFb{8G?}l4PyCOlRW*W7!>B>H|M%>bYG`~CA+uX$A60-< zHcQ)PnPUZPutV!+xnluruuD4`m?!%_cK9P&W64CP3g~Iqn2)nfWf16c}<6y@byD`0RED?VF5cwF2F5` z5CgrUF9d+5)Qki+@ zJ7<@&tfnU?o~4DO4zLcj6k0^DtOjZrsMyLCALE;$DH}%-Kss?ts*3Qc<8^oab0LmN z`E^a9On{=C8#wh%4mF-PaTsiL#OOaiSy^-gCd{;+UC6YNmTTIp#U1{6@7Zv-pvy zFSX`;;0c*D6CR7Tjz0wNDw+M>GMTt5ckKG@naW`bgXtr``P}ZNJ)$$mi0|g}!;+<} zFRiW(wITH}zX`9Up#WepENp|+;yM}bk`s7IkVeacbEBd+j>|9QPG=nGIy+%;@H%l$; zaU?%49RzKSP@6AEN`*(vmDPR02L!k|PnreK@{iWBT-P`@M$@LpBwq4%rD_2tiWy}! zU4`#u(vb~m78>K~hren3%wG4N>e#$zL8kCKfb9SHI|SHV18HPB!AvYuq&y1NOBeCpyhorvGYmlsDnL*1fU_ zg4WCgwBr9bmBlfzOw7D72;5*NAB*4*6$za-g8+JbBl9eaeBKuo;$b|^e?5P#7Af&N zcw)McS1y`^siZwml2UkGe?AI+Hb8Za{;|mRcFa6IbHgxkdy*%RRnm95BqeARuXL-v zs(px%kVlhUTxq7yAEtD}tiGi+oHq~Fgx(<~D3rG-``YT;uMj(R`}~E)bepSOI1+~1I3%<2qQMfpF`Am67oZ5v> zrf(D1$%9?I{oUoI37fb_*-UezI<@PuJ-&DIM}|bAwqXm!w64Yey*a->CA!;Xon0cB zf3qLPuBiOWLp5`9@8c{Cz4|xgf0SJ}xK$u5Ub9}+3)Ox+mc`Fba!4&M2A5a~E@fB9 zOqb2%byP@9^E%mGl(LOz9AWW2A-gP4gosym-h^Pdq3zaz2&qr;`g)hp1wHB(ctc2j zoB0Zw7k2|oNd5^s7ZDSyy73&RgY-pUg zn=jO-aJ99YwmDM0!a{7iR9UW1DH5XCu-~+i8zI=jT59~r0IFYL3856~LtBJ=?d+)L zJ^kD#lmo#)M>f(vgJgdN!FlCsdt@$`Y7yi0L3h=T)n=b1Y$=}dyQg;LLFPv=vh(Gr&-0B_8!0W^OHq%Nc z>|0!tTl6%wR7Ga@4be&`?VDKA7Sy=o2weu(`Sx=tqVIY>K_t^Zisfi@CH(D3_PgF% z4_JPS=?-|j+738dU;NX4chX@}8UXB==~$V{pkfzGy{ole{KtQ>?NGfoc|f0WuVRO> zuGLW?tp4ic!X;8KetcuydT3DIrQ};umW^}YVLVzt z?J~_KVr{Z9->pp>CM*F z2$`wZ4d{A!_@S;%ne(9%?1MX>w@D#jTe+5qZwW$1ef6Fx*&-1`+SV!-$o0#_Oqw@F z5>Qio;mu-@zTsGTXT3}QZ6j0Zz&9eOBJ@+>6Trxy7j+3_@1Lp`x-{9TG&LKKb@`V| zLq0Y3_W3T2*^2LD->=&?c~^lDiq8SPh5Dl9+s8YS_6BaQL7zP1P4$z0+i54eCV=kh z3P9G@d#(4t6N^gOV$UD1f7@<)!yLNbcYg#7He>kx%RE%%(3&!FP}Rh@_!~!ObCg(6 zFYq!p^fp>ES?(P>) z#j100O?l+W+g>HzL^VovbglDh``qV9-!6kGmij5B_R0SGYlxXBQHDpYbVoPx3sp+q zFhvErB4 z`EStn&C(y1B!z`-w1|aSG674c!>#yM0U{> z+Bvd4G}CC6h6+)PY!mCEI9Q`E#JC=JsD$zh>iOjp`&$^#uT;~ELReK-Xnju=%s?S( zHOF%eU$e*lP5q4I5R4{aYwD-%N7KJy1Ci2#*!x>(Oz-rC8KXPJKy1|MpN7px2FPb>X%L96t)t6o z5NGT=rhI4@VeIFjA{|eVFYmKVmOSZXwsRv4Gi%FoO(yO;{&KhOv*D#k$XM7W-@p~^ zC)T~mRJl*~)2tR_`@`~`6TQwL#&!Xh<0akrd6rl**DVU2z`SR+Enq);#-Ujxqo0uc zKIH0`j%OHFEXEaBr+gyC>wH3&DyM`?_+Pt^fF${3KJ827><~TY2X~~jfCFafydg)sMp7#cczw{GQo4O1+ zkw+67{q(&gMawUC{Cv=Ps!S*taxMnJ2)hw!ap#c~>TCXFgn6rYYDqW^^(@)g8!!4S zTINqjo6;-6z5%@0L%ezRTSy>Ctw9ODMsCqpb5v<)ictF19_1y(VX)Zi^Uup#D?Z#I z(Y(tJaf^N)hUhxwTo-}lKCgu7`KPZCWH$@FHY_Px3jUnDC?m9wb-Wp^13ncspgM#$ z(jgd%_T!nPuQbTg+3d09M)x>X@>ADUH=|#o>r(U1j3)5B6DH@;_0jvZ2EAW#==hyfpZRCpmJo)%E>>Ks*^sv?!X9x)O*TUkB z;5z6{!p%1=LjDlS9QrwzDWuSB9<8#7+~69Jr}QOOuUhFPnp<>kiX(=(^8$TPX`k1g z-^-_7Qi==*SUun|@L?dY3R@s$bH1*X)GaEv-jV5vW=1e*zwc>MOY;XZpPU;(i3L1m zh{`SfB4f~CU+qD3n+JSmo^rq? zEm+xeC^i#b-4h}^PmoEUkZiG)47^FGEv=$B722AD*E6pH*4Fm}tY)nMB`sDajhWw&7$;S9p&Qe-{WhP0*VdXoEB+ zW+yi;Yf4!Uelz5S`v_|v*g~S+}DSp&*O7+KE|R{X5l7t#pE3!Msc3^h4%Bk-8Q^lsA44BjXBSAf2=ad+e=Z2L6(2zBWhEUZ#y(~>|J z&E2-$4O8?SAlssEjP`gG69utr%F@j&Evaj>%o(L7&AD~gABS+mhM#%`F&$3hVoW>@ z5$q>iNK(S`)8&Tj76xKm+pkR$j_ef9A;Z3mwC#2@CsJ8 z(D}vrfjnPyPN~<3dY4+lbqe%+8^+13b`}>$xW~f7CrJKWB9j4LVpDp^y69wlD5ZTU zd8mD)0zvbB0((Z-Y0Eut$bUvge4*Rhq|u_Yf{-YAR?Vl(R+vBS;WE*<6v%{8u8_M? z$x8z*arhGGXJ(P@M?az+p$`B38PBI$u#dfwGED?JFc~vqc9k{Z5 zs9&_|`#o!~+$Y1}(#oAezL+xg%Q;RLY)*t>UEC!7!YXns^xsd+sa9kR)YVXdtRIGc z85UvY?L=)@y;OPIzPI`ZA)-8dp+LR8{o2W>xQMyDaqJ4*sY~O)s_X18P**9>v_{Q8 zV80?hBItWNq`K#OY@TsYin70U1M%>62y@&GeaWcZfgn^Vx(8lLew7)T!gF@b@%Ws!y%ijU2}}i=_+aJ>M(^a# z;0jHVN!A#&Y2Y6_!DocJ)a=Y9A}@^%&G|^&(_hu9ZX$ROov9YB`y*0fmV-&2<2 zdJdY6O8zLEfq9e?>)iOPlMEX zm(lVrzg8GCw^wl%rCFs*pem|WAX%(we@oPs^_F% zqK)MrleF|n2WeK>?oQj7BYxGN+wfdz6&I>7CE0Cly-z0JsK&W9 zJ!X#H(pj5kdk&6hNZg1(XWiI|y4X;)JE|d)pkoHNSfV`N69~oDQ~^a~qn&?a`Kp(8 zKW~Mt)NiXV77up*^OY?K+604oTHm+w3(lTgaS&6L<`Jg~LT#svxuj~PxU@Q@W4R@r zq>j0_3Am(d6?l$2*ll+^!7cCjE)ATK2w=9Rv$-a^sj+{5$ne&uT7xT)I)`mMYPQ)x z9Z3A{QnlTa4(*&7?l(BNf5LTs4bdr~noJy6aUa3jy`9O{x?o)FQYrJqod{gS?4>Gj z=6JvSTA>49%)Qa^jTqmPtqAqlj}OiI=w2A&ZJM>!`?7s9?q2_g8D`w>$`k2hXT5Z_ zy-(yE(hh18y>WZD#8YIe(hj>D6I;CT&~pXIl;o_&$RX<86PlZ?I2(tvM+Q^}#BWt90DXmr;o}>ePyGTm@F}2yzi5#Bvnm{T?M)jq}libo_x8Hr{ z*gPj0sZmOSylYmw1ed%gZddP#UB-Ldygy!P+O)n=m}!(Nd*lO@pUv6^%goAC66@Dh zm=eCoOo?1whtkum{grd&j?A*N??0w0XLN%3iI)V!U0rw zbI3SMIb~C*Mlb(^w>k;E<*{%ek?v2U7YA{ZJc#!Kx6bQ}P?WJ=1~>5qx5L$RzUHEN zq>1ZMDGkIs&AvL*K8%ogbg9(?=8CYto{Qx%{lc&Fleod^v1fPhDQQ15)Yem+elv;; z4aH?bb7bkQ7jJ&ZiK=^S8#IX+IdrLzMq4(unS0SLwm`E8IqwkfG+rTppc3yiS)nt% zE83)mT=cz7{VrwsQRsMZCwWTHZ<1%vE#1g^j(zGrzaR{$?;|@<^cO(`h2CW}mj$xw zVn1h1&XNDoX^DKA84No&#Nz6c5-NL z{~Kdzjpi4kawx~~1(GG^o+mSJ0<9v&b~T5#^d)NUrO${a2VD#lsg*v+A5sJQTgJx^ z*;EBZa)hmlft)cGhilZ6`Muwb(@4aH^DYy_?>zlcUk^L1{n0Q(&okvwJ=I4AZn|>x z2>aBJ;fCfw&qkH28njpR!Ct7W!wlNQhVq;C_2P%-wii6S5R;J3B z-2%sKNA;T6DmoMxM}&3&igg7U!K%q?Z|rq7j@? zJVcm`{1P$^+4RN5@>U(0%_bx+fTklU)AIidq(6f-vL#R@`tqdD1f5;9PvN^oHAw74 zd?Oz8yPAinuHi1oy8=$1_(=OzjechCe%j4cTni@R8)H{5;aB@*k~u8*LM1r(Ka%~{2&j!YdKE1-3T`t=b#tP(;- zZW*ppejXX80`Egyn(kO_@w}m5gc3?2uWs#cvQ}U{XL%f}q6LTgyGZ$^xtQ*b5U{yY zL+ZucyCgJBR)D7b)NLR8ZMAX^*f8`gy3H8B4AbtQ3$cXS>hn+fdL-4My0SxvSA~vW z{ck=VZP(3?n(4Mp9>qpqyy9Fdp&gl>lUlHQn3SaWea_gQZ5=Mx9Gdzl@0v5sp@r$) z9qWtL&kI!rSCOBcrM}ExyjJ~HK^qRS-HQJ_d<{)AnKO!LStk-7WMdy!idiS(YwHJL z1*3Lb7802Rj}mpD!FK!m(m*Zren7{%N4QD8f=BFKS*(+7Tpj8HeXP}-kW;A(>2QJH zo@xqD(YWgoPsR!HFd9^EZtkTrn7Wv+3C8NMb$4zzJ%;D2@bH~5Jw)%J_=PS$ztz49~}kiM9;bsF?74>_qW(ee%#zBvh`)ZKBA z$6~N`yI7?l4mo{0Y<_&X6Ls21hPDU_a3r-bv%RWB6yIy1H1TmzAS52f$lK0L!!Q9A zoCDE+?B_vUzIlUkuLWp&*Xe0Ws!^D2YWa*6+APPrCls* z>x(k=!uW&XbngCENoLUN!Rslla$G~3^;s6enL5H=k$7_51xe1=1VdS|NGC94JIpft zkw2Tuf<Rj}efp;NNOlhWCmj5H-ZNGEF2!A9Z z1Nc#L*j=aTPI_ggbTWV?d3=RG9MKTHS>MeT2?J7CZ`(i(Xm(Z??A%VDi(mk~R^Y-B*x6zMQRewHG zSv26;`x>2#@OSE#?Ie(IOU`|LT|?&YE_bILPA`K!p6Qe%>F_$0#o{^oMl7`{SENqm z@3zY)bB1W7Xtl%i5?JYuypSA`^?>QMvW)F7vYhZ?&JDflo^)&eOzW>}2x*EYe;l5T z&!w@NM=XBmiLEm7J?-%3gnw1tZ|{2(RomCic6wbsq6@pO9M1JhqPl!*n~;Nrk|dquP8`}*Qu#4x z&0yyX?}c%mh#2kkT2~10*z9gJwAX%)F#TiPbS`Q4+CgHm|EiUGHs4^2WS@F=&%nbi z=VZ?7oSIRKHZi?CiQYdr{VTh?6ccfWLz2?K6w$q)x1Q5!H1+Mv0Alv=B;^{3I__1l zG+V#vs<*iMJ?dZVuL%O<+`+6$+G%{AT7RPB((?pvF-4b<8HUzyY#V?{@N|YMiF??T z>-pg|tD6%{mQmNX)Z2wM<*0oGy0uK?iN5SEkHzz+H4=O|`=5_;I!UVsQ2B5elZQA& zp6qsXYjt0lL!ZFFbehbXyq3`+>k7_cWd7%D7#E@uM#5HXSgA~Nlp!M&Jk$IMHxu~m zit+oJ3HG1VZr6*9i{f?lS_(AVuaSKUwp}~1Sy7=)F=lRldaz+w}v|t>eg#sBsNje z*LHNfF{yB`D^|tj?9{#N^r%H9a&lN~ZjN zaCP&2bqaosS1~mxYuaLcdx9FBo&K|6#q+O?vVMpEf z%|D&|Y_;XFGLN3DoK6VHb0LyjS@a)(bG+x+ea86u#1e70xB-uasMq{HlHP>BpVA4B z<#C5>{d`lOko0)X&w8{x&hJitnZKs4>)_l8G?Tf5Y~S7|{&!`6KtcU1tN8jojX%CG zoPsICl+)awJf5c|^GK>aw|pY5twJTqp=-`FFmO%lTI|uSb(E=g1z_2$Uj~0)y`Yr&ZLF%+cTU$?K|^=2Ty$cCZdTQ1PZ7KNjXE^$-ls z|3b^L`d~);m0$c<^OxaD8O&@hk6$avv=L5O&Hp~)kI)655@?F%Q6)pP)@s=`@s9Kb)eG5RLqWs?6*1Lc2cTt1d+BabWSDjN;=6I_Q{P});A#5 z;Ealc)b?*;>v5vZr|xHtRO-uG%Y(xTVTFS5FMs;qu=Ukxgfo$AEF7tqcigpkMrFSY ze{IT;)~Zx}3qRAM9P^9M`I9$6R5Xlwm)1#Ir6tD3Cz%$>kkKlQ46jSgy`#F3ShYRt zpdcK^2}hD~YG%j$qKm8glTTa)|G`(kI~yX+5eEOlI>uirDjqfek$TF3ua~3L5;c zEH>#rrA&KgUm9D=692A0YK*gFo!y8=STwN;TfAhK)AAXqD%#z$^3^4f3Ss^wSe+~e zdPW6vX{Z+p+Y}sltK#>Z%Fpb}W0-n)tR&<4Vr!ytl@D%{a_-`Hk%B+(;y2f9c2!Xh z9+CZ-fmjzTYj9pEa_ugTDVGo8FVhfs*CIVJ%+- zr6RnH;vc1JAT#thUH{vvN$q^5Ol7=OmjB|bn5sr#7zst8riBIL)gpHxqxQTA2TAwx z42hMlmbE0+(%{2}E)BVDFf}$OT{VsBTi@VMHZP7q ziOcF|soumbbR%)!kA=rGSw~EaV`nVTjhSRJy_NEODcN6aQ+0t~p?XnoXqGMT4=1kV zbAalZgaT6BRP!|UXjY%voJ$bvqiD7I9~E&*`v%_2LnzG7Txv=(t~H2gu~25Y%(O7i zHhC&8s5kV9EpTD1?wO`atWSGUb!>hvLbYy<*LuG__J~Yje>#{m=E!(%MYf4E_Nc+! zojEg??P7&DZ0_Jr{+3*PYtgyr>mO?#RE^uzWvA9LN6DE+Zkj1m?Q1L6p?9D{a73QpVbpCMeI94XDfbB3W*R??FE(q z&FzTVPpv^au>{utdR5QH_4{=HT2U$N5`M#C7xbuz8+q>}d~4EMwa=I8oYu6cg0?ul zB~i7iXO_uMI&RF3eyV5M3Uo+g9f4U;-I?Uc`_BG%Y!{tTv9PJ`7Pi2}sz(tiDysgg zbegEKqeZrhd~?w{MLE?4T%d*1xo8;i`IEDR9lRk`(BZ_j9m6cW58_<`d$M5)Hv3Y> z`Kt4W#sPg+(qFgp3gvNG8%fRj3b9ASWSNBabe*H@1P^ChPpx_L%CqUzcvGFDdc8~n z$$(f$QTa();;Sl}i?7SL*^SMNW|k_CP>C33?lU;Aw91r7h+xG@8Yi-drlF^{J&L%? zc+hk9?V)d;&{~C?@WBslQfl+lcdc}C6LV)L=Z8~`hx3$key8|J-e&C|$QFIXphU<+ z%a<~ji*2HeH4+HmTf4)lTnUt73TKqO~KYu+v0B8*e2!i@~+qlo=9954P>r-{q+g(%0{ zVA|)onfMt4gP(jA`l`cnQEr4Ekzz=0?xfvH(Q>hQL5koxhKnZ;a5D)MefbZe1ASSU z`H~_ftArcE!}w0wlN-W2^-0#fJx3^~u2UAR4fmMvs&nD^D)e$tkUk};xr8>7n+i)* z7i-{$%*3wT&4mt_vMkW!wJV8O;%H4`%E1 zzY5h?Bu-QF>aOljg0on*6Bv($A2cKl(D(FFBiw1+*5RkctRcyQm9TDCO;WTVX^&Nd zct}8D{o<`Ufsh8lN+J5m6Pmt6<74s7@#yA`v42NTIEkT$K|AnM=Z3=r?CieYLeXSJ zH+L6?=I4pd?#EK@5c^Rz^^cr$Y0cNCkd6MQEsYn~+NhmX=P&I2MH|5Do?Z$^CsB<# z3FCe&{!fi{JK`%g*vA~?l&5rjR@P1QZ09nX@5-Q!Y_jfg%a)!WfWr(y->UALwaVzO z65lpyb(wNI1U!F5QUeR=EqsZj8>2aiFT?YHl;d{b#W#crY5VD}9{C>C~ zLri2O>Z{8^1(wZh|61e4h)nL(stqY6FGKfW35}hZ;8Y<}I-|L7w^vRfex91!BoN+* zq(J`6&|p1%n`hPNtOi#n)2@%w&e{HawraKNL7M76)4|o1Od}9)F2(aqI<=X4Yj7-x zK&YBJ*O2+E`3O7U&h6jwH1NXWCQkP#N>I%qm5lo=l#YE?bx~CycN-tEbWTS%pF?xe z8%|}9b0a05JPxaHXAc<+r^34&u<7e^xmEea%=v$QbV9J_{w3&ZWo!ceB&Tuw>g-1X zn}p8MK{(aAyF2Ne@AK67X^nY%%*9;b;6)(~si3bz;k4HLT*J3+wXLt)`*fSenfTmo z3@2yeW}+XrWainlT}`Iag*R0uvhn=Jrox%m>EUD}@t`)-K#o$Uqt2#1vZ;lpAz7_8 zu!9<>Lfp$gprK&D5d5*A-EdmZ;(uD}>_3#{B75gzi?Si3S0`HGBKTY~?&+hzBe@I0 zDb3M~2gh52fd@}cz2{y^e|YTdzu7Ahl#=bjcjshOV)lsR@i0r!v8LL0h1oNXm){iv zk2HPbiQ6VQ+B+9mKkug$>r@>NpAZ zoOr^A!=MKDhQsqdxx;C{9OK5L-;_Z+Gnr?5g-5rFk`v8**U+{X4Hp+dTkD6ohG4Su zv&MCNDDe=vjAJ?5sy|dXZqYvrI9F=Cx83`*Vd>TdA@C&1oX_8Ht%z0tVz$b%J@cj? ze-g^)kKZMy97zXW&AiV z26659i`C6c{*$%2v(-?t^M*}a`={WbEye>}V4yk7J-1<7|6TvGa zDBzPRqt8-vs*xs6{MHzrJ%7vXW#! z``UxTU87clKD~`;XkPP5`%@g*E@KhBhhHq6$m%|apjAIk)2!!Dr34c8PG*+PrXbI| zFeBz{(VCXwo-48KgLeJOSyO6V65*lqu0>x|eP_6o!VX8adxm93ebNLT)9di@7IAWz zy2ucBMpLE{h%pq$J~B|yK9qM%@Gow~YsOmqrq}8})Qrv5jo=^sPE5^xk$2fSg*2U{ z!S;s-!(Q&hkD)&jn(11~v!XJd3@5o0g+s+itIWx#aZ?2p`zjBOJw84$8|FUnH_Wqn zovJm^;gwF(D}AgxMLkVx80zIQ9(1lzEoDE?OQkUuepO>=txlpPVyNfVm8eRzIkmV_XhyxAIFjUaQdhMi zKvExj@l<|Wt5opeZ0CPWd}r%sHx@;HQ#IOhOk6S78e?Jqid?=D-M!m&eZgb$)pCO@ zIp)A{v%>KLf_EY|0SIGUUIbOPti%h%HTC1zB0=|xnl|CPBl4Rg^5g zj_W)^hRxBqYu?Q0GZPsL`GaE*Bxu&|7bQ2IEDUKU2A&;j%Ln2!6O2wz+brVtLUX&D z<@=IElATZXe~6gA1o_8;Dkkc(LLizawA7#^T%LT_)dPBusS-7GoS#`o~p z$4XUd&JzFVIxJTmFgc zHc4pgG}hl0@L3+!D{3Up;_&CI;n-vF!md>^zZTNuW`6qaZxPMHc;cji*-wN>uK>2( zllc@YT6=F$QKVNs)MMMhy-7{`o~H5RS0~|CsShLnw3qd$Mj0A4MQPvYr9dhy2}%N` zg%#5;I1??BRZq1fVZvN{)e{g3t5aA`TD3iZ)%|RhT6!0)?bdPQVdmdx%HJg-1$DTR zWs^*V8vlVOC5l2Y#h&Nhli;&In~%vf3frutgtk0`C$=!>xFpj)mGd2@GHmdBLxQbG zlJ@wjf20(Xc2W=SD`UGq`1|Gk_A<@z$Ff=+vUPOFN$RvdEo85v2)$xtz2y(JtQxB4 z-=15iYPCQ7B388#yX4MpM?mY?Xw zn;-Ux+0yQ(6^gzJ?kr8hIW%m{T!~%8z@}tzQuDtX2Ocm}QcTPA2Pj|LW(E5W=?_nTok@wAa@#*NDgDczR z`^NWF?{`qBP+co+|8(sglM5T`eHA8Et`1cdDinukqfPT%;K^^CI&pXLFz|RDC~^7R zwma}YeD0k}MM33z4=?<}=BUgmk}W6Gc7L(g&BswDS=NAPMcs1xVeC1j0JkTQH3v5|3>?{i0nL12vgUQ@5(mb*FqLN zd8f-Pu@}05?6LkS<+PlKT)xwo?%ZeKkbBj*wAH)8*7di~Y|YZ!b64%oqDME3ZDeupJzdK1O9%R@L@^4fyH?sSU zEcInbZ~wruH55s-E%j!k$oTD%Z~7n87oFs#Tv@j!fsAlxe~PU+ps}7aj8Su(aFv8*rKRaPwW-zc&CKT`h0^ z^#pg9_L`R=oHYsO84_ZVF+;j24UsInbm03Gv5I>bQZj}WMVSc_T&*@dzha89Q1nj8 z7nr;r4eguuJqGlAomoDtz`UmUEez`bTCQejVYth~ca6X|3SBY$0ZS8%Cnak$u@gmQ zI1WKqs+AF|>hy11`=-OtzMO7SvKA938_1$>da~yx{WB;F z{_rmC2eT;9OZTv(^&TZ50X2Bt6O0{%V3GsdaIe4=SAJ(`nf&Q)b=m)-dlZUU=83>P zY5;UTKxd7$F|_Qc-Cc=Vd}#w|&mV+FR=tGRX${*#7QO5s>s7`GgT?@Ht9q`=zMLl^ z*Rva_8CvAAfcUY3aMDG@NlLR1g_kV^H|S_h+vN9!HN@4Cg*T!?VGR1y@Dm};@b(H- zUhyj?!HMMSJJP@O5aZT0%{~E!MX&_-Z&8%zuRK^9HKiyDNnsBm_^!mD6I97dTgiHeRHTGzDUwg?Rx47qVE zAT&1Z^XH2DSjdg+i;n9&hm@qouSRRO|9rk~6-!3hvg5rf`-Y&fg@HBZJ52`#S1)2p zC^g7Zp3D3PJ%G?X>ljxMD z*iCmPHF0V3*UevirLkEYsT^!<>N4&>l(#74v6)Opk>VV4@vPlOJ%j9tv7L#K*3lC( zKfz!7N-a^GOV`s+Pv_rndCu3pl69Sz&7!`j2PXtg=$Jo}J&9*j3Akb61Dz|Z`sMAn z6hhmzJ{o%C2<{s-BiVQKlBhT*rx#dHhOw<^yN<9uT$j8ZHBu%`(MCVF_SUseeh`M8 zh@b0+WvG<$ZOqWEBfGG4W1VhveM5FdjP15VOBmPmEtf?fX5T-}=g((9D!B1r7i9BA z_%Lku5%{V2_*G;B*(MQ%-)xJvGJ>0LqaWr2Zc7gEpxnxw^*WA}~UgE(n zAbSZnB9J(PI8sfV50=FLtcIxAZ`Hgqp?J{veM?UAQes(!fb8wEsU=K_o6I!$;_jjo zQ&f1q6BD5kFun55+@KU*P-j~5ub|ta!I`u~BsK9HqY6==)^!hG2_ntJ){yL=PA8^w zUYEsH^FlJpCqxSHuxJFG9TWhP{Z^Wlh2+L2I-A|Fatz0iO35D z*TvPK=FIQ1Y&6>K)wJIJKJGs8^0LEp`k1RH+s|Yft5%Z^ugnNY+I&Phzys4{TOte; z8V~Xx;{z)9=mY~@c^9ybhD3Uf(G2n=xZVWVM%O4+(F=TsKp9$NEgGc`_zqI>pW@9A zli+F_h8tNu5AA2DEvD?E3eI%KA!RcUc^fZ@w`|ZSp4ZX^*pyqBY`R8m7&!JaPm~;2 z`o3rn`frm1qxip}uRAJfD zUHbr$9uhzj=^RA&&!>v5ztJ;Gfau;9)um4r%>1%p80*6JPxyso05m%FMxz=rdd@j> z+Zyf)@+)y~U2@HdIT9l%ewe|?!O_RGI}*GfKY8Jlqe&hQ6+H-WDuf!tUYyJRr2 zHXD@I6O!Wmkxz2yBC*A!(7!T2VZ&nuuwidA#5eqp<|DG(n?Ozj zxsIF#I#TKz?BYr?MhFW>!|!Eq@!juee{>XE4@XFv6ohQF97*l)ptIhyq2Za_49d5X zK>*v&EtUVl&*aclKn7a^fzAW;i{l7XYu%KT?DsD@hL&F>=pFA%G_+mVV=gF?Of}9%So17lv zY(xc}B?#Iz7fv_4Srm-~ZGB8P5fAD?>jB_sy9avFK2KA*_i{G2Wm0&ZDiPJ}s9H znpg|)Di!C*$;4s+MO}=cguFfZ3hz4yy{1#YqnRq%-{q!mzrw%X_Mk?nqqHP;DqjOr-pYT__xpyz%J*B0KdEw2wj;b_z4(b-) zc^p*vUKa9qzARyPJzy&S_rsVOhmFol-dlSp9aqA7Dtzj zsam4Y+L85)qp#VQUo&Vfyl;2~I3?~!%juCP7fxL>m(g}BU@qfvzKR3&;+OYG&T6ho zHd^-1gh#}&@tD=1lcTS71c{lY$rzhgS^Qz#@slx<>iLeUJ?^!jy{!s%*IlMkcGz(& zV6CWopPE)l%yC+{E>r4h~M(1lfTxqPDRf))v(R~Nv#j| zaI5^jO)`q0y-{><#Z4GqGsSfI$%e-@*0LUEGJ`p8_~mMN8l0iv%-;N>clX8_>3es* z1AAt}x#X2nWd`Fpm#4sfucughlWf(`dQWml*5m1oUQz9PgTF<3cZqEo6v?(0_Xe{> zG`}cIL$s~*CbPXX{IUZOLBnP%(N8up}&Z47kpQp^0bshT)6n7T7NzN&RSF4v&|8v#6B| z=kb~Vks=VG@Ubdvw9c?KD%c2bD=zi2pDJ7Jr^PxHd)PF_2Ru)Ue;i67^|fSQHB?mE zW0V!`PK;4zzy$&x;{v0U8A9etv>6>EkI0N^9koiV2Ua}%XdU}XtX<2MKxEbd`=vMj zfN!#{SA_9_qr8QsIgPdDz>4}mqS{!|;$Xb9d*vu>%aKjsj#BEYYZyJ?16A1uzaK+l z5;RoQE~;vfxdJgMb$~{OaeMrGHqk&?0K638@s7oy&$tc40*8FLZfy4^h*Zgi|8?@S zQZ^LL_|ah9y>O;cY5n|h4H&=CDKL=l4E(E%eXveYFVmm@dDyKY5pdWBHOC2|uq(x~GkYF3i3 zadJ5$dPVXbw`T-7;})*9bHuIu`a8la1pgTpEWrf@ z{pV6c?x(>&g_6sy{EceYbo|Ke@AnseyqV6O3$~4pzdRo5eX?%7UjJade0wo5I^LJ_ zxX?d-RyEM+`Fi?N)$Zn=)~@mK!T*wP)W@FbHDsHq25GIudB@C!dyUF;;vd(prZ3Ss zm{-J%g731#n4U-<8pMvbXt*j6_zTnw?Ir{c6iMYn#QFb)2ytyz!8 z%#0K(GkEJ`|J@s1M9QIS^Y2ou6(@5Hi16b*`$X+!2lY6Q_uJQ#GX8!#K*&$A;;Vdq zb|M06yff~lTG}yTwvF|wWR|W*&uvEI^jRb%m)^N-v{1AsJDp`aAF+NEf>OXE#S5s0IWocgK z%-nP^_z}7L$4>6dz@43T z7XLFwr$dw!?o+d#uEu&)ZbDGdUL&JR=ZWD(?Pd9u(*2^H#wTp(-E?~=1Dms=tcWj7 z)wwDNMW08ud_C>c`V=^cFeT2S_75mOWwhyDHElX@N z$G$-A=#!I)q6Q`GAg6o-P`xZA1Q$FXqqLHe&d@@-`Lat{f-xR`CY_iKU|*gXDxlH^ zvRnbs+Q@fU-0V*fasW=v)kfHKe;%aOAY=(g%rT36ffbyT5(|WaSg0Lgr}NK{b;1$m zx66zW1|cud@VkRzC?_j>$i40nsB}V6+#6F`-j<_u(;U!5JO~y4S{{Z@R_h}q4T0I8 z!!in0Q}`JdX(|r#-IbsdMa9>DftBZ&B5c(2F#QSg=q!G3G0a>OfV+O+fx|>CsdBdk zpOrH`stpvGD(hrWUb7cN4T^xSj@d(Ua3DAjLhZPQplU@g)F@N!|Hi@3(9<&vEfa&E zVR3;^5nSg zNa{1&Ml|{t!DyH-s6(>0pQ7Qt2!1O;N_)B@$YQ{=QjCy*CW1>&7r_4@Th=Q*Xa-=%9AguN>M#9Hk0i<;(8@Tg!m=$Vd!TS#Aq)Tz`?0(-|=6x0ujR~M9DZ*k&k@d+Bw2hB%fj;z%|UX&QB zY`Oa1jeR*VGqSoPIV4c`!c5dW;MH z*PKPAU;8B%_tt7|e;&Ebx|U0`G8seA6u0MKye36aC{G)R=GrJUWiSsW3YvA1hj8a) zzvt`{n$;ILH4cHBl!F0L1G9h)jB?Bmn3*J)Mr9yK81$G)=T@r%c614Y=R9E0rT|O4 z?I7$MU|QL{P-_y<=4vsRrANc3rNFcou#3PK*+MwC$kFiq8ZnghnS73{#&U*m#Niv@ zO}oo53Z2}21UPjhNQ0_=WCxg<1uJJa11Y6|slb6|{V2C>gTLj)ZXCQWk#`V^iMN5U z$Js;JUw{CditNL@?0;NtfTvg);LDqJ1$0>f+l>|tPoayqwHOxz9LogmP2II&u-5ev zb>}0{mEHfqy3AuxMs^eXs%0U@wydW@vkHY^(P@K;!=;JvKhG(-&k|dxL47E49dHIq zV-HFE!2iFcs(cHWptpbx7cU1^{RTIBXK5Ikuo{DLVHtLUO$9vr9JS`^#8LG9YM%^b zDWE?Z<3pVV6Lp^mdJavgRzlcVy~XtRyg+9q8!{-1xQn5zXzd`Bu{z-s#+cO0|H%C- zigNW6MJ0&YLHK2Uz{)owF#V5nbv=uoEXp$<4bkV%Gdcl3u z8sl|6OM9P`G#zmul^DXi0>>%BlTKZ)!)fyzp9VfB8H*s$G6eNPAOA`)8-U_lMKRvZ zVkrAHe&7_9{tPow$J;~32I1iDL<4ZJ)dE-fdC!1sB6KIFQ4Nt~^#ybE;}N*l0GV%M znPL!v?h=w`1QTT$_<&Cf2B7A4_7L7$6@))g2VpaD3oy}I3{xu#uA6Gz&y=c!Bk=m< zHV}Tl&w$_GF)no-L|wRzVH)84YmjM;VX;05#Qi~>kspZJT*IG`#X`q;khTd%#>*bU zKUD7(4qBsF9Z@!p1OfP*@|!oE{SuLITziiyb6K0l0MOsvp6VtkT*x zh92qb0im7HB#nk!f|a%_4ph!vI}iZ<@%9igFxh#H^T1>i0L}ZwC%_X!f$%n1{YR1R z|205atz7=z;B%(Hw-m8(6FUPJwAU&5NcSy14IoUSY8u$AQMmz36_9N zpp=aCK(`AX0q1i#sG*RytO_DX@ZxScqU{a@ss@PI%CvrD| zjRqMYDVc$|K!Vl5zK}?&Z2ScjY6W83&=KZmTwMAcELx%!$k90hJ*a*T1WGcHzrd@* z4npXrbW(wPLiw z?HgrDnDf^HR|Mnfao{=%TcOAYa?f^h%B)+qh$75^UQ>+n!CDd{eZljePC9}m~H z!wFK-eb^>xbABB&?jtN*9;c|-Ait9F_RPNr0R%^P;ATXB-d!N0sP4X}|M~LvNnFnT zJ#KBq)gcb>_%3bf{;kl-zp@`#T()#>pA3J#zqj_`ZGYYnDAC7zQ>5+-kXop>&s>Y# z+n^rp$lD5S{@?DuIMY!4=5y?vcBjke`+dHkFCfFmw?fAn*Wt(Rci&sl7Sxnh=kk1MWvF=cv5mJ33LG*gtkCCGb|+Cl$z!dGKO z8+hZ}{zJv7>E(Pb|DI^a=-aZ^vL*dTxRB@9Xm_GWTQ=KC(eqL~iU|K~RfG?Z<`6VP z0IdC-&Z?w(+3D`Pye%DFKx1x!IdrR%J5*WU3y27g-pgn>kBS&36{vw!oG8l4Y!KR2 z`xE9-ql;MeXw;F;MFKg)7<&iIz7Lc%m{<*jY^NwDaUDnr074U%frtZYw8$PT@$0(Z z0R%!P6@tY#1?18?kUR%Kr7{6cdGJB!iWhhR4I`lmB-v2iXV?beBap3LK(D5EiI(`B zcL_E8hJAx+xIaZCtsp=$Rt!b`V3+8Y!GUTs?2wOe=3xV>|4|C&S+g(jnI1E=C}}Dxj;4621e0hP9|n*g3Y3B?twXPhWn?)zV*52xg=I2EYqq zHjs4rA25#-phMTl7?ktC`qu)8C4FlQT7%TLB~$bH3PE&d*g+N_D7=8GeE>k_DF6Zn zc|!7@xPN};erQ4#P%Y{?7#FuufKMmEZmqlRJ23r~8I%>GfOrarIPpzLem&6^ zQlW1TsTc$MvYP;>+w?>2B)`ChU3B1QkT0shi(Q9#Hg^}D)xZe$UV*wo=$&(2aMk#KuY!zV^Dz#z^j!z)tOU@-q&H{@IMMg- z4FXqr*8jQ66%l7|nZqY$qnmg-`yPXP4*0+{9P_|)~*3i zRMcW95qYq;%i1*zwZo1;?dHD0hRt$8$N?SI1bq1CdwyhB ze9#NZISxQiN;UuOYGbxfYJXc)GCEfhJ_tuh=;VPpY$S@>6|e1i-N;&k^4Ql@XGK?xL8+OL|b}(vM*1y2VhQ zMT5{2oqX7yWhkaUaR!VW0~k4`QRs=A5}+Zr+2`sg*oeq^iCFD^3FIW0*5)-J#~$D~ z{V?!iYuUcmheY#;$)L(r3$udqFKP)r>KDh8<1d>0g>v4u!@veTB$ z3|~J4zz7ya?=*n!Wk9K|JO?O}6Cg-&kO)kh6r?HwF29m8 zC=9Gr$fBb~CPv8V8PFxsKrO(5KG6mufd5nj0Go11PKz;v8B&FHlfF?n=Ik}8LFUSb|8rWEa+kX2as|>Eli-mF{nx0Hi89e z*fR?t(-V4(-xgr!{|B$kF2pe1jToQfG3ea2f&T>~FMtpXYX^myeyY%8jQ0c4JG(`& z|I2vv_XVJ47Z?`|dZ4-RG3aEB1;7VFe7f|Q+9S{+KWNcd9N>frU;|qI0|A8~!3!*n zO<*a0eFxf(|KFBxBo7KtpQ@bqA))wl1n6WN zfQ9Nn*Czl}!3V(01PSOQqZqApdz@j97bVLQ!j8|vo;2u_XWrXqhk-c6^&RHzXiTvO zkcK%j*)TrSaN)b<#~*>gqaq4S)J~`HOGF)$E#G+i5^t!oYa4*r z+yG*e=Z`{piiQB@(@iGTngW`^tclVNf@`^hp7)n5gw09<{@M;0j57_{!KNNF1T<`! zFgcUgrh7wsB#cNzQO$T;NQlBHbQA}G_s0-oc=I!#2u!ip4sh9o+U9b#9OXU*z+S)@ z!6j&pFc`By&zBeWL(R8=89f0MfF;0c2~Pk>oPRGI;p{I8U|{uUFb4o|@&H-Z0Q&z9 z5Fh=Z!M7-6L0sf_zEgc1-2X}>(4EHMNQ;Oe!aoK;JlB7I5&+KWY$6(s9AJLHr4TP1 z5u{P^k{)Ha^$g{jSPQl!PsLDw89;~xT|DJR&r1jB!TrB`KSu=0ul&%Frtk)1lc*#I zOti1&2+2pBCTT|kxgW17Rz%3TXA0Z30Mbl zvgjT53UJjy!-Ic_q1NXpa0@;yrP}nEypShA&$f$V@;uP+%|2U*{n!s!foUr6L4<-( zr6aBOs}NGw@ahSI3;zk|-47s?5>?=m=XwS`@|sKniu4%u9e^mn2b6udN1*s||j+kC`;NCYSl^ye?|rs&~64+=wMfbDb7 zIu^72tL_^tu0Iz9FboCS2%ofRUA3l{TP4B~QCZtWeYn9s6jO)(4g(#f-k%B?i4`#Zkx~_hoAmh(Ucfz0oDKrE+Wo9glE|XDcf-*XJ!&QE#sVX#9M8 zbWTIs9IIFIws}_cE^W#VJHw&5oB68)Lyv@q`{bstQcQoDgM&9iA*VO^g(yvZ-pnac zGs-K@-50XS>hzeo|2m7?edfMH*2H3^;%y37jN`jMe?nGJ-6R(cs|(Q%LPNIin%-RJ zuu3LVNgSN<8NX6C5prYxX#d|S9jWDJ<>HTHram?uSKP57q2QR$^E(1e`+3zne~xnv zejTdXovSKn#iYNjKNTDw^Uji*2aSl^xX-*%->A8)RVFY-zWua%*(AFnSYdSgI~ipY z1BZb3yumwiC#KUPulZnu>~{jPP~0D+MC6IDiOv7*rbq%Wll2y_lO!pd#7&X>qP47b{1YYj;+Ny?H*y>9 zQ}=IMiq|^5QJ(ggxfB%=`jhef!k2rSrI%Tpl7BKDEq>Xoxy(`B?s-*XHv-hLL|#Sy z7S_o+MlRHu1g!$U9{-}fKN(+%tLi)fe`0@CW2dIuCrH6#K6i@bVpS<> zisZ6n>xXrcRi!i1DsmUg&QIiZEY+o#GXi*KB!5CcNA+{v!J!@f3;~Hqm7Zet%a<>H z?P1z#HZF~YIL!CS{DJUHd%d~3;l3!TnPN(D8`@*(HFX~{Rv9Y5cx`8grgqTr>IUnO ze&pjkn2RfrTp74h%d71b3rrHf zHtx65MJ3vSzJ$DUVm!(k!kJ1m9S<*xtH?e$HTB`5*q&a#l;zK1Qs3oxRW_Gkc@_8Y z70TGu2fAfIS-sP*-}`u8kmx@E{`2|e#m>no9vlFTYB)N>pPyAH6CK>!?p*5;A7fJd zP299BHu!ve~;<)d9lUlx5X_g zq|N0jbsX~w;+xiy_g^I#(mDqhp@aJe{J(;8?=@kc&f=mH0$bUQ7OGmkYt}TjwN5l8 z3d1r~gPf`ZD!i$T6WIMYuKrs7L4#+jglO+;khpbMR?Mn8Or)(OLlzQVu7S8=+Xth)Zq6L*^6cM*TQTmUo!2ueqwG>we3G@w@NJ#=@s}4I8`%@h{!0UNsMAR0Wt_ z>}?L}p^-s_x(o#lax-O#zyDR1I#D1{2SPm4##ir~Q?qT^6;r#t0^fZt8u z7N(e9^9|NNQ@P(--6=1FBxFn6zg)ME9I1`}R)_wVDEGb|zFP|^8}@n={mn@O)&x1u z_Z#a@yTK&cCQt_McjmDOo_ov(nH+Vt2UTu!vIOp8$r_Ib{2I-VrViza?bzt^#GK6Y ziRLKzBOm_-V~`2VvV&t{6uG;ZIL=k-*Z;%Yl?Ot(w(rwM%D!gbnXyJp*~8ezKFShO zlOdUrC0mK2m_gPTY3vLsOEa=3$=F6ih-NGaA(5g`grDy_=X~e;&Z%>%)A{+!AC~8R zp8LM;>$>jyc}Mlo$$&LWo>n)@2V>G#EY_cewVexb&yEWX9(!^&Qt>?#%Vx|Qi;KH9 z*H$*l`9HnUd6c=@)^$0<%XL;JR~JphRXL&q&FmY(^d9ywbeMDa zDw;ievfR@hCSdv>{1qXYAJpg~;9E?sfImw`-u%zgPl`ohd6byvG zw&4@tP?|9f3N8e!AT}^C67YrXLhi*U!3~NFpIBAF&wz(O{=jQM5Xc0af%9Z9a+aRT z^{0zEnXb$zy>StIqA$kxy6+WVz&F-6!#CVF$v4{fhHqpq)T1y1pOJsps>?>fN&$Wh zoCZn*+kx!BXuuPg1p>%SF#1U;d~&bBOyPU0^WbXGMIe-nCjT_aj7;!N^Nsh-R)z*2 z4mJ*k@)l|q##&`rWx=zovuqy5h<1Y5fJwj@#wmG&UEe_$i!Ui4S=(BVgBbuQj2)>e znNEyM(lf!A;Ge&G8@&WX;g30xGe7?m1=a)ByqBW5M(ci>SuFSg#2x(m>Q zu_48g9Qf;SJ(?L94_K4IT!1_PgK^<+!1ZacVmvDUiFK7tfK>oI!1@)q9()0G0lWrU z12X|TfC#{lEJ{8}W+PjZmB>D12C@kmO!gr2!Z`5hzNx-(y?SbvwTEksJ@8I>PK8e3 z7WhKzPp1BL;TriW);GZJ;AxON@DA7u!~nKrNivemMLr7SpX8fV$7lLR^y=1{%oLon zt^;cU$I0?AVSKhPPWf=HK5xM=emH-)h|yZeN(e4w9SM#Hiv!X?5#SC?18?OMkic%T zFHAx*n%x|mTarV9+rq~|w?PZQPMDY^mUtt{%t7BIw*Wo>?*+|*W&lQ*lw?ejxr1d# z?h81?Y7z9&@y?NZ7aqb(OQ*WN@Dspxm?$1YOeA9AX5d^@Apj?XCV@Rk zi^4yFS6ROT4S_X48sK`+5-%?%ZzJo%#3xxN_fPJg1WoRnJT$p;Qeu*G zQe~16kL@*6GgUM4$jvBn%5f^V3s{8ZA489c!Wdyrl1`C!k28#e$9c!q#6o5|-FU^e69m|>7(J*sf>oB4fJwm|BFR8C z#kM74jP*(kCBz3r;H<@%N{k9g9jY$2BN5xK=PEuR?#o(>VZvxawex&S-9hGn8`*)Z2HQKSIk|mO44>kQ z^-cG^>YLJQSZi2o;ZZc4bI!^Ot`2qvykIQ&G~bwBqnV=NLPo23D>`r>cm=cq)(7Z; zD8L9fNj^p1J;^W$p5&cWlhouR#puF`vnO5BIWO1+4=^z&5fV zS!7aSQfN{eiCMg~n6QXZHVZc6&DG4+#B1hj7G06nOSKjQb%GNC5KKifk{Hg8!#I?i z-JKttAlYJDVk9w=(URCwZc}bY@l>%?nJQa3b5y2%oqeQzp?#`-74^eTsdh{WYpB;TQpp@J9@_1Yj1J zv@#eMz%Tv&CR7^iv51rh_iiW15Y7kzogwB$IdnkqzSK&+9L zV^lGMtQw#JP=N8=9V#0kAJImNE3$WQVjv_ZX-Wnhn~Swiwy&T%5d0AGi0Kvuj5DVv zSG`1l!(24=C;>w7M1)9lOY8fquR8s0>`@Su);F!Ydw4FKYCy0hm?F|z$}rAco*ea3 z0nR1PbCLE@RC9s_L4n{!5F#8WI3g}0))Cb$bfkF=byxTW#EPVv#PZ>}T&g(X zB%uuE@QDmwvL7?32oqdnRS@*L<(} zX7*at>dxd07o3CFfkpr!nBpWiKEBto)|@wIIF}JV51+T5w}}L?fLOrspk|;ANL)I^ zn^ls=jrsY%h|9CausSMmgSjQS<+;VH^yF+&c>4^h3?YcHlK>@15c&}v2u~861aBFL z#$!u630>jm2#h9f(MT*Zrj)0Xt&?j=Bvm?9(N@G3WGhi+jY_sB*kh?~1h`V@^5x{Q zVC_|buE0mX4^~qL6KsA-9?6CsgastXXJE=mCdsQwFb7kU{F3|v8)Z;BAP0jWwIrjG zOlc2R))!x7-VY&cC+HEx2wPD~if)0CATcS?DcF>lqZl;XZO+^5x4Cb#4awNbR+*Pr zpz5j2giJ&|=~YVr&sLCZ1yRzlbd^hq?%XA+F2RtXkBDlC!w7O|NCh~}U7?x~Y!F2) zT^Q9KDcqPr$gZUzw&N6$ERig+EYU3Shoh~xd7(QIYGUkcS22bp5nB-in=G3T<|rlz zx?PMd@rwO5W5W{N0ueZyCz}VxA9D^$C&rO@wcW79=!J+c8$W9>CIq@IFAQ?LRFsZ2 zkTn8RkGX(Z!-$f0kn~C7r2XSch@A^N7dRIf7X;X}>6b*sSUcGgF(9al7#(3dTZ}yx zqhF#&5@%<{VkAgspvnj)v0aJk?!?-4`2hdtj@LyItUf$GEIz_YSAs4DVS=vgGt@MU z{p}GpcOHO3MN-AZ*sL+9p^S)KV%!9FwkZ3n7$XT0b~Y1CKgN~B2i+saMc_`{ieFnLg*^)eMpy?WDV&Tvk5&R|Y|ZZo;V?coy&htv%$2c?sPQh;?^guWv~-_dhi zOFw;IxhKRwFI~Cko_fzcNKXhlFZg0!x_VE@#k}BCdFhZIv+UDuW-14yZ#ZyJP@2no zq|MfihM#hdFA}qR533zkGxjJD$P*|O$Q8f~vKn8AR7ce*_^v9jNnU`zlJP80SKxDW({Y|Hp(@VKgsdLCgmy*B$t+Vs&aM3+#R z{5^3V^O|i)w#pLKXupGrh1vFeLJEZ2(eqz$iSmce-J~iJCJ@pji|9;t4fz1W5|a{# zxe}@xft&CS!9!Aru8ekOua^rjn7d_?2_lWUHB5%CoUp9@B(U_Ld}) zZH#10DVr&qDVL*cs#KMQoU@$1oQ<5RoFl5iKF+?3N=MKn`~^brX+7qiC4pbKvlsx^ z0CNBVV?Z9j18_rd(UG?i(ejS2X^5kUeuOH*vE@ul6}BIX<{1*LvO%TUH&DF@T8IlR z%h=aEo~#-o0ahi}a|zUw1aX8>OB_~^M?*9KKF7&qSzPj-Q5HRj$W)>r+>y7xSc{&v z47PY}0mTUCEaxoyEcYziELXkcfb@W3fFtVgTpTrr>P*oCmZzqj$Sp#ueiB7l0}0!^bo(pR zuL;RiSo7>7z9TnmBVbSa+dIz^7-=%!xV>Kl;65oF86Sd#S8@hUVE9P3PtI)Tz+|Y7wHBQ?k;)9 zj!!H10Q<;$U~))F$v9#(yQN8v1Uw0J8{7e?0-P`zq`c%cVqB6%M~*9;2%-T?fB;MZ zxkEBO$r7By36BQxgK?l1fSv3H1LI@i7R5QJ+#~Q?pnialYzvb|^5f%(nZyX<){D5| zmNavkKFyS7M6>kB5y%lJP=PaBGuy;j#lhpO<7_OfEZ`Q_7B*d0H$W7i0`LR4$-(5S zFBw|r%t&wt7M72X3;v2L#bmN-fCFH2cd5JtDS{iJ0x?ZGM(T=jX012Ikw)p;_QHOY zMv`b(hJ8_6D>3Z7n3)|((0C2DP5zb?kbuZ7+oPa!SgH-d9ntNP{K0hfG?!#JF@xO% zn+LT)fMh`gAO$!?mVs$XUQRML$tx+8uo>3wHdJS1=Rvf zfF?{Ee+h03&O;TT@;Gf^Al#NtRfehI!--enCdGM2Y|eljZ3vH*c=Pu*=xNVkxE#UU z(p50I<8r!k)^aAON_!%ekHASdLa0P|AZAIuEy^V279xq*G7vL~nT$rWc5-y`$g;{7 zn2){wM<$^3Yo0^YILIrTu@d$o0_^+v_37jf({iV|zE3%Rlbo5> zOPxgI;`SQytd@k>`dE}0%-y5zBE%p{5osia7-zP6nE|N)^SL_vdMXovnSeuNBAgK; z2rWbdqMEcyT5a))VZw~C@fVEEFVol58#w7X89M1Y8Qc{u6D<=TWIM-e^D(Q!7!zJ+~C;X&a+(Ls?xal6Cl1FJE+ zNxo1Cu{~_pFuEm&Nn*BQrErygAL_)=U7c=%VuFd6+o7(aM7CKrHH;I9 z4k{!jY<&p?nFf2^b3K3K$6J3m9b_&VXj3ONb@0-e&8-sA4#wG6;FG*OrY4c#M?U zh-M-SxpJ{JZ1CmUCSnG`i%3T}AZUo$7GIKY3j{NXos8kaa7A-r(VRmPwqGM$Uvmyg z+A3B#qT;E?2^SG-Eqo+qj3B2cr-np;{TzmBO*o~ZImR`H2+KRY{Wyck20-;796*>O zwyJqc7q$|U$x|;9U|n)_E{TdH$Rg}o2Dh@LIz9+qaM#AmN*#0%oCzqv4&sY;TUxAt z0x%b9tzEh=k8Mzs5=Nzh`)Q* zS@!qsFWZZv**8&~2iw0La%7vZ*3OXgh$rp1weO@tf7rL8F*iVX+37`;R%ue>f2;D( zG9d#Tsd2J}Np=!~yyP3DY+P$vYgB8=o2QwhS)iH6Xd`4}2(koOg1bT8;IYa7vE;yQ zSfxjn!H25b7N^!RR1QKBqMMWzbJgxxm6@C#s@A^19KFO^9_rRz~87m$1G31JVYeG2xT=_M%5y$A@mU}B%!UvD?Zy={FZ0@#O#6KV!U@$ zY)x!Ucun+aeJ6bBI>LM*)lN-(1UsOJux*`U+TXX_Y(iNuGP7L$_t~ctzCDS z$m0HzY>l>$G2Rkf1>(xA=@>aE1feBHpBO{E)UN+Rd=KkYj2Ov~Bn4H<+ezTHjt1!i z;xep`tSJ~7sA?V^fy+9E8hKM6Wi)qq4mzjzL_9!zS!7vkS#(*PkCmR4eha1bG0hlp zOg`omW&*>3NygY<`Y;DDQqTkAa^nJdyL^Ovw)wF2ta@f-Eqa*Aj=pPz)|%Do)xtdT zo${TE{x^}MdQEE${z$q-$vepUWO4HTNhRc!#Vd=zV(elDyU-2?Lu`&*5fq*W`hnz8 zj*jJHfrMwV?K|f+S27=Lk7NolHObJy$fU^CN*U|`pvdQ8%#vxu%SlEZMVwZ9z<(4t z|HCvO3kQjHOXXf_KkGzNfPLtw4EeJ5rHE>tTc+1!NZNK>t5!7vj2*fo+6p6XdTM~&k za+o1FdA^R1$xJByqYp9Yn*4$JY-IAYrfUjfyo9OD4ynmZ8izFQNnlOOG1I9UF0NxlQuTLh*Emki`i^2&z99$iry7%sm*UpJ=RD&_7tj~a3w z@fq>g^4EE;wl=Dn*tAQ*=c?!MbMC;JwjDwXSKRMC=Qq~l^x%(tR z2E1hJ%djK4e5yAM1Yh-BGE~Xsl|OC3KiG4*OJ`wGVm(Fsc89U9Om9LQqpR3nTDz{o zVnY0O&b-|%AYCxoDsIn_ygmIlb>&}K#nY+eF;GtH$__q`V?LL+cjTq6;_Bo0ZH$QB z&HI`_t^4B`%n*C}t~bd|?T_CjhhXTmZ;~0f6UT%?>>Z*uDJkYlu#ZpZQtRrUir`M*SaDXk{Wx#jZk#a= zggcGfhqK2W!Uf^3ywS0`x(274z50e#@(6>?!<5~Ua4bA8WuK%i)@D3qr=+zBJSl}! z@~DYTZwjNNO$VHqA|PqkVY8UB9d8AON2jpkkAQ8+DSPnnV)(5TKD=$Q&8rkTytN1X zMhZ9nsE5s93Nzkj240sUgtwcqSxwo7wBm(Frm!K8@ZNrIs&;%#yO{%$46%XqK@LDF zAbyZ{kiC!?h$*BKA`7_<@f;1yIkSS#cw*XbL%yq=|47Dxr&%SCv$;(-#14<#)wSt&C8H+C)fJsI?33PD}D2aWdg|!i#eJymgI`Xyn8Zd z$@Po5Au`WYU&M^(e~<}{Ze-8dDWeO!!=86V<|M3!Jr^ot4ZF*3)10PU)p{{<0yU0i zN3o-mP)TSw3XbkY^`ezg%4i~ri1t-Eo`rk!o^@hbLnvcRh$V=`k+l$RcW+Ek_`{VI zowv^!|B2+YIG4+>_0m9iRp~8@=9Pf*;qrB1p^_6jaMXv8fIBf-a}z&B9eSu_;T?S- zV`6(+%|lf$?--5YiEZ&g4-XuF8-4KJ#E$H@8-e!`Z!ar;dLIANPO;rhyLCYiS>tv? z#rE`Ibmhib<9AAkG5ke#Z)kMK?HH-fBp=>5`Rzeq)0)D7gr~y`Q+ScK={8d-yO7on z@YED82_j|SU3OJSL`Szs1(;mpnv4$@pZKY4uAjd>0^tdA4Nox*Y8Y;Xr~ez?6j zQ=BZ$6UUEp#A)Cz;OKEiIBA?ajt6IlJBSO!vEVHE-<&?^f(k)}pg*BLp|_*9qr*|* zXg!o3`XTBeS_~zI&O_y)5hw(D{Ldl;<%?ca(S$@#c{;FCoZ-37ibQY~m;kN>SAeUF z?-buHCKgu}6N)Q~D~hW2^9xk0I;L{7=BBe{eu z{a>vdEaJNmTsu(MRrsv1sj#Q8qmWkEQrKTeDI6(mF6=ApEF3CqD|}kmT{!CY!0oYH zyBpPw?;?NijP_a{A>dNegW^>C_p3f8QZ7CP*mFMM!#aOsQZtMcpls<_O& z0WSn`FZD4vI}z2Ec9{I@vT>JJVR@vw9)q}MnVV+goVn5iiK*1I}CRj zhzwN>1cpk63WjRz9qe5!5nF{NU@Nf|*lLrFZalq zDe#)Ndt!z`ZN=%Wd-JLTnJqrtZ@Sor`=L)I0#iRZW?6}Fc^DhfDJNboRT@e*v!$3Ln*dpvn>lu!Owcxg&nbgZ&dxrl2<}U9vuCRoR(TD96Vb$Gj zxLc1c(i$0`q(B_651*rmq0yKf43~I(;1}$UAiRv`yL~|M%rVbAd(}ssM zshWeDG|i!V)O&;XX!nLfs3C(vJhdMY6Q?FTC)_8_a-85e&Ed*{;y`em;c(;d;yB6S z!GYvJbDZM1y%5B1+8A~x*;R2?`pb}X`u-y4D$2+@YzhlD~lAlo39A-a$z zh$ti%auPBIVS^+>tRX!RB?tlH16hDDK(G)KNCyNADTa7JW+1$fbch3l22q35LV_V5 zA-f<~AqJ2Zh$I9LK|&@WT#yvVQAj^uyma-{8vl{3^#bwH!Uu(q3)>5+g`~nKg^vn{ z3m+Et7Lp4G3k~0;6xr(BFYb#!`^s}zzIY3YpWXWZ#$xi+;8g3>z*N`Nv#F-3Ev(}G zM6V?$xJobd_|(q)ea(lY_G7_jr|2rKH*0d=!AQ!T+I8E$Ssii*D~~!wUr%k;X7h=f+FwsRA%AWKegdD^$pK?qmTb0>H% zP}{aK`&>Dd@to8B&@TY;IVnc}GuzsD&|sXf#+#lU%05xP6LcAtz+Q3%lncAgUIqmv z!T|PC5{LlHU@w;dVPQ#0B?X{j*o~wzS5P`EHL3Iks1}x$RL%*y3QL5S03bXp9bR?> zlmbhEmp%bi!ZP9IDxhnyxcIY+sG zQC6IMQ@Jo!mV;cNj5m`#KrT@(dL+A-oJTB>la(dkA{GkoEFEHT`_8(VKce++E0vr> zDuSy&-uZZUA7nC>iuE)GhQG z)EV?E)b`$Cis=4pmVw`U=GHf|GKN?TKmXTXq4`jJ=o_dT=wqm3=t0yVS{>U(p~$vDHRd-LDRT!b|$=P=6XlkX_!#mbx_*C^)-7nlvQKnhWXXg8D_dI~j# z=0%euUO(Sra|*}5rczDs*I88Aw6*jJKK;p2(en1%3>3Fk3$6EzTI(>F zJ{ydQ{X$l2x5lpRtC+XT_R9S8O~ui!fni!*ecf2yOjp&Rp#XP{ z`B!DLSsFvra}P2F>uwvBwaw368SMsldB^Q_8sGD@rb&L@JDy&1oS{3YN%q-?eQ)MZ zh19sL_w}!7T#Qv5T}a@&|29HrJ1a(Hr})m?-TEH_k7s?zp6xJu*d2T;LPA1(SFy3a zx^ex^|kmOW298_ca@&j*HC|qRXCSV z-|$jjhi*Scl<`~ObaS|~@7>eYlI0E6M*V+)ZAn(^e|W$X`qt>D?QB<0ToK_sx!Y)` z7~9p;BoS^OjL}2I%_8Ztmz$e=yT)Iqtnb7@aS}LJ94GDwP6c-k$A~k-$>C5q0h|*~ z6BmNpj?=@5;Se}>92}>N^Tq99S_v^2Zac!|BW#0y>iO^N`jhxq5$blBVF~@DvA*JW zL2K<_3gOqPWYOI0y?$asSBDyOch(Zp4N-)YL(W3pK!hRLkY~$0zQ3dHy+N9)DLNk( z8fO}x4$j{6hb%#uAlD$~5DG+|PssAfBE84$7c9MAPc{BG`OtJII&>r|5^abwM7N?^ z(NbHBvZ1VmCAc?ux4A!_>Yt)ajZ8I9^|d;Nd2F-vdY>lp;iaPP+sek42cHE$sa`3v z)Sy(W)PR)5#H7jENc`rt`q)vQv~M!qm~uR(1QTK2i5 zFEF-G`cMmRm(OKWr}eJRiB0;E%$=VupUvH^w_lGndjA#i?THvY6$ER{A(Hqutg)Ul zJRw@8Kzv7fyB@?hAx85>{!dpydP*>>Xk{ROd&*5c)iYKx>QC~wT|2FJ;Mn76$ld%M znJ@JYp8pFquqYf<;8lJ|Vb;I&M;HfEE}X(Gb_+J2Qht)OVt|LI{2hC2@5bde4&Ha` zmaTbnl10C{Z{HN|Ox>7MANr2|s3K2JB9@eZZj)~i%TOR3Ih9yC2dY;JNuT9t+*CeD zH8uGqm?5PQG~_iz5RwUThKxY8APtawDCLsTD@c6W?&(nN< zsHv%e{ms<;w{L4P-g)nq-R>puv5GXzcZzeBki7T4<1vf3?t$NsqZW&Uie@?RA3*n` zv&VlNADanDZ+sE5iCpe6)zMV`I^loE`$aJMX+)pEJdm65y_uFPQ7OhMmL14roVj z`&AIUsd)a(y{BO*X_j~)`_tEQKo7Zy3!4bR$q;?GR2N!{4`uGOJdL&K;1ZRSQs z5%-^Q@S=aH9kNg~oD^2veWq9OqoCOTYZ>2CMU5|>tpw^QtcxBr&RTgk&b$)zKs@Vh zEYH1hrZ*oRNZ;E`?@u5tU!W&wthbE~#fy zO;SBl9a1!@7O8$Iiqwcyvs9l{r__*Co77XOZmC~A0{iBPQ|l(COVaW&{yId3Ed#px z)*l^cB7=$V!Eh@r7N)<|7*fVsnjcMCU-(7-{0Tw(S)lxpBcY^JLoW1YpF0-Tn=Y}^ zpS|UJRp0oG?Ntm5JQ#jP%e2(`Oo}@GxQ7*AiWJ_#!}?eX1n)d!rJkaIcbu_4pQ63> z{x~bT6j7u-ueD){64EK%N-716JeF?lmZFB#&a|ZH)orc!D>cgJ9AMRugZt;2eY z&aL!^RRt5O&sPTjs%E>qe^s+?y5(lx?nTpg{$4X{>TPjw#Z!r2d}hDb@n^rnu=C__ z=Qx{uBKdT(YceVsk$h{c-a{d*_r23b0dMX6?QD-^nC=~sVxK5*cHL%xQmuN}_Wao9oD9X($% z;{4kC^*MLv4FCEHp}@+gmED<3evs!~bR+$uPfrFy9@1`V@cY@dIZF@IB;0N0QG?Ez zKAHaRei@Ydid}*JJ^me;@_tq0UA80rs!#m`tD0zq8biKz)y{##GzIqy^Qcj0EpM%J z?(3tAA?$zc7mqITuG?rO&Wx)C{UxpZPLp|;<&EcGFqx??LBUlHD^G0b6!Jl1RbNMg(j#)rj{5@IKod;a{27Jq4G_`>Q%a@ty|xo9WS9}R@U4uE!#So%$3)NrR(mG z>GtZ>J%!K(TWJ4Sv+KhBPk-H7{HQg0e^dY9GnuSpyC14;|H>-VS>%df3E6C)h-6*a z-C@_Av(6bNd6?lyIB-G|%5d}{;4P_#J(35UmNdj39S4FWbxn>W0j`n;CP#aLbCUWU zM~DDQ(x~I;A`pT<3_cPKAn;J|Q8M6**DF493pj%}EI#@QIFHx$IC2AU!y9-U9RvdK z`ZGuB0581J%+XaK6nU8UNF;C)3FST73iu%P(vK7Z9!SIVqf5y3nKLiJHgbYD6AoY+7kTnQBBnn~#X@f{ZiXiTgX$TJ_4Ppm*3ONX= zh6F-ZAS{r0KB1vmWW0OB+ydgM%Wv(hiQ(UA;-A}D{Xv>IlPzVexHMWlXR`POGo4aP zxk|xPwoG)&pL*!T@AuI8?yE}qagfcXqyXg83bgN^dvmHn@nNki2XlYA5H0wn7RT=q z^Gvc^vRCrSjH)qVIH{Yagl)(RZfL ztVLvJc&Ns_*vL1~>v|6-IY3cU!&o=>x6@PzMkP&Kz(%;C0~S0gnU71Ly;% z4tO4LKXA6>Y7{q%QJ25tXO&- zAH2ME6_KTY5c9PY3j9LG&Gi54njI2j#e$&XbOemPCVK+rD{Zqienrb+#rK@D8$6#B zzWTR*klB{M1^ji5J?@p${Gm}_?bU^CHFbT;kI&UTm0xyR8M)0vpCMRRAs)CyUD|5t z`UXsOb&d4zeQFvQ&_b)!sQ0lu>-=Vzrsd8uKiA`Y(f4A2yJAK|UB$t`rIDrP%!|HL z>#~^_KcRzOmFfdLj7J#!dc)P2rDQR|9_J_}W)2ZauN>r{y!0q~jd+ zKVm>jBntOm<9&o+erNwcEcH$-R|$e?mij=d>drO6IRwkvp$DRI-mx4R=Z`e#roT8^;pzaIO1;^MfCf!T+6$YuUlU?4kJXGh%_* z?{2yqvYhXU3S~UOs=u#wx1my`kJ$-!o9nHNZc0(XawphK?OXTQ5+eOkCpa9bt;_+0 zsEY#6S&a_0GO);(&E>YJ24WA^vv6R)@y zd|^t06A3cfC1ZW{%#)liH6}M-OgaRx$lKPKI{m37JI43f)k(W3{hifqb_<^;>%Ddv z&DXf|U2}i``37$5e%mo%@u2zxuY*}H>NL&vi4E_Kt>c4bfJ9afp#tLNvsoEri!db`JGXw_D@2QIZN z^=CHtEYEjo5BFQzy*cZ@Jn5(y-(9W#Zr#>y-D!Qq;V1XY^K$LZOaV+j?ztIPYb(41 zRUfMMW*Yc#zwSZ|_uD@`6v*A}5Uyc*&Mw(0dBkCld-1#+#o0WN;_aS3y4Wp9OpI`P zAu7BJ1Z9i14u=D|0{waa z3UFK}aTY2?I6OMJ^(j^)_EbXn(UOyb{8SQm6(PcT?xZmNArf1hPq^51Yjf0+1u<6YkDmb*P0J$q~R#%$eeTK0)-0W7ndG`M7<=NcX#5GLY zyiWEfGnP<`kIvaAC!|BJ-PxKx`Sc5$2=yy`~pvtcvOHpmU@s?$F+ zod-iYb=6_MXE*1bZL~D3%TE7t1xD57a(RQMw#)3rkj8U7B-K);dl`Ii@z4T z!Vvg|W9*r9Z-XUpA^UXKsb5;w_bihxWV^0tgXb^8N7f2_vcBe$OX#|OH(u**?YP3n z&oi*S+1h`Hf&Do@Tygm@@KR_{&M)oWcU8-8Vd3q={Cbf%CO1a&{l4$+`Q@qnTarb=xx_FHp3&wj>D(Nsz!6Ipbpz>I4@`d(tNP+Q%f! zNm(fEQJdtfPe-VeuTa`>o8%jxjxeV^LTL}%@GpJ9p-wQNwB9!SoDVq6Nk%A*+=hSU zQyl7aMksBt4L|Qw9OlF)l-AmYpYibsbvh=LHqeHD?c)*Vq%M@!)rOz-nF)0|FO>GI z4gbbxCd`RWD6Odt`O=3s)X7jNt)~q+=ffN3BqfyA(T05GlOF2iCX_~tYH@Fm>QmbE zR_7L4sTR_Kp!ruc=7??D^a8#lCFu;`Ry7_c-xk$__a;*V?@jLzuARuT44K;N^075A z^zHkV%(Gbw8CDO2bPp*8w|G8d)s?V@a_GzXkdhCJnMforuH8SlxzP+VUR#e6O%Qq# zI~ulcS30poq?0+oFZ>3-YcTPXi0tlwy%EPaU0aArBC<>Yo#DrXT)Bv3k=uI$ZbuyB zaIGZPiNvgRvS=wkqmS6hZX%Y>2{#eXWK`}D!wL9yh-Yu#1J1k74g=?B?2#$XyTNy+ zI6sSy&m%9L`8G-GaXTQ=xojOy zWiml%2%KO5?Ns&-X0_GMFj0xcYT?aTkj%c7o~D|3rTJ44@!Nfxyh5xv!sV<}IbA!N zykJ%~5pt9^%sJ(Di5Qe`yJURG9#8=W0R!P@%|Zm*{whFHRgeJ}1)?mmX`zdl!E>F!-$_B?Au_ceo1x!nMd>w44tVJrFwlrco$ zlP0^9r%KI;y?3ckyhf9RjoE?blC?*>s&OpywX9ECo-d@jcIsBK&y~^n%eN08`J1|N zO_f#QLumS^g9|nFjcDkn(WaRSQKam73Oh1{bHsx?4Q8LxxWQytl z?L@MC742l2*0S331o1evG2lQ~?epHKa`(+{{Zqm7`ud=g_e3(%?v1OS#fT(<^01<* zhsI4r5+#yML{n6L;Ch7bb8l|4xR}Pj^ZV%I5bR_toL1R}T=$^`IYBlXFR#_0bQCn= zJLe~eD>ZXE3iR=vW6kXB@Xa+HmrY@oMq{R?eIAUh#>q;(0&il2puJrSsU(?%D9CUx!a6nH0h zr=7jC!cRO2KhBuja+R3|v>*?^@RL5rvSu7zh;x1?}q$`F@ z@{fLO>T8@7-`yQ#@vlw$bXJQ&jX81&E9&=GzclXfHg@_7d+XkZ!5y;4-$m{g!;0P5 zhro(weRIC7muoW6)?P>1`Hvntv~{GXCJyc6l5To?i0PHXes~v>M>l|X?)a`H^>#1U zvk}>6BYfT|)Qqg17!G+`^9wq}tc5Unt;p9*ujFj(7J4(In0@8bM@3pkHYxalfELC3#{7DCl==lM<-%%tgDb<&EP_B=HiSv|ZMw-WMPJaG6O zwmW1@cJxCROV)-BJ32Pe@H*rEcGl+D{p5WUX8Suh`yTx+u&-Yb44WLg6Y%P(^SM5K#f$F`JP^@mJn#^7 zD)zw77$xWTsN#W`sa(aw@7au7E{vb4E${3u`mRkj-yY2CuIe?^5Iomwa8T=guTkTM z7VG;rO*wunyZjHm92F<_i16f0E*guP#%{dd}maw2hpHfx>z8JZGdEVa$WScKdrzSoP^;TE^p*keV zmer2QSKph^68_LGxDqmcz~uw^;Qe!)pYgy*dlx4hswBDf{z)~iBX9#%)h8RTr9}y0OJjFhXNG=;lYb`m z|7$N!Zy0>)YQD|)QNvO%FLXLL%xJSqe>#rg%-kEz_iqkq9r|_%{zl5O^;YBTU4F7h z8_oY=QZ#;@!$NH$W3S(A_vS=%?!{$cRTVvkiCts&UT~EM>+ej2vY9gyNNkqdu@Z@9 zdx{GZE$Gr+6U~`xUnE*?yUJ;8#<2Acdy8EuN36}6DxX+e?zpDXW45>SZjS|h=D8ko zmWKB|-9JSzDm~hrAFI^0Gucdu!dUT0se60Ol}E+S4njQ~pg*H@&uRn^yLRM?Cizuf6&7 ze8%OSTu`gu57~?LN@>yhrs2Up_Z7A0j~O-gxKVxfH&}$9=X-SL04+Gdd1rl^Zo?j; zC(S&efhpi@#HvF3vrg@uw6+r~3O84Dmra({+VAhIcZpb)Z@=Fex|7!DqBXY>Y?ZzK zO7z0UZE4Zm#`EnD!$){@nOKNvL_6JOb?QZqCm4|!z+jidxjXzEPpBd>$u0B_dUtU9 zo)n0frs8)xoVkPJe)3+VGXY7b~NNJ9Sp}HvN1A zyQd|~gDCuB7>Vf92#jQ05WBGi))j6ndF}I*`({D(nKL)>|7HMKw_~UA*8d^sv_XzVUs#}!vGIjcr ze%zs{D>hw+ro&CkYNozGoXS2|nK$QMc6+S$Pq?&tFt2)KJ0R@3gV-eUFA6Qf&p@=M*(Y41r$H0U>1Y5-z1=Mw1!ds2DAtF-eV)inkkKt;x1WIpr)bIRhcGPArvUvok&_sT-J_wmK( zr;Qunf;ZXpeq}CULf@flzFfIBA9j+y9I`VmnhfAgOP11ny|A(`hA2Khly09Z=A_|1 zWUP;N93y=hnq(|>^+>O=bc7kPT`J0HvAyV$UNori%GQT#6otcX-7K7Xv-)YHo+V1v zHZ1Nt#K$*QzJH1Jh|#5MGf!E{&s@>wH+fQi(Q$RDnGySOsrfyI>CAAsu$%EcInj3Z zh>?OEDSC%!Io0;w@R9d9ZaWMl5bBDNgRm(DaS+hUseI9^Z z^p*KCocwxL-R=@W+WAcfc=x^vdK)6;nyd@qnzNwymuh!I`sqT1=M;AOE4BYlpX*lf z&Ux+fUwg_DmaXv7W#>CZgHelbhqPbU@J;dZ?#)bC=%G(x8`-Lc|E_XB4r0Hwo{e{w zqLpC>uZQ`s252#<^g<8F-~DgyuCs$tnY3R#R=M>MhnaGV%7I79ZJK-Kh$Lm%5@M@5 zKZ^Km5v-Qx8y9q|4i;t4nU^}#llq(wERMw1gp za^B4E5)9P2Nv~_E{UkX)eC`lci{X_=LS{!-#GDwlf$`PR1ZSM^7p%3-Mdq*6^hIGE zOTk}Y9}itt!7s*r;+$VKepzg;#9{PZvdeRq=d8sA}BswjWv={VtpF zS4OQ+JAQ-znQy1Yvv-%{hkd%GwclO+MMNI_g!n@otn%MaUdKn-shVV){{>65;wT|bm(T>;k9qMDDDb=&@l>+(ajE9Lf5TX1D=x7RnK zj>g}pn;UCe;&HRJ`Q)`8_fy~F+I@TB9KEN$cdEZu`@)|XQek8F7QWM03|o*Wc*Vmq zDtS9>;jKJT>SkqQ&<6zv>GJSz&llrVw_ zB1oe5kxYnQ#}K{qf9K@fd(L<7Ip^MU{^$FiXYIxQt@ZAAzjd#@*4p!O>FQ`J33?0< z{T-9Pc~qQ*i|la)<)xqftpD52=~Ij*hggt&s&UPM^KbbK|JJ(~a3Kdxs%`*R?Ie_k zg9w_3&_vH+y^Q`fYvr+h!i~gDDn50TkSWwK;)Q z^Mh1hhO*hJ1U{J&G)yT3Fj!XvdY<+E#T{`9m>&yq&=tkg z#^0a8H+$awy)pE!c{7eHvcm+9M#Gif7M%*c>Lx8kyy_*)c<`!+vSH;_A6_`KTQ^Bz zrd#j16ce`|3fKBMmbLwNDywx1wAuUb^_$k=Y%<5RYk&S8-A~L`e1D=K^3iOu`TxK% zBY-=!c>~OZza+x>!1Wi0?B8tE?f&~P7_sAGEnw3~W4}nh~Y-kn48CEpTc>q&Y>uLpY`juWH4~|b4ZEh>PW?m^L z@DTfilF9zJ$y#?~RuD^fquKGBzjvB9xx^;*`S+%5Q*q2~@;;JVHU}R6@R9yvs{ZfT z;I^w}vb~KS$G(5ot)LVh@mF6#Bl7+x##u_?rRt5iwMV6M`tN>HKid zB;)m_M-{F_j(+fLm$4xA^gAZ<@m`e!;jE9}>^y90+$Nx7nI)3ZUFCjw6?F5V2dHv7ang4hrdLP6wU;drr_>njC z`%{5A`2$|iR9q-){Nf7t-yCH6$(H$dGcA(!yWk?;1biH@$kr%{{x4i0g=)v!p6b(Ea?WZ%jL`jkje>DR8I}gY2&SCP15HdT#x^`ZFHf%AuB<~jSXW#Wd zBFpXa`@Eo&iF2~-Enn3CVw~{LUKQC%r+)kc*57C3%}mIXq#b8d$y)S& zf&YKREYtqv+hNa6{)xKMwa|kps?cU*uIB%d zJS<^E`QMGDL7O1Zf&^8c{4T&`gp2l@eY{W3RlR5DC-sa9HKMV8Syjc(f7;V}TJbeS z{;>Y&bSrM2W$&j9<)D3)zY@3qjlj+y%$B!KNlA+LViJ$Om*^8#_$KQ&N_&quh3w{u z7kMb?3FDF;ENXd+dK6HXohYhaThz)Hu_&N;F{D+i7PUM@jS46p3{}-*3onr(B?WN- zASOOw;U!Qsqae81}cTFE>iySFpYIBpf4q@RLyB_eoJPy`C4gJw^>8r{faW(X?}b2TZhD0Cwp_1 zLqfE~K+}^QXXIK=06o^venRCC4^1@?7`9`D%+3jj-qA*8=N>a->4EhKhji$Qfxyrm zW8_5cF)NnJKIrBlEjoL>>ZJV*BB=elt9_8lApw@n{_1ID!x=$JK~_OL!SjM7f((M^ z1nC4R1X%>}1!)Dz1epYh1nC8-1lh{Ylu?%vmt86&D7#QbUdCK@wv48Xw2ZNguiJdPw6F6URCU<6b zKI=^5OzO<&Oz3>knbMin8PEB=GYOUieGN^D=0h{0rP12Rm{XZa^pR#0!uXQQ3v7<8 zl3(o3Eu?U600Z`KJ~IO+Av^lW=G;$FVu6? z^VDuA*Xw8AZ zr#n!jdCoBnR^Pr^`H%wbIuIDXbIX;io^fo+wV2WzC*!$(o9E}F*-|5aeE;oQl z!n|Pwu$wRt%o=t9rUMg&J%W+L?!W|qZ}Kq1OkgrFf7n@=BJ48kK8yyY1-k}whmpe6 zV0EnC76`+GDZn^jmay}% zd$6l8Hy8;F0^@}_z!+eLFe#W1>>TVCj0?sC>H_hDNbMKRO=tJZ#?=yCcLYfpd)M2g({u#4i&;-{$YsW4m@WzG` zj4k0Pb(ROjmUxsjYX_3&*+17I3<O-v0_p(qf{H;7pd}CkC=Fx?d>%#$R1fk2?SsyNo`7zF z+Cf~PmmnL^9Ec8-4AKRSfW$yGAdgpjW+NYs)^zblL}8){qKU|OBn+8=Onemo2=*x9 zQQ}to7HlhFE0H{&97di%o){e;4U0~QPP`L;2X-gnPGWa_H>^9MJ5eBB049(i!(qs6 z$YIE3$Z5#qqgJI*1*y7KrCz195j`u_K@VxVxnTpVi1$yP<%Crv_`_$JKog+-iygI) zCZ!Ei*jj>r_AC)d9<+bHBMuU%xN)^Z6B4Mr0fm{zAHinzLCxIz6dkURK$Q(Yn0)+E z!nacS;@#ywolSaJGwdj4b`6vre-sMhrsR4KiUnnX9)l8qbCU)fe=;Zr1P6tJ5J1vPW*3wErj25N2)!Q^2_k+XfEAdY?hjtSKhjSZ3xdDZ*V_wGZcRi_~|sx%Oo zDh#5fss$NT9fVv{y#}dLt%A6#xyK-&md~5YLHIVP6(eWAEZRJ1mdXb zIJ4eZ5>@-H)VIWe-2voq*@4@E!-30z(}8D+eF?O5d5L?8V~J~tbBTw6odLvfnSq;u zgMo{IlYu9VJq?t0IgLAwBaJJKGmXcP-4JAW*+;SJPL*PnT9tB@#zvBLsCA-sly!!6 z_$)&Q6ebMwkDToSnRD#(b*O;MIrpVIBvhLq8-g&`ME~&F2G9iOzFY^DYM|-{Ck%Lk z!e*^t*|4MN*#%HD`#x7kg=(Ps1`})ob`(3i3ku5FU5;CBJfIypN}Cl11##^&bxc6y zIQEGkch0H_K$syikh74>5E{re2q}aQ!U&Ou5JI>i7a`&hN{A4I6(R@0gK$93L#{$d zAiNL;h!o@;gbP9k5ra@b1R*RCSqMIa6G98Q4k3f^Lzp072oZz_LJyIEP(g$tY!La5 zGac+5)Ey!n#2r^UE_FzD5Ojb#E_8@?kaq}lFn7pwob9;WLDNACvw_jUbYV3F{uL-% z#O^Zh$b1NnB>U-#DYYyH3Znc|(cLo&))drOZ zH3k(1A%nLzhRoO8>US<3T2wI1Uz4$DmeQMbXOMf?Kel^tayCbLruy1T5y$j`o-A+y^d__T88I9a5d?%=o%!rK87%vieua(JSxp zjVM@q@Qsi|2sk%Z)Ui+jyp1j%(kSzEL~1)rW!<&uTX61X6j?Iq4!eHIi_ogqCU*Ir z3i3Jv&VeO&w7UU5MlTI%RCxL#hssjE%$abT^+liA^rABxOJcQ{*EMo63jXq9Z1@aR zsh$^azAq_**`h$#&_yfwOWs)987QQl1aE#fnTgHfgRZfQNw8sSIei;ps`ki1%vMP1 znN3XW_j=2d_VaSryJ3vrv8&x2Ds|4OeJoSYEl)n2cVV>EC}VNCWShe2@0f~-eK;;6 z|BXUE^efnts%?cNVp}xSd}79-4cp=?FjFsM|A-}IL+QTKa~5=CQ`X&Oay8)ipHUS{ z6sUrqpn3Z>nmjj9QIy91w9N%>ClS{*D|(?)X@&Z z8lj%cHQI%6BkZt!p%GXYT|C@{cql|$u`r)IPEqe>@1-Osi)`Q+rNX*=s!?iSa^LP> zeQF{FKq)wgC)`tFb`YoP4X6q+(=fT8#D9*5hPOSIGe_3)H*|Mb8;tTnv*oQmY5PeQwB<{^=qogJxtBkV0fSE!k^@)7Ua;pe2m7EqMl@nQt7;No!tZn`P_OJ$ z6UhImt5}dt5%M31phYue66ixB`yPb)2t*u6Lm*EQlKn^U0_FR_h%c_8H?|4RrVxk7 zCmr6VJ}TBxD87E>6+S_WxpTy2>;=cd8{mC($B;(3r#mvS3@(7RHlhr%=nH4xQ)+-- zb?(g>VL(czd_kx<4{E&dWJGFLz@@Rnj)ltL5p?mO#!EBXC(tx+S&3t3>Z3mco61- zhpF>;#moiJ)FnIyW?Q$^P~((V6Ut4uM8DKR+32(6veAmD%PxEh1~T2Fve8=Q0#<@{ zWfo<#NXIc_)_Eza7f<<}3^4{6soe9m0^#b29)uvG4B?!Kn!>}rt<<1wkj;r|Wu-j) z{yMQWkdkPfFnLtGjg!1OwlX%)m(i-IV$F?#mQ|$pnETcHuc5q)zlikRAXC&zQgB+ zSZR&-NEOncjDvNhe@yRVA=SA*V0mS>?Y@hErJ#+VmEgiT9oDC$HNkvhx?*vY4#P!N zddVIpzDyM1xmgX~mtthJXq~P*kvU;3eaErkxiKmIZmD{xjv2p8rkR61=+>x3FnOcs zW$_*8_8AO2h8iOhC+T}hnQ{m$_u-hEvbAnmqQg)dF@(5|s2+1Y5$Rr6yIAL*;1Rjq zVQKVr!_wFJ6hHEg)S0V95uYBty--Y4>DwHh;1jHM-C)RY$N*{xHK;bMrtJ5xCt^=) zO~My_BSt>$dj@7!eEcf}_cE%hvFUg%;>Z}E8H%vPSJdad5_ zw+^EhDFbe27!mqkiVt?&ov557oalkWJL4qpG=X>G_UH^+YDMy0Lu$C<}-MVh!{H zea|=_BV4;d^W&0WcDhU1aARVPvar?i8FIr4ccX3i0!j*Hh+;tTAZ{a~5M)I58$3i7 zu-0OHB!SZ>v|(y&IjC%R^+0Ir8c+7}vve+&e3-b~e5BG}BJPKGCgH{c;MWdLfo)sD{5U0rY2&wF~4KYK*ZfDKII8C-hy_ly$3is7jB-b1QSBMv6 zdgNE05-myFF<+H;1Ld<_9a6)JU?U7?iM8WWJz_=XBEHNKC&r~(#fmIN)Xx&r#-)N| zMW!Nv7A^&~9eqCkf#vGyB(YUos+*1HC1_i>dsv@r&o!03?jc}+0cY7xxOm5Z>p^hN zXL53EM$}KKzH@>>+m2wI9ETD6rle_f^jmMtEZT3qBz=QDW9U{qK3oi^#3G#v)xl+G zhXIXh&vfKa5uC6~LC@sBJy%_}?KaiZRfcX~5OojqOvL$xI-cFGnua0jK>z-42zfjr z*!moZpWP6zgH%=TM%7su-LIfuw)}J!CpU@5htWN(oIclRCR{oFbHCTM8j7{SENF*O zjSNqtnxQ4~#$SHTu& zU?15Rnu49t4kH>-p6NA1`EX^dr~zfwH2zTUeqm-yKJ+T>o3%R656J5!@bg%5Cp%Se zD4L;PquKLd>;CtR2Zx^#d;dbJdj24OIT#&V$Fn$KFICf=hU*E4y&rJAn@N@Xe?h-& z#Ez-6o~yHNCvuOytru&I_%7^8so1S>NDn4b$Iyd;cX}id$5hTpQhqv2S9@7O^`5#z z39qS`4Qm+rNYcynDqZbWg-`d?eM)%E#pqbW&W`Bhr$5uxUQ)PnPu-@Z*hEa1HH>sb z=Vf|{t~OSo=$^VqNwJxj7;6~e$Z&pox~?`x!Tz4Qb4fA$cFKSUwKUaW3TE73%5tl6 z2N(|n_-rQGx7hMs(~0WcBACK;XBHJMNvTeV#kp8PZ?FEvVPZ1N$7{ek$w_Q=q4d+dK?VHmC}n2w3GJ5p)+CAV&{GYAwKkFDOL0ZsNmeOW z1J8bt3qif(7>a|#wET$I`o&&mwuO0Gg^>FIQqq(3+& zK&)G9`ZzO{_#|d5HLQ=N08{0>hKE#5#=`fsN_-iwZ*r;nMqZpne5j4UxSQn(^J2_H z22<*QAFfX-Egun9ASsk8U0;TpvUsuhlc3AWSu%%;-|RFIIA_iyJ~(xG;E!#0+!k^0 zfKO*SjCh=IrA~_4iLLsU8&8*$RJ@K~?7JDR7@K0~*f zzE3)5-FV-l$M4*rRF5I{@sf`IC(}~%$J6?I4P%TW=yzq?2oFRJU^Dd~)DbZi-%tF{ zl}IAyAqYzoNphX0AETXq#80E0o9}*Mfib_wnswPg*CoGmz6i46F3zoWVj-(Vy2W{* zS0@oOZ|<#1pOQu!)7vZ52thHlC@$13lm|)-rHe||w;#t;ykidEl!+9JCJTT3g}wy- zqRxJmVPG*69U!fdeKP#W>E$XMA$D--YDJ5-Z}MJ3Z$v=PGCbmC9KUDW`AvrJ#1Y5Q zg$a8M_G@Eybtj3epG>cxJ&6iRz*d~tn2EIsSLu_lN3GZ>9bG<*grq>Y|qQgqGmgGf4`gWkZddU8*Jn0qRX#IX=!v2&ZwE`w z6KCLeS4h4uII~F=TY2@JfPGc#(=*k5=TStd#<7(`?~a~uA}Bw=f)T_b7-mbKV5u46uCv{)((eb)Y`Vr)g5C)@)wE8ZfwrB$crMl4 zJ?shI{2H^RLU7usZTLQ(Z5(GenTQ0lrAzSsb(K_Fi-MZ3mY%_1rirW1c5|tuGFSu# zNz8u4_&wx`4RbMpgtK@~sWDsflv_*8vRtFKIB8GlXR~kjvA? zWDBiL;b~*A|uI2aEv+C8`1vk5Nj+*BsiuSVS zci%MbE$t}y6ZtjnWKcAk9o;>-8~o*_+wBVa=CSoN&|LbIhwn`CLd;tUT+WxejFhy# ztv>?|KU*{WEAG*D!K zBFX!%QywUQyc3Xj0`g8k-U-M%0eL4NR|Di~fLslbs{wK~K&}SJ)d0B~Ab$qPp8@h` zfczOC_YG7H-d>46eUlJAF7r)5^cW-AX zLOhed*OGInSg}TybEwuIkSlOEg!PI7RZc*hKTl zoa9Xf!8m=%*#xDMCKGcGA^pdo#Xy=z@QwGPPbm~M`j2zOKCwKKwe^eTY-^gzrG@p6XOfb&Y8N#*L zLbGK-;#)}|YY@T;d@KHW4$P45F0{SS15fe z!T+cT+$fyzEMt9|+)8kO*^=F7lvQf_*lMt_(3xH3@_lG7V{F>_0ozl-?Y9S%5;8M{ znltr$R%T-R8T$r&yLHif`W&me2g-6&x{QMBz}G<9Vpx^0sqixUFga8xE|;Pz0tR&z z33;sDXC17IUscy{iMyLW$<*(GCZ&Fi+%GZ4Q+eV@(q5rBP`dRsp2kd(*NLGpskE5i z{0XU;7M+AnX@a9pOlMc}(?$K%pHvM&ik3v?&vvzw-3Fgl|A}2 zwNDx6fRj*efQflYqdik`V~mbp>~X0~%uQYH;$iDpQ{`nk)q2Imm#+${Z7Xf7Y)x~! zvY_XxD!#9c)Ln}c%dQEgeO7*hBfK5Kg?NduLCondp4Byb{EUBDwS&$mGW=dokC?tU z_#wRT-p7|+_H}2s^%uP|V={-^(A~7J%^pAJM;?EztAf{r)+Byh&b#`ZZpY-Y3+sy% zrbB~6pXe5Tkzn;LOldK`$OjcLw^1TO%!J}UoCWpS&wdyi2M50x8=w3cXw2vJ z)3&QdB;t&JxErDj$PCF0HhnhoQaD0eyHgxUerjgW{3oA7{m{*yeGc2d_#AYa$=gw- zhNRrDI0s0OwWW?+LFUbLMOTAW`$0HU;1gz zwVt79;leevY%Y3eMet(@pC&ZEQ%w_^)Jdudg>|}XLZ5eD(}cdtY>M3t8{?eknLDb0 zlEazi7nV0;Q{65wi_jvZyZL4A7Z`dlH2B<7=@uvz3MV!5_;S8ll_gbBR-JD7{cWGXGI&@By9Db1~lSp%|cZvkRH)n!U zCT9)ldr+bemPIe2G*UdJYld>+o>-(qp)Obu@O}3HzV8pq4hHT*wgU_TlA%5e*A}*l zs?li!y-3dj}W2{!s4o{lbNPr&zWXZdLrZy_Xp9KUy;qk$-MQ_+)3a^dL;>%(4> zFS3)FELDE*r1-6OgQ2!K#b})36324zb(gQy(v#gTj>If3wh~-nl;YJH%!=XZyGC}| zwx;nE4gQlFUd8ZLE%5kD&o{TRk5bS}Lg*#`Ne+7Z!nMMHUd^_J$A>~*3LJH7?(`QP zf1b9g2RXW?OC#xl#=h(?^} zo0_4Q@c%L6oSW3BU1$Y1fI+WD^;)zrbc0i*3RU1Ez0sZpHP>IlA=o?ib|zpeG{Z=5 zgxP#0&g)91goU#@u5I1*D-1`2Wrx2lm#50&zw4=b^Ml^f(R?E%aeWddG0O_T z6h0rv623ouZ?&rGtu%1e3-mU3CH3ZX>ddlL3SX=U>i zk{@8tBuJLNR{jx;f(v0E{n`g2K$K9qpakmubJw!f8T9^75f{zWs_Nd29XB2tIZ_g~ zCt-1l?^QUaUc`UD2f(X1INocFg@o;j%xY{H)ddzWmG?xQvA037;D21YIxx*g;L-`j ze;0R*Q|fWsMoLjU^)lSn862AbnEN=rvLq51FKkDKeVL7PD#S;I%hRRfls>v)4)cUY zhRZrxb$3dJPiFcHwTN_va`?h^q!3yvajg+0jM{T`d^|c*#htt(HuI8q*lJmCX6dR! zOEUF&qdr~#tK1zR_lEI7s{DLeEC>d#}|GLoen!&j$P;S{}YCdg+rDlZN%n`I_7TsTb>@*NlUe7QDSyZHD~$jP;4pXICD zc03m0Y}!G+n(sbl^2FH0SjEU|UgyaQ58K@Gu%*%Gt}du7a92F=Q3Y#i&f7gq^Q&+mpJUd`3MZv3l|)EUjWDC!4T4ygy~_z% zn-hScCXLppPl;@y*6p(!E8v};tvr-@ig!DV?Q)08Dc!=uvxg?`${)`g3 zN{qQ85tAMMY7^PV;~fkUd$;A2I*n&5>9i`-y>Vu9%;T{~LPl0*I9IR9{KIV-#KXD| zQ$w4Ty;FqY#tW8-t=P@Bq3X>_=(mGIg+8B8sZRN?5N@OP3hO|L_$H=WXZw=d4SLOq z)QPXKpm;diDZX6mdc9PXScX`*HdNQ~MC_Ax@wqXRPeyG<-Njs)&(@a3t~7oCc%XiT zLc18+S2t6)$FQ!jxUEjF-GiCaX*4Hmh{

    FDE$OMXmO!>qjfI?O`5@lm z3t(3FKj45XiJ50D8`bU@eW`V_2yFNVfDVFgJ}vo|vS;6M2mnRkSP!-kL0A6$@_Kuv zRo7V^)XK;Q?I{K{M^6`*+W;9Zu1&v$;ltG*ja?uF{6J(^l^t!CLGFY{Z+QZAM*-IM zgnWg>=S!IF^K9yjjDyYG%i|Jg_R9cY2P&QHSZ+W6&nuWlUpn#$PaeZ7tiLwHTUlcu zWLnOXuGG%cn_}1Fo)BCtQMmQTBC2bvJ}R2my2al6TQJX+sf-Qww1e#tqOZfZAx6b2 zYZ67$JH8s_YVTp?`z~8SIcnFqQ>BtR@XK&{wQGI2c97@#WcGx}$vTP1iKHU@l4jUz z3m00f##fhO9qwjt<0?KpLU*(w`IT#BjAp?HrfVD+DekLA?fFgQqNBC-X~2aL+H>bn zh!aGnf_8z9v84lxwYDRMdfNmb)nPv=aUn8W{ScWwMXKEBY5X!9Aj9}I=@1!wI0Mm` z2tr~Kv=mCp+{4NscLEma0WkhGaPi9PrxIpVOR=wJT19ZX zjC9SLiufHA3dWY;hkX)9*yCzh7@+ZnuG?356~AYaj1ey$C}pJPdVD_-PNXR2@s3Eb z#eb44Vv=dusq5#nMfbB3zJJe+@&4y>{Sm9I#wC1-W7W~jaLS5l_U|nC8E+(3!qr?UXPRGY|8B%r2WOxQO~W9N9n8?w~zlgA?nQ?3xE|QC+s(PVM|*hGO!AM*<0aL!8u& z&Sl-GVQ@6#Gn43>!bl|Mt*?7a(PS781&^8|*fo{#+&u0T?PMQrrCkrm9(-Se)_yWR zdy;;mEDya^(ww?2D%nbK_dIVnsM=%0uG-wft%BP^J3Nf8+fU?A&~JGgMkF5#eXBC- zVUf+5+ZDueu$&0m6UXHzoR!G=VH#!MbE76tX2C>NdIkw=+1Rx$Crp zQnqG|G?57{e#ahE@}FSL3w2RyJ<}ChrYT_9LjotB|9$9~{0j~poz&pa@lAGDD)1dn zK?`h>iPel2(HPEk8Z?r`^rPK91pOzmGX84*Q+vq^8k=>+??fU>FTb}HuJBn3FUC#0 zf`QhQjP{^)5jui1Z_k-GBU_XejQZ~WSi-q}IedwsK5EYj}-s?Dl$bbMh1aagy5S?i9wu}7)9^C z39XP9;CDrBo~yXh#`@g&XIf`Df;V*%xT`|HD73CsTYf+k3TsW8O7@E0@Gg#wMTm7w z@}U}(>W|LU0YYNh34XBVt-$FaKUmFv!{^uFwf&;ML4w=sb^bTeCZyR9edi1a676hHsS@-+{BSeiymxdpCHXg}S?fJXn?_I5+~3 zg|L$u9oYzRa2;_lLzX&9i>wV8Dh#~)XT<{tP z@6hFUPr70Gj+Wsj6>a|JSB*2V=XW1j+O$NIoL}V@cyG(+f7K7ZtPe`4?Kh28$JtD+ zS0%MjJN5pGyqfrzz}kPS-C8YsM*Q=OqTp-xv;!d_cSF`{4| zCoy0YgE2#t@$amLq8R1su-3)X5(PNvAiS9oQ7Td^vlqY9DqDkjaVK$jmZh0wqeH3Y zXwqlw2fMTLAG+-Nv32Seq~r&%bzlopd;Y!HazN#Ju?K0?G@QWcXh90vi>>2vC*Xij z5xLR$Dl(QLQm?7KzNtOxa+Fl0lV=GH9FUT0Z$(rzqVMre(s|sXmhX$We8j?kfKEg{KuziGA0dXYkaJv}d`?OtG82L3kKf2rP zl9Xg%(?XA&=qfh|km zc=n@FoVJSl6q>Bhnc$9O3NSuSk$A^fT8X;mzD82t#2`?@W)NDI2Luvo_Y`k3CtU=~ zPGbm)7y^hUa{yH)4V@y>fx;0oNy@=fLJtXL%i}Ade;(TG82Vi&l+9ZBIhp_^Stxm# z^xH~1jge?+$9hMN1IxG%UjDf&?36#}&J%kYc)z^<{ifmi+##HJ<@B5G$a6g|r#Sy1 zj(|MYrhK=!zRJRx=y?WXcATW!ZnRo#;pY(-OVyA;(Vnd*lDu4Q@AxTP-VveWB(0I) zB)$IJW=c=>hZj^maV2S;D0gIhO!MDz;`Fw`Cp~iiR`DCYa-H?5?n7D0o6pC5f0u^| z`0r2G9LdFrxb8V)b3nAxjGhb?jZ#@RRGZ@``1L<>rtw=|aCd96D9*uF=~WK-c0X}% zV2`oo$$-{H$IeRX;G0Utmn{mTd2pKfcndc=nb*T$l-}-J@MUoaYrMVcve=u z2ya$>X_jDpS+O{KmY~D@#M7Ya%t|KaL?fHR!V!yHfz>Ncwcu{30Xe*-QK=Uv-RLqw zP5f`%H~v^%?fsc4)cNB@^KW&KMB*E4pu|;vzroIP?>$F#=}exlk$loEA6-QjjGE(2Fp> z?C;#7X8d8fsVmjQT(!|uydcS=>Yz@T|4$|M+3a2VejqkpSXV6Iec`(?S;wbo1!NxD z@(}JNbQdbD);n zYIVAlTls$~!9SPN&c)DfCUrnq`JfB=+cQRH>Pm#$pin#!RDkaVzP9*4$0!N~iTr9J zzUFUM$E*DLPGuznYS2-RQS&ns*_ty!#_RlT#+JDEg@;Th#hKsS-{vT90UqfYd@9+o z#AfbwbgE;?#w=Pn>pb3AHOS8+p~A-4l);X@zlW;9DfYMcSA>CHt*?K789DJz|A~I{!;so3c=A^(l$G<)5yksNTX)a z13rBGaZ@yxS?s4x(j|u%*Z6>dUN9u=U7QO^m_kSmz5x`CA{T1;5#K-(or12_bXKvv z)u%{(FK|F5dm&(6MWoMARPC@nHmUXV3gaJ^Wya+6b;jf+F*O-mbDk_KhuSv>{`3{y z6OGj*>b0)|hWPK(KIXTNhPvSOLT16$TjZ?Qch!Rw;eqhnQfY$XuLt!M2K!x9tQgU|X%C zhpe!f2~^DsR;}2*07tU^M(_jjqVvVdcgh_3i;vnX--*a)G~U{SAaf=9e}YWbl|Q>S zQ~uK4d-Bk+>XR4jaP+E_;iSgtQLl;vF=g)W5wP|wtV#Mek2p43y1rt$lTxhtJ~+v1D;1TK5whzdfBf~9Mbxtu zvb2BxT@@6=eK&y2?)y=k`A0QTG+SEsm`|A1fU^e1QLD@Pm#p^^Uz+@^LH(~lm|>X3 zOex(QQvmomn26s|GXro}8d2{!^m3s7m5=gF6)|( z)?jkLE;|>BlUK&fL1`UdXS*k@fiLwff8_atcfpklLMPmG6!Q@o6Gz|L^=$maNilav z6fE0xi~IF${65bGIsa56NwJ`iPzz&2j^;ljTPJ!*+0$Cj!SR%5LFQ9ii?#jEa055j zoBl*@BpUWF7tiwV--}_3tpz@p%o^++PBZ$SW!dy!pWRl z4{W$vASk~mkSLx|wNVCBYf%28KmrKYuP36njj;3<>Sd8uZlRW??9+ph z%_GRwgwEAObLu(_r+ls^1^Z}U{SLSB;*G{cFg7<)_u1brPpUoT!P4_RRLU22X3qCM zF9E+XC-Q-1G6VgP2+y2mQD&&9)<5VCg22hAv$6{r$8(Ep@01GyVXa9}f zAP5{DJ()Z720`Fd@LSCD7nJhL4Z955Dhhk@gI}#DV^Bz^5c`^6G>`7wx&Eu4 z_~%Q(#u(?ew329MWX-+Q1*2y{EP*$n3X}yzsmc6%B6v5PI~L^w31|&c{2R%?AQX-j$>G4-AhGe zywSC)d=MNLpUcZvJKpK;=TfZHJm%ZJ4y+FttLWyoSPZ>-K}$914T9A+g;6cMFN&YF z@Sba*=jMgeuo(aerE!xKJ~wqr%-Qc;xRqXtxR}7fkOZYgfhAkNBFbYc!LjXAX&I0WDl+J6S1S+JBGJI(nkz-S;f{jp9-Yv*t}E z(T&IB{_HWNo35TOZvU6ZDj`T(O!7%5ruHB&wJ6Rt9W~OGyjysojMUmPW`fcsW1 z&@iJzcnOvG>}wS9kNHx}?Dy?xGa4sa6Q4w=aYmNb-n�a zB-(ygP7MYM2+K52P`PxqT1>JT6_jdPbIKGUz{jcpJ{E)?Qo*oX|8aP#(c8$nvgUMN`#RO1_@t&NU8JV4P|GyriaVq}jWJtg zDOKy?6iSE~Vk!mLrG{#$-lJCzDODeNj;fvgz7n@cLR9<7Nz?m~(vcA&l>pP{_lh>` zkW@4ckhJ;xz^Cb(cA~4gOP*uhX(mNPp!b*rbym{rbU&s~3qfM~h%wV`g zC+ZQab?d8b=wz-^XXS0~EwMK9igs(C8Kt&so?9$^?El0YNGyTU5V%0aA)wcV1%2@xdhaf3NJN z_g2r+>|{!pRbO{(^xL}y4-oU1aB zx%9$&>rL&a{mC>d`=!zrD^&03kKYo#xAx}jytlIEz*qk9ez}x;gh)NWvdDYui#~o< zUOBRuye+c&7$d6sSQuxIHfX`2uIcvXfao{2n0(UK0nyug-q9W2V)EG>ZQ98_2Hs#j zsno|f&i08^-Eh^%VBil%@8Qd(y+#>eJ*yDwx$c$PFejlhOY)zkT<}(U>guvjWXT^~ zEIdb<1={edgK~=GXcBc$PLcdR9jxMu6EG}{N*^R&7-B~kgDplK^c_zw!$F7+R_ZTS ze5x$aew-D*A-wqIlNhBEc4;OK5gme*H;Tw?QPJ{Dnwu-7k8u9$nMBO}_j8Jv!~L(< zm3l{K5UY1vb!!u=KPz7@jV@-(N{=i40x`r?4{)p}$-2CBKvKsi(I-$3Fs~=ix?FPz z5Id;^3+jwmP;0QDH~&u;G-y8>E9>$)x>!ub`_)qAvgqdVUgTM(h6 zt7|?5H#uo&iFHr$pVdSxDvtX5(udK-gHT*BU{evPzyCUw|mgk#)J}z$td}dCtIl>;KU9)nQS!-QEf!0)m2s zNS89CAPv&UNOyO44oD+i3IoC*-5{NUG)RNAARWTcB`N*g_&o1(z2`mW{PkVux@NQX z>>0<|YgXNB{qD8SD}nH7n7kG^3b>KbkjkT9-=oaJ^Lzx91&%%d&ZZ571L?wEfJkAv zg+C<*hYedQ*s21AQ2SjTz5N0tQ6(zRg0@8&zjFz4W^y|%V|o-2dMgYV_Y3 z+W4Qo?}qVK|M|WS<(VQk1};XxNGYuwwyOU6?j>+kdBe-q8@*@!N9E%y$M(LLnr$xS z>jUY$Gy~G9cpjcd-l!j#2kfZ4qE-vAqu?+Es-E+qiMm;y+s3?CMN}TSn_h=9`O}8F|9h4u{%YZ0j0gMq;J4U+$1FR4EclIZA0Y`KQsRC`Puou@ze4@`2_gs{y$tZ{m*Ov z@RLv6jX^~~LhHtyc8u@*`fhr|&1c)CL3ybSLT~f#MKA^Beff-;;?a9`2HVI#m&!}6 zE`@EZ-<*`|i|4Hwy_HkcN>}FS0*8LYI*`(w8!6?JaDSA72}#IQd@G}PPd;}|8O@Q|*QIZjOc7+KLU_}RV zUK3ONdfOP1a=LVljJk%TaK^ByDYNHh(it;o0YYP3lxrd*F4+m7jW+~L^m>=S(fzR? z@`h;KN5I)|$%r2fN3-E_OLsc$r!6CWW8$wlSk*C=Au=}PY?z}(uMEE|LS%T};s;M+ zDSuP|my4l>UvK9VAF`BVJpk6dBi=^x#iB$#qvZjFEFHBNoG zFYwfr1(MR!pWCME(hZtSK4C;rr_v2~Z$0ODL*5d| zdq^jKh)3;;5nUVDtt}eJOy!Sw2$7y(Gj!kNT|LC;LyclLbYEpheCEuBH9S_KZQ+OI%Ti$P zXB?PN5r{l~*i3>ACSk_3wV_^;3X7buV;|xMC)E)3wd4S|obBsn zdgeFoqdoYg@CtlAV)@cJ^(H6TF7=Y_-@PuTUdXe^Qi0MXMsAoFva`brZQXgdPqASAISbKW#Hpq_nfyUbY?Xn@&TLu|D{GxA>j@PDl%LG25GA+@dXgKXZ z&+qO5{mRWx=e3uEwWp!z;n)2(O~a_JKZAMIYLUMB!<1rHr|ZUH$dIZJU^tLd-}Tj_ z07P0{1tN`KsjoEi^96$zAe9$Ixd?r6^HT}f9|F>|`-K}!%>@HD#P>cz!v{A7Ni?kK zgIkE4uSQa+_pzFPLR^2;ymGK%_T^bX2&wc@XiE<5`xaDQ>~3iKD4ijiw|^g67?}6f z%a=4&(%t9rW=}xMrD;psTVi0aKgMH4b;-evHKJ{%tU7zF<7)oSkg!dbPBD1dz!w`R)`d( zkaqGjaW>4K8Ju^d-dzm@sOo1%vCoXcI%n0K}IP_JvPSPKoCD} z2}OO%y*^tJw_%9K7~Q6RdlEzyEFH`md=g|H z{1Q-bo~w;oI1S4vFG`u`79RcnqTSkKe&CC1b@huzUg;U)#eplF#JOewnFlxI zF-=aBqUtJ%s>>e&_`?l4?PP@xaEEJnFI9URt6%7N8y~$78RiCU_DDdRz4sYsofCZQ zP34#Q&bvI`9)e>*ECtW6_TA)yem%+QD2rIO@7<=ZQOk$ygoiES&JVeRkCa@lJdp}o z;QsqQRc?Cxs{X*FEntfQE_81$&!WW&6I79hz&5fQLitK6 z0}Nh#@@XUeh5%4F$HQR}&;gm_VYz64tysVrylUw#He!-(wkQghy;?{T*QqKamZ_-D zxUOd@*k$M|)g)Wv_lgtE2{v7#mSHVilfYuXckcYTNh6X&%C}iHI-QpVC(2+VRKG!P=*BuQ17>n#(s)I!Nde7s+q*(db6~yQK~F zZH&$*q=9oj0oCsEyV$;r0{&Mo&wJJFc7OMJ@;B`_u{Z5sMV5M{FELVV{q7ARJRr#a zV9Eq0esqcw2b2$G+a2b+6bkvL-gJ~htoweug*dx+_6|TlToKo)r*>bCB4B}EW(2!2 zHi8{zBzj2A|x2pyI*L*+#Ei> zU%193RG9ylkNo2*uO34_Ulj25l>(0l2t@$hKOVN->a@o8bdzSS5GhoG5F*v?qrmxs zd@#1(HNIGL0mcMzexND-lx}CM@UmT7(WMpXK>gZb9(L*v2At<73hgH0jo>eOpA^=n1Pql?mx+*GBWL=P#O zq3uyI5cAUIYv#bIC7(jRf*f*Dfa2l^$)p*{b1sANg>4^3Bxj?S#Bv zj`HrDZQcjY7^uHM0L_95Jzl@f6h}nnl)&G_}{JzBX3paU)rW>d8ci>)}H;B7QG z`;So1;LD5DX0qeyYweVC{s$H;z4O>qKJNqXpm;5blcU_3)f53;ozy`4@mmDU_Y{T! zA-iY5iFTWs?;&L->J0&S4@zNGbQBerKLjk|?}V-igYBYsLfvkO2)}V2!baLfe)xUl zB-ilc)dNo+>@XtMpam8;!COK65FX+Qu~|4 z8RPxwcXC9$=i?o_4{W0?jLN;|=WEDLxYwOgE9HBem5V&jPrY?NTrc}@?8+w3x1uqJ zQ{8QPUs+mx_2TEkwD36=vlo;COGJ}+o}86J^MuD%BPK7foL1iXyR9d^m)xCK+`F2% zeFEHRPy6eCt9kYGSWIO>n;NO)DH=WTdz!ikd4Eq(KcdiHUNVj-^0J9e0wa(vWWuId z2Q}Oe;H_CF2AB4PlkLamoMay%RJ$JRTmbk`d{Gnbgkhz*?q;nSFlBzI!8oW?8+Uzt zp)>Uu7AQfQ(r$Pjgf`o-=ZI9h;y-Zybugj}LM(qC%1L)a%Zc8Q_PBXp>fPR(22ie+ zsr*WkpaIk}gBBpp%b)?2j`(Ufz=7Tn5N<|;&QG-k12@DSG=OpfxKYpm$_e*DI?Z5D z$OO|5^iB23hSs3p%n3*Z6NDINU-{8><%t*4w_tjpLEt)N&@Tmit3zWxz{I7pb3VWX4nsEX)wKy6?wIcS{OpQG2;9Ik$2B|~297c$Veqfho@ zGjfExRp(^nO?#U}p6Z$hci%V+?u#Odv$X#Emw1;vEuRnj z@Gs>L>yOvnM01O@7^k5GHZpxLM$zfz4h+1t@}ftMxe6zo&E|Gz6%6Luj#|&MoQUka zEUk-PQS?c*fYvS_b9QEa?~glWM48qKSJak$Pa*MjQDNj(`qcfNw7XVOqVGL}mYvG5 zBTXSM2ok<1ig$qs!tUJ*PoTbIh#V%dUE>pXB;Qv~$loMoVh1$I3B} z7_{d-q7kHd*X>DR`IcNVbP$tjzxh_^AO>Y;n1{dHQHi z2#LyuXD&D}aM8<=m!05O9sm0B2P&?ol*Y!ua4EU!%38Yaa8-weI!7U|bfJZ&mPFqO z7`bQ0X>N&2CLOV+p(Wlm;+UJ9qw2y`TwrXeN+#Xa!;T;vF0Tp&GhB<9UfLr{u>0b2 z%*V<|BkxHM=HBh28UL8hD82gxfm5HH(>726lP5tq5_Fl8{IINj&W-P1AB{YlzGcJE zHZl}%NTD&tzI9pn#W_!89`yV=DZN$9d%G#bhFy(2jsJ~AVflknL|vTNvno4>!?$Wi zmXgH|v+aZ0j&En_9~4{BA%Zmv*`w;%Tq^^JaF&xVl^3^yBOcIOT92+s3f6?NvcUw@X*}Q%f1qeJhJ5-37&KhQQ0$mSiYK= zvy*<=mDQU*yOk{3;m?Wg)U7rwS{+VUp$dcdTj5Mn^K}yri&Tf=R4mExniOtOYOA78 z7VvdPelUeIX9n2dl9@zkP-L7q}Ea;Zy z-zTs&>a;97?+Bc&(*J6=Mf3(k8OI_p_m1B}EKXv^poZdoUk*ba?3&0$D#<rjuz~-JodPmXN>kwi02vhPPFlSOPMfyL zkj*T8y4}0-y6drA-`4PB=zO5xLehny2o%i?IX`>SF)2TM1|>>#Crp)LrSxzFiYg4h z+e*%lnhz6ySTQ{`5(TgZtzd&*K~>VPfDiNC2UC3d%q(GCGPH0Fh{GKI7bS?ou>!<_ z3i4b9^Ievd-;11sB(g*ZtsTi1B5)-#E1QAepa2D<3&e@&bv?LXMY-Za&aXP~N zCpf&%gZJGy0-8+c2Dua?Pq;>^F0^m+Uj4We*|sRx|S9Gj|3F5ZM?wzR%e~8+@eR2b}~z zzJCZki&(|wSNQ%jo*Za~VFFE1F!F<1A9i2=0DUYSYR^+ti2PHFHg*5o`2X;*!Ha zmorse@QVwwEL6!PfG&p<4l4p(&Xg#^e`z0nqsy7rZS1d##)3`X9rH*WSez)r?7iBY z4wP3*n(EOtN}4-@k$PLN?d-L1wB#D==kpC~C-+l*FbX`UZ8ffR3zFrmG;&k5=F7b7 zeOZYd3 zWT*^94M=IH%kGD1N4GA)ZBU=nYBvR%xC6Uy<1=LizFZJ3+A_{-=LdPp5R*8^srxqfUNSd9N6>HH$s@C4C8x<;T{93}$arX(`gmNLp;l zX_CxRqcfM%X3FoG&1l(0j!(W}f}rT9+57gptMb;lQSSg_0-F%B-*~WHuc-`cEH!df zhRS5}(+yAiyd@l@(6Res+h#~WzUtwHJVxt0^xjqKQ7t78^PmjYtn^IT#eCp<#Vf&F zE~cnnpj);aOlf1HdDw=EQ1}Dja=E&IkS-}WbnFe$IL{jg1A z`Y6fzI>XcKqxC04~L7~;5 zm_34*=rPPvq80Mq{w5Khm|R#hn`#Cg$cG}QDq)Le#+F!XA~SjR9pmu3I_5*KbQ&zg%0FB96rUT zR!cLQ6YS7Bf|xw;oXeYFWL^|7dOwR{xDbulOBa+VJ;Qgb3h}}u-xf^E{4s(zckz+e zQvz$;yx5}kELZh2qn%F2=Z_~MLc zoH+MB!s-aJsZ}iK;>{P4b=qyYIlN*KoL6dn@SAwk9eMW6rC2BJb4hU5q>&p(w_sW? zC-Z1gQrG%N#fDr|iC>906dl%DT^)xn6Y~E;Sz)!Em`{GQ?b2l`N@XV?sr>E7!5&ovZ}Rh9T2f z8J96>AW3$!KAbUW{E0_x@IY2?u4k+)QBJU2DgNDLAMRF2V{*_&H<6wx?`YNX8e)H3}bU5yOU+%wP$r)8-F@{_seN#`w zD4Z{b?e$m8eRW6H7v-pSxpJd0uG2YidJvr;dU(u+(vGGi%3$)_f*zFdpvST)d-;`3LF!I(kP;kiD08&-DW!$ ze2y|oPbwZFc2PR7-S052&=iiNwU)#D3ahbb<#};tkR{mFS-579I7XT)0ecQ&4G&64(CigIfbz8UHs$3NsfXHzdF2JbPdxwSq1w9}FI%2A@m@YR&# zeY=co&pD48b6+&(rK<++_;`Y}=G1t5R*fI~+d-R{lSCLMCqG#9(x8)uT3Ml0 z=&8%rDns!kcHo$USEB9XN>q+c-9$0o$3)VzrKBSS;CwL-Fk)@Ua= zO<$ij#x6N>bv;NV{fXqN;Kd+P zQ*-`TBqsrblbQEY{T<1vjfuD>GyN6Gtx;;XV@@6fZ=G$GVopZrmZxHy#50T&RInAm z8$BsWh+sNjk%_ay8iR_itkh3+BatWqJEk2IGqHu`(hrXxy#!zU<&ov#q<$6ill-(T z~f&#)*I^}pma$0x_@OhLmbwZm=Yt6WYhx;IVy)MNyBQ$Bk{_QU*TT^_F zi4?=^wVJHF?<0R%tR^Dbcw(0t-*YhOGgEe5C$>29%&cp$eEYbB03Z6}Dv9(b4w>)o zA$D#ieIZ#%t2TzJ#*Uoy(7;gU*z)g<^d4;-wRbu=&pu`c{?V}wy}b(sEF~`fJa}1l z52FOnqarYNkccH)w2fU76T6RMfHA4bke5!F#0*2Y0z9z$m;`yHRpdKFc>}%3y7Ag+Ekeiq>hWpxLwOuqthZvnIp=TLgIvvlZji%OODqn+fuWnuEg;4 zd)B_BlHxpjZ~pfhf%;(NXylY!+dgN$6JNDqZCIL*%2mZrO)e&1gO{ZUy`+MiA30X* z=pZ~hJ5`ake7!PJCWBrlgWY$1+RR#Zyl85t(Nx}Om>`OPd@vzFfR0lHViocd69b@Y>N3hlUx$F9D5vY)5d-S6bD_kffB6%C326fGDOhOYU2f)NPmU@ zhQev2XGsu32xD*U$f^w5KvF8eH{5dTE~Pg^SHExKu@vP`8U-uDIFk%of31jcDm444 zw8hc?4!c~FAt5%ZWdB+bIab`S0BZGTMZ{<_NK#?l<`lHm!J;~Nx57IANfzd0JxRsi zS&dpKca>AD5?8d@k6>hape+9_!%>`9`f3+le_}B+1(LK^ZS3B6x-avZsJS`o&a}AL zmN+K7#lG5&{t3hqp1x|^C%MGEBgyA%uW_Xyy7%DOoolG*Ueo}3tEIb{)|%eWVC3qR zv0wAN5(z>QY23HZ1>|D*uQ;rwM8l}#E+U<35|f8k+KlM$;M zdbXE`9O#pzn6|7TKMVar_Z$b$DcwtU=%xmjAHEB)smO(sMzID_8_mDL>OojjO%iUp zQwYdwuFYQA=q;0NC@Ot&h!u&tPclIh&@O3)lRw%@X>0Y% z{U&O4!_ID|LW_&r|2SrpFspI7XytB5`1pdt@Doz7=PO`rX5ry5F)7&8B_?j}(4OEF zAf{^W@M+^dj%rFP?z6u8IJhaTRGBY$#Eb5BHDE`6m-eyb6sWzu;liq@J)K-UZFB-1?EMb7$u#bI==Z(H=8u5TmO?ZLC+>3 zn9*5erk^lzP6$!3Yn(I}pf+8q?I^oT)S0gcdxoSoy@+sug+;QA4aY{}BrXXxl?cWE zB6)%{@Jz|?>cgg1^|cDakgrD~%BAVAGCmJ}jqdLZ50uflaFWS21j>9Dwp+gYb)cT3 z6F%^6WQht(H)K}YUft8Hyyf4tLiFxJJ^uI;yrG4Pm1^SpmYvT?1g0=VX@zkEeWMga#LQKfepvRB}_?B`D)pn?FyR*wl zlwDu_(P*YZH;dFzkZ`+ef>=f4UAWCN0b0Ehch)(P z=H;VSlQf@;l&f>h;Nu$`?ja*1SC!23G?sRO6=Xe<^c)&)9mKqdYF&5)1~8npRFe)iM7GNegxZfo5wzVm0|OGa*jZ>crUD!XP|%UjIk zU)#MAk=idebtie_;FVuk4xCvh3avGha78CdHW?eCTggtc_qi3Lx$>v(Nz!g!ZHYc$ zlLyp>9k~j5%_G)$w8guCO&*ARflMwgSOPZLyl{huALLi7LnA9CV9Crz$Srqg*E-H@ zCs*WFW5;BMlPjs}fZoFa!Tp*-nf^%w@v>tiWA9W=as9NafVKRaAK|9fS8mqz;uf{; z9mX8E$;~0igH2k5e!g2{L^wNAwd%u^ap#viDK9;)2NY{b~cvIu&c z%}O92W2y>8s8VUF1(~FAwJ4oL2J!Dl2F2c_`9Qise-%M1cN;7)ZAsxAC+Vk7;v`r~ zM*2OE+A2?yQWT-C!R?feC14laHml#C-d{ImSFpIuTP&oR zFg=jOtf}#0mhWs%OrLyaOEpSK46Po$6LXm=@-wR?rcC9FIdVWCBAPCPxq{Bmq?rxA zh0P7;VQZ!(RUD9N)*UlMSRoJAmMj>wo<5Y5bcPVKMS;Y6^*(ahA$}c;h+%#m zjehSZ1jX`MnMal?FhT6XT6vnx150t3;Crx)410kTd=HkDiesl7py|g`Im9UkHDB5* z(D5Tw!#mOaoWuGEm%Jk6<#i2xVH4J+lZzNpOrJDtfSFQTZ-) z!%L@KDFyUg?)UQSByX}ks3j*JEe_)ziC>i*-iy-fo5o9|crkeR{fT>Z-gvicKK5d# zs!oJE1?+Yw9rxP#s{WScd24^+l+RvYYBhzI*Jor7#>D;7v}R+8X`H5*DI7b^vFZTr zob9(KsP%zfG0$}iTZx9P9#-`)RIA#)NM{-{6V^=ce>)V~QvXh0tQ}!O|AFw@$6+2} z^zg{rd|t5oLwwcl)YDZ$D;H3>?~!opiJSHQ%QNYpp0UfWc^R}6+K8HA0tb0JLRz8# zQBmg>kg|MDr706)l7Ju6#70H`!6~~X`(!1I4!q1x_t&EI6h8*33{8IgJ2nR;ZLUUZ zWz>unpW*nS1Esk#0drD;#iWWvS8mbDU&~Iir0}%~j)B<9{DMeXRTrCr0^?C0&Cb8q ztNEdEva9{Mm%X{*M;go((Bk33R<5-Cv2%-Dm1{Q3#$$Q&$XDG+S!W1|H`nql{OfuO zBWB*4QpV)DxP`+342LJ3KQ?LZwtl2yZOvmp z?^b@g`>0~sSN4`P=|0SX*z6+qH6Iutl9v0esXlt&-Zz#CISdk?dl{xIIrH;+QhD&_ zIBpm*#j7F}IWQ>UGUa3*rZ6}~)WwfbbZfFAGJ4TnUd(P~SDm{h)1q0e#7 zHqaJGe)gh*wo0O!7EF;5u9yWQV!;37ullRoYO>&-mQ)_|E9v#371yBf@e+mMDbSCv z-hXVSAVM)4xoj)v`UEvZsh z3Fe$iHR<)Sl2Dq-dAlk`kL?^7Lt*L zw$5H;T#_#?I_nT46k3DeTB$YUGTMbxpa~Kz`Qh|Tyo*L=)72osOjjz;_!T4t2R{eK z=LJ5IjA-=a%W@vt{E`E6&Ryw4RQ1_z>yyhcO+nzeW2G^IEd!L8gV}Zji|} zuy?ZeV~!qBPAc&M{+j2vfBysr$tF{4S(b>b}q&+_^L`?oK#a+0<0at=?LQ{R|3+xF~AUAuZh zQbbWC7wDkJB`|1pDrhQr@Xr_bgk19TZsyEDVv#sKYDH9<_kv99uY&*Fu!7XJU8;t^ z0;cIh%pOsCTC9wlCXav1rRU#rX#&!KeW*R`eA%M2A8CS=&Q1G5AL~9dl<&6vieA3V@IT;cV|= z|0ArSYd|;)b=mCeGZ7<&x{5%y$CNxiGya4g*N|+7>w(+vT~^bHo(W&yk!tplkY495 ziUlHRV9%H{`b{s4V9_k(ox&&{E5E_Ft0ZZTNq6%`oECEU42C!Z_3v751aKBv2vly((CfYQ6lo> z+ZK>Z%jaevGh?sb!A&pXcPj4vhZyc&nnuRjyFl0HT$)>;32V#0#sWy_;8@YoqRW{N zHRvMG*DMv4J9}W@~6e|`WSov-QwyI!mKehHRnv# zVZ7pSgoP?hyw6ImikdHzU>LVJG|~dp&xQ*&Le*3ys|xrs-+wR_XUwG0!X+CH*9dc+ z6Hl58(+hJgeYCBYU+qe#RZ{;{aF%QM*p&_(yu-(l4~O?HPH=5YbEd*KIat0q(M7tb zH(hlaG3vW4IpFn-49Y@^JC#@-*{VoJR})Ep&eE8UpqnZtQc2VO!5uyt`P9*t6%6VX z&k4dKzCvcvyblg9>0eH*gvU z?Qbxhh(CZ^@K1uq*bhNcNTEEi&yUniwW_5s6HSkRY_B2_wKNpRO#;@!n29>aqm0u~ zz_*|}cDu9y#|@NB0HX7)x;T0Rwc0{)3u7B*gCmd70#Xre4xFj@)jZleb|rNCugD9V zQnYO)b5@J^U^0vNDVRw8cdYwUIg9k$ceg2Xx%A}gzkl7ts%^I%eYhJD=4NT0)joY^ zN9^1|VdL^^LFwA7ps*UMP8W%}c)b0lWcZ2tEd1mLhP&?v_(=^Q+{EtDkzIPdR;0&D z1uc47sR?Rs>sTl&IiuJsIXUPDBclH9mMMhq+^ z3T4yNx82u?-$G);SH*fi#XV^A;`3d{DtnjGJTJh4{`C#1@9+LTojaEu9O?K~EdYCg zX#;1X2YJDJXFva-O~^{%HX)3E;2B%7(k}>X=_`{O{8#+30glxrWHO!)$zH*7SZ{d21K8 zD9u`qM?>i{(z@x!{JsGJQ)ZPv)Xcu0o}Zmnr8Iv}4j-8Rq2BrC>PqBc&0?(!bHJ5l zQP=s^iRG=WAm&uM{MWg>!d5h3ctcI~SXSl&#ivQR>fKd;XImsfYy`i7=& z@C}$O=uQiO2c_YJZmO^rz!ZReUI09}Q5#@{_5csmRnc$M1{k3mEz-j!0|;op8kExe zjC-An7jNSw3EaRL&>Fdx>1oY{4m7fO2c1gC=uTBlmbUVsM^)#;S2uTlh%wbzK3{ym zO}{<&onrJp1e2^2$@?ygG&}XkrZgWO6bS{BwY}r_4YRLXqK+~fIrVfkYIhFA zb>CHXxoT3s7k!N;?3H#40G!z=lo(PUfZt#6{^tXANwT+lt<8gedu8%$8+mdTMOdDi}!MHPd{Nh-|es1IWrM~PUqG{_A>1=a2giV@8MsdkLVP% z5@;q*rTeN3hCI8j)!)v&VR~`cG>oExO{HjIl*4YT{t3q^oBy!MT%R{yA+b5(V|7hj zZ@NQBD4N5K9$<_v$Bg?6A`))H{}_e2M>RK!@sHX(+h!EAuXM&FVEjVb?WZn*)xe+y z6yi2(A@4ul0m%LfWJ_TIs-7y@jY4qCA^zycjaxCgaTe@FEhHfasCwk8z>kmsec-=s zZ*T5LbWsUAN^}2SM%=IO z{KpB+@pkn4dI2XY>x(hMlJ)Dk$X5WUK*2 z7y?k#`@9|N|8KvL^56Xep3_p>`YH5L+Uaxzc2g=D#clpzP1qIQJgiHCyg&Qk1T_q| z^u`;=kDYp^JfyzHdiz`Cw)oW#6Txg10Scv8#a@rWjYRU@TJ-fa#R=^C(nYbYjYlct z;56l?VD&ht`r*?jLAmMaf!lw%1CO)cI{qlMw)7D9w_@1}a3u7EdX4xT#}46Sxsne5 z=Evy|(-^0J9YWhhiKg#x8-4&WU~;yNPbz zbFr_Jm!BWl(_8q83)i0_>)4{J0&(FrDmF8ebuiV3P=v3ug@_hQpUK7i;ADrN z$EGJmG{#?2L7d57^kX@MHzl$hE4<8S`cufg(N(RI-e_Kt z$tc=h!NSs5*#d|bcP|N})Wo5s{+Fwj0%azlqQ42E1PQBzfqIE0$>O25cfKD6U* z+bvr88=lt*;CU}Ngh$(j?Grx^kznBY(4cdOr46b7J3223&woDo;e|0qtB~OTip~p5 zW8FJhY@cV8{yI-ro8l%7^1`R3eNIIC{G~y1BwsV(1`sF#p0j@WOgOepEkO*O1)@%Q z;q+V!0vDCQTo#t|W6=5wr5A~!*$?>x5c-4CGf0Ny39FL*3#A936@Md(gmD?s29i+J z8PIyk8PHg0(SoJ?9919=x%d;>cQ9>y#*!zxT=>~#%jeF*u<2U6)Z*?~@=P_6lHLv` zu4aA|r_pWg!(sa_Lx8+L-9F)@Qua>)nAulKIP#j)Z^_}yXt~Zuwyt4_erGU}Xt$4Y zXYKCKxw>!IeMC0JG;x3GSP@WwAqv;~a~08LB0Y9WDk zMSdA8>~xfy$vJzd%*#=45)?@B8J-0|GtR>AJ$nm4W}qS*FXJqgs5^Sh4(TU6jHNVc zCTDVawkCZNhrtJ6c_0uh`(`CK%%BynHmpkE!>#-5-Rb}QJx&-4kcCs~gPNr-9c~A<5_~nCA00>koh3rRp-7 zQc#kJgxfsgq1|K?{z)Se$>ahmlI&e)Mv<1=7M`zBfc^>Ir=5oOgKBV-mHz*2HCQJb zSwN~St(beIpbtq(ube3(jV-fue#m7jI92B5-u%5xhJxmMXV=AO;yR7+4&#|Iz^@VK zweC#gxh4ghCSn6T9_AASM1+G$8K-5B@tl9C?BXvH02h9|WEUX`a*nS*_&`J-%na}``0^BoZgPA@fn$jnzA89v$Pe}izQ;L*@y#Iji z0Lb+P@&-Qfw@y8mL;L~4-k|l2a$4M#4Qw?^@V`vpKW0GdRLp5fP+Ab>U|LFKCRz{W zq--rblk%^z-wF;k&BU88yFk8Yi|xwNoh|bUc?&vDqjW9)8y2rpuYZ@%`}|kP+`j%6 zE1{ycMRCx!D?vLQ)2Z-W^cfKy4AXs((VK~JLBz=FXS4QQL`xvvPkrT3Un#09vY_9R z_&@a(K3=Roifsg?q_J`98dtFW`5h|NuYYR6o7Fd$wq!)7qF?klyOjR&DH|2x>JldD zHB3Oo@qkYlNaDnR0xyA1bEyr%o$YVA%&+(>HT$oX_rHuS9CR$+)uQ6`={9mt`-+Q@Oel{${Qa>p{ETG zSMtSdU#%}V^Lgk=vKoA!EpZc-tYl*`@g4ih^ONvy6raZ9##+tCyGpw#a(5ddzH{io z*h(}UYjpbT{Z}N7+Dca?G6TazdTAeJO>XrbRxBnZ$|qBt_*rp5KFGW`t4vb=?C*UU zo=8H}u4|j|=7KRlRJK0V#DOEp+ebf2!no6mNX2OA35>ZQq3eQ z>%~uB+NJmxI`GmQ>XQ@_t+OUZg4*3wo#j_7&m~zRy2gfSTBULk&L3&Y#1=lbF!;Sk ziMq3LuLy5~zD#N@!o^+YPMrN&q7E(re#?4Q#x1$R`IQtM;%jHsi@~v9Lem^7C1KYP z-e*1PugRsHbYqfY1QOKrkwWB;BhMJRm?}%1#O9K56l}Xch$;m>wUEVYqrXLnSL2wa zg585CpqgBPLHUgoL9N#N;{&AbuB9S1V*nvLGpw7Vdtvi;Y1u8oWpm%~BF4b#-HB(TjF>R32TPiWfc{@W7*GVjxis?l!yP-6Ej= zMrbwbyw^wW17}cpF$HONBPl^~Jg9^@slfDb3Txv*CCo`2rUz=eeV`Ji2Wq^1iev7) z1$?Z})O@Ux;;YC|jmG2+WOtbduj7{wdN@%9@ydDkUm6?8* zaU<_B_7Bp-igT0j)SO;~_+Ri-?wEfcvP3y4`jjum|2E%wGc;ce(@rsc+aUsFn{ZII z`3)Vno5hdkZg$$t!~%^LoHEAyGaqEgr=IPofKqQBiflMP0X@x3zOeh(!&-X@*)-Oo zmD~pq2sp?f{`+ct+>D0l?o&$G-iq%KeJ10Y#nXG19W)Hl7HB5@>Q`jF5tp`ks z6*hU94L18Kw{E#xvwNON8y$n<6;bw0@hU~t!MI*kn9335l_jsO!EQrHwtS!TNTdcD zU|!)uE@2_%@-}%@1}UNj^I`eiy=*dG15iO%bvS5JD|{;|QTP_MRu!lprb?BXU-$q6 zNJL|b!_k05ld2nDxL^|^gvx_&Xrq}XT7 z> zO_kwu^+PS4!kPo)qU~KqT9YXG*?NRQMw*0~4>>c%e(6;_?>|(tM01mKc^t3 z{ccVHfE|iHS)>pU6jx?uI;&~)rx4(#R8oUeaoB)VGUSb#ipxNF!GNaX7%!t^#WziW zdjNZ7%_Z75ioQ*2Y8DR8!(VRUn$w-pH=h2crwhn7$%b*tVm8U_L{(KOwP-EkKBD2L z6W!dCnSkJmrk)q#c#Qrg=3g;7jD$zDX5p~BvX8F^_ObS{Z;13YX8C-!Brt3`jUyum zdoy)Uh+*-l`tGOtuv+Z1pKfOY#E*a9k-2|%N9Nt?5A8YExAN&-e#ZgiAw=T`$C3#RZe+^PHb$=@Gx3cPCyUYNo97U^?0k?it*v(68nyS;DEiFr_!tE`QpY+7~T(hbrf-O}ALbc2K-2-4jxox*@fBMpKeHFQZSAq|p(bV!4=@GjK< z^X$Ff{p~sShnZPU>EYb>b+2`<-+5jo9ZfGSC5h{N2qB~xXfT5Y$wK}NOYeiYXNDur z`3gb0@G7ViT^aQfgpI(YE7Ql5hxqXQhHoP>zz9$1V9}Pz4(&3xx&@ zW!&f?nN0kW+V1p`r9%iBlWA1oC_=y)O{1a_!jtK?3H~yNa8Y9u+)Htqgo0a&f?NBT z9-@v{pr-;AD5dWm%AjhPUO9@pb1=CK#(j+Uz^uM^zD+hmsoJZw9Ljk$K1nn+r|u$Y ztjhktADjKb?uS9dT&xVjIJpY~GVC3A@J!=o@CnU(wUy9ot1dz6-p&)3XJP`>6|@YY zF1D^x0WG-G%_r8aXXmp|F*1jZ?LWAQ7{c7LQ0+qjw2qrrEdKn%4 zhh5jx_VcEBIY!u-@JtNy^$pk%JYszPsPoGMIExbBwCgzkayNj^k^^*Bnt+YG)M^W$ zvk_(MZoq#bjYCczy}1d{+40=cI7}UPH!%0>0_bc6jgS!j=xA=_8_W%rh@S)mZ!n26 zFuR@z?M&)vcWbbahd)(~$Q?5!5~7{IN8Z*1_B|_QQp_74$V)MQ?UmkIiZcy&d`HE( z6&C<@{_z607m#;aD@UsY+kbwVY-mp4*S^+n04pitAB;F5?xyHtVJ%F7gp8jRIQtH* z(&?|iy>i03`9Zc6CwK$(9{7I5I~zzB2sJBR(cDz=BB7!}O=QcItPbOb)WJZfCI}Ah zIMK^!IyTo7m%!q|U+*uDF}3#a6Y-(Kw1z>U=B^RuEKsNyxgTQUqcES7siSU9(eyv) zL?3?Q?$Zc!G#G$jwCVMkCrbA1mR0~ekw|{!B1+@oO*Ny1R-*o41Cp!iulH8jfC6yT z$%&HPokIV{0eF8jAG3}n0<26S@q`v}@IFokVu92nWO-EHAHGNC;1$Seyg3y?|9RxJ zBMR*hnNp`_Zh-%OTeUO}EFl}nFsqilo=n;>c0dIbs*g6}m#wpdjn_hKFr*Pmp&3eQ z#xE60k_o!wgzNzKYXbPgIuQW!lqXio)ULcCzEb<(WJ9g=?Jwp2q`C4g#+D+<+*qymk0OtWpr}2^)REw`1qE3f06tYfzpgA2}l0DU$0tXRrGU8 zZb?}T`inxrYj?MZ6=$aA_S0hwf1xD~z9QdiiQ@z!epvFa0gQ5scG%LlxSQDlQiIPo zli`=-?S$?5?WY;9qQb%E?aoK8+}D8?d~fANUL;j)Nocecb;)0(e|3KBAK!i{j@tHF zIB|dJEmOnCHhF-Tk^I5S3V&R=)KEDyhOuHW^wqtVt~N)%+sO@%=}5T$dLf%3IWwbnjJ|AQOy6D$zSV+T zueNsdtY%{0bU|@^VkX^jvP4jxCtjWBq^QOYpE>q?FxrX4<6X3Bq7uxVA1Y<|;MdCpDt)=&0?}TDYF^!&jJGSVC%qNRdy4a~eF8jp zImH!cr4oBIq^jSTLr(V$Tp?MQNZ#-y-Vu+NJZD4OM_mlk$rx#^FKoDJ>fo^YlG~_f z3}GAo244MA10B+A#f5!|Qfv7#GFc%x^5G9CZ%0610cARKU&lfhYLM)w{B;Lv@XB4RTtyKHiGIN?s`AtJ$s`KYfFs&s;4=6p;)%w zmKZsthYN-@LMBW@Nmq)cLM9428!RQ;eudyfVTs!Gqr=Inpf&sXW__2X@NLAUL1gO^ zOKmG*urS4oeIA0$+{96Bu7aj?wdclY9gnKQUF1s(4bA$89#BLnRZ>-iZK|v|kq1pl zop>}(O$tjH6U7U8J#B6DetJ`jJsR?I7;$P~o-=q6^XPeQyNA6A+lNKR%|RMU!S9Rc z9W(}y8N-WFMPIIUf)A^_9tQsmdLu@EVpN6;gfpF3V$CVvb*x#7gUPAH4mdfW=6UMgGM1 z>Wec4^}OpzDM(X=eCvKzqfXzglr+zV=R#R37#%^$=?j(!l-WC6JZan z_H*bu$5E`9?=i(GElrBfE{h>pIL~%gdnMZCBhvnul&r=o z%iupcNB&?Ud{ojIo|e)08b0!siD~?(4qIyqxYptK|RLsmzmIv zzj-peC7h)ZR)io{U?vcIxwjMt>z%bm6^GUJ0@gpv9`cnn81}k2teDlyeKFh1zzWUx zj_1ain?kTgx;wBAwgWg1VIzby)}Ub&15)2jt;B3!FuYnx+f$)_N>vdyn5;(<^yC%= zd=5Aq!!P2v@QLUrilB98IvYg zB|a0F?h9m0YPqKmO6G)120Brm7I?|v1w&8b_Sfv+VPR;XL{eO-RuX3o+k zJ`=q`Z+O_ET1QiOCZ*j{4(W@lA=rBGjAcwHKiiS;t(g(BBlDPxmsUdZ{URDRY-L%4 zFLYc4w>`XwT8HXf1m9NFiKew#`{Xa*R#V1%A_co}*{7!QK+6hI6jah_*Y-XcW!`)H z-dBG3`IW&%hLr5hy2Q{Tv%!t-=uv%iT)Y0@1z>&`X@qVFM-M$SnKm4C$OGSRpBw6Y zUIe0LyR6$aTi%Kz4ol#0M*Fy{E=!=^jj_!Rz6z_FW5eSifIhs(+m zC6;eWRTrT4)!|8i2Oy8%&oNiHvQTVnA78)mx}i$?O9w}3Nq}ZRyN*x+fC~40oloG9 zM}0-Bwi;E)08@t)$(TV;aEcVF%en8kvGYjvs~06BOh@JpA_awc(4C$P?8xc0AagY~ z+BvCJ%rO#$TZ7?`f43ux_)f$0m0PL>h?;H{U<)06-Z53phOSCNy2Y4u84+1$-j zM(G}EMfLt_Mdo!$%4r{vlsi`XT9cEFBNA0=vTh>w*!%2S61F2*BOl?euSZ@#-O?9p z?R0%nv1R-GzNil2dhg^fuY~0HF4>e)8R@vn{8D&V5b?mFortU1R}xq$vi$c&UFvoP zYs9x~Svptz?PYUB^9h0|*kg1Nd{%cLEca(dg$YF;Bq91>GoqbP ziHuHBgvKCUX6h@xC4YT0+XL@KBQk2PRB+Kt2fs_%;Fg1dl!9SYSgFBIdT)5`h2*k2DoWG6UsU=hA?D-{A%x@91aG+q!0bls&+JCu3|ba*udnGL zbmteixxUb6KG;IL>i3)736tu= z>p^_VzJ#%GLZFBNz@zmX4{W>K&>y867G3;%l+)qokzoI zt-8I5x4idQoqNYSEK(0$Uu-dI)%vG%UG2&B-|j4-GhvbYUoUkH7%ARb8+R#D$y0Yw z9N^Nf73RbOGo7szb5f3A9^G-$m*J!48oZV}Ivz}`9dhJlg4h@foN0fnBg0^!x@iXs zqlMEBH76##TdSVtB0NEg!)HEhx6%(!Lbs>BI*EvjRDnxmuGMn zJvz@d`Qy8BQ>!Ni^UX2s=2SO#6@_!_2X;djaH{dVCOT9%2j^5H{rHD81TNlPQ@osW z#)A9M>w>XY@sz(e9nsi{4dbU!4y(Ym6C%X0*m-~R#Kp77YWQ=WT@^RRgR zqy}+;UZr^+Ud4HyY-ds^djFhfv*FHQ>j#yc%b*4Bz%GgXwA+!pyMvG4u4Wl2iLETI z^5I+EZ$1U-);p3|xnHdZ`CwDxVdY)e3vHT7soQ)Cl?X4FLl~1Q%+O^K4Rj@Zi?IJ7 z%t6QjzsZ_ceY1$}Q*q4>igxQ}U) zBdG}tvII4q=mWCwOwBYREJyKyZgHnwv|F1Xp;~ZDGk=MVWHSSn2zYrQUO3LB z1WC;Z25Cc(aM*qp1I3{u?fmHg7edyny2={5zPd%}Y850X>cGc@VD3Z30j>gbs~A?` zov4D-T$=m+aY=t&ce2YY7hWFAOgCu$R z%g_UFdy|<5)~8arW@?DYE_gDswtp0jER;?ghv3Lf<$n|n;0HpIYHX=xi&M0wV$8I# zOSYhkGa1u&ZnMEsRk^I*UI@K85wnZExJ!Mj5{(@9VkFGq!=wyZa+K=*Q`^RGJeGt2=2lLGWf8(oDpge#&C96K#^nh82C}?tC7KjiJXoQF)Wj1Jl zHGxP{XM+Y@#SwI}Vz3zi*oc!ALzU->BU8rS+43}W)~cQVY3RaO!-M1ZkB4Vv-|@bP zEpov*^?N0F^c4Bg(iBT$!a-wg%jivwEgSuPv3Oi=e{8yS!>_PzWl9H@$AAepEBbYg z%e^c8mahJ*rWxkA7rIJbNK1?IcwUS0@x>9BO3cv_x$W-SnsB$XRh)Ou+FAuBtmV2^ za=|KaLqci569WeGI|G9=bTHVLV2ix!4z?mR9q9?lneekt#+$fi1xJ3?{qloApJeV4gFdKVY;B(uOmqML8F2H(;{4&X5Za*!G# zR02aL0cZvlv%#Ml1PYI?E1ryuEi29-ww#l{sBn|d%15dyVn4iu#}ZZK#L`TzA(Q%^ag|F!&_ z-xhoiBP)G%`e%;y>U2NrK@Kb|r?5CcrVI~WA(vaBPI*Z4jO*g+w0?{_EZPku*kJ0w z{!|}w^6K>Q+{n1U)u#(2*hG{H{ZoAaj(sPn{;oc{LYe&JU*)b8BVtq{Oj*fKZSwue zYR62c*W4a5lY8V!2UD3)wu>iFS7F<%hN|_Dc&B>iiv}rpo~(>2lkB$hr)uT@It%-8 zZt}V+4)^gBqEA#$-@Q8J+J5QuK7uP$_{76U@cPCq-yiLLE%e(5sRjhP{o#%+4w#!F zH8s5iP+{^#Y3jPjaPjb%J+C*uJj6>i3_jM9x;{odbY$rkFXOzZU}j7>ObQdaX!KTa zdMB?y-G?iW!nB`<`CFptAk!=kkz9C3d-N7{?dS2V8d{iLr=)Fa!6V@^1}>_xmfZrk&pm~csVhudWUT_miZ!x1TmCvBdK8E!}D7evNl*xSh1b8Gn4&ob@v_&fryf z$t;C=ps_6+q2B|9RpLr0cptX~)ewGT{)8HC1IrUL zqHfVdZo(qxp7iX}kQVA-+>UZ;o|Jap)m04p$ec_~>F%({@YiH*5S8S=E^QpvTFR)x zuh&l~tZuuX!|!IF_eliG<(n=a2JtD2JRXUQWJTPn|Z2LvVk!EgU)OR{bbW?-}QA8ko{vM~y5 zbN$hlg!nbOx9NxGRFv!4b@-hfo1=1pq{LI0@o)!2byB6w!HjGdg&(J#{a`>kK=))y zb!MbUuzIMa5E4MlDtk0={`uaO#V3uV?EB7o=p-SDt5Xf~PyYeM&WQo_E+1gmK}I%xq-oVlJ_4nqgtmwu@N7d8 z>Mx4ex~X1vAt=0R&jB<2-OZq+=O_Q@OSM;q|FOO_X!@p|C6I$W@NB2mR4_22>iXMU zKbtvP=b~xKvFG&KH92!&{c-(uT;#((aS9rvF%G1QsF8!t@VaB{=Y(_KQpHza(d|CR ztNLH1N7x28>^TkQm(TaScp?;#Pzpu?_* zy~;$_ZZ(EY_Z-rFzcD6g7-K$vBDh-LG8mj7NEFk{`b6qQ(7iPI?$4$r)8~sf&6%$j zJ+5=>i#IaL*!zxEaFd?a-+m7d;kwmM$iY`6MSbFfD%<_F;9exz=uL_y`#!AEuTqPh zIy+k|S%Z^Sm9Vk0K!vi<$jG+m=f45_L=T}P7r~)*7wKZ7^b#xSv~Q~fJRn^Vr2h+d z2U-OZFNZ87-);FPciCVbF&jYjMuS_TF<12mC5>f}k7$ITM594|z=PtgL*Dy-Tf$fe z`3QJWR%P9&IP&1VaaekXNmuoLXc>c?F@)8*s@8gcJB~xIsJUh?pAMv6=axb;W15Ry zDj!eiTMRKhtA*o{YtO>smXn4Yq01biMpb^;sOJ~fsl|2Aapn(A&H_-BTJ1plJd1?j)8LVLklwlm!v4` zdvly#8QsDg8y`gu;}IwPKXc{pMVwKJJn4KF9>X}{G-?Fy8Yv@z4H?(#bsrztqU$zpdG z%vG=QydGNuHskl32Ow>$Le|ZTEw9TKhuj#D#Vxv%OSPq#6*}%-fGj>RkcpV05o#f< zT6XWTCDv_;>$+Itjb}F2Yl+K`p2|89+F>=mTV)D-m~lynOWpc0T3^O&BHobar@}1M z(cZTFkW^TbLBPLTyO4D4YxfKfPv)z^QFv8hNi2auxb-%BowO^`5)?8-8;};&aD^4s zY~8*ISNv-^7dJ`u(;@^J{RWZKi`&miRxne0-RZ5}+{2sCp-X{^4{zi;56;jT%)F-W z-$h3q5SJY)!QT{VVcirJA_tClve5_LPDbTl`=1X+wO{=gz&GC!~kWKjO-&w!bx@#9q8VcAs^S^VN{?Qi&*v{zLyS6fQ*)>H`C97{L7 zUQcH7%eu8N<*lgTkUN4^=7RR*VNq!uzm9tgF~fkDmdxi28leUJs-yK5?-~HL43#GgKNOLrED+7O)yM1gaU!yKOr}ovd=O8nr{j z$tnl9CV@;@U1y7Z*vV124a*LlVQxK7UFSI$U;M+RX=QA`y%VZh{W;Xur`9Zh8Y=&o zk69<>m;#>goU3_plN7BpUi=wS`}tY#yk@E4DuvcFZ?nl%w|1D5teZK0VDc z4YB{1%PCG5qkn_{5}f>j2|nrf&DtR81BW{n z=c74ltF!YGX@8m->$|(HyE|g(4Pl=CG--d%8U4Gv8R?%?LpWG@xvRP9*!y^Rc}uHH zGDP=FycvSI?A&Rfe9W^j11skJ!B0uw$va->tjMWk=)&{b8{8N1iPg35$c$?Kz#@QG zR59OL^9*QchI9H!QiPp}-6v}r*f@p};oY?B`bl@}PF>~$yco^iVCO`bHQ68HhtQ(r zyRu)K1#$3@oZ<9LqWK^kg~sM(R$&}u0j9^YK`s`_Af zAe!azN@~OCBcq29OnLe5$e@38Gk^&GCWA@=5u{@o3=qM8FL>Xha5>`9in_L?Q~CBG zpiCk}%|67Voi6Uv!z)Oqa)yonH%aZvHl=W5AOYi}-bU}uW);=x2HN8q@u&W4K656` ze$tdXs#lNe2cLU7Nm^0j8DY;7{JkL?L0M*vpvLAutuvvn5s%_s_TQ6ArKNs|k-Ly^ zkz$PDV_0p;6{6n2nnY=Q_D;1oOxmCCN>=YZV^MC zo=}-vL!{foPh+MP9t)rUJ1LZlR%?{@h%pEqB}V;GyT_x7gowvjT4ezNw?n1%>r`T9AeZ*ob|)hg65-U2xh^I~)vLaXbtO z#HgU?+byEl7zdJ5*k z8`!?ht(-ceuouM7?C{k~^Y_MikZ!JV&fH=3BuW7flLfE|mX&_fO`hzMM1mz{UdAz*ZZbeA% z8%sc77_W?5QF!Fg1R&0f!b^uH)F;zON`aq9kU>?nmr;so7a=W$Fl&7}Ur-EPjM+yJ>q;G5Wv9`;*rX~$T|DVQcY|Ios|HH?@I zah_B6Tx>F3Pw% zy!4>=P1k5=%cChwc~m{DWbzgv0ngQKQ~Qxf$wk$x?e_C;)%!Ow3`F+CZmQ7%#$T#_ zBEEE%uD(n!Zxf02Fv0ITwCxrSAN?6aLmc?({EaEkZ64&toIBLL!``f&MACk$|~;l@g0H?aD1po_tf{srnx{9N0SGr3ST*Wsb;P~ z+JkJ6IT0c*2lJj?>Y3C*k_}?fqZ_$^fo}EqRH+#pY3BJ)!I2b&cPrnJ7UyEbeNOrv z<^G6SHJq^OP-^`-l0;snyN5B=w={1xU-h|lW7TKVpw2wP^ht`G&JQh+-@*bBd*@o+ z!m%inmUsaLzwk96$ppm@5`VD-n>!8zMkAVPrnzU`KiW&NR$&|`kUP+oK~-ZUzkrQ4 z@HQo+cLy*=ob+4DbB?*3{*LzM(z2s&T! z4JDD*l?rv~>R3*fZllkEZMA98`pOU|%amog1$|C_ZcrN4Vx3xPNF=u3x!|KnY&0)c zK9|jOK=c1R*keiR7Ons1`H~;L>p8bkq|V2$W3s%}_niaVMf`R1E5~K77xwD;r*Csf zYGJ|;YtJwz-?civlVjTz{PyjI-)s&A+b$-!!SgqL6Q3acX61QcL-}x>zv-u3*{tyi zQZdYyl5D-c)!#q0T{!tggv!;c+*ueF#$-`pL95Eufs;+fX##j=k*rkZsvHdBF@Ned zI%562Y1l{4dOF9rNM34j)tYNiw@3*FAz&a*w)fIP$u@RR@x0cJ@xXSgveiT>V!orI zP&U{^X<+G>fdRq()L7}qio(}5_U)(gUBM<-E2bS4{&r6eYy-LGP1{dHv*RyoBNj8S z4bH;n5KnEDT$)St6G7DLkja&?Z#!vNcgf50dr`m0Qu(0`h?s=Pw6+Tp)haK+VM?^# zIAP1e*6-}0(YAP3P083GepH~0evJ$1{t*fe*g+Rai|Vm4{#&JQIP)&3666k{BKo?X4co@(H#T8^W?sP$MfQJEH@EYiX*+3V(2D)H2ZQk)~ znauKR?Zml#8|B59ly$VLS-x_!Y(wf=JEU2U-S+Bu8%wO z8v1TT^sQ0#O`MZqGluNS4}Wx?*vuJ`xjUJ5vU{3JxtlIqZ5X9~j@a&m*1{2m$09Uv zs-aJYQL6<7?($5Feeqp?OHxW8eHBV@e4<{SY8h_RGa_c%BO*NUR&cg>H|@D|Q?s%! z-C`JAAoF4v$g10zXkxwAaI6m9tPBlqJ(^eFeX|i!sV6YBhZ-+*^0Ac@R$ttycCqCY zJ*U-mx|8(1EGdT%mHcg$h7rycsbZuZhX@9W#3kOJ#2E7gbn2SZUGEKMz>Q$x)p%JfmUE zeAL;38UBKa%dMIJwTri1x=}C*;z`vP#+T;Vn%$aT)}~$9hb}Jq?$R#$x_iN85rjLC zvd90|^b`L$hSL=PxEy|AVCUx-u1@zQXEvyimi^)WZnFhb*R?`gX2U%a3~{oia4h>< zLA>S^h%gt@b~l#KWIYxFj+=qFU+s{G43liK0?pm2Dh(M_J5F!|zpY>yJSlKaM8rgznRFU7IQ$if!t z*|<-{8hLZ_;w>Z)`|cAcfdrY7-}wnSM2TU>cd-HE|CVz!JvaSd{Eqo{Md*9`=@fj+1I@V{zEj>s!$g}=@qkH919@f)A9@&N(v#s{LfnJZDXL-7>=44u6 zHM+|fvkkXlI&=#ghn*zv7zu72-t}H7N-gN&9o@8%9<_l`fuEr*fso#-&wafyZX%$G z;7VnRCgSNB5C{4`9sX}QGq>68tepFEc*mzHq25X^!f%pSLEg-~m#!^mR2?>}G?4)9 zC$26h$!rri-Id)Y*OlWHR}^o_jJgz_k5Fh5wH7K@e zBrjFY1sya7CWG!kAh6Qyey6|E{ZJIu03i%U#IQ$~Pjq)e%wzn4Upe>&oWJRJmi1AI z=h4$F6h7wWjN4%!U;D{3IF5G1%Lz2AOfp!0dGR45sAsQFh$!4rL-1=iMzYS@nH zaE+TIwZ0D@?-PouPY6%-eWohirq@`>J(3H3y~mwFn~@*y*&x4|sCYkgxJkv@zGM344CG--p_4`iaBesRKfBUoW9we z&JdJ$i~aX30@c|sf>-9CLl7fB0Zffx#G%*4mBu>4dXXuHF3o-Xu|Kc+%R+eBd&#(X$RKt0tix$ zk*AC2nY7_L@T)GQsyW2N%ro4n52>k4lADgNDrPT0Ho===A{_tTfDtmBssLo#<|6Pr zYTgQczRX)3RhA{~-x1@G*_oAG4v{dviyv6r;Rx}#OAA5!O4bWnlA!$w!0{RIxs`V$X4 z6&x5A68-G-Z+=wIhg}EEsTSko`mrSIR0WkYN>{s~_Kh*!#m#$O8U7z75A4VmF@4o{ zG}gcsvD8vHsM4X6BvEbJ<^57=pZ2a>%J}%Tzw2G-Jd*!FOSXL;*}i>&^@aa^m+J}? zvWTv%xV6q$!8p|mEztnFiC2_%XQ`1lDR=z~VGI&VvIf}59B>s=-~4IeQiU3nzj zA`YJ<*<^8+30$@31?Zr5Sb|C*avtky0D&Bcr@*!HOFd@Zwx(I-=LkT1+ivU*_FC~Iyoel z{s)~LKx99)Z8E#6r+eXcMkCHBpLt1%tQ(p6=ac#;WYTl&Yy<{Ll0z17 zl_?MF5ylxLrzf(b!`DpUs&ezdZ%8-sDen4L#|aMASqw|`{KV$A?M!#<__H%vlxCGK zE|s8g3q?eXfp()&FhG8&N?!NTNhtUNbV2~3ABmyg?^-+9{XO;0z+rfM{tfYlj~{(1 z+#=z3ONS?7&i1TXNOS?S>gSmF4?sHyTElx0=1kDSJ$$b*ga5lcf(OD>9Vxgd#%+zH ze;Wf4Wc+L9ot&r7kqvnXGL zjspYpCnFQ>=jC`@oVi0Z1*PyCs1P~&kz76}Sk7LnW*n647Kcf#h2m79NLTZ!Lh4L> z7J#i`!pv2ufBcTP0Nd1&Vh+p-mutrn6I;)&t(V9@g+3*|K1m+Og`uk&LOdW36Ghpe zcBH}uSt55dhWJfv@_1rgVjWbv6PSE%)n4*6w-YXy=9b@l5it!k2&PvceW4&#ttDf+BhUB1`Br+arH_g7#k4OURA((txaB1S=?M zKvpo8Y@^fZWaWYt6gn{2it{(zPwvZo!zgEPo3OF}SyWYbM_IW1^l0n@z8res%SknAZA>%T*Yu1D zd{YKRz88Y#p*OnGWTWN(VZ(Rt-sWICh3JThFvlh4b^c4)#bb$#??d-z2`(wD^frCZ zPw)9!c3e0+t|P(Q?NUz4`O0p<`x{eCdcb92wXk^o1}=CBi+=bwkhEe+UQsaOti+fq zo`|T^YiB!b(BsBM8VmW{G9qQGvS%y|4eJq(SNt7ZSk^6 z@jqU8brsE8Q_fB}L}5AFVD-p%o7<*7tBDzqE9tOkW~HD> zvxmSYoup5#a&mY-iK2_T&wa1x2o5 zhD5?_71bN_qoxhD4#!YKU^S_@MvKM4iNybmSc20usXh@A|h3239Jp+CLEaTWf zRcVCQI)+sNa$13yxH|{@K#0h1HT|32k~ZoyliiYjJA96`5@;F;W`3VIqCKHm`Cc6c zGa7dEAZ1hZ6+AHT5{$sNykQYAKjn|=@H4}SqK&a&z$0~>tCkZ6J= zeE1m|W*>LWb>sXoAk7(ntyp57B$;LxGnvL8%G#6TvHTowr#pvVW7Odp!`P51!`Ox? z!;N`x)^yD2Bv114tBl4%$nlacvtlo7jvD?+wA%2HW{b5JTTcf6q~`T>kwG-&Vr=_C z#u5YHr0(@<(QI_~thV9dc!mv2zzZ$=o?(a5^7BTOS^~$J9Wxe99}bzGx#*-F0mFni zxH_70?#qi8OH7~K4}iHRf}g;e=^69b{SNJd9wQp9!&)pN<(_(4tagkvomg@5n{P9=Sa1>ZfksuqTZD z()dK?MF%by8hWn?jToj<&nJGr$AO)F87bH64Kaq1upll}ln@^wfLxSP>kEa!Uf0^Z ztsZf5RZuE#0?x_A2606h5T8&mv5G!ISF-7PvZWiRI_hx#NI5B60Z5 zFELZn(S*GvkU2lE_cZWQ3k%QqO)uGXDvTNS2EQegv7^K<$g*nySRDqx-f!e?85k|Y zPI+WroK1BKv+~Rvr7;-6CN<4q{&m;TlL{IIzh}o{zj?ad(%R}bgeU6)vu5B<*Hu_W z*0#9BYL|x~E9;YuLvhsR4iA9l=<{mE0j8c+R9Z8x?e39YI;0x&+QK6pm>BRzXRK*- zh3ywS3|a+0%v%3kZ&0rs_oAgFA0MiY^f0iu?68Wtdq`Vf7r3YzG4XZuftx_=Q@Of+ z5t9+FmXi_2$NhoiG{_+tjlr$vncmI1z$JE*KD**KR+!!;If*B)O+%RVm%4Q-{$o`_ z#qLEi`KX-NVYreE0|Yfc%rEl1oCZ4`Y#0W1GiLja)&{|kAk0@Av&ND!+jBIjQoGtl zpKMgq3I60VRpe1M-#XLtCVxWisUYp__7`ejx2h46;a}?l2H1wn|k~o^e@B0Ub5}rLcYEaF>e)u2yclU+}Rq_$=2ofSaR9QR-m-D z{*vigS09Bzfuu|Yp2QedQwX0aB;6^|;|TWq-LtSKUCqk#72uG~`E{V9d4pcJ}Pu#*$>r3Qud`vOvJ3RJor z1yEK?UYg$;P|dUx{^8b29aG|t!v9PIdhh-|+n_&_0Oe`HXi5VX;pjUFoo~aL3NU7O z%Sp=8m_qOaC=vr8J|Vd(c}Xy&~h` z_MkIQslzgb8{XlM`|TnJHBtfc*S9;Z*0JVOZ#Fz1Y3aPtp<#K%HG7)#c`gOXuFEQt zRU^i$=hwNtA}8pO$bY&F^?K9OFCOj8jO|ek-^y3mZHi zkaqj@3^*&1lnzCz@aG)k@})tEbu(w+>pDJ@ReJm`3xXC~gBP+uCO`gBwZIy@C{&5Z zk@}3`G|!*+RpI%djwKUP>*Kh@Ah13CQL_O38B>6nNAhyLE~vbxr3TT9`Z`L7&F_6& z=gZ&Quq@P-)-CUXL4c!WxUkIXB)dHCw6f1cRW1j46>k#m7szvbSk6+W;T1!=v zi$YyIpR*6aRZO<#ZzR6ay(v;pXcvSY*GDI}>%gwdfoW3L_0CjT9~pP&q9oVrzqm71 zmI~eIP9Az1u>w=&?`mw=-M@IezVG8|w6>49zHi(r7$;907{NBQXc}|u`R-aYp?xhz zxcv$aNfUo_J+F)sg*==Vs{U zZHCaaTZ6?x=cHanj)=!)WZ+P7HC-vRJVyT9^2Q-))Gmux`A=jyd9r2fG+%pUvvIn z__bI6=GP8Ku209}bIuxaQ2i*@UKpjWDb81RBpw}EY@H2T-{EUrVwIuWZpZevMCyW= z^7?6QH2chlE_edG1O+I`T|7DS2m8zr!4D$dL02?fx%9)@&5Sv@3|ZP+V8n?ozV zHO0U{CeX8H3`ZZJ1USTrJ)RIhUC`&S$1=HTk&9x$N)g2pK_S%)|^KW*|>d-(oVybNY zA9gKf^FZiB(#l_Ut-Q4BN5hlw-|X7xQf(wb1&i@O<+3~35LSh^_F)qk>9p*HPMNwM zlKhin3$ihBxI3ow_BGquToo?TwcUcptMX%Cb#=^m(harIJM&@qW1W91LC!P|rf^Ds zAo?BlF!gHoX=R@x>j)%JJ&oQ71&yi1QG>ESv zrzPq^aYPH%Obf_J&oD8tUQ?z?ySm<{iIQ zQo5p|1E97Zt_A$j!=lJIe%Z1XLB|E74=qdpV4F{FA!c^`G|KlEVUeic?be~mttFz5 zpH`my84JZ+Dg|Dl(KjhCj6!wD2qp}fDG>EZ^mK%cq-H6qq9)lWv%TVaI@y|sEf;>` zn*?-P<1vPi$Q~7u?&wF(YxOg4D(n}5uZrslaiafyqJkDrA=#apo=Oa|(Pc-)^?}_v z32J?$K8fl+iLuW?)M+pbKMA9m!y9+DIczsxAsR!B#PSH~>TD!Pm>Rr%(wP?5RJ|P_ zpyHr{zZl?f47J0%1x-nxM6*!Z>a|guG!AO!zz^&T(bR=vM7egB)J%NSY;Z2LV?x-) zEq)}|+^s)ok4R8n4)V!n%UWG<>5wjn7ep-;GNfit{uk^P z`NTd9;AvZ*NlVKsU)zN(bWWqL3`~odg+Aw&JZKybnRsE(a#B}NJ|e7KcRyZe8nu

    9_ zf5O*k%r*s?EYhAQ0*w9xKU`eKG2TRM5|n$KmZ$@WZ9e~4s+3vdM~I2ad-!zP{S=*H zjHtT}c~_Y{%+&7&zYW1Cso&jGzXS7zF}3V=l;soaV`eTTV|Ek|hlq{6vlz5Z%dO|9 zeBjUQ&n&+DI)QH!{mEE4n(O}c%`rYFrt?i2vZN4xX7f*HW*;R0ac229@CpG-Ur1y) zk&H!@^9!*c9DonY^A7W;fQ4(3?Vh)TudNUecBXTXB!v`J*r5cPkP_Tt2wg^CYtsS~ zsQ`F1PBr3PE8Uilw7!iPOU4pOol%?^D#a7m%%C@UQh*K_v#fJOGC$?`LCD-vtc_fI zA7SY$7bb#|ub2$VNaL44Tb3zhf;NlEwC}#4RLgk=7|8C6u~~y)HHc`-0H17UVNf_W zAz<|c8-?IY^g!m-v>q$Syy5^&O&a#JAk_-O*}kIQ2WeIXOMh`T5NyTpK01;1H_rBM zO%YM8W%kFvMF-5GOE&(Q<~^FkCd~1)X$iFVDIfczp70($@qb9n5XLu-`tdV$oC{Rf zL>)g>M{9zdnsf1WaxDNM`+>~Z%%}Ev&Yf}yEiD(AL@Ram2@*iaRd@fAf1gnXxR}>R zjF108*$m%T3c|z_f3l(oz+4!a14@B=%ex{^xy>mb1YWtTCnJ_Me~fN$7vxdy&gIp@ zxC_C>F1RRyo=H@HD(PL9XWN#a|L=BDA-=(L+%d?n^%dAfxq)329oR*^#?1`ce9orH z>Je28+%0#Xcu{)57~M4Po-@`cRGn3gAxBG?E=gwgxrGNepimK^&>=-mEf4D4C@(h1L0n|{4=XhPf!108-3Dy8F%GCv1xa_ z3^2CUK4hoFgE^3Ol|z-pV)Bty-d*=;Uk4Rkr7u>lYR$Y{TGH9%K?hZ!HTf-homT1F z;>6&#n$)e9mgHsC$%m@{I;=RKv7PiLY}5wSQJFgd^JrtCDW%$NXDLAKaz0{`x2hGd z@}m!QJlNzM?^BdX+raY$)m0`6;|Oa~Z_1y(5`rfl;4@wFuy|r%H;t|&?9Vc|ztjkr{`*ud_@Z0&uBujs}N4<;Hez`{p|4a91%s<_uWdE6aln1y+KmD6~^x36* zG(W<(lkfeYqmk_+A8n-oc9-0c?@@%r$PUr*tLiK~h7}5(UHfbvWIhJQ_&NsJBN_FI zWpjQGyd?L!-j0LiFXSY}TY0~S~poRWoWkTkVgv97W^DFn3he2f<`i=f=xwlKeRs<(@L$BT@0i5luj_Y?yZ zED!Uimh@|prk-BpgzHzu)YNc0(jlJKrNUaW??DdzZQLM}TQ?$2AIf#SvjmX@_Doy0 zqaeb7$|wpe0qN4-w;W*e2oSrc#h3aM?L|R5%IRlPg{pRv<9C%sfLQd&=nFGt?Dix% zbTuOdAU_n)xAC3;n%AN6;-MBf;fba1!|%BQ>0BT@k{R3((>CrxPWcFbmCq5?r92W_ z+K@__Tw|+L`){h$kjzQ4rGLN^e<_<+SVWK^Qk{6gKH+yJU#;FJ`oX=YLZwYE62WJ) z0TZ;!a#I%J-`?RkK6VthNXgYl6fuwmWc%YtSdr-erfUxmotOh+gNS!u>yKHh#DBm` zQ&ilR?)oGIqglx(-u>7JhtaHoi`hoLF`vvL+cw#gwHHYfn*0mVjkU0xgJC?uLh$UW z*Hg)!6&D9dmb=?8RAc9Xot?@SbMqT+9nTyjMP)C@Bs`G01Ne{(FgM5f0AYLXgKZG( zcEi}Fob2e4_LNx0S;HpMJs7g^O`6PlLk1S-IV4@CAQQ?>(6P?cWS8|{yVzs?;bJHI zHy3*`-g7UhbF8D&SX`8~82+Q8{B#Fs z5cgU>+74C;ZLFrq==Pkr>XXKDMe@pH0y)Yo)3L&%J7a@x89;9Q=5E^{1O2fY?}~Tr z#K7qZVCCXA$T)k<$uWkw78sBzjDQ*Y;YLb3%At`ig7^zpVdhT@imGz4`|Y&GAFr$V zNkf?$DHhPMZ22>WaMrZ=HbdybC6o^==}}-F-bG#cz=14x;N^Qc^8zQkN`*CwhAk7A zljKd1+Z&M)8+>AHzXT?Qx(Tm4>GIBV(jV~9qI?r^`Upom5F6lEB62Pm!!IL2 z%RTml%ZM@^IzcpS^vcSKmR-KpUF42tw_uOhM^~q z6%neGoAd7yXoCj-j71JuaaPY3ysK#!&_AHTW@Lpv@73v2Y)ZDHrDe?e_ua4;H@H6U zUyn(~V3BcG2?Gewa~uh$YkvetF z-?+z41%ls$ZnS~$PlX^h9r(lkeptTXU#~hG{)&AO3jdT;r=rSRTe-;<3&KBtncR)D zn%xGCY|4wAs~dg|LpJ6AG7LR~TQ?4$+PWCfGh+e~;y9uZG`e3RL%lRe>dcil zO6H{ZaCyk$0_{Ilpz=;&W?$nB3KJ=AdwtMkjlqnKh2v%~(W0?MYl$W(9|-4yQZo#p z)C@2}@12+sl*9=A3DZ~}tMZdrj3F#xmsImsR7>kmf%K$k9D3G-p#PA;;!5y08IM@- z9hRXdZ#Nl|6V~A&Hgb^F(!x3+oe4a!NmX^DBq*U#k_&X?gZ?tIy_j)|%~dgvHOoQ5 zS=?D#vAH4pH7G2@K!;TbQ=D>hHMn2KL&J$oTzZ}EorOLsNpk3iCtGwN=ju-)#@xM{ z(9}F9!iP_}z?x1vIMkF2tnq%uX=socO>s%sluyTVN!S_b1sn~(G2f&cqTrEvP=hJd z_~pjY`(e2crn>J3fk1?xa!l17z6-IRNSTllo4Af8fXir>fX}#exM9hCYtX2%MNu}R z<#g(dxuxT@abU9Wvi%{RD{3$UaaV8&SLR3r9fn zjsUUo^a2bn}yl;4n%*(Re@8=&iBP>_RjufdwAb+_A;ErnYu=}4Am=I?cvQ@dew31gC z5wqH0xIz45xUt)Yh8vH6F0P(rG`xb-PFy(Hh1p}^Q=NU4wk;R6wQDlGl7oJag`ws7 zCvpZ|(SUs!6yOQEMI4F7Cf9mB)mXlBB5PvW%*m&B>ij%*f|Y@l)&NCBF3=ROpZ9e9OP z7W?TMF~A}ZRNETuSjnZV`)@>g7153k+*Jrn_z?n<_Y_zjP7z6M#EO;bUdb(HyumtD zpwbZ~uPXtIp9cF`KW@`ncEdp+dnL%~J3IFB=){-qlktWdgZy9+x(DKVu7S`!3F&1u z5V{9t21`gSLm9y@tJSuk%wW(YtdN%H#5!jjuK-JTVg;dlfgp76O_joZ%A;(ebX8&K{lV>n$1IQen_J_`qrILUJ3P}t&DyXU^G>>}IB&{(vAS%! z!L0g4hLpwhfh~A|-!D?b)t;5<*Ui}T68|uA_%0De6}H6aa!?WLrDT=jH-d`|2 z0zU{pUUNCsIt??MJeO*wSG0QeD6y}-iI=jMK82@a#5tYCaBwxlQ|YAou2(pF3dN7R z`1PQ0=$wb~q=lq~v9qWm~SL27M|7Lf%gDDB3y44s*XZ))EaJ3yYEH?0cCE!IF2 zc~BG0gx+3xB3bH*9YT!qwiGnKUftr{v*ZE&!zBN&{lhW;=pQEgxBg-0n$p~^;`z~N z+3_%?<{|5`3jdyrj%=Z5B@f*wB?s|11=nU~q(QTvLy@0W?|KCe>JlYQO`zu(Mdhso z$i)g%POU?|u-&PzdiI=+yG}m5F?xCL_)H@9bOg1yg;fP3&L}%(-!(Q=>t54=3%MG# zYjYZk>_vZyF>6C0m9CtV0?af$>grl`Fs(+U|w(73#>z}NRbUB=}qeKRoh&CgvzSeOo+AN~(g* z+4gTHMKZrVe|leTcgF@L_}c5fgc|BDxLroiFf_tifmqde3WBSQdqxxE|K>o3Y(5EW*pf^fB^v|C$TcPWdqAll| zn8e@~y z40l(PRWTZxLwqo8eZJIm^Eee9;h;~G z^F@24|8z%8)b2dhwEtARJ@sgQ*VN`b)f)VPipJvnrzKCn`s#CzTnTdC)egV&r@EG=)%4@uq zKVKx#TsVoJ_M&rsz?t{CXJF`fC2Bp+^V7lwBU#BXmhfR)#eL@QVvbkzo!a@HT>)+) zX^qIs@i#6hCGmbcZ7SjpW~9j`zZ_`>Oq&OLz--J8ydgLQ=tbvENLA%}(9Ch$Q*JS= zKN2rB$F)R1pzM8k6TfFdmk&STGst6k25JW=KGoJKOUV9J}B1mgraMlSFW3DnDZBQPk|sKGmp7gvG2T-LcpAv~%%;#+GwL!rv8j4?v{YP<>Srz(IU4 z`hs4ctQRSk?k*OOzoMOp>$;jr9yyoB?rN7KdOt0^NZAbi*?X}}K1VZ2dww5l$AuGf zju|dwWp@!wq=lgwgii>2ZcN{xSWMV0gxKZss}bV?XUT5M8Y4qG;qMpY8Z6g-vJ4+Ol&$MQqs!_;AX`{2Op7=T225rt0-`@N&q)2V)bOJ z^gJgeaI>-k)gek!&hJBlhwsE!S(WD3GToMZswATql|1pJfB4R9Hco$@m70E(Ktib1 zrwsh}^4rDLOm}T6K4@Zjx*)i5N{X(SwbvPtHIbn%N6);`sLCW8=k3$o*%~3yk8)Ma zw;5;={SLQzmwNt6%OtV zE+RJyqu++cl-BQtHdZPLkB?M_7#`GBvqpE;PF&kqD9~kIdAAo9m z-O;|MGkG^De6!noq;zGLcUcUhW7O@0kkCq0qGQ`Pz7q?4{wyhcoAX3a=__zjez2!K zbbMHD5ccwG=ly;+!GfjB`XWMb3F?ZN-$#2u^+mGk%Zu;U>XWLqmKK2%>jq(R9KG=m z$GkZD=C#F^Su=M*;XDK7AC7bH+y%#5I2oNUo7n{^++l_+3G9bCn+b} zRwuvX>fZn4&6kBE_1kV1$`gE&UD@DAA~8-}Z7X zH|kxkSvWd{yNUEN_bvyvr>JN(O-m-i2k|M6XWYtyL`0@G(yFeSUEMsMi@)pAQ26Lz z=)?qj_hacsfqy8I4w`cSg1e18a%z((I*G7fB$mPErTPb}r&ZV@x2D)EB6H|B+A)5} z5R??sAo$9DJdfM3CGm8$%U2gmOIQEk2U?2yK@g2jzL>N*NpXTM=n4B%RODZ+W=ryJ zt>B7!vU+M>88&#ItAo^vUoA(&Y;UU6RqbMPH9jg=U`teB&%M(@E5>#oW(&?B*Fx(i ztCfx2Z44(np;yVp1MNk~b|ihm#n{w=>ICJ7&$9#6#SSN3^L?Ygo`?6XR+7^Vlydfc zH}`2Fo9XYeO$-_Ap6iK6_^=*)yC{7mdV#j)BmM5}h0fLe$KgzVK5uUA56ZSlih2<| z8}i~YJc5dgoK{vc2DaZ`Nh z+G|r94m-z=X>q2~&T>iAjz+m2=FK@mivt|e7#(X&MX5D2NQpQKlatRC;EVoVmKvG! zjErw_=%Bji=Vw_SosVetQ}E%&;Dd%!wPydPhL@yU>dyAPPw)@v;MHXP9~*-4x90B& zPUNr6fJmXkJa~0@sHr`7`g0FLs)byP2;RdCjZ*seMnv=$OI$*b>&RoxRFAIuZ1Sjx~- zmRy>B@l`|Uii1_jyZD8wT>SGVhPRGf!0%%VZ~;eTQWtLj!IaEIYTt%OMo702*T3QD zp_8M8Ah(GbAFKKXu5!vO*3H}CQ!-2mUQl;k{M1Any6X<$jM7t$7yHdA*COFO*rf>T zI}}9InMgzaCPF`9+BX7CN-nt!H}6*Qj%113f{sBZiCeJIY!A5vk3LVr5|&;y7teD= zgH4qqHI_~?HSZv)zPq2158h+S@yW*4KK^08mwt*`kUh`nuz=RyID!yRUjS)EEse%@ zzqyR$|4}Xj55^s%GZq3bGkErnMa>6KdJRGL&QfHsQ)H|~86!0$ndxJ%NZ*i!$pxHZ zR5CY&%Jf&B&|yfO(jh#{f9t6Z&Ez^irzQBq75p3xOM8JVo3XDs1q$KK-(B#pHBaAB z#q~K9yLDRqQ406!x|sbKb#$hA^Ynh&ExeV%rzv(e=jRvawI8N2hHf2o}y>9QzX(w4)->&8|RsTfTj?b!(@bTU!; zLt(vq8l9aZ?eb-w5X57&CKfFH$rA!R2G9HGz2A94fXC>r*7|apCj{{rG5pKjiMg6} zyJm~sn{A0{yJk0Rc&0YgL9`J0ceB04C9`QV&bYZD;z`_Tp6iyuwlAtUSnUQFq^B}9 z6JD&mc9wX~iS?qxdUU4s5h|Bkc?#*u(2205zpw#j>l0U4y*0>}=dUf70-{3$GOhg?U@0w@%_ngomh!Oy^=%8j-?PecydUgrab?gDO*s zlR)K~fTXDvQ}PD!()}-LhxgXWM&fW9I6BcHy92Yf0#33l9igA`vX^WO9#%Y#v%zXM zI{Ry${gZ+kgM_!4MHmp+G(@M^v@`^oPQ{+xQ@1%VHhr?`Wlr9LE_-choGvzqAg8bf5b&%K_10^)6VkIQa>Nc(i9Vtlb!I*!}1HkFk!y80)08*$-I4zg>KQO zXr0wJ_Ci~qNd_e)aO+Kp@$cuvF*{4PzJimOP4mC$BbY?x(&i#`E-Hi_$I#c>J3Gys zV9aYDqvTSYe0=!&Of~O|x2xkF(#~=XlX(q7)x)2U4CAsU<7@9w=-$LHG9RXXomEL; za$!hwJ#YiXPHTa5)oNTflXX9+@f9cokdvHCF&3j{z;Q!xcuLGoJwXEI%e2$ZP0j(( zWmK>28{ADs^p<2duw^;S6Q)fJ-efc0`U>svmGc3@$Vv=zDFN65CiHzu1wn+^O3_=b z(rkKcLG*4MjBoXqgpfgLqmfiGCh%X~$s-KfDs2ac_o) z(Vn_H{zJ3tmEVc5`~uYzYNbrqq}vm8^vXzAQx~7Wdwg9ogsTg_E0u-YL}a7j`to;3 zc8*aiJwR!(RQCO85z1TgxaL)N1|iXf9G%07@3txU&jQ64qVaVyS%dmXSA*h~hw0Ax zQ5Tt+SwtDdk8-2ZgkK@=sJ+r=gaHPR47g$eFa+w3xR9p35=YYlhBL|G^-*dn! zo@h8Ec_-hz-I6#_RFyMzHKIFTv%~dGsEhGJbxx%5DZPK zA3N7!SH?aKco)E-L>}E$r8D*;BH|9=ptXbv*r3Rk|4fXmsky{g9x9^~8h}2+fkTEB zK6{4u8?G0z0EV$cqJm*PGL?H~Dp&axHzaCj`~%_QS#%e_P9=;{Qr6@bJ4JUe2$+{6 zt-4+Qy_nEgiN3+C`Ar#6*~BhZs&ZtUTbK2{u)$OTWl>%$AzrkQFPuRQ0HMDXo{kw`Y{+*K|ue_3oH9FwNO(Fy&$G)boKm=3G~bU_+7a$BtT z+oPgbJQd%kPMAe|z9P!ojDxWz?x`poyciRHbts@(P7z$G;4;+`$aM=50tkT^KnVTW zlM+sDc6`~WDyS;{J(PEB#_)#9EpggnRzB;GoAtE3)i<ZBO`A-xWK))M5w%$jHNA z@fz!{#~qEK#D;1fq-350dI;<=uJUMJF4s@7ia@T?t{=Th(-x0cx6Hh z7!{N8tKa|-e8qHPHJ)=o5+2yv*L-owO`T@U1lL|;jo%KRezA|0ic)rn9C;=nF^5`= z$MHEPnQ-@cgDHJxJm|NW!p2|tO-&`xvcZW1h9~~k0fLn4mKYGe4?@5IH3g+y6OncP zP2QI(U}~#ZLNpAcMvy=I&&mRq{!tcaU{K>0exOP4y%`Gz_UYJb41A+eQHz1+x`&@LB*y`^|Z?6?Dk z?Sr)I8k1E15kn}~x)fyW$6X!5JL&?l*S%2KzA=csjsa=c?k0jXH?e&) z^^xUElMjJoJZie8>c7h<*yj$Ub*Zk}>kb%QR|sktbEAb-Xn)0H_{GSv4}v~VKOQG}hnyWKthrtLqO-k5##hnrdk5!glTTCyS63veDI zxVkciQ=%S4&iBvpju|@lvV5Ylp^mC_eSP3k;rjrE@fy+GP9Tp|d7_{^X*w;5PQD;W z%b%W9tFdCrKqxMOw<-t&Bl(rM@AC~XFl=@xbkLg=AXDE!TwTYg=o9EYU46jE(UObSiUC=)Da>e%75{o5WR55n`tA~^3_3`ExK&G zUGrevSLv#It#kcZJtKrn%~?BK9wKf{>i(}VQXdpVXLJcld5=Ma(-bju&bLNFdr9_!gG7i+c`qYtk}qD`gUT>&gbzUoK!dIV z)dE;=Tcn?f7n|IeO-kh@?KumP32hKP42~xcXwWi0@%=hSr2mVofHwp7Gpb8w!Oi)9 z^BfV?n|F+O5y;U&5V2#E0BrO1!Ixjmg0sCiHDDH0U+EEap)dz5?KBx44)T#@l4*NU zFfZgkpTJ7v=(AAK{wd?3LjmeaA15J-puUe|phb!3YXK9&eKIGy=pb zbdnv7dtqhFLX?dL9K=|Z`&bM6qA!9N|5W@WjP_?}Vx^{za=&x;=RI^+5faky1u^Ac ztinLr%P@TH?p4*_tsedTMtZC{zgY!Ub=e??l2?E0K-j5z67j7vyt0}%|Czf#2j%W7 zXG+${$ue9aC&KZ=r6B`N9}f#6g53DWh~GHNic)uH_McaNOae$I+57o_2^|E`i0KgW zY}hyceN2}jKS>OH4#$i$V$PW%F|5FVP+=*UF}n-G8kv|VBlb5jRhs2Eq9_B4?_wb4 zMZS?L-N`VD?*wrOIS{fC81tazD=ths_7@rhG{zaa!Gib&H!LCP4ZdQ)QHc&hTrxXz zd+dD}VEQt3iFR#fE}^SSj@gs}rJeK*jCK97g1;>ajVg=zg)jinl~PeQvzgm9UISq2 z6ZzZ_L`y=SXfXQvDPuFOdtI)J1+QnMCm@XO0jGyGy> zeT0GHFdK{W;^5c15=19(b3S-cC<5AsEaRV9dA~kzo&ufNwr(07BFu%S ze|hV~FZhM<-q1M5GOgFIC_C~yY-YmnZXScthnnUc zHi?Sz`BY+!gQ9?LWQ5+T~Xy~ z;IK9O7clefjV{10u>Pl5)O6Dw+3Knr@Zvs|@(U##fjg=au#fcq?^0(1f^BkMWHN3r zkifg3>E@#Hx6(kM04Kc!+qIj}(@oI*kFDrc@S?0-EDen0X9Pq>ZC{Fy30VE1><>km z;Jo1==@`BAMRdGHm)f92YBxg;;W-4ISAdK<{004qY2#sumD0$9VO|RiHq$jlk2CI4 zS*YAyc@n%*mjasPb9&TVFlO~nI`Ad>WIVt@Pk#z%4!SH6f`n$74jlCSDG>rf695i+ z{*(woLIXCyf0YP9LNhG=rYaQZtxI-jpYC-sBkwy)+I5%}UtaY;D5VqN_t}zQc15_Av{eiF>wmee4=Z5x$yj;n_tiWfK-ll|($BJu}XB*Z~-Y22|${ z9A*EL_7aZjPl=VbjE@x0I1ADk>+I|ep6^RN10o|zW8rp0_8gQHjY8TY2*#QsAUWQ) zKynPP4*9zpaDW9E8M?kO=DqH?Sk`6@nk$wW<%)H8n!x|O9VimwSJlhr1Ov`9n?yn4 z?PGH^bK|tP78hGyg&g-_AGxAkV52e~a$UOAJp`=3ii2*%{hDlUgIaXHF=p0nZv2i* zMBG&c+!t7M$s_g2BeNdMZ5}r6Ap@mpfDkFrP{3#0O#d>iFd+Xntpo{X z`inP%N;3zEPQFZ&m0<7%N6fU+znCh4l1pNoq0BYz3gP+#@zQh5ztfHUr9S``U$F9E z773&_*Zp30HG2JCcKr{F4FDlb+_(KbU8Qwd+pdFdAU?5@ei4hPyHt2&0ZYC(yAeQc zbfWYK>aQpR`C(qju$Pbs{XV@$LYaZsIW30_txA zrI`leazPP8%4XFM4y{8WcX)w%wQD9UJWWOyu7fK`DLkM(I6!+YH+%*!(q4$M6d6T0rI(F;!a0mP!ptW1>Bd4oDo_l>>5f-bmagkMzsbdwF?p5YR1V zfjY-tfrW1^1=!#7^@nLcM~{5U1XYqPg*N$I}g`kGMI|A~$-)2&R4e+7zfRnQ5oqQn5D39Y-9Ap&%Rk0JMaI5^=3ODV8> z_!RWaEJMZu@I32pUEUmyT>o}nnW?qm>>9_=46oQKbaIp+MvjtrgKK&1SJ^xoXiinfMM;tdN zn{t|%UqJZV=_{$QR(-gtJrP)6NiahUEZBm8aB%OBjT-Pw)d+k+#9-RX*k9FV8Il@K z@N1C3TvFfHH9JwKC#Ni_zOZQ7GsLaV^ea*U=an za_yILkja4~<#J!$jzz7+PU-bd5Qw^XrG4p>noICmOXKYp^vxgOn8~97)YWK6B?uw zX7lz$-F!j%4FT?EdsH?fGGn#edME>5LUiH*N>aAq6I&-3sQ+kO0ChqQ~FQ!KUGC{I(vrR72i7;u)fLf&7xY8S#_O6Va)L%hb2lC^V)fo z3W@5-Lw2&OPep5rrn!wCHcMZN%%eS)09JBW*l44^T;(&&)z|2Cv{a8T4gIINUT0^V zH4o&7q(SYp6Rs&_HQ_zb^=0a|DJ=PF*37O6YCc0URG>)OXJ z0w?+g`D@>G)PqLy;Pqjlrho1JTEN6#fy-{tI(zy(K^F4&x8DD}uIx(1M^*%_Np6f- z>t!zHO^E5|5fVH27ZoXcDoK_T3?gT|nna~m*=**e5jaTzjWjLEL(WLY!K+N<(Ka& zjJQn#Mn7|iUl`sdZ*GPYBvqQfFkSkYhU_z_3+iYJK^@H(8Q+}xNx zaSPApINLEgOXs^4bb+6r82I_0JTjIW!?_W?PGB^IG9q58*9sjWTmL#j=4(YP2crCb z`Ifso4=Z+=0$qp=ze~l`MOkG z{w2LmmQuSXpKQ&3FbEYh5h0htX4H}rU=}tG&Z^!W-{{c1bP0_626O63mX3pZnM}qo ziyeMOC)3}1CiS-?{aTLh--g_+4yLUqnqV_eH8z_bOv1SBwkXhkEp%UjPNkNAol3Pq zgSIzCB(&+<5))p*?jvI8CV`b0)~iewxk(h%k~<4_PHb5{jSNTCFvl2`WnVoDDN7i~ zX4BCXm$qVmw_=T^;dI$U6AUiF*%a70v4MJM0MP@TO@W;g&_naf*H2I~hP_uwvPS&T zCA$zTYIKb}$rEK?*;_MVinzF6|5{)ZI2Bt?;vUqlF>@aS)YW_jPk{cKHkYU*G`*a~ zPZORh+G^&r_4{SU%avyZ*A0*#`{7I8N;JTAnmxTG3RmLho4R5UY;M$#bHBCmQP!fi zR$8~&Ourn~Ng0a~2B+a**B5g!WfpzI`t&TTdoL750+%1@mt^;TtaVg+`~2x}f(XXC zlhqONN71J2-Vev05=2neL#@E6le}>7(rcXu#B&t4U)SgF512)1>V5-X8ZKBixeAo(tr#t_rcL#glFmBkj4YS-8v+@y>3 zhq0bB=6<-ex*B$b+=K}Rns~p(rHhq@v9h9@-iHQ^e8{fjNa1ZN1)0V8#0E-T#xDD0 zfD*zMu=@P8uOK)WBtfAN9^@pk(yc^h=a1?qEM+M5HA%+0B=13F1K3xIYqgW)VWt8O zk#=w1KhwxM6YzmV6#nbm0~$L;Grgh$OXFsJUU~RAcE?)7M$5 zM?0#gwT559zs)Xb-DhA2c1cDOhi{mum(%8_qiK^+wUs73|1)Bwql{Lo;g{yY5$eN! z1$p=;K-T}xCK`xj{I!00WVcpk%Pck5Vx*IPxjFl z5yB+=?{`~x3EhJ&>24k_c0NwQRPGYMbD2)59v3D|QiC1H;9D?d-{@03jW=DizlU){ zS)~j;sP|{c%(TMw^Rs|iQMv2httP*yvlQ~!c(UV=nTz*^Gj@B5RmW2Hz{qLZnmA3~ zn}`SRCzOauStlsb!)SM;V(5Iz@pQR+Te&^axt_rffIN|03@1OiAcUpxM8_>kLV9XJ ztS6C8XX;`9ZuZMCe(i3zKh1RgJ7#*U%TUly-kR$J_0~jiQu4Rbj@_;&k$;`|BfFig z-9Lwe=lt7x52i9(MV|AE)(J0#%xH2fH;G9HJ?FP<90jk%qjz1gcy#Yye_#aN=-FL+ z)^BLHf93j!Uh`dO%AS)=+GBWu%d^xP=tcK4>q1&4T$XA+1+&q0czpcp2&w{iXE0Wz zjlXHG$DM-%R{5~7UQFUhMn$>Uo4_sq^lU2Ht$(MKZr9&r&))nF5@dFU&QZBsBVoN?*nz)SUym zo+!7`!6it+y&*UZ0rUlyzlN!;F58v|mjJV707p8#2_Y3MR13>(DnO6DGzMYS?BpV` zr7jVcfk%8hoDHU;(AH2tTXW>N*FfS`KWM}5PQ1Ozj`e0xseW38f9E`PT&do9!O?ip z)O1lOR%o(ML7US`{@}orvwf+rC4LYio}eF)IK?2DYsx0LU=3`)2=kw#C>o~f-tHp2&OBRM3qsmhzUjh<7N#pKy@eVZQOzjyioLWrB9(c9gT5w6YOdnAop zQVwOl>r5)WsB?;fHSArBEGS_`Y|y8|w4;zA&(E>&8iP%TD273PoyShISJVW6{D&GI z-ErZ5SiTkb@@s=?e+}qq-UB@_D8;2NZb4@@;R$K+@iX(r~;*5U6-TmLv}x$XdXn`)%P&0g6^Q`bLh^_Eux->Z>?02QhiyXSPan2< z2?`Qf_iKIZx9}Ei%0b6zAVBXl^%#C6Saj6%V9t5U-16K>hTG0hG(T?I(c6sMPDS8O zPbGfcVx#Rd1!AGP<=SfrO+A+9+vU}cj{3@)ilUOT=4&e)R7$HoKeu~-s^QTroiFqV z7iXt*t3(Oy&G2q@jqbdvP2?>PY|r%LM4?AFz*D5SE?{r|sPjXnpI?+>f|D_Id%hq) z_G1pp4wIHrLGJVA)1Pl~=6v{8=Jl>$Jh*KgnH*J+XmY?n%&aY5_^jutUFT*JKMj1X zFm!@oCu5?ATyx`UN74T6bqj`eKI-D3$)`sSTea7mEMwDA3I`(@Y@DtpkkM7Tg55Ny z1?Ks^Ctp+P8PevwXOZd*FBfr#Y+LOOFNX-kVcJ&(C5#_mIl&B}!rP!{SXUP-H9%uX z1UcxOfgXoSrPo%1?<;_oMm2!GgF#tAT-ux!pzoltQ2`V-vIehk1OoJ(_1zi=7?iWX zpnMJN{AU65{hE|>EZF&1`zEZA#wWFbt6=mVU80?wMG#Ye(%&D}-+!IO4V&^7PA&bJ zwOHfaNm%P)m5WUlqNCOYpf{)lrId>3?Mz-M?c zJJ|4W;x1~pVeb#V0fHS>eu=AN1UnKcSZ7C5NfdO{%57(IPl8=}VTX?gXG>+CufZa8>(J!^=Lf zL%u0qnh8n-s1-m^zTb}99l!*~rbe~IziGfpAj2<)5W`fTG37oGOa<q5*MwuBN87Z%s}maqTI)(;}3MK{AF0Gb~l!elx!az+#l$evmPt6 zg*ufu&@ml#**+lZsnX7nzfPGgoGvwWv>!pZa5G)hp5!{cImlmp zfZz}Ws+>fVBKOWY0LkbU_l-yGZYIu}SLA3qam` z=-`f|{SjrsVM##V1@qV1fV}tQ!5x9TF93NrC!`V#)oQPaEW>3NY&BwBzSk3z#4Z?h z)19Z$l8QM6U67bQ{A&tUdg#K9a>LWThWdlk&naJ1n)?fKu zy#Bt&Gq~flS6G}=vCQu7M7Q#m(f{0yZpDzn-}{*es#2muHD&^MVNlQP&TGZ`mRzdh zNCQ$qvfq4Io3uafXNvU*_HgEvR3D>j>*()QHmtZd(Zn`NH`b4a$py-Iu~cOjST&TG zPtZL|eRSU3F_DtzzM&E=`~&lTX##Q9%UBlma;e;O`g=~K;vs5Mve8T3c=w{|;OQX3 zK`J+o{+>G3{k*l{+g!1PS;1i}(#}$>#*7_q#EWW-_u`FY2^j|;%R~#0J(D8mi(zpq zSCtwapZoPl!Mw|^)g!Cmw>wN>BxMFInYC$z$TSzvR_nn2p z4(_4?8Zx(`WKzlT!5&IXJ!B?6+UhIH){pE>@2Q?<`O;mWDQ9w`F%PsW&qy&m)*=+F z*rg+4G{fx(ZP4B`_B0&#y=5(=b^1kgsVV8{kpJ}B$-;3*;eKhs1a^C@E|>#sz49Jt z6JP#hsbNU{-BjYP5=j=t;EcHg{Mo4mSnVRjc#(XlIbKp=qbj5`y)70`uF@|agoJ&2yP1gE?cBn`Tb3YM_L4L~y}Yj={kfTj zlLcwNI3UUsq@DY2Xm+G&t7CNM!7Vg~#hvsxo|_R;@rJIXYPVo_@4Z;kc`+H^cLTdm zud}%CiDutzbtM z`jieO7yI-}K=X5{NpQVd0CN9waPZP~UhXhw<_+`ly>wMrQN-CNhi6T+ASx=hHfo=H zTs}cUqKVd0E;bXky4fLbTrIPOxSkjx1j0JOPjIGBg&h&->C0dMA~nFK6A6AAfkXX>u$+1^$w1pk`G6%+9RN7FXsn5!%JJx#XALlJr_VmO}Su&ac+Yk?-nF#xbXJ^fjq zaAyTm`)gb;VF{zYoV&Tr7?m`jqZkpgV`>t_ z8llY!6wvC4SNq$e84<~x6k|R9s>l5-W1{Rv5$G*4?cX=%_nE9qx+p(5>(mixv=iL5 zYn$a?P>DF7b@XQ54v(#H_B`B``3dr8PRD~q4ZcphAGhxYP}`)qH=~}`Nqs0{ymIbE zH{*i8Eb*b7JSf!Ha??iU!^PpEAac`A-~CpO-QFin(GP~I-wxy7Q{FFq#S6P-RuXcj zb((OgqBi44r{E`2l~O?r_|5z*i8-Fn?UJRKLfgbkjCDLG?8(XkEAj(7qo7{mv#p%x z)SieD7!Aq4Co0p-FWp%AutD`AlbMEZQ-3k0t`4_plE2+Fm0m_4UBf931gYExXLsl% z$(RSa>aho5RViYmXmO1`~DMEm32%V56G%&Rw3*@`A69gg(9 zMLpm~*k`bW!G1BM_NiX{5Rd7G9|6H$y!;GPv2J##MZ=RJZiP{|2zR40<4m`3GL;17 z!ApIzdlDPYuUsmh5{BUK)>R4qMoK7G$@zGS`TybSEuh-!f_7ot-6<}?3$(@E38AL#Ry?k?P4Gu?#$ORWF)iV?9*D+44%a|XGH=dq4Qbvy3Iy&5FFX)Ie4Zz;Qv z`}*_9Hz26s_pWKBXlK5BQ0qB+5F`UH;OV`3YS~gja^KrQ1=kxU(3{UB}eE|rP}mw-P~|xCm|Kh z+;IHVA8e~ywyDP)jVj(>?S-(DKC5Xvku<6JS~)?N*nY9fx}0FUn&~@^drmb{jF&X~ia3R}y0|3^Ol?kJCtUloO5Nxyl@# zw@cxyaPeK@#mxIuwoU0n>!Ram+BBkrRNL>Vkv`n;yU1UV(?^RXh~?`veg@1k!%Niu z`!6+KT2fgiX*3x#iZ_}cw4@}yEB5|936?R6T_o!WoYCra-1uz%1UHNkcm9!1l#sq+ zl5Tdtk=z)nTj6dH+Nd9TrY9$$CpRjOntLJjl6JjvfCaAGE3W<>LIyXEVSyQ}Mbl=8 zFsFhNhu;Aojp|pn7M{Dbcbd0!2qK=3;=XI?xVtbd{KkYUrrA1*T_apP&+J#5+KmN{?3e#H+ZeGt7G`}E43vIP#D+WLl&amZ4>+j3ujGUq zkC1V~e=pR$sdbL{q4_7aYB6@Qw+5@kS#crO89nKLBKgr2+Vzs&3)1Q|Ih)Lt?6QJpb4UVKcXWT3jB{D%pGTW2g1wA$&TC(=t6 zftK1a9~|wp9c*1iLefu#gfm@^L{=vV4+GP<`QIr4paEkpXv`FKOo%%TMuZR{xI_4C z3CqkuJ9j9$qwGf(Z*vd!feT~A27d5!B=|2B0M!f|-j$tvt|NKW=&~eZ2AiC6Tl;(W zL)K_HSy!# zztQavRgUVdr&>1aEm0HZ87>R9kewT-v^Y5nc}B6JP4=6+Z(}aDaAJk(0_59})F&Oc z9{zBvL%@DGpMkSiQpoq>9QyF;ipzJ(=p+!`kbkF)5jWCB)zD1Tsn(4mmz(}6?|7sa@z;X2PpfZ^Le1g=af~AG<1|ls`G5L8;EsH`r8K>d6A#on=1Vl{ zc#J0YQJs2E`E9lI)1TOPlgU(cSz4T|f16}r6sVGPH*9fiKw+%i z+XNZbsM$jHcvPXyZ2AuUtBi=N7C%xl*ph;ng~EAP5v!pz3beBmW4b&`wL{-YXTfOZ z?Qb%!3k}5wi||{AT)DDG$b*{)Rb_#)as2Is**JsLNf%4{bx=<9(_&FG$0uPPrXap% z399`g#SB`-Co|R|t$RYz+XC;>;wNlnuG<1>-E(PdFP(5iQ4rM`SuFo%4XH(EJi{rU zUH%at(lX|OYO8CdzsAu7RaeFQ?Gl==Q_7Kjx#vkhrDOME%mJTYP0yt=AN_Ck_qQ?? zehi`00Ic>d2L~||H1pNAoC46Nt}w!8uST8WVhgAv;u*B_IRkW10oy6rAq;Li5y7Ak+oO5osM$rGfNvYFe1 ziW(r+_ke11tAUrkTR_Y4b6HrkoPFNnRaO_J8hX%QWFOo=3sQ-;NmsI}R|T|OOF>+# z4}(_6QX6&}b=<|0V=&&Y%4WFXS4^;gEY5`|#N(44+5U2?w=iAW#z#5cf6JQkLxe47 z0ukXMy+4zAG~{6tKv^`P#FuN-S5ApB2Q|5oubd431dB~B3Lr{YzX9H(av4}IioK3d z#QZO*rk(Rk|MQCn*-=zf&NNB>WYASlPbKXaLOilTDPDfA`_`Tyi+QQG04E$9hsKS$ z#8^{tu8#+mj#tX$b7r@YC7l$Y1VcZVuM;YqIWOsR)hysMuS{;K3-=?1e`^_p=Q6mz zVLRFq51Q!pN)lfUaC-PDe^A+NU3qb#8fEmh+Yz|XIGDP<-RvjHw36zI9lGh+7GSvk zw1rym(|^T1$0co||2sYMr16msjy(2Yzrf}N|MIWfcac6$4~@Y`M7`qi6^EM4hrv+< zrxoGB;)lzuTS{U-(`QmnFxtKikv0{3Nf0H`c6_woNC_Lex?1&^pvBA8a}PS{<|%Bu zAs$Odmpuzdt@!H2r32O^D8;He=J8jcqLPhDrs4(;LS;Ck4xV+R1-}x1kc}i$lN4Yj zQ$w8=qpVeb0&Danj7|^+aR9A@H^@kJJ#aFikY!&9P0~lfTiW$Bd4><`OxnUsJ0aD> zwqpBT#$j1SvUN;j_`r5(3yt>bC7L@VPaVT&{n001n9aXh?K=MCIfZgj# zdbc4D_UFnyZ$5^;|5Ms1@k(0t32U6qP>fkz6?_R;4~^kzPc9l2q-QR~6J)8&#TY*g z57-DJUZzHY-kmCJzOg!07|fB-q87{=cYX_0od3ns)>L9S|KH#E`;WO%6-Me0P5g3R zjGuN6%IsmBGs}II7&<_rb68c&Ab$CcWVOY!FwV4gao=%6<~z)Bl59EGjA22`cU7B) z_?>nXo`M;6VqG$49wlAcd{F6IDLdQqfXTY&g-7cnMVA34f(pxkndkgqf#*Am_nh5Y zt(kOu&@05>xsuTR`d%~0+T+Tbk}FZ@7gp$4q07{p&K;Eii%K=7uNKE;T_Q8(M5otm z0ukm_T5&kIB`?BlEx&Me;p_P5rLp-caEu)WlIOwMZuQQIsZPG^q;`WIJ~uv!TzyFt z^Ed~5wq7UnDHK+p2fzQ)4xEkdc$Z`AbNGFo0dtN2F0WH9BeTzI0(Se-{v!f4_j zC>!Id%DR~D>t0W=j+Cj4(h3qe6JKZY*v^EKi{nlg1{m+300@G~T- zkm7vVIumUSNiRj}^ASOtN$xqGuxHnJdGusEC#S0NgQHi~oMvXQQg5GG=-TG2d}qzd zwV=~Geog8*#k3+F(y%Jg0cx?66~X?KLGp99U_AR*J;C#d7BaNh1f`&!5VT;~)ueL9 zYuxoC9HgnD=g4?izvSno52=vOLoRy)86_FbFq?5{oUb&p(iwS}jIdWonn7Vg-3%^6a|CB5axHMU%Y;*5?FlHaAFWafV^35paY)#JcU0TmqlY_9% z#-_XVA(CzQ9w#5b5yz#g;D}`9pJZ4su0BnjeR--5&UZ2G*fYPq^w+}mjU;(5@V08# z8yRGd$?6oT1|1a6U@O{=mf+2u)Xouqlf`cBaUJs!h(uG0N_IRFWBo0Q3wOq6Rka2r zUxOfzXGOopmR@HHjF~QF6BiByA#LGStuk?!7E*Qyv_zF-!*?qa!I00DQrI zH^)B@fN(5r8DOdFh6wt{3;c1J$?#+3z+Ld7;T}PB=GSd?CKa+ad6;BnvLg_))g=!r z(*R$->em(*iHr>c`HYKX(a-3))vuY06MU>f1@4h!+UvTxHoDfgwVO4Tg0H>bQw3P6 zq#VORihV;FUpc)0)Y9Va8k7Y`2;-CEVpD`f2osXyx=^<-e>x@ab-`<5zGi%&j$+X0 z7FJ8rP*Ob3m9N1HbPy3Y8L+3Zc1&~d;uH$>t6Tt!qdS&LW=jeMT69~k(*+EEXE<-- z0_Tci(Cvbg5(P@?aHh$0)>&+HPTY=vbw6KO*NTfz%4}Y-(j+Tnc}W&L(1S!4Y%Q+N zgdk7{Q&_#(OH9hFsl$buWiXMm8Yco}PzcPH5_JKk2sq+LiJ>*!vM?|@d=8h%uoPH* zCI~E-^ukfm|5vp&Vv)%Z08)1V`0Oy5C$drZA3>h!)5iD5h83-u5B7?D77-yQ7~vxn z?ia_14O^z>B6vK+8tgqHAjfo}+Y$kjB4bK-Rf}AY5XXjfOUk(&H;0GK%p)2g3vt~> zX!j)rTsh>A=m9+VDLltV`$cO2fXL$?Dc$?KC0F`uWn84EE@|C+mJE!?2Xl$XsTBGr zJEf!`fx($;WrXfM&Eh2?`n|Ys%E?jV0hJ6Oya<87S#G1`{V-WZMD7ivAz8S!F}>kl zVyMAuL>XjA_46<;w1z|uW(T0N@N~dHb+$lKr0*cDTd<|aB!Fem3e>IL`1ZL>@hcE| z_p%Mntw?qjdPv9o@rco|<6Tv;sp2<*YCFm(@&h@=Z?PZUbf=uTzLvc86KuJ^Skvge z{r!kl8SH_kOTTLuI>_2U)xdQQJob2Z1+IlW@r(FD<^Z;Dlj`&TU2Lrl~` z(Ge68WmVMe!%wQDJ9OCFc1gkR+iag$DCoo7JxYf}bEej5)m{jWYYCBWWB4U;uPlZP z_O}uH&|*bo&}{sQaZoUuILE|23&;B;HBV4N1`CF={(c5T7v!NsYgA-mO)}tSHlVw$ zxBlWLS{$QvP;`4R`lOtKF;_#C8c>A16$1BX(!lUq6HL_t>npuXb_}6}Qn2Z~jCRfO z9$;5}5%+xXgS~Xu!lUssvXMa5Fwm9pGcD1%`wS)B{YLX*=I(VZNEM0|`gai%0dqVS zFPunX^Nn8rkcN3|sy~D_y5`kARLT;|`cI;`^a<$Sx8hvuHaTB(4!^F%BH=)$!!D2z zBN2NcwxvN@c7)nLtzkGhAsx)PtBkLSS6YCKq&V$j`nq_S|0bh%$v3E&IqmQnUZYRp zPG0{>p*N+>3l3K81kXjn{52v8wX`jEIlQvpXzP9ou2fgHBn*)xG|ceqQ;T~JTf)HA zsbM~OlMaDDVQ>2u5hr~~6bmN_aaSEv(8a{iUG9s_Uxo>C)RrTA4KkveVrkOK^>k?4b)6bmAOnJ2Ar}&TlE$G*`Q}yyqPv*>7xa* zYLd#Ec0a8K4@toxURpm>aSbi-3bq6~e5oH3K1a)h$YEjiW1waI%>y9(BzATI!UXR7 zpKu1`Gt@>F+-!yuGePt(^uWr+ZX!?45D?P;&&J~LF}d888N=v({$zeQY9e?NQK#FVFA z`X4MIoj9XW#2MK7$fM!kf^CWsT5s5}FnCDOTITHSi>JhDLTq#@%3>sVbcpI-lOku> zGy6nN&_~!9k=4Kc$%n-AWS7-{niPdIDotHWn9ah^{7&0{0?hc{BUlT$rk7E(nguDYmPE3zFxT#Nh5mmS0-5JZ~qKA0Tv4KGi4EPfe9jJp??xpp&d+5j|wM z)!5llp_7cz@;dbgf&wfwvt52qaU?bKC!E^h{^Uq{`79u6$q0vIu%?Vrdu@_`3lkLf zm^KVQG4ALcpZuW1`G5k_Obt{esZ^0x@_~G^>dfn{_?}7AgXdm4`g865HN9s$$uPB+ z^sC41>gxhd>Y1SVK1zP(@xps-=1$CeY9rq$`9K5C&XxVF8IG{X6XA?d;VCLSEX8aJ zYn&N0t56ZQe$ME#Ppx7-XN%Vcn2(O(XKO*@Nl$HzkMF{+^3157Y_szi{O1$f?TK@2e}-qOb*;UO9fSw17Bux zGrA?X)k@6zyKFbHFVTUAao}?rOo(g6ZPQFR{hUG<3!p|rQ_z#t_6rt>BHLYMAv}_5+n&!TpGLf;mq@$ZrH?!%Mh{YA;^M7Fy^j757Q9&WT<4>G-O-lNRo1k3L+^>GY^ zRG|S73RX{AsI@3Q^udP?oU7fw)x_3DXs^?iC!N>2BmW<4WZ=pM6CyRrnu(m`=%7O* ze?FEy$hFG*biD6c{f<3U4#AEBeNd2rjo~FbzCvZaiwXY93B+f9`4(~Vhm+=Z_j*5^ z2@x3x&Yhl|Z~)*FwV+=b__?Cq^XOVxbbgl`Vvzd$T~3hVa|4m`D*+1cuYw5?n(yOX zy54?*y~U46z4}t*3W?f6lXJ?#o{2*O5&isuMu+Y}BCLsX_1& zuFV5@6Av6e@x~(7GiOb_cfo>`>c8r`$2{%>1*y~*>M;6a?plI-k6m9<(W_wem+({N ztXlOGr-mr+yf2);tHtm=sGJDSp8G4P={)POvnJSag$SKLo>RPh=Iq3-ii3?E0(dz=Ry`fcXt;LD{k4GnKSayT2+xRr3T^bH*ed@rNN0vt4>_s&MV%X zCdH#rTcbKJ**3kS;yAC9nB~o-K>#5Ea1t-qs2^WEbRg|BTrJ6v&@tg*tb`8yJCq(E z%euTpdOAk_a}dU`p_!Ght=w1E|aO(Y6rh~dG1VJ24xAjM3e{Zp`~NB2&nM3%Hv!)f0bd0dgA*4Rcplb9KDSl1n2X*G@ z)I?q8POD0V+cSky8l|a1DqlISBESJ{xpUJq$v?!N|HOR4n)i$&RUbn`N<5!^7d(+F zP=cwFQ;Z7Ec~`(fQwWkFsmGc`(?DSjNk>v4vKIDOWCV@hG;Ar;oiHS=txm;V{hYzZ zJREUxQx}mb!n0vCObf9Vhcj(fW-(JB1M{Q=ua?F`|B%P4P(lG;6Z?m-IEWnO$nGs5=Z z2{|2GGmeo7n`S>@*+~TxpR1H&-Lh(1-)0!?Qk5m6mliIc5I1-N^9xZjXlD#LNh;DxSlJ=JuZH-f*6>y?EAB)x50JO` zr|Z9y43Mrv)!FiIa#;-6gH9t6)&R7_6&*A}3)~zD7>iV$eD8YG#3NX_HwaYP`0cu) z?f$&9ON>6IU{ua{U-Q8s#j-|EU%R?AS_?D?iM3KmQ8tbt^J{RR`0CBzYaa$(QpHi{a;+t@N=fv`ixeZ9keDEE&$M{}SQ-~!sAsWR%(k`5BL5NWDrJ$cRTl^2B=VNi-OPz%f zw2di-s*wSF$piXtaAhpmFXiOt5R|z0>aZAm$XZ7E3Z8yK6-32@qdH}T`9xAtE-$< z%fea};oo_+19TUuljuy#7C7Pl@p(|Rkp)gf2M9zX4vO1ho>d++Jz1Da$NYDfj2UEn z2!WRqaT@xCKo!9$YgmRq?AlRk#6Y??%G|xS>7UF~$Ix=Svdv%h+J*vGqK=PUW%WMO z&(ze+n$<(j-u)F2ym{=9V~;Mu$`gM_E|y}->hZ`V!+shaKAwc?K|jMO&z_t<>Eo(g zbe5bbw_QXRG48NUCgwuV>#=suy~Q@RIxE5%w-S>I!^)5HB$FUKibs)1+Trk~M`#@n zK#3%h_Ri5YObpR9;@_ZY;Jx7YX3jy&KREI)qm;P%?E;On_8K~a?u-* z2uUl!``AFZlFYMFWAD}`!)i&+HDUECa1#9B5R z8sQJ25aKk{q^Oc|l%jmfFXSc+Y0!cF&sMLZa@MQ9o}M4OhS(m()+x3XqH2ePXif8l z|1@8)qQitVd+V3#O59;PxckRH)ou4A5RZCG%NF z20fibGo+?7*!TPtN$_qX4EKTQA`7W%2m>t1E3;Srm>kP(C=*wO^_i*(*lo=<6&0{` ze+NA#;AlRqZPsx)z4=*kAu61#}qfWS3tv$!lfgQP7c`j#C zOWFm!1AfwlNywSWDt^1Oi~6?xHEcQ109b5cj#YZ>zO;CBY2L1s)>{VWC%RJHU+9VXus==BH4LHecE zXNhS%1zIE=oUo*G-TDb`o9jJM2@HdcSFflbIX#>2!|6xGnL$f8;ocS5-s}uaC+ZAK zZlXu61L84WBuCMg@Uz69{__@h97msozjU~-R-cZeH-;T2o>i|)Y`1cKcguG%32~x* zOW7=zg%MGrRqke!-#ZRIjz8mB=aRw5B(mlglKD=~_#G5%;lLP-I1#o9zL^fW3lv3u zUDpxRWHNl-(avhIf^(;Nl*YAS^<|F(;YbED9^=q{sGe{*d9UQLdG^8#(oB*H1u;XK zW7D8Dwx!lqlq$QGT$kazogd^Vg-F}4x7g!(IrUN}H+AB8r=PKVz#lyWJd92@SM3Kg zhbqY5(r4@YBVN?xjx=i+oZw0u{y?ng{LgN_1Yf6~kFtT~O2M=?vbWB?GJ2@nidz}* z!dP>r=3d%wb#?zO%T8dUYqXWKW0M%`rVq+dHhA0_uke9fGU7ol`LQ7%T}VKx%xR7f z+2BWesd0U#OX0PKlK(sMSmoe{*d^9h71mdcF}mI5M`Isu%cIU+73ob<&KIoaGojyi z1bBC~+HsLP^au*sgTlE#qnanFnQK9_vZP7i6aK+@H^QoNytTua>q)WD2Q4jFk7|`A zb!i22!sSPwAY%?D1p_H6)Dml88^z{Ce2{~jZmyq3r%gK)5S7%b#OEX>Q#EC3{mg(3 zRB{gk0736&8dU29@0U1V?#-&%%=8O!l+Y6|y-70Fd(d{IEs3tAij9sfLettj8_csd zh*_>~M{ew`G>1UFCO2Vf3R`N0+S<`=6f4_^q~K&cy_<>evt9qZe;Km7x{~Pny71X7dxwUQg8 zt(94~r9;&|Y6Bq;pxk{jPLTKzF6+;(uV_$gRs@9c20N_u>vt;FGC_65T{7mD=Nt-a z33%bd;*?~}8i#hpXXz2Ay{r`;X{^Ft=jPBaTWM@=5-j$oGwNV(-ZZz<9nnEJi&1Q< z@))Ojm-H7A9|aM~v*EU)wT;#1gK&div8ivE*EY)02UR-IlSO=X&S9?87aGY+G-khD zS3g;9gt^D2c#oMg!~+`EkyA+kp-u3DUy3;=Jn4Xs;b&aZU4RAj(En(1r`FJji1g6t&m(?KKo%fHf0 z)@Ui;n1AJwy$oe1c)_wGK!XJVE#*m6czdZUpB#oYul+g0E$R8@E*|S;jJot-D|Bh1PptbplZSf+tVB|vZ2qt%dF=OO2Z?u@#S9?6}hkpcwpm` zKD*VI>kpYEI{L)&Y^~{of_z6oqFr>$95MbfE}{pM;fkP^NS@I=Tg2LE*GM z3w?n5C<|SIRY9?XKB+QVG$xktIR{sl)Bq1-1sgB3>l(8enzG4&BoXBcLAR5RaSm7F z>^Ey`H^`KH*n=g*WtY8*07(=9k|+Ws;RS|A+(CC&Eehq>mw9$VO2XV-zwslD5 zkI7p(atMVUhoLTUl@ty=y)wDS=76kj5vvxl9tWaW6+(dySgA$USLCs}4CqPG0JZ$3 z0I6b00g7u#+i%x_QK`b2TfrB^C&Srgu>Mx&f{YVwn1dSJ7LOBd3g{^cTtta^R{ zQi@yKKDo?#ev2X(5KnbdgnG*H0Df$^h;umDTTbNDR_VQK&fGbiW(2+1+Xf9+;e;8x zcs1&|qI?H9)GnG*g$Vxy*3A5DjYXmVjDifm4j;XkvV|Oni98Fr^Rj})EakAuqDG4UuAqRokGy|ra4Kd~mOJE=95s9`VA!z1nZA8Hw!yPv! zjS5p95Anh^$--d< z$-=AWpn8UnX+6&Q+9=;_K5J-?b9x@U2X;qJPt)l=oyJ|M-gyH73G0Nk>iT@vFRG}9 zPWjI}M*EWHxVgY~G!G}DbSw3uQQbPuF`TSF^TPfFdN~!K8>Ct9MApXd<|a*ddHNY16Q04V4gI}YtO>IzPIHXm1{tns@x}f~U-0T!5S~%U z&sez9>Frt`3Atc6{VpRQVV7==-l^Z~N-i*uzTq;lTw7!6s8{5o_I`;*?s5G7)h}Ot z3Fj?2KCVi0bT{o$O`h}&>+?Brks30MM_|1|tzZ=Jq>$|06VZ>A3 zPShUyW%bc%B88*bR29mV248iiVqj}!ACzkzEBY!qXSqlmyHCCV+J^H8E zP>mI1I6KD;nsDTEEl`4th1uvbKKK zu^}=4WiN=+tno$bc=+q#zQ4bb*GDO_8gX%keEN2)mG_{BzX&vE1$yL+6h)MC%vyrS z9>Kh>Md8%|f)2oBG}n^F;hlF`S>P-|5l4nvvdp4(_16Ota z(CeOgOcNn3=8h72$pjh&yc-|0p#iBJ|1Qx)l{-0J&T9uVP}rP7?+9HZOtC72C+Kq( z%S%1cozI1Nbl!_eE+VRImTlF87I$FFyNvcA#a7kJ1r!v>X2H7}nsv#Qx3fIn)Nst8 zVEo6gpdg;s;`4{n(q)YbopCzw+d1bwXVgNfn4BT*?S?kx0v%T<@@1>|lvbYwI!yby(6U6dBN*lNZn?7( z6tSY?>2aA$hG6ORs&f)94;%p*krO}pHk$a`L}h)njEE_rv`#Ufb)Yy>A_j4*BAa5`-t5PI)abc|BQ z2wxfmL~T{SvB0KXJ9$8K@!6kqsj!_`Gc&Y+_(#b92)A@w7u z%}-7?PnmTGTPc8To@CjRHYspUwuYf+lxaL{TGIl7$s-#AB;d5Ry>Ft%>&^u2kbN-R_LdW&SY(}m z3;C$UQ4^iZ;y&x;J{}h&KAFCZMHvC5kJ$BKTCnP-ORJt&t}>~72?cc3%vhCCH0r+G zCk8`cTqJStk72^wvD5bDG-0^1_KFm8TiSeO@0lVuUx&|jnA-*x)#n&;IBbkhvBa%j z4-`*#B_A)Q5AtZQ*Ac&Zgl>l}Dx8Y>+EnYDik%We=NlyI`Zvv@-q6DT4jaS&W?|LI z8(D38M)#*A37eTk=@eM$9E&E}eV%ahKNby4GbpoW{8n!6VLg&ZCn)ahRE21$(c@KX z`&OnjMCx!J953m>tUg3uB!pg$nU`pa?kfw8ebwnddvBJt&IWO^>_@2joX^HxfWFCD5i}6Zgi`b`Mw3_Dbt;0f0 zc#S50s39P~lElsv${;ff7k?k<@}!%^r@bjXls6C|me+8$Jx;^V71mx;nno$>Sb#O4 zKcq;UHCC(yFty@Dl;lSy4n#zub(|hY4H!M?hu-p1Yiq}DdDNbpVqlyJ7Nng>-6dag z?sw5wW>!A;*%krbn5XxJU(UbC!hg}ERj(@kJMGtDl##n3+tiXb2!GzNRyrTy?VMuC zCiZhuD(#E2R$_hC*P{O;K6%bNhZs>ZaM$=AOuM8lzed=bEK*z+IZ*WTJ=oE>@^gpl zdxb?w2KB$6u580~Sk}4{pAmbFDaT{YyeA`Ml10Z-!P+d=mi5nGM@;5WI?SwT^u_jF5z)<@VQT#Q1X>xP3Y{#{~nA|6jR#v z?np9+DA7%C5?q0|--bd>$^Ly0{QU=j^M{LvP2ic}E(JTbw|&B?d@24h8>(RL8xqnL zRsRL;w`-FDGnpbTvH>w?wm|laBf|yA*~oZP)tW9-U^cgvYadJ0-3S*{yIA-*kbt|V z@o6FEnv|dS4F|GuFg^OGgbqzhGs)PBcQYjmOVsVkt0Rge?9Q4lYvK5NYM;I`k*V;+ zhX}hlAG4X60C!hc)Fh^tb9=Vqme8b(ch$^GH+@N?pZkz{cEof)U6C&h7_cL9TbT(= zcSaB~lZtRTN>JQ(TJ<|wxGzPTK3cnV?QQ;G`pN&1n;%#J;hCYpiNF*6_niK~FhCAJ z3WLG_OOA>E$Z@Ig8q%B*Ivwk;^WQhjHYLuu`u4XK}%YED5^?)Ylm%JW@u7x&zS*hh7X11`Fxy= zBRsBhUGaW?x#pO;O9*Z@An4YoV4$wR3Up657`nn55(h=+g*5Z$O~;azkD6R`Mmwy> zh1N>E=fwfV{Q(j!+Bg9JiVkc+&0evYAF${;qyMh`p9j8iq*YVO!huJDEB%2uz9y8xsS!OiuKa-yD z2LCwcb%n5W#=Z5L`Q{BPSsK)#yWnjePw4v=%p!M$qJ+~KCr$}8*~oUrVQ$TQ6KQ*e zv4kF4=ykGVvatT5VhKGEEuaI}zw7Ie74MqqKlY*o*o)G$BaV{b?*;GWT_39-rSQNi zt|{oc*jj_K(E2Y2hv88u^v{-zy<)WP*5@PBMXI-C9-A$rKzH%!Aaj#N)_}ekD+Dz6 zzrhB){vUUBx@mDB)<__ixpHtzF_5u|oV_By>3{Fj{(GOh2Y9~|_rLZGCN0=98H8Kg zfyo_o#Oy;^l-+^5{CY|8lo#p+u$bxVU@tcXY%%{Kc|*3}H-nws8Z*Xnu^Uj~0dXdPJ^D0jx;W>kLL zL7;|C==)Mq*|#mBTdFQ8(jBcmiL~{7TkwK*#xV^3BO7y>b>nW@en@Z{Pl`6olQK;| z91Mv5rNQT@`j_js{)XQDX*^EaMkx<;fSL1lZSH!taA)KwVHpKN(aULwR#A#|2x#6$ zW^!Smoo}uAx(>Ddw2q%L6zW;SVHg(q?}Tu{EF-`1Om2fxrgTJ4zBUVYVdG==A%@2# z>z^{S^~8C9t}#P2Lu5+~7jDA7SPuYnXFXn$#irF_*j__-hBrx6?$&RU4 zgwLsI!j@1$acgOgf6&UvIf?%bAwsW#f8yu>5XXk{k?LTh|#U=pDL48eyO z212{xm0OYzfO8WVm(x!GVHnoNuUn8zZwONX!d)86sOSgB$*BZx{4*9c!>41jUcEFp zWr7kC=fI-WdCx? z6MyB-XiBj?#f}APR6dkDjU7evydA|J^=d~3CjN4vv4SOyykio?a$shw$Du%&%N;(91R9D?6#Ut?sPnSJLULy%v6IO{@+l`V#PY&barakfrDM8{_Kv@g?Hs_ggt#(3%nC z_sjR!1-b4CuYBJTUZDVX`c+|(i)Zx|1+DYP5arIuSE2Jr?%`(rU-G)lPyzY<43OV- z$bkHI0OYqr<+>oPw->_UdL)EKI51GHY@X-^IWxsTmM{KQT4!XF9%4T}&edW(GMob< z)Tjox6a*o2eMkadJP+|36-S}65)x^LoU6hq`gCB}b`Wx;VfvzX-vQ6DQ8ynwUprTi z!1;nHZrXk?a@s~9H6N&r^j~9gmo(7m*dYu1tEw_8@#*_0Jq;h|TNg8kfZl*Wip}k~ zA~Z}H(y1q69MS1X+!9*sFzrZ=b%eh`N!`Ob1&L(=`RrjKnZwO-|%)&0=kF5hp| zcg)?z^a$}Yg7(VSX2N|wv*@fzovAmEGfJ3DB*~7$wTnLZEI~b6!0R=)y_8f<>CKJ@ zV3z6)F0=_0I7Le=4OAOvMU@QewvrD1|a(H_$&j1fgSu;|5b6 z*WnPLl3)8&gWB0rV05j@dzuv7GxNVh|h9@F-jT+?_cv|%pwlk6>;-cei5;0)v<)w|U%9#Kf|(kmYMPNltB^So^2n=vF^qk1ZS>o*-} zG;B*HV{F$}Z`R`@Jfl2xGvN#;BdYrO(PEcH*F?ra^;1S-lu8BX=;%E6YqRD+Z+`er zR$YgWSrLhsT;)I>5tmC2;n8;PTMAv<0{@Di3_Q#D=00zcMz6$hGQ2J@RZXz#H*~{Q z)E7a7j3x)qrHS}fAH9x*uCu{+%%*Q^QF9fdzw0z zm9lI*S8qGkmvnya z{I6gp)*%Z@=v{R1N7lhx>hjym!xNNT zc3_R$jTwNilKcSLAZKxn*iZkW{9ZaC^;!D|fmISssJH-fIK65{8jqni%pT}}>aU5t zX+B;aVrr18b(&*5pj2bhq8&S;tJ9--7?_9+8JOK;hDlOD%rwHx?h$kmi0&p0Op@Q! z;QcTeOO1@xVwa$h4;p$z)ABvweXH{EbJDi z8m;8{BUB%zS(VfFf{o2vZOU3MZAztAGn*N*Ceh5q26x?e^`Wg@67bnv>4NNnstb-J z+_UXVvn6cGHzOqWoFh05_snuZh?SiY;vy=zHB23|P(tC{`)`U{z4OjR6ZWe=Lr`t4h>b1rfR1_3D2CvQz`cTwP z3K~79FWR=|yHDOFvaP@CoVgYwyP@PYAON6$CO1cfi-xjB3*R}1bE{4zOUY$Ob;}-bgLO_m5Wc_Wo_}JJee_W;+K-yFJ3Tw~;<`~84~h>9M6gw@^m&R(gCri@^tumJTG!f0{- zjXMkPw!_O{e+J?k*cOAB+rGQaM!(&l0*w^G{yG%-;r{<4>^s2OY~Qz4sZ}FpjZz^b zs8PEm_KLlVDq2Em6|uM0-Xo%F?=D5r+SDdGtk!62g&L)H)hgeezQ6bX&HwQo$MYml zb3f^E z66|qnPHL!54Z5Vv*E1_vl|8kd^y4_vOs$8A`AoRcjX2U6<%c62q~ru=L#JbpO9GOi zRjxycGb&S8Af#WlBehS`C?C49)crjy;uxyP5RAN)^I4(~L``^m(H8}rpzNgM z%F($l{gcXUc(UTdbj#QugL4jZACv9pcx`$*)tcj@VtnQ;`-Kyn!BldNJuAZCOXs-zJZ{^>DxXbV(k=&bG zQRF>+>8u0${{9wQ5EAX+VE);8h)s`{IN#P*zZ*NxnLFKmHncr``icWytU z47gE#GdB>`-sk1S!n5(1YO-Itq+RRfnXRol(9dk7NWz`Z6g+@5n&4M;h$DBezR*IMamER>Rw$f#p~gqpKfaH8=o`Y+QN>Zxl>!TNdJ_g(YBdQoc{O zYWjM0@lJ+dVx)-mjB@~`RbnRggR)6YmK{oF2XLrhj@<$>j=oHyjDz_ata%K;sgc+u zAUFVb%`l}gA_aoae~3(J%i0`<1?%lETT}5+boTL>5%?IA_x%m}l?jeZ-F-|=j=>7m z<@^37?R{)#k>Q82DS{Ni3S|^Lpo4fYmk?W!jR|45do6w{!w|$EFL)?Q&3mNVeFQT9 zt4VNhKm}pmnkGJlClQ^(7pmOd*QdI-HTNLJvIDsvE1a+oX3svDJ-u4$>6_Jm%Bn18 zO9JHQ7Ut%KF(S$qC*KT23W@#jLCCm_B5a4RmR=SXB5$3NP|$zl|Wkrb>I{~Q=S z&{YRFh!e_K!WZQ`g|Nbb#pNkFAr>)de?9F-$-*keRm*}K?(nqq!kU!ro@Fo?af)C; zx%c07$kfH2KDF2sgJF!R*6>yuxrWv)U~yJ$gI$jOiB<3OVq?Cjsl>df7JYG5AmT!tgLXN-eeURCV-yWC;kr!*2o zLeJ_3s~bGt-#2?Ih6Ixa2__8^Oqwkqy$E(a{a|Mf`sL5;LSFJ=?{;!qN1vzOtOF93 z00V#G4%vCjaM1)vz`If?vM&#jSk-vuY)jNxn^h zsLM-YT!AA{lD$I?Gyw>g76S(ycTWSu`>)@5(EjdpI>8rx{#Ub8B=^> zOZ_y9ZN?lkhl*9C<|_*bho8(lt!Hjuv>syoOdoD#Jt3X1T->4z10H%%r* z?4+RK>RyccEeT$Th@Q5BqB6m7{8yCvO)BjLGct|D8)OE$w{)Varl{kDdm<>jA7w4J~KbVI)Qteb4w0aMfKH-p>u_E_pw#?8F9Pi6zfNC@a4f3*!lC8unoJ*Y?Nye zay^B@OH3x`VY(7HGAnJ6!GekOTp6{O%bxawX=3A?j;@m=;n3tJPRJy5#58UDD1^OQ zZGhh9z{H~iZiOyGV{)*xiCF!ExYvOup||nK#J8Cco3h_m;?4ZUN-XHaHyn54L%`Mb zqab!u$Ge1PWbl$_o7YxLvDEe0jgcVsVN`H^aj@WJ_Np*jVATHAl0nQEij1*Y&`x$~=pjfVfn(L7;S z7XXq;alfuRe$*S*DDuoJD?-!d9k{b zZWjtx)XzK4epo4IuQqrf`&L0L0!BKd9+JEthh62=Zu~jn_~Gm=XaxhG@KNIuV0+%|iw zhjqs}V!ca5($SobS&ur(E0xOI#)r`JkBrEJe6?i=6vI!cSuI%WQTE;TZ4fmP8f$hl zCGVb0htg$gNr&U%Uw(aNg{zr@>^AgSd3J0aOx{+nJ<~3Rw|wL-R>ebK05#FCp~)W? z!wrn7EO16~SbLY)G({OrAjLK-J+us*aFy1Kxq#6?UUn!&4`*RNaGBJ=QFtQ8z^tgi z=nl|{?Spt3@*v{UaTVzIbn&4S>WBPWk}rE87*BPJI4%vLRN{DDSZ2{pwuN|dJ;szT zL>5pfg?No@ntBz7Y?|J)<)l*5iY`csENGMN-A7cU%{?tg4<NoS82e>F}IrXw4O_5P!6a)Swl9YOJDX^&*+FuH%c<#Ks?RV6#P zp+qVmNXum#TRE~o-)t_m0(7IY8T?|j`~g;%blzL**-a}}NyQna3#cf5lRk(^W`wE2 zjOh+CcxRp0v&qn2sjuEnWs!l~@KGXuo2tvgf^S-w~H#LH4qxM(H}(o3Det z`MN#jtujvZJb!VVllast|BAe{w)EfkiJfD`dF zuIUHb_?rr%AU;J^n_>_C2~j~VB=XUyMvf1(ePjN2x9RZ)84hC7Aq4J{=8@{UXjFQ5 zAt3nQ$Y$@F8XhVRv!2z%bFZoqMY)~*k!eL);v=$5noW^uRD2=Aw-=Nz9N4Q#c)knl z=?77Kzals<-eck0SPh8khw1Cg4zB9q_p4YFpNv{jDl6`LI(M*An5-l^HeTCza78R$qBFe znUda66Ptda8l3jGa@D1%1i${awR@P(Ah1N?Z!kb%xq5Q!*;IldpgK}lF3V%2p3#6VGTr}x48wS!NLcW( z>A|ly$ET_@P?Z48}P#8r02v9ZN_*`YDT-3$W*+9m-H@W+HY*AsU0lYMe#M=xX8#rNp0J z+8?U?bc+zLAV-Y*f59h7bx|_5-~dtH0zS>ZwqrPh{^JB<<;Jz7OhuV=P=}$bFYNYym@+hBCeIR_n1CmVH2Znh(*~F zpv6BU62OQ_03#*=jF_8X#ISwcSbNsqBW7?#)Ge8(KBDqif?IobV4{-O+gKic(#E{({-Jw#h8=PjbGVQqyxkX!JOEfZ5E-wh zVMDf`q7guEZszaAKke$_{r%w;AjrP~w;}*$!u@Z$h)OZJO}X}R+gN7qPtx+hQ}ivI zl=#z*SA7H_SR8$raV=bZ+nD*1w=UO@A@lffEV(m^wGc7Ma~YPCQ;IG!C_PjT^d&An z#Q$1G_Mc?i0RKqEv_|~$NIZ#)n99vezRJ}5s^41P2r<-yVN-DiVqqI2Ul$u>Yz_HMsDp}9K8g*} zzs5B+dcB+cCn<)LJ0`Ai{Nvr;oop)&8zU*>-*w=~HC(Z8Cz9 zA>|8l0oREILgWnBWILZ5oB_2zLn;rzBBW|k33ZC3aH|K2F zD>v;mWffRG&Bb~>@33~Y zU!A7h}um4dDJ_YPj$ zr{Z{SnogWZw`t8KJ$xtFVpR6GCVsq!l}1Vew_IMDZfFV2*C zF;bf4AE5Sp<4X6!wHWOXe=ULM8%4??B+e!?d%1_`?)*=PN|E*C?pOi80p4>CN}7^a zPSUSgvh3Gy@L0^ws23!8X>gq#a;%1F%kXI8L7~du3KgT8Mg_265;8CgsutYNkj4pz z`7$&l4O^jHhOQq12nnQ0$h}ac*f$o!wm2-Q393Xv9-xiyg##L`88>z=75ryXGmiwdZJ)M0{%rra& z#v(2EG^;)O^vp9}n(}wQQddpX;O{0;S3Pf}t{U8JhWES+3A~ ze`mTuD<4?hT;pl> z67Z>U&9742TBRze3SIv7pE%7?5w&N|{&&9TqJVKqf?0v7`{TTVxbEaig(0X#t=2ui zyp-XChTLB0IKnSl$6aJ;po>a-c_3yn+_*-`6?ND}cV_bwUt{}V3&)U*$Orwcw>-;2 zfBrB$-~8Prytff!u{J|r)jyMB{(kWJh|Jc`_I_jiNZoK|0cY3jlBQCq8-M6tMfc#F zeJi>z>`b9i%>#qvm zkN2gOr=&V>nYB5b8_#1uA`eF0!m@ksW1obvIUnA!DO)K&9C|%E@4v|>pzqKP)pwvA zlFDRM|2h8ZoEhIUxS6EM*|C=S@0~t-TPfGLUj>*xSc^WLCVl;kUl}1hJxg6!}@PAB@QGd#27kZ1}73~TC=Z@hrR?1 z#nBj8)`f=o|Ci6N&zjWK#Sq)-PM)t3S>zc~FqOu$u~S!db>@9IN_Nd|9sK-$^}&Ph z%LC&P4~=Uq4Rnfc-NL!Hy@G_Pmbt(?__akkOZVY`&}fLNV^QIziEJc7meP_2TUOyEk+UMpZuTg)TgoMv6lo zT;om-d5{Axcy#f|O{Mo+JM_qt1nSfF+|z3TpQrqKAxt*%JE@6qD}^U$$yLDqnAT+Z zpjdwbgn!Sk2arHW9)M$G(y?V%QDct^(f6r{N%D`9KXux^Us$e;RHl-B6zJ_kt!nG6 zCsc!4w9gyKReVj`-)FCy)X1ELS@Bl#!J3BwNw95NBNL$=ziD2bLZ#Il>armez*6G) zV%fl1p5exm`1Qy~pW-HBKbJ-|yMyZ{xDe(CV*`83Jt7 zc(*H(Rr&4t)a`$-Ub!^#i=sc`tg^iiWvU_BgJW}^2MZZJcij>#Bel<;iS>>!^Ww|4 z4fHOkySsk66;|2aQDtz2?u0*?6x6QH#F64$ixaULXF%`|pZt)ABNL7#B?96>U^Ejs z4*)*og#5+-LD$h#fUb=A-TQA>f{(`Yu=++OHI$$Zk2ub^+WxCtGupIz zrapgKP`RkDAMO&HJ`NZ%W)T!6C$ zhB0Q6QBdjTvre6scCUT8fUaD^0_KdMJGI<_Sy*m&hDL68EI1j?0`NgcZgP5eBM9U9 zHV%31$BE~Zqag%d*CSX8t8~-$QHQErYrtNA;N~J?k{0BSLP^+{U!Jk4F210z zOTo>Fi;w{p@*oMQ;>b}lRl4{`aMPM={s{a?QlK$V>~RgRgJiBvc4}OSwiKb^le+JazD$ z6ZZRxZLhXnJ1wr;b6$nj{Wzj+ek0Ab#LFWVz)*07zW8p$&-zcn zg)AyN53iFcQs$!7=}Et;uBgmD;|pXM^!^;-U&m?dhm$tkOtg3nU0;93f-$zJh>cN| z;gq+#0r4Lcsi0g-Q5;UO@aC_~7B29;|DyzBA~{8w`&~npFlBJN>ZeYqrGuW-qvr2p z&t)-vfxiUuS6|3tP6Ide<$l4|-{I0jNP^ERXjJ>w)){;rbNRwC)C)&SZmVFUdv&^=rhQn>_MC00nP8P_mq z10$n_4x3)9D zyJb8AVD9Q3=^2f7 z=MQq&SW6lpjj3s!>m8m>y4bM59M7jEnjG*k7({aBY<0!s#<{}_oNfmi7lr3-j>QBYwI+nne`~;C z>(3px96u>F+D@v>eXSP|v6K3p`K5o}-@=0jEC*VphkG4j1JZ&S6kd*|bDhDyojkB2 zO%S^W3IYuhNHGuW9_gxeekl@8d2vB3$hEWJZ&s9vy_^QLC(cU7=YBE}`4%bw6FHmK zAX#Ad@J7S{-!mrBrnI|jexU1l%jsxG7hlnWksfDx=cR>!@RYM|-dCEPKGE zs+XSTE1uR}4>%pBbsQYOI*{Kdg;NBg!65EwqrGGOr|0vUpS-I|Li$#aF5MfY&TW3m zB9~%ieM#TKpm^SdQ9$&A?%~r6af!23UKgALw7W{mb}UyEOs@9eyEx+0V`Maq2f|kF z;OJ+T;nHo~`4{lfEc!o<&PWF~QRHTGc^6@MdaetBEE_ z#V~WZ&>P?KK?3`yJ=o3m*@olcsXL0K?B;bmuF$lkQ*?%`Q@{w$K1im^L+sg?+h74 zyxBzQGKh->G^!@_<84<$MmI+>tg04tjA$Cvjo~$%;Dp0HQ-l?3G1^MIv}{PcyIJz1 z>atKZ1I+p%s6mJPZPL|7;4fYQ!Pgzg-^k1baK-#j(elYyMehXcl&T)y>AU)i!NO)f zt1keKo1Dl4($p+s9s3Lu>PFX^l;(=@*!d0x)02_tdYY2*U05OiYDYVKQVi%mrc3#& z2{U~n(6nIn@-tptSVhiL&WOs9nG0#uVGbZ3?)C3EN!l8?J44wjQ|*mZwO>JsOy#h% zM2f!h4lJ*F&8S<&_$WWI{*d%Oeguwy=|+U$M{c2Oq-HHGn+O$c6V&hT-dy8=87RH7 z#c))ipayRt9*40`jpy0K(2ne)wp|1K2wVF1;AI+I`98d&6Q8+GTFa>xQZ6?3@O6@p z_<@Nig$`%A_DHXgXvFJU!?wwvhF{@E0{0!{wZFRis7-qd8GJLCSxz9)`F5ciC!5EC zp7(&XLCl!j*q@*AnBMZ_Z87#Q5p#>Tj@Xjq92uL1I2;~dWOSr_QyN2FklYDCRYcBsUETG?$t==|?dB5X3SoXi&WWclMOS>_EfXcVE8&jc=WXYp6#)RpLLSvf_8@(YK)781MPt$K$1 zn&OKl+;4Ob`89(J8`Sw$w5`PMen5|rs}wA{ZT#ZhIyTdEB?+q9)(yW5m9Veo=l&Fy zpFeC~uetE)*OH-Y6f6vR@rUnVf$2$i<-~=s?E(8+LqCK2zMI|wCE90KR&v%guOHP`?$ zi&FGF@ZI2Uj*YLea_8lp_{eL~v5^KCkJVhlrRhLjE(M|4 z*-E41ps(5<49kEqQDQ!|<@R6@y!@*}1vpTs00#;c;6OnK94I1wMugcsjIg7Tv-Ia1 zRiB+L$1nrE&`Sg6LUb)4+c*G2sqr^25XmH3Y`i%$%rL$*EGdd&B%3crLo`Yco%aKS z^#S*2iejyTPlC=^_4q5W@2f@5vrJ z>tDEqdb2h`Dqw4Mw%waL)4J1bq_)d#q}hpCl-_D}u4e9@9o1to8D{a{Qq1B&XC+?$ z(xRQrmr>mBWd-?TxpmxwgUwvhMLw^tv+rFSjymZ^gRW9%WqLDTnXxqL4-Qb_Wm3Kx z+5E%#XkH?fSh*Qv9nQiB{L08GVmWc4_ z_%)ydjc<>Vzmf`tPolYD&8r~g#e_F#S}vBXm_vR%Whh`p@xHO`T_yKRRUZ#4al2 zHzJ)M(fS)(Z> z+S=j+wB=7^fYMEu?a)d+^!|RkdbBoU+MBlrp;`Sh2K&jKegt`L@9*?m8r!#1K5QA) z97v(|w(_wbb^zSf45ZLl9(9x^K%E^O{LG)f@yMG>)51SW1aLG{7@M7`cZN{{c*GOYH_58| z?~40P83Xhw53zrce^blq1f00UZF^?{J&3~F=`+UnZ0o_x7`Pkukh>SAS~@3_IIc*|+@HDT_zn$y;%Mo*Umcsd261?v_A zA2`*{C&t7I%-udJjJm?T?l;>jrgw!q^n!|r#YZ?nH9^FH=hvizq$*D25GlnWEr6oQ{y*t@2)#tNW4$S4&%kmD-vhdTjHFk#nE}T&k59 zOM!z@)v*OtFRi5WHdM#Voh~?M6?>{1|w+Ltq7A)+Mh^4uqF-AiR_# ziZoR~wZN-Ct*14l6u)!OTnf&WbaYok-uL}FkW}Q^K}<%d!6y@Q0A0N~+jzHh;2Z$0 z{2^A84r%e&sS_={Jryj$3_ua>YKz6%QKFpgscWE8aZ=6kuWwdx8G6{d^ia;pIkHib zi>kKbxt#wyGkLXG3o=Hkhq~&jub9&q+*!bcDlp*>c7Bl<`M9}ZgJFk}0wRiGm;-O~ z&K^T9zMG$(5M~d~Pz-WX(Ye`{$oYTa=;`=6hM?mfTTh4p_(BB07a{<@zy13$T`3xV2C@P!ufdX(_urQMBt+16aRw zP_7Dw4SPx~_|v06SQrHypIDQLtl(eeA;75;wTL(sQKpK13-Xt`M_3I_6ssRtTG$Z0 z4yu5%?+3duyNxRF3Tw+q#au#5X_Wb6$kH%|a3Mt~a|Qv%q^|iXlQj*(l65fMrJ>PV z!pg!f!IZYOtf8UFe1|n{iy7)Lc0Am)ty8>IX^j4cEa~50YntQbBiNPnlua1+>p^|_ z_NIbjSuP-vC(t#;?qVh$9-1Kf>XjP$|68Lvc=Ee-Uv4spJ|+G?DrP4vd{U1QmLP?5 z?M=ffQfrnT?)!Ul@er2@4zVDh>lTA0G!ylh3zZkDi1^#%{Tn6auYpkchAGkVsZtu2 z^QHv71@zDR{;vN@UqI-_%7d^1y4|fb?4SJD{|1|DW8B;-3+U-v9TP&Hct;TCvsPJn z>RAjWKj18K;#1sX66b%7#;0tM1s{KYNtjnr+}}4g?A>Z*2WsEW1b8LgzJE`45n(>S zrl-%VDL%!zYr5O38Q@LcEIfle`04H$a8ZzN65L$_;n^x#j`+U;C?MOtK0Dv5)7v*s zpp33Oe)u-_)>_(9Xfg0jD@EanZ@UPS5cD_ZxN$_&Y1(^AZgX=o#)$f ziYO80X=!L%t9}enyT5m^o&l&G(_6Mab?@&HUKK#e@dUk*ol)$AV9 zdkn@1lTBxLWL#T@IOdWb8N;4EbRzXJW%A32xC@kzmzg0Fln{s-(?4&&+bB!s($Zu1 z`@^BoMSdu9(p>%6$M0EeY@{`&fWQeDr}4AgZEsYz7_>s@0Pi&4-Q@t*(ki;ip4Ffk zQ}|^9ZzXW4{okBwPY*YQHa&`e3xz5rp9Kc2;{cjmP$?iHlb{a%%3qlB8KBq9jyrCC zu(&|zWCVVL>>zXlhD+aw(P}<}ogFaB%f!C#1B(H0xWy!3FKdH}T~E+IJxu?_8$Z*= zvx=G$ze?O%Cp1vc9vewWF$n>1QX|tyqNvy%X2wOy0+<~kZZ;##XiG7nXeT~?Cda}| zA+#+qzW%ba=GGoRG2LE|g7SXF38eI7(Glgem&tkhwVFKZptp<&r9ty4SgvSpW8p_KX8W6eOfuHs4ZUV^mCV*^j5+Pe? zu};Ip3H|9EAQZy@p;&3-L6#7|2l;~MVMEI5&Yd8I^=l#BcTzNj(|*j);4um+79XKN z-BLH3hSdOtN1&S68!U%b0Ojs9C2}@AxnQrD&?lm~(95udD4fU*u(Z&~{#!NzLJ=B$ z#H1;RUe~SwaTMggBPxM5rxOTuSo0^Zizt*=So#NAS8pczveRHLRx>y-(nv5=GjuW0 zY`NI;_KL7(yWMjUzzlNqbV)9;&;!Aog2Fx9Ee7{C9#-zAf%*H{qxTdbSIau)*|bz5 zf;z{#BgTYixq0sDzOA3gJO_#%&q>&Wv%6gi5SFxcr&XsDx)F9#QWMz>951o+E!Y3i z7_WSybaA@yKvY+|QaR6nDtw$u^ueWElZf3cVp9qoI<>-iDj{DD|NL=bmnB@x1 zYrkqsw!Y6A+gDGb2(kMVehh#;lS@c_E4A zOjOL2~Hl^^!#k`78Ev^i%q40?KM=;*(buypL6xAWHX z<7r!CS=eN5aqN3@r89m`@{sPFLEwIp-*Ouoi&cDuB|34)#DTJK3KOgk$1h;T@k=u3 zPR8%E(Hbh5jy;zq!wpeQa09k*#Tpslhf?#g#Fi2O=Thz~r{!tnK)Q4^_rbKrMIW^{ z9*KP-J+lmd=#-30DFGiOkHeh*9zXzW%60+jq?``y$v zw`FTMf};Rqb@miTdg?;8uf)=RT9G?)%Ikg}edc_&a7F+9>+&S#1}ZidvtM`W@7-gw zHgDmnr!LK~CJ%C;`Ts!QoODF!dlf+6Squ&?s#2PO*(gV=2J22y1VZ#iM-pz;?d^Jq z47upP;oS_Sb-K4jO855#KSq77KpZyD>{aJ)ObK3!h%a}vhl|?F)gD*%+|xAJbzm8- zqnDMwf22J@()7H{^prrKu6`IBd!^q!6<^g^;-P{_TwUT?ZUkd5d<^6VWgF( z!9nZeGfBf4rbcgZqE)rFBxP4Pk|Ifgb;3D(BPN2(Mt&Ybhp>_>MbBue;;#e#qY?tM z8YH^ona^CBydkj2ZAStO_`HCr4)!+c5f%ZwbzVcy#Sxq3#ZG=IXa4b_#7gVJt!g8& ze|(K<-hz6td(uCEX~p%B0JV&k`1`R&g?X;AXf=M$P8errJ(ji_R^f2|^sxOhpuDo}zcTBZZZ^SpLM6FMSGY zzcCu-e_!(t#WCg4KK*`hwba@F(^^4=?N=cE(fwTX#@sQicDMoh6fv(D$sLr>0?Ubi z%AYHJ_ZjdGIAUNE3D{}qg!(lE>{-5lf{w!iUj%Vrp73_A6ZYra&D&wyDm9_NjsZkT zdv}5TMkR28`pqdCe?$wESBt<+;QLja>vK|~-N{QTH5ZLgX>{d+EdN_xsq~rI9K5@B zsMH}92J~0d7<~Jh3X*Nlh8K=M7(!yI z<`yagX>%gRH*d>9IhF9p|F>;GOQ1v4_~v(=Jr2?22;eO#2X!{CiL*gHLL#h&iqR|S zBk}ycU_5bm!*uQCD*HELXjJgrm-O+65Zp^1VAygD7MC`jt*(AmH1JD!D{D&56u2fE zj{OD&<{N)Bfs4~21@R7yODR{sc?OANefa8*^enAujqTYomgm7~jo9(jmS|wd5#cUS z#T!72zX$9%IIcI3&r%C2$o*myrM^?LLHX;voM+{@-7N}*b8N|41r}jv9ER=MEqB>O z^Q)G`q^Py#&TIswbe^52pLFQgY^^FocC}ufvc_2Jwni7c{&cV5ZJBMCVui#eM<`oM z^vp}+s<}@Zzc@D2m*=H{6Gs)%iGzda#BmNdaYSFW?WzwmnUfBN`O_v*ZE?IetiAqyLVJG9!R++PcEnwu+ld`$qa&$D|y7Etg$g83E$UP zGbOPgjej+zd-`TLej@1D_8|`Y;S+F6PB2r-2y`U2M@arHH6ibeBJ%uAkFDwU`#5ZKd83dkGLo|Uc(PO+`@ftq_zaL3Y>piZ@?72 z5qzB~`r6ZhtWLfFncy)1xj?^vjD~)He(Zw7n|FaPpA#8 zKbg&~BxDP9@7=$$Xxrr|^KGnh5jd9KP2S8UUTlDi7H}c9%<3AZ1^7U~ zCtsMORFwh4b?EGWA9OZIRndMVrZvvmczYoJ?JNE3WbN#4^Iz!~g)aUJ)nB+63m$s# z{{(B~7zDCg%i+WPLiSxk#*k|_;^Lh)gp5jJjP%Fg)oP?-Tb43ucK>c9M0V~ zW3JGRckJ#ec>k+M4WPqn03B8X=rA`xhhu&UPd{r{+j;aI-_^BB(X9r2iA7MX)$qwR z08eafM*E*5Dsg+xvj&M|8`WePpjh?cll~y_j+CWqNNzwDq+yI}z|qfd67sDXksP#K zvwDF)AqXe(0UPVg?it<2vjcFsTk|%kmh6eba3KCe^UGia?2z` zez*H~w>q$vQ|sHzB@NTQ%}S1qnetUvaP1R@PES>+`hWr{E*V-RM241|S%#KWO)JTd zM4oU_JH?-3gcCX4%D%Z?_Q0?2T#K4`$R-`Yr|1AaMF;RHKY&l!9GxD$BHd`?*sPT2 z^|yfa6_ul=-`)_tH24AY8o=RehOYs<=8q#&j%5Xzfl-aK3Z9Uy{i}Le>$_TlFy?X& zuy5Op!D`5&Sf@a0!GxF_^q(`7oHInKb{5zkW44k)sKOXew1wve1!I&dZ!$Ex*j7^v z9(8joNHYa(F5A8LRJ>yCqp&Jm8?SsvRERY1jip?^Ph-xiX2iA1mU6fK*xGdRWP`26 zDYsKaua?q&=?lFcNt`&Bn}n>yJFHG8r7?HfoJY(@%aiVOo;|$m1)LMk2Ij}v3fdIh z3;n|xwz%JX_#dUB<6os>PR?JYVmnc($jx&h8!-C45|lQu^Pq4}1h-ZsfLlXKpk&@@ z>P$q&@`8sPe7>Ld(wtbsMf9tmnqLeC<*p0l@hS%M*!)9(-yj81@9)HiS2R5V6|``J zCeNFm5203+v&o)^n51(LDosdmYxKayUIXa4b#+3+_n-17X!G+73#vujhJtPN{ZNf;|CX`o#+2gOm zzHU_$jjd3xDtrHYgU#HO)Y(Qlh30%yOk{Cp^ir>FyH^RTf-IxL(U>5~V3I`4k|w!= zY%gU#r*5ZLFMSfJ(j8S($=H-oP03hmR+ciJI z<5TP9m`-s|SH(_RHzS|k-^x6iT-fdKV z_7%#%%-rUoLDdGgDt=P>mBS{B?0fiOWS}4a!^iqjmBGHf+B$f7ZJBCq9i{|Di2-uN zLpj^?IL6qxhv)1Iamsq<%{r7vI2_Jl#};4=wPE)PXm@uy@8Cl7>34UyR{B=t^ICn< zCmoj{0X2&U3E2uQ5AbRhQHtxPX;Ne#SsE8rJ(CgyX)!tyF0r=*i+amS=ahrDtB`h! z>0&$$8=-NAf#3g>eL41uDe!NQ(LdlHn_>ofjf%sfJk4H_5YvPk6iWgoe-W=hG#X63UTN-(Gxy_OuGvZX9 zk~t0`RM`3TjgYbw-{Wa-UWoaexKFkdvrkseE_Pd*PY@e+$uT#3f93d4kaL=nL}TZp@(3+`W#P)pgRUM&h|eBgmyO0O50QEKkz`V4?YhLhX}Pk< zBzgU&;S}%?`G)wQCh-hj*Ar#EFT|lM^ zGb-VG_GPbo$%M<^j9Vfqs@qXd^#XY9Wb&mq?hBbtUn$cI2s6#v&VM3cx3T&VMPemp zM%quA@jzA;W~o&;(q%1X?sU;FO17VuBcIN52PfcMFDzniR!L89sgw|x^*$2uAHy2S-GydCG0-O?IjW7h(nEE0b`(Nb^LKDL9gA@K>D zhz-v(?{_39*>#<7D{r5-_f+X$5j~N@s(U-CcSn_Jg@KwbEH-5KDL=YHD~wpKFgQR} zJ8&VdH)?f*aO?4V9Iai>&pSd|$&v-%`8RqZExkX>)%Xc#O z^M1Edi*NFNjBG39R7ULj=V_;l^f4B~uI@sI_wOPO6-UmCF;t})x$f7;Gac-`7uudP zCv>SIEqYrsomhU0U&GMXGW`}m+Qe*3vG=srcjbIfb`p@dXWKf#_S?e|@>|>?9w}6W3``(8cx>E#{Zlt>#gc%y7q+0|O1ZinOkQ|0?9J-{tOOSGqP66re=Dqm& zegErS>z;e=oihV#&YZi?IeS0*dEOB3*AhIm`?$Q9u8wngyW)J1^wEWYERp(*%}_PR zn&zr9s#MDF?H*LngWehiOj0a?V#mnHuP3qiI4U?CJ7|G{?u zQ(BR@{rGV3QV65`2ZIHWzYWCQ6survWf^^}k`aM)$t*vImUI}uvO_vGq4tE4g~SEv;yuqDPp3wk0Ln|xIGao?Dtx6 zfrxvy91IuzxoQ_KSbyRg?c_D&5+MQvTwSEf<5XN#-jBG-%TUzw+09xDfBKVp+$hAt zufJ{?=6kIY0p`QC66wVoWaT;n_pww^YOTjtP&OPWyw8}M$)c;Wb25&T_KRm>)ukNj z%#47Co&MJNvKPUl@C8SIx*?qp)yMJp3-m7~B#};`IO}q@)jF~v7oai`Fe71HaNiVo zd2a9&;DY%oNm4X5gszliQ%SpJC}*KIW7QZ5{mTb&S5t%-3z-@xzNIEfI{Q-v3x^md zHaM0x?$+X0Yt4LvPz;wFz7lA5*CNsKH&wJvxv#*on`v)Qm9=@$CX1#V4rwo>uXem$ z82=0DHyKZL69ZBRGfdN{#fogMA39oe&Q*<;YgI4x85D#{x%t%$%q-`|O@;-i9#Yy; zn2inL!jT3;d=_6iF{6JmMmRi-541k9TbkhUVu~K+I*`5B6?V7s=?-> zVy%{=JEsxpQ;iWWe569m>BiLR*ose``6!3BLL=j&UW=sQuUoVKSu5@y=nD{;`9e7q zUaeuU^kp1BJV8V=-XQ!$KKZEoimn$~$(4^qEaj?O$zb`*pOm}q!=ZbVdU6ZZbfd17 zm$+&pJ)Uc-xN;*sA+6~%2Kz1<=G|7ZkTm@q^KP)OOYz{#P^iD7UrNsd#qQA=O_EAgW=}B2; zAh?+^-oZd2l%E!lV8CaEU|{owB;(gtp33Kgpmn+#_H&>d#~NoZCS7lEnTUpc-ceBi z4-oS(rMUv52-Hqlz~}aNTHyr%j|9+A(Xr4xKFE2?6Ug}x5zxVh?2jG%m|+ccu>Cs` zWg!pcfp_cQtYdxxWL$XdVo8#Vx;@l4Qp?^qpPc`u*BWOlJZJVUbF4pg{M}7@#Yx6u z6O#c;JAwC))-}moon0;=xh34Ae|dHJe3|q{xP$%teE>ke!CD|}z^G|Tq4|zcQ$2gv z$O?I|D(n43aFvd7n?+^1M{8Wb?n>IjAzc;k;b%w3#n+$!rspW`1AQ{fE9=s3&=_9A zEAlL68Y|UfUMV%HmtVfEuR5mE2q(lXy4 z&Fw8%{oQ32z99v}Q$q@Qj`##39cjIn*`Wzu-QCMJ{u-#Zw}<*bY-3|e59vgoSv2^j z4_XUvpvNeo*Z$uBfs^T{KFl#6pwlqES%;>W7;CM_LJ}W?P5?xY3W!(%!A!fE`W23^ z|7DG3mi?pc0w(auPVFj60G^k4r$)i+Gx0@oh)90W`g2;S_<6j$`RC_x8ctAwG@i4!cfhz2 za=O&`0~&b)G$5h~vyi+NDChy(=xh`(6|@9Cc0>L&xo}h5kM8fHjoh7QtVLxV{Dx%O zYV0)d_z!U-qx*2wXjR1m!Qdah^+U9v*|7vA?MQ&5WJ`H~nF?Sc%D0Y>281eyF6fUw zP*saM16(CQy4BBjY=-K&)}265#w>0-`0tGi>q~v=|D(hDnei_ytP=W|=MR>xd2y}~ z+w#ah`XJvc(4kwNOC ztasUl<*T2{ipVeL)~k5N&XhV>nj->|%5+hC;>r~|f;X<|Y0Eh#j{zo)dmz*O2*`B5 zb$I^?j{MMe{ZM_e-kC^smSMN(ql;h%>z+Y$&)(tH&AE$vi_Nam6)GBeG|!Dn)Qa1V zg+%#y=lAhTMr=l>WRhPr?70txFnBYEAXOTEp$d@+0D*`tl{k={9OdMQl}qK`fSdw) zfL2iI$=%-z>w7+p_12B@gT;$u8kq0N{Ls?C&35a_)xBSe9s9;}e=+ih;{K1uTGAUQ zzp|ix9FYBAOFfOujoFg-caE&;e@x9x)$O}D{l7NGD%8pIRK2IBDE@j`%|-S-Lf*Z?!$~Tsvc%yGZm{9JE}7JT2gkgykz-T_0joS=2}w|2NO#13j86-V)XTM9PVVP1FKU= zQ9J`ZspKaM7e@|B1xK?N_gzgM1%pm8q%gw8nF8(|!%)U(@L6O3CJf11zK~;miAVRO zI}$hNOQFo>;^-2U{3eA+-DZe2KA(IVg^&p=rrLnh*kKZH)xL%eN0iS>|Cu1!VR#nw zr3?i5$t9ZR@U_Endpnm^k$-gGy^+8X4__OSCqzsO=-<81Zc3d_1RJbkTiCHWMV zgiKMgFadNe;#fc&0AX(ok$YKf`Xr@9vPMeuvLG9qT3-Q27A!B67vjRZ^E@$y#z4eX`OjMh|fc?%mg2x$B?OR#LEF*!j8VsWvEl z1n&6~>=ttfzQD|Kd%za|T|>JBR}bgI-!-$hTP2w)p(JRVtu?i(X!wD}kcy;V(Myo& z`;2LS$vxL+0@o>!-CYC)$w9mWsl(15$^6+fq{~|er{6gQ0l!{fBEkJWNbMr6N+5Zo zhWKksOCnvPoFZ}65shJcX59JjQ3g)UJs4X)oS@%iVL1f}&pyC!kq(}E+I9F~EixRc z%TIE8uE!9+>m)jOifRYptTbA_+BEd35{WE-7WzX8=9ZcaUCdAhuktW`DkWy>tS}tU z8JdtX^E36+8q@uk_%zQ2WIvfcfrve*f|KZVQokzEy4DHj`&V zY{OjuNgun|{W2DNy+@!19;Lekh;66grKP z5Ec@DCWK#JXk~r~b32693@8nx_}ZSEC8?%-cN-b?H`zo;e=$XPsDhVxnOadYv~O>U zCe%XBk|Jv)&ZEt?HueTGWkbt1arxqc_oZCnGF{Y~_q<@C#U3kk93Wp7QZ*Cpta z#ZJFCKbatm!R#ZHq;J4di@{{p-X$7vR{Q#ezlt0c9sR2;A=Mszk81Pgte_nk-Fm6X zZ;Fd~fkkQ+c|u7Qd1nm{yueSrcUXs|4bq^Cr4evdS>-T%=MA&&@Xi!pD1v3uKo?M{$XlR+O?MNRuGHhOK+h<{K z7(ZY-Fg|nmO(bu8W_?xW4tz0{hW~qOF>u>S6l42}cSJCoR9^v83H)9?8}9InZENre z#Ff{;y8OI+9Eb1JR51#m&WCkc&JUj;I|*wd_KEYR1Cs}ae;Rks=Y>iXUjG=X&F`rx zN%#6QmSt+Jup53M6Hjru>}YF8XYM&dE~<;c97B_6T3PO8z(BNG7d<~h7*-P(ce7XBjDs@lWMtC(i=kr60-=3Uijt_ zV0B@8K$U!H$a#u8QX(0=eXrq8@Ie6A&z<%?`C;`oIchwcR41zyX%eX$eUo$LE1+#fc@Vb#?>7noxo}Vfbc`4{#gc&{5XMN5^|vt({%J)O%W}!& zS1zAg(OWDaspM?EhPx^A--iToukP^7Vk@d-^*_D8Wy^-E(L%I6yA^Zbys1zw$y`Qh z@<${1lQaYV)JC3r@aqYnb#eVDg5k!A&D6>s_V_$qXQAgc-j2sMM$~^dSd^Pt({A~U z)%v+=AkP!0*XVoM3a6Vxc|rT3;Q{yj^4({Ra#4R!J~D7omUoL5-H(kv4JtJcFzdud z$L+#KPez^pi%gcYC7v9)5kMd$i4n=mKl(o22kql-)X|iSgCpIa zdwfzm4y%S=6}M}$_iVrV&&8KQChLNQUtp4QB~!dM;-Ex zAU3JSPzg-a2L|i8PJX3#B~{85A#O9I#G2!XR&ZgAV4y2oQFa6=tyml=FFWCU?3JGq zCH8DZp~7l`2S)dl-S9>CY!HYjtyoEJC`;%;P+m185vp5Jt2tu6KZ*+xc5&NriclVKLc0I!r68~0Qw9^L*G8ncLA=G$ zm@>cx0%QvDjiH^s^3<;sEuOk4b+Yr#$wb~DyC~jG9OA&?Q5cZfs2qV&eqn1!|HM6) z8HJDfJt2nMgtTpo6{Kk-5GvIZ2z4iA?fuJL_6~Z{El+99=)=&9^=6RY9eJ=VYy~xP z4K+EG#5{sTGd*AhRoQ_gJzyrIg0`$OJ;1?x1goKGBRwGIBAvupepD2cwTCBV+!@(^ z2GRp*Xayw6Z2BJ+2j91wd>r%d1TJv&?a=OQf6EK2sb1I`*HrB9gs-kD4H6z?ebjbd z$#*e$Na-|)89j1CRRz@uQRSN318l(&2LH%Y$j?dbLZ=qQBS zLV%603=^HEz%f7b|e`x-7 zs=PbT{FN(8w3WDu|4VoqCu zn9|K3mf$srHB$$%;XpAbZLQToABb-BZ}+x_H&<55)lY^C>1wQ^Faj^-g+|BNZJI>x z5owNZ?A``X**p#E21C6K>LUDrBEf;dc-tg_mQ(VQTAPrm^)3sluCIeYRRWK*FsV7y z*RpI(5#(RK#W&7b6)ZBYZdZSoQ2FI|W(fBHz-~aF3WtM}q4{7)Dl^;GFfPn(7x=^< z;hqAj%jsWv{^*fklO#tzE~j2oEA904GD(J`o<3hiJw4(=0)A4LBi5}dcZe8B4QxaU zW3;w~D$Wk6R+)te|MkboX20g7)jl-pcyV1HpnLirRPy6}pxtKU1DCXLQ*NUo_Ih1J z=G+VKcO^gO?RFZM(zBkM{FP2HU-h8}oN;u@_Eer9p}{*kU;ENZp9_0^y|vZ%CCLR7+^jhyz`bOFB@cWR(!VYp|WM zrOS|8YAQ!uD@03>`r+d*FwN)*^_r|Gn@~lRv$#NgIVu4zo5be8eR6fOP&Nsd(XiYw577g8DTB7-g-h{1U=@p- zxC(VPdx)eh_X#HbZnKxso@)pZN=(LbvLQ|;8aXGxL+;7NMeYN|2k?%w%483+F5|^G zJw086e{#XumHfrk5o2IYHciv{gZsO*wrF=i_vo~M$OrW<0g;I`^v;*pHavLRA39Fn zhiesg_B7A zyg#vRanviTWc^hiP*F|rv+~FpluPg`ri=}k?43g?)P8NHoyUbfs*_iPt@{pJ7vd0D zr2U#-T}H)0wHP*u`N}?sVKqvAfb3>?oMvuS^LX`Mq&{Xp+>rQEG4Xaj)e5{!_U{_i zFOCW1Y|(d>PZiB_gy6SLcL{yQKO({zMPWx-^|G#K;H_GnfK_0gi)Q%h3RZ#$d8Ve< zNow$5iMvSQ?GH~)dvuh)@qQ;KR4k&|zHJzgQg?h-FPnxd3Wn{WYAR%Nd-NowFy-(! zRibwMWxmxZ4fkGso|oJY6{yt3T^nUVVR)if-`{HwXj#!Ls)?ui+-P3+kP5QK^03-> zWy7(8p(DVq9+gGgC&))Bb9qb`V-Rp-eaQc(-7e-1Y4S^fmbX4FlI%1|1X7tkJ_Zg< zJs{o-k8gr+#Y36JjgofSxhsi&ZdSeCKqM{}FRDxldTD#x z$JHO{vG-x<3q!|`NRRzgG5*w7UR5qeP6eZ3_2rEV)z;mkK0-Y?rbN&6mbL;#<}mY8 zoYpp!P~>&8zXx@R-!EZe$4KCP?)w|S9_LZs!fz|#-$B~+HW#y6dG}Yk0@$vJ)xPf= zBWtk#m2Kz-!+`PLpQDH&IdTk>hFtv2$9*RmlL?pFV`W%w$Q?2?k*Tmm8ejMjE~8H+ z_}{jsDK!0YHI|wSIAez&Ssxl{-$A%!W?@mcd|Y-~GemV*vFdjm#hqJ2sM`6Mf{Im6 zMYZXQq)0BJU*A5Lsj3kILFY0Q6Uu)nMlsM)pw)h~{5UMj2hpz%)6%`WxL#<9xm;-B z7VG3a#?f$&^c{t)2fSD_>n16RYh`-Dz@YN#<#}RZ20>dVpO|~KBW$Vv>&z})CnxLq?aY-?~shODnJ0u%sC3Hy;aC# zHQY-M?Cftq2g5fYU=;_j8-VTd*#;iP#_Xj79{b0VapH8WP7IiAr+TwVJt6bo}y@Kt4SjXPMxBZFY-?lAeD_1AWZ;e1Ss8)h2;(j z73TY1$TF8K;BpI%w#8`^ZMkfjw170#r9#UovKeDV#}f((<$*;hQHyjt>t^vm z6!2wk&|s%}PLS(lz+a=QZqN0G!?pGTM=F+Ay}0m~?o!%y+#(I2EK z6ni(jDuJAgdy0A3WbchCyz4^UX?GzKv)m_15wlz@=udc=TJqfU1hheF+`irtR8Q?} z+PUHGs8X@~5w8NthklcEzD{V2y~9qv0x3()8;!ik6D{3=*|d{MU!XOJLF2?!*5j)u zS|(g7d7wQlIn&ujKJU4s3mI*tU?-A=hZXVC$Au zKa9J{7q|zNj{mnCJ2mx(G!+L!7Y}8?`X4n@f13KIl&tNM{X}B*y0Juxv_?vX*4vek zBlRMEFSA6lK0enyX>K;&r#8H{-KVCwvuL~tYv%HJSTz};^t?;u$7pN%+1~0ioPtu% zJRDfO%2LoUe`kWR^6bIrqOs+UoSD1ME-9s$`{m}Oc;bT2gcARIb0M~=Y)d+0no2Yr??@rBa1h>+`G*>vPGs}89YUziQtV8z#V^noK4DKIVvX($2;_MJk-}& zKrSzQFJO#(z8NXObRo!_yj_>q&s#vSyjn@KY8D+;?kx$33y?)7oz8rPx&0FtKr5yv z9(ei6xt?v5+~cR=&K#bHQjk&7!n@4c%#gV!wfUQMoCD0}jZ8K9o*u-pPBCfYfkQ!w zIA0P!Y+uih9jEKrm8}Mxt=AoKm*LF|QMYf;pnBO_@gfXD1fJ5U4MmRr+{5xWoH|-L zX^ti{Tg*7p+D=a~v{CIZbRO{O!};T2ZX>BsKaV8kz3Rd#yw4D0ttB}i zFYMRuOEypfB0gYnXO%lya|@+|R1ArGi*pL*#sd>jj(8>WAztQ#5@^6X1R3fi^T9c> zCcqjrfDEk@B#0Hjr{_K-yy~FFh?}B5pgq2)RB-1A;N`~JwlpQ?=xbS1Y3AbgvY7hI zWQI`FW#>;OJtm_lJdup3x=rS^7A>;=OU;1RQR%n4tMuQ7j}1x8`U08_X0W2Jt5M61?6)kdq%*LANpBzMrpQs;-L~&ZJ;QaY-LE(A@j%!S$uDYu~PmwI~34ZT{;j|qO-(g!Q$T~9$bw3N&+m@h; zXqc?60x1sdy!K*#a`fHduf}&<71iT5>V{Zfx+^W^wv=ho01ssvsIOfPxJ^fSu?~BH z4C=wSG!XBrNR@%KE)J!{_qzYHz%}4I^{)Ta>^x!6%+OW!VM4I~)Z;*%_tS*S-Mb{m z`~AmLA8=}Oua~BCs8c7|p__ z{M@!b>d|3T!wNg)HHHaH=^zBRVQx`kfIkfmIGl!~)Bc(G#RgVb4T=JI&JtELBMW|j zYo@9w1`gGp+A2Y~q!fIM_kLrjl zMrf`oMtI1yDbwMejdB!K`v)J@7zua<9e70|8wKPMh>z+U0!IndKm>Mpy3rmE@O#>!i#_5pH}XHrpC?B|GXSufG>%8$=hU(j=aiq+*jzK60YY0zOIMBps-@MI?PK#3^EbO4`fK3|v^fcOv9}0Zx&K z#g-M#(-U@l#rJ066i=q&WO)fGo}d5~$$yn*-S1X!t_NXj^+p=mk^R93$jWZ{Ew|3X zySvg)M`^kHrmOBdz{@=glM4w}@2bxv9ju({giww_6NO}ZZj4?Be*H;g2X2hvh3K)2 z<+xyChEU9Ky4SSU4Fk`9!PF*wDsD4S$urkGd8Vyfd(4xeCkBbosqqg;%2_nNVlWq; zKpclPT2;*tgm#oN-<8ZmkmwLRm-asf-l)EqdpAF_Sxvl}+{MD?)#tk+j7lcO=8IS+ z8K1tN<9Np9t9{v1hn+Q)rlDG*52WMs{_%O+%>KY|^sV{0BKT8X!l5)S5&^$w%wErT zi_n7FA&xQRjxLCy`Dcn2r^z15z!>&uo^O01GcRt<=Z&nC=)_u6vf(=vY+DFPNRwL(wA>C3AE%+O)!<%u+yI_EvQ(@4Ya)681C+tK^_!fDs#$q& zT)ln+@RdH)v{z$bppQ^T+d(%s0gIECvK!Pl7)({p(C25wl3C7Tg);yb^uUEET*#iqxW9%3 z9k@Z^-3tLopIQ7;1!FD+9H@_oCM8xFO1*mEKsg-Gm3s-|Tk}(I@T43U1pJEOthl_-6!=ohK)?)WOTX>#z!4a) zB%$tJkEx!&CWLg6S69SjY+&^nvU^EwLZS?o4^r-4E~R7n#v81o;&i$7)9EL@i$#wo zOdv}e5vc^`&s6>#|MY8o)93hYB5W2x(Sf{nN|ux^@aK4J7O=yK=s?fL$l{Ev?`c%# z>bHsuN}7dV&tviV6T?+~mmP(0^zbedOk=T1VB8m!H@-!}lIOf>aYX#GLZwaLG$@@N zPX;>Q^LHlv@v5SmT3-F;62+#f!#k{>6=$Bx*}AjMUdGznpyXyuBblp%fXRV%B7k4Y z%xw4oT|P;@o9E@yx40mv`g1MBmKa3LG%i#tA?Cs%#j`Pb0#HQC1bC?sc0V)`fQV`Z zHxpF_@L6MzwQ=LkVhlUL2NMwuH3b|gWo%ou`EU>Y@K=XUm4{{UM(j4cj(X_&i%Y#j zCzqqL>z(VtauwH4Gwq|tUroYX%(lfMn-|)p){3dvB3EfuT|QZdskr?4kl(Ox;>yN_ zOO@Y{9pV`#nzGn6rXbOy)YFYO^yH{mRBGWPTN$wA$U95f|Ls!o?Cdt)7_zf281`vY za=>EgtH!f0pBng7?Jar;?|6FNZ1%swzTcC)8MV9>_ul^2C3I%D{$B~wsGu)5aT6^mQtAG0Xtmb4M^ zkusTx0UqEwS#T1*HiAVDSh|Db`1Av7dxHeC9N1YD-w_z39m189KJo7zat0c)d8=rd z8s!2F=-&<0BRG&m`2!q1wAUD@Y5liB$9B}TG#5x$Bm}WBA9Yw1Q(uY2TE)`vqF)hN z321}~eA|srTV;#2JtT^?t$Ux{V9Vr((%Y|(eo(d=CYRU~mdYZPswV>WWaQ$@QHF7_ zNaiMd9@%+_L3N|%8k>PV+MA4}FFy(5#|-I6xFV1BVQ%|TP%de>K+=UUFkgXA%SEuZ zKu=80_Cj$wsqr#kr*fKzn+euo8#?Q9iPt`I7PER*E#tZ>Ha5S-cBsXO4?k=70 zEP*FJ)FSN%fh6f-xFVwXIV4%MZkAGVEHAmoW*pEmgaxusRwU(fNNBKu@?tFfVTe@h z;rc!Cm{(KS$YNcHx`wvLhK|=}G5AZ71f)p<3hh&Yh$Se2H-y=+qK8w9fsmnK`NbNb zBz+d;tOZ~pn3zYq+5>iHO)skPhp`4`}NoNrGlBZ2dSM zh~C_ra@_Be-j^$}-bxbt*O$(4-kMxCAJ#iLJ-_e!dY*jRyL0hl*^`Zig0fz z$J)6iykcOPG6t>ZlF^Vdi}9<~(<%{Ly02C(%wJEQQ6~h;8mL6(7^*}b0d?cio1UYm z(Z8G=5|i)M{MTRb-WfTR&tJB6R(t?<)35vO(rQNHuq$25QwVRaMq|S7UJ*9WrF!2c z&ZS-tizLiVYlpMHRi{_eLU4cjwzeG+#lU)w`HIL*p(=a4kL6NN)!*+qQxiR)3i&AK zlzPt!eg&6?%(7%NG7d~DzKuD#`1@#c9|0o+3D7<-$g>T$Ex^KlBrB5qxReE0Ypq>a z4XG@+Umg73EDv5^p^cc+S4_pByg~CcAKh*<2Ni2ZCn5b}06n`OaC>yujhp??UAGFj z>w0RO=ag=iGbdVQYs4>AouO`$c{iukglQ7b)8FKcjOYAdkSlYPiDUWvxSsSfFHDf` z#N*%{r!jM1m}GDy$)^clPHTRrwwgf~bX>jgan8PWr%uOxVOHc&GGhX?l^3;oh zV+!{kJ;(H6r&r&k6okrC%}iW-ZkBeIhg+cSFA*n)a_S-q|@F=L1voib~e!J(pyBYB%3d(E)x&F=wNrkc5 zTYiIwt39@50B!#a?5Ql^0pEqKT$^ zSfXu?)f|VL@n*xAOU`QJ_))h*bTmjDZbeJGMczU7s}dZ?`zFacGA1^X#?aL|k{8@Z zUdVMytT&|$Pv2aEMB!>xK0#9q=$e8&!qjU{?G#k#u5j6F(- zi}hc|qQIG1dH{rc@lCfoYWWMJ6p+6;3cPZ`MAw=L$)O7K~O) z51w!|(PxsfEgJ&elvx|GZdk%0KDy5;VHBh3S%){;fj$Rux;T1dRfl&@ItB@puI0&_L!C|ytN0@&U zA8!i$u)OpN;K*nEK@T)prn3E55vTtlwJv+kLT!B%wFb#iGe(d4C70OS-*0W$!~eyG zXbomC`I-eU`I9d;&(BIb+!`7mf83e^8u8pk(PxfErk2@i170Dj13UJj0d-0zW1;2G z>@lTaZb0@tS6x0lFdnMTqJtP?*7kXG)=7>AB4G*6DS z6@{1{N8QBMZvBs$>f%Cp0eMy2n@u2$^m3{@82*ZcHvUs`vY zuV!-PjSWTi+T>3pPHde_X$+Y4RZflmFlCnDEbdCJ4QMJ9;Qx|85j}n!F^-wDcs_tC zSCqayfb&)Je&&M3M^9sjk$jAliCh7j7*}t`87nlt4B>Ol?-tQ%%ET7BG*vB~nMGyt zYnL9a8VJB~K?IsxA+`j3>C#b4#jBkdCPXz_A5w%?&BG*JLI*_(<8U|#Ze6K5Mpuxc zJwVy)*a1-WGp!G7daKAC6}@k58#_jBF}&Ba`uT5+l=KDo^LomvS^RfC1mc;SU>qt? z2DE>4Qv_*7pe*lQ<1pecHYvNWBTe{M+MTWaE_*KgyqsL*Ik)nwO-PLVd+9CiRq)+j zE_;&+Bn9cVo_%U&M(EVBJ;Kgmp1%CY=rXtReD0};+(o<;g~p)KY5Yn=54^2kH|xd- zMlC*9(;>|i6S;NYHfl0_k1wx(Dy|A&_DI$}J>b#GU9vcTaYf}`mw9J+HS>#fm`j_d zS@(1|SD|{YBvDe)_X980Hc`aZm;hBO+X^T@Sc6D~osUY4BpLr_68%00d}}PFWNXZj zc04DZhpI>QjfI%9LtlRPC7b1{L>S9t>4tgwQb0!H>2trzm+i7r*I7Bat!)t$x}Zj{+p=WcpK8fN05 zBb(X?LoGQ=pK|pFk*tVM^8NSok2dR38s}f5cmW(Bp9lUP zR|?sHi}#Cjdr+-ez=^(VireXr42mQ$;O^U^-%@QN%})hi{E~VvXk;8!{v|^ZdwS-@ z^XLo*#rSI7#EkTxwtwVCNT9AW9O<-n_MDWWDh}_~ILgrI>;MXk@}$Wp171(lK93$0 zL?gO>X@$IbWg;Ad=mHrH<8^Pda_&;uB220B&RW_S-VXJ_?@n%bwHcz8N~`sh>~jbM z45H+aI^P;$#;$D_ME{(?3^9&k!=R1-_Sf=OphEa50$^6QQfa8ITT9o z=GwYnGk$Vyv{o7%uceenUyQhP)75^LB%Wnd)WDs!haj>5Jbbn%tWN z`T7Rv775p1B^$fQ$uqIrz8|<_S=A7l^D3a2r{D;oAWhZ4NF2Dp5)LUD|}?!6Qk5wkL|6SJ3{b@+qt8Qezt#pY9h!M*TlL- z5&^7Ja^WPgFw`k!6Ih7k;q%U+L(Uq$q|d2Bq{2psOVuuR^_j+s2WmpcKOq?*ev%h=p@O)pLz3iovI_mY&lC0s{65 z|3&Ik=|4MJbJi^xH0IFfgF09TFsHFede#bm{Q0os>kTG%M)*Wm9N~s#Uvx+fy;APN zPL|oMhRvMW%lz3hoEbb?a)d|Me%e6NhAWkpa?EPE% z(x@&W&+V_jURTb6J{MiDIppx-;sVZ?c&TB$cRhB4)PcYDSt~+cvO9R(`uE?p<}bK- zvd>X*kH$+jy&a=()r_{P~es)`=~z5Dhfz58R&yJIk! z2+9oDG_(qk4JQ3-AJUQfubuMm^G95)^}%BYughHl(bwix-N$~X`e?AxL47wG0_*{^ z;dnd{*Q@Z@#&t)J7BL5pY^B5@5#Ybpi7O!7!$>0*w05H{J*I6d#UmEz$}ByeG|@%F??q2}HKQyE1GP^xikWQpegoXXLx#fI z!#vpqc)#9mw2*s$?G1^0Khb*+%6i4!XxMxohNs#hhKxSmdj(U-wWKBNbRPtn#mI&i z#UP9ase!>L)uH$g*w+jI`(`O~;9AMha~Q#h$Vi|&p`^<|pGvbm zc5UicX#e!s@co6e_u<9U2q}%}g46~Q- zjTJ?5sLj|sZ_X&H@~*Ri#8293LoJSAON!}9WFvG$YNbu=Y_;sq?A&PezzGY~b+gcOfQmG-Y;v)M73t*aK>;XHm^^E-{C9&`57MK`K?DN1=xa!d; z=mQN%N_<>DppiILjH^L(0}Zr48CTo#4#>$^75dPop)R00>-qX~&ehZ>OQ~9>sZ(nP z)nGygTb1tyRk7yWOr5EROg?dOe&|enqbEEeu z|5v}{ypFxK4-U!hS&~lCxwVAicBy8Bgi4(qoAOmE0~(YEZom_)B5!J3Sc* z+^XMvd)xNyfFt(jn?SeHyWfS!{dk{s?={UoC&Tc%Ec&YC$PFsxXQ%06G7`SatDm?l zAHE3UvsN}%$(s_-C=|dBX_+Bb)4ZvdqJXL^Rg_AAM zJG$v_C!2sZx&f>a&RW@37QWkr$MEND_%I*D8wG`F4@V0jO$7wY69F&{XRONq|M5h$ z5&mm`ika8Q5iulahqLBnh=VFw^}WxK7FL5s53Q3a<>^5_I%$_|9{`#BV#bIIQo{$H z)-=k}TwzfIMIlkg=UKh&e7Tn#y>=A6cD$UviRv@NTE0)`W>K^9bbvawb`$~L4b!Zp zcNTb4+tHjofqW38hm(%-n)6V!O7H6|Ng%I%tvT~+PV#s?99!**I%VK8RS)OfaqMm2 zM$Wdn$pf3cOo2dB7hXz9tAmT-{|h3byFq4a zv*9KhR+?OXS2?90Md~fGCThbF3AbZ2wT96u<{DN)>07* zJ^69aUgWWEg6XEn6`~x7W08ROSI$7OnNW{SiBq}y61%SP>=W8};nExGT20^2E9}#g z`PbNp+1$3E8rxlVT#>h(xaXviCr^;JPM-wqpFUX}_sHz>xf#(xT4%Y)Lds0@Lzel! zlAZ2{oGr1%vK&8*z#Hm9;Q2x`kwfzf0vZbr*pkER3#KPIJPKQ4`T||@L2a_B=$Gh5 zS(O2!f|4lFe9`YaHrHEi^2QhW3-5bRjZ)ANuQ5lLmmZp@`9(;$S0tpiD6EG9BNM)B zW(Kl^vRl@PcY?T^-WoG(XnjbxqnxW$0){bOBP?X^i#fd^s>AB*7It(u8tx`~nF2Tn zSc{A0JjSmciM|}$d8EH~MDdNVDy{Y2$(chaJ(YdYsEN%cjpDrn(;=wu`828+?qWfhg;FH4JL8|B3=y}B+phJNSSXxH>hx~ z)s`Cbuz&9?F}~>O8Z`K7TFdCq8zF~It(5+I^Cj6AT?|nAWJ>7qAfXDc<&SUV$P#bS z51!K6^L>nZ^CO>83&G4kkE#u2ZGWs6mpn-oC1xc}frhsG)DmY6bD7 z=k%(TGh*C9sGVt@HfO|z8LPwu8jE)Omc z1UN9cm|8J1pf7nK&pH*}#>5`f?C0~~Q?KFYKQ04RTl&hl%fA57 z&UviWJox_|r1o6NoA0lKXT;o2m52H!a&!*U;bsm$7iN2_msh!w~f|ZE?yjrOlSAEblZbXY^%zN;gYd zxdO3R)7IgA`8#s433QJ`mK+jQQ680IQ!8P$O@Z>sXZ3QMJ!OPqE6)7LaeB*t?E*FEDs)=CSX zhVy2zOHha`xbQ1*BFhKRno5rq@g}VWfOnRXhCTWNAF~R9U1Po|M9d2e9w%bTPyjHq zpZr7~cc70X;u%PAczhDf?HB-!2ml^5k_y11_ABNQ;SLC*VLf`qr1@cPMdFWHhk!zR zv$AVGBF47p2_RzN@(KdhDN;^_l<|Z>?r!z}?m&N$PB49a@|(%XMt*|HNO20dXx@+J z+B6yyj%j0#X@mM^a9(de+myIQul<4cX(OV|hK0|FUw&zOtCeKzz0$U1+D2Q^kb^fr z-=V-@i+hmCkL?cOgipG<-EYbG(0|&Rfzna`e7(jG(TV~S-g_JYQYP+4cpUwaI)^6Q1r_TBgKW^Ua%|RS ze{Hjfcr!TWMHDtNgCz2I<(RD9{?kH4;U(r45sm%iez9xUcx`ir%hs=&SsSrW5Y%U` z8?0DII+=u(2910sBuSf=a2|_@m>86BTbV%^XoQfkgeK6BT@E(64qEYVV+4IEcqb`y zsxdgVUWsKQC`KsBYVVi}zc|-I*xhL&d~R5`a@FEELTfrFc8&qwetba206J#@bW$f9 zQ^xE!xJv?5eJ}hswSL7YU^2a(0h0;18Ua(8F_;fnRrnFmw++|f|MP;Jj^h4n!2uB3 zkJeN1wYQtvz~>h@y0hzg-v(_B-^~!bF09hbb5e_YktZ1YLamsip2V7|^X>56|3}wb zN5v6*+rqd9*Wfb15D4z>Ft`)k2^I+M?ry;)zyJXP!6CQ>4-UbCySoK>o!ooBwZ8j% z?~kr7X2EpRU3KczIeYK(d97u~UlrS6Fg5>N5fuZZQGWY=b;3gtb&5{Izp*2d{%?Cs0B;d7Xo3*gqUlShHFMBWFlWybe$;PKR8 zhAMqE!{qU@M9Cp$M^MIqUE?a!+@r~2{*Yx;Q1b2y?RUCSQrFOQqjT5^gO1KEbfSxo ztcw6=SaoW)AeC!a)tMlzpE~fWexP4v`y5DWk;SGbuawHk7ZHKT0d_DefC18g-pt?a z`<4Kd6yM0-&7KQIb+xHnAJnEImF0CXV`eA_L{p6ITxTyo0vXo>{eKY+pvTMrYTwm{ zZi`EIM)Ph>XYNH$Wzrz-D^}QlaV^nu6_{p99uv|Ze_D84-izKL1ZVtXChUE$tUW55 zQBTzW>_NB3g7_WLJ006w5FN8Ur9?f62K2B&&@5%#_EMawj97!~S2MsaWo$rnB8O;% zQ#8X`Kq2Hnsgn4RuENLDv-nld$*!#2yeuPsG-|74E5-RYn; zT4s*AO#{x%Ch?w1Vy*P_hQ6*jGfd_-7jJjCZ7Ajan+F4|SlnDH z*U7(m`CdtW09c1LpA3*H<6?+(W}3P@!TW`#z%s2Q|BV}<6%g8ZopFKkD~Yalg!l{J z(8wmiIoA(|)5uo52hO!A=JM%;&SYZo4$)4AE%h3MHHox(=yLH>dbZA7B=%gGB(O$O zGS?UBtXCR?p8BFPGS_oNPWUxAYqItT{y3zw`WD%Lcq2Ma5aGG8IC{jU2V^);(F~Y9 zbc1|aWu?A)3rcOtQPXd1ZkiOzQx|^pjD#r-jhHidf3{AP?aNrHc9v*9PK>kaEEyUp zn@^Ziy!1OQGUFeL#Ik9t0K<^G1KXK#KP7_E<}(yZ9g!SP1`!BgRQF+Q<^FG=#eex8 z|J?%9NK9c!VO05dkMcE2ST0g^icPf!n49H@uChm2!-T;mu1lF-%NC81S_oDPMFobq z2d|o50A>J++1V`?%77u|*&`;oKBVi!L6{YbNN;XBbk?v1mg7*6mg9Tip`**8LYLr3 zi&u=5X`}0-#V9%(jMaN%izJqmNr;^({SD9WOaXO8Z3)vS%!3iwY6g0UAwcstQ0`KB9g0=fQ7wnlW48+ z$fkHNX^HKmULEpKuby;eQ>>ks&4@4yfau-92$r%yH~h1r$R7(dae`n8yBM4qGcr0` z4g|zLYVk5!sUS%@#x-nIw0g;4IQXE?0^K-;Oo+vpLER=$Mqm3qoI)#o)tU6y_}AN9 z(hCmp>(rlBv%(@vsPOe^sb>Z#;oWN+H4A zRCNE>hWyi1kpgRAItT3CcG}^QJ*ju2;Ko9aK1OOb{uAmxIv zSH7a)qv;i%H2543Bn-qulRP0~E_I#Vg23);g5c=}8+>BRSbY?8%06JE2X+_hh%$mo;!6N8)+*^ zYq&bodx)Xn(x|;$djqsi%u3QW1)71vGKysLbKX9qd1jU`A6C|9%G%TH=RL-}C%s6B zFPq|wS?OyQBwx4y;`?9K&v^4GywZclBKN+!%MUxxP98z#yyW4wUA^X+7_*Va`>lS7 z#>YSd|)4smO+Z5+gOk>?{3s7`m3*`(=#*)0Nc%ntSBA1q}%fRS-S zJ>PL3RaX~^R4Z<9ie1bkDT3H-gf^=lD-vtznbY?bYYp(y%6ytbiM2J5AS3P%y%Y9B zF891)__DIp^x5_zF`6BvYz=v*n;q$Ljp&BuYnITL4cn8RKBwid`{WMMwo*!oir7gD zZBD}Ktap|_D&{_*mulHj-q*L*@+u~H==jr)#sh7f?xNxSPoYnXhX{(66i#L2iW8bU zu$hZ$Fs1ZzX-gTk7PgHZ9gj`SdPZK$j(YV%QSZGLYkEtcL-1^CF)-JMs4S59nh@Tt zQ0^YylwP>ekNItwUvG*6fuOB-lrMc1nf^-8x4*VS4vh0_Uan%FJgkpI1vuLCw2w3ddR_d2g>7;0-lZUG+cj z8P7c40ZJ4{YxUEi@9pm)NgKMd9FKEt13b? zp(jd7PPt!lykQ|EO>ceDUY(6x)!{a_iV7{>z`7-)G4_^l>SB43x;%A$Ds6^qw~b9- zV%O7-yp$Z>G<(g)PA^*3bb%(QnK9*efPs4d$z4KZrhT}3w!-j``zAUjhYd|B`|dnp zJalw>CHUJQlj1$FDP8!D{0E+I(Vu6zI%d3MP6j=T=R}t_mM+Sub#U}bgga#)B+eNY zLy20*otf39ltC|@QZ}??aS-FcCUy90;pJCwHOa-{G4k7*7q5^fmCZfc$4Hg+JzB*( z89`~ZF5x^M{M)mWg5@GV!ts~&Zu-3Zlk>+umf&3qw2oX;watt700*&JoO$?gC)kQ3 zUAm5^s>cIq6&f|&)7$}+wxeI*Bw9=RzSxSk8aF<9T?N-t2&fr-mk#Cw`B~tB7QQJm zmeMtk)gON)k|aqSR{Y!mBltc$v$H(7>I z6ZeX}1?ecOCLL}M!bdhy0GzoXjb_ypooAT(-rYyb#kxD;KYo>^Rm2JW1NjQLJV-?FZALeTx>oAR*5zQ??;U-nJ>EUZ!@~N=H6<@mQ?l zYtO0jTACXaNs`1$>o$&DN5xrkfW$igxY;{7;$ckakD~0aRL0X$zEzCgq5O}6Igj^^ zy+f-GK&QL0_x>U|jYn@F%WB;{((%^NM!rX)E}!^^WQE8@uK%Mqqhj*)6kpWEG}CtL zQ(LK({^o)$*=x-%xs;p5UcXzJGTDbQvp+_w@9bAkcUgEgc~ni9bnWS@fAO)i@Wj#) zX0Ixk64BY!d|X?!I&39Urq($N*vM6z2BhvPciyyRLFYi?r1ASBFikPcoF)Daz9hDIq! zJDK{uSy!qZ_!K?5PH#DUbIq2}P#Mu3_CVhbRS}1BjgzKV-p}xrfpsMZ)Wb z_v1=)IdLrGxK4=LbItR6M#r>LvK{l^&16Wt3feF7qT>gT_PUrMY8jW|O4tETmf9C&&h?Kv}Iji^&ckSm! zD8uFdo<1zCjx}{;V6}v5CEu7NgJ>&ounRc37zO-?+ESywfeyDycEt|`f}!63gDzgm zXVt{42~a%Z>8723lz_3Z6o!-EaV(c*Ta^fO38n=x!R%WoiyR?@!N~oZek&H=@eajF zk|ChEZ&#p3=PXM3h(JjB+h<$<*F^I>Du3WFo=Z&eHGk$`Ysf;*veXQM4`_MaHbO;Z z99s~{49VHm!1+h9I|yeV>c9o@h99g>jT?Hs5JYd|yYS%2U^i=TGs5FxWH2gWFea|sSe2C$I36|?`c_b{H9sy6bpLF&C3%je57M$dfPtjCX;Y3E+Go&X1?m$t7 zZwnN4AE9S&PtVI8#e8z_#Y-m-ZjIx;ZLOlt_r>SDY zQ^q0CGZ&6945t1(c16RpQF=x48#aO&Zb#CL3zeVaE7{5)v{eA^`VdVY_DvtEG#+h| z!7q{T_c@Bp^q<~W_H6Job8wD&vuT&JL}BCwx40tR^sM&jioZ?D6K#zGaD|>^oV47{`6@nvVROE-VJ?a_fvNj2Gjxd zwU3b^d7R{$lXM(0^{W~N|Ll}goV!G0RL6m7tWVDcfKAK|E9QW=l8MU7Ay4>`f=<);&;(r58JE)w{OXMoXQfU z&M-0;tP3~PUQaK=bjXjqIeO$GsnFFNdvuSyS-0J}gq{-w@mlGgC-;07|I_sS*~NZ9 zt<~nu_CPz8tUj2PkC-y?x+mlu_8qZoCSp`>1Fa9ztZKrw%=s%G50_&df%Bb3R*#$k zCl~9_1A*h=6!0S1%LXw?i~6s;T`He&)LQMnclEl@yC04BZME8e4A*HjY`lxI(_GpZ z`2G=&@Hq(M48*qWd$X`|p`+v@K_1*-uD^%}_7Y}6whs``8T(0IABujtZxIl5cKY>P zd&hSClJ$d6O0(0N(naw1W$0+b1V+I6%8}sI1i{CzamXaU8GES~Qe6&*5jg$ZP zgDW38WBvJ`yEBZ=A~s)P3DdhI;uZXGRt#g}4z{*O>j_g`xvvcOEbx~NOdV;HLGJ0Q) zjE>=+dE5-&9bU4cNa}2iDH=SE9c~T%_WUBTRHr^@m2gF9n_n=S6L z47W;r1K;5sE80%gAVj<>sGe}1J8>?fDu@p=$!;RE){d%@-4OmG*%RP++hPVg(t{S4r*RIR`n;1}qxfFtC%IF-BpU0(s>IV3Jf$ zh?g692HC4Ulty4g$KooHUdq>rmNw44ojERopFik&b-fyDCJsC||8v?OmLp5h>LjX2V zt7t>*YPh;>w~5y-1TL!R5MBW^)%R7E zhYpe!K%eL#54tXpQVBB~wBqlnC4&ZI5s)X_1+v|N0Ic+_0EHqz!G#4-a9IQtT33ASvbIX}?*0OtF8)yNO>Z05%<^;UpBbd!*7CUXD zNxti=u!s_Jmfq*&dP0OxP;Pc6c{}~WVqt&MkF~?J0O7D#gy*AoS30V*t$*a zX{Cp}MiN8N&k zzEg?wZ_Z5c{{t9u(I$_?mzb?i)O7NX&Hn*%!GCpC_Mt#ZgsV6;AfnD-Epi0;GL0DV zNib)UfQ1h9Bq=8Uf~`7*E-#;!U8b94FNKcidB&qJUO`o>Gx=zdj^ko1YFoyJkd`$==olvDT^pvR@%?(+|6k z4uQ{TItVBpA4~E%AA4`LTkZb+i0s?w2CHbE!bql?ct#C}WyDezCbow?&(|coUKI^< zYhM4w{x8#c>>SyLS%Y7VCK_B85*I`%J6T?QW2^S=0TX;b&qR6vG!MXW9sfb}cIomg zstRR|5mhodlhG!y2$~s{>_5u}dMYORe#LUkRpYkSc^gb z;of$G#K1ouJL?pNYHX>lTAZM#9~yt(mjwNCOns))DbafLqa&KDbSGE}&0j-T`lX~g z`dP{J)6P2&Al&)C-j)*b`tSSi05Y3JovlghYFT^IMc;CPJT&)*_!n75Oo?gny)C>| zGqTtM-(9pynk2;>DD(o+d}InLUp?rJ8B&6%>zSW6Q(rc}2cU75Li)GkEFs zhjust6ygX>IGF^ykmJOk^_~NTAL?j`!zDdMgmPlQ0?6bsP$w*61Tq99SfU{DF8Mv( zlCbP9v3?hPBkn#mPj2$Cctgt;v~T=-24OKs&1n6{cmwLZhKQVbMP0h76~|PE_xN0>jPFI~ zLESs96{q3Ul=5$#R0!Mv&IyoA;CT_9v)Ax~F~ ze;RNje8R@d3NlO0L!j&w#q7UiZz(ik1O=NWV1_3nNYWG9aAxv>b}O=`I&&D)e*bJH3>(uvF z8OHtqG0FF_nXl8AU4Fvipgc}ej9C~vv6qf*qDsvNldEjB_Ty2+gv3qnaokUT4!B9I zTZyY)EFE{E()w_Qw4b8|r|2F$Do^UCB&Q#q%Z$PNSbhNaS12ucX<{1L+V^tg`^wToKkpg%1psA`lGyM+b`7i>ZWxW`Df(N)$lfvOsGS_~n(YtLVkaU?p z9@?qP{>hk=vm)HUpybRo&r^D|7#I*%Up&nC!FiJO4Y4jWlk7NFb>>{is%vu0N+W)| z8*3jbHh^mF%Q)_+-}nc4m3k>xu~AU7`?rlq(J%eRSR+Ut`?A;jJ5_^#{S-i6^*X)3 zfYj$o{zt0oM?eI23=`DOsRg|m`i?blUv1%B4N%Hu*wv@_*&uJJcR}r!I#3p=Xv(2Z zQ({9La5R2mp%xDrIM4pm-mBi5a!czUMnmbd0O3qKClNsXb0~#_w-gFY^)VC^trRvC zx;NmsWsQ9DI|>~6)b9B+m(O)JsCnz6V-sGiA~nLJ z*?A=Y)G4a2!nX5~dHg6>-w(; zE>`TQF=Qq$1x{<7>l?mqW%?-nQ^NF|%4Z`twI4RwAn}XQqpncdHzO$>VS4z__{b*9 z(68#LhiNsC^UeEwX;*2{7#c*;80|^Xm_jMh7~pa2R&Anr%+lhY*!CKRa5}>`+a(M9 z=rNpPTISX|(W?@WPFb%y_;&Z_Pn9wbR`$JoTYO|g!^o=mNke#!Gu0t*f5Us45&Ggoz>(Q$44KhipP{f^hJ`h9HsyK1R@fuE>Ow_d$+DEpxZdj#GtBq}VOZ9llCZp&;~ z;YP+RdHhi(M~Z)QzJT*_A2Zjv1%CUwUWg+Fx>YxI!6DS*ll)!8I5Dh>F_&6Bajbec zoM{jj#57o|!{Q@Rq=BjQMRuM(lr2IM&o!DhDOrwM5{+fiOp2e2nkv=#eNST{p^ask zG%iR3N=T8VD%|5H!_V7|A<9|s`g9pjX8jXMUbYEyJ8{h0e&-kxcs;$mPbPY~{seFs zWk_3Q;gqo^9N=`Dyy(Dhjv}Qr#JQn19yC3zH!2{`B4tUoG`H9vROVg-x#u z&A$(DecmnVBxNweB-)c7M)c0QSh#?vxfuJTvQ+>uudX8T#S#Dz%vB_=ctU%*SOORN z)x1?u9-OdSg_z3U^Kn7x!=YBjz_T@Krff%hm~`G7LNqVW$Xg#}hKrd9&wTfz!D+N} zu5Ae+rF;8(SR5ey%5YnimrPCZm#Qjj4c@>hyOfRg1YD;`+0;nSBdst`=)1@P9`y6( zevaHY78dF}Won8grap~G1{EQzhvwc0$__mtjL<#9dEO7|aIc3(fx!LSb95aywY$-A!) zvgVR>Ov&vmN({X67054_&`B-F1CQ-i?w-%BRI+WH`#euM+>bqr^0_s^jCbG1==D| ztsk>!o!1Nl7DxRFFck+6ZM@(x9~FJiBnE>BeAlA)su3X%egL)lPdMYg2aOu8MGiOR zuz`Lgmg6A94Zym)0a$lF0Myo(5z-fNQ{EFX#q9e&I}D4{R~Of(C#<@G$Zz4~?+F3|;%Iz)V!(c@ zCH!}Ma>o4IqQHzsJjyD?45voFe76Fc^CFy5p%DA{Tk%X2G#~o)%$pf@@;S!Pnq$<> zoORjIY;Di(;r0lhqQD=zQbF(HM`jK(Z6^C%%dI2P5F&2AB$|Y3H@LARJnq~|X;aZ* zlc1nNLkM+YOl+{bno1;Lh_kV-e1~_70tVp`U~$DBCSN!MrWHMN-r-UxeHVJ*-LBh4 zmf8X~0o%8P1#i5sh`^p#O@O%S6%h#HIbYE@RumxbX`dkb@>AY(FX9#-9hy4-uD>_rxb7$vI=gvl2YB;6wYQn0&J4;!U&7?kDqZBUbiJ7ODr@x?qmS@Fqj0 z21SB0vL`SxG$?+FHf3J$6+wlvoY(V8d>bDFBimfIUG7tnTWC&jfWnu6r*eV=f_MS9 zfO(smI&ia=m#MCdW~`nuO=yEVGm~tjzQ&0o88dtH{62{@OrMf}rk4 zRAt^p*DY6W>VSD!>Oev%@Em*~;Dk=!cUOA>R%d&ZIS8s0PuUlP3D3{(?sx8_n(>hG zQ6dfx+aH(DRpjH**5U&o&TnCOcVgtWz#aW*>H%}2Lk(%%HW^Ei4ArjDpI zv%d|TCD3G!OqP4%6?T5o-7ztk;`+e%C0E>ns<)*7lmvr_mmJ^RW{*9kUCo5Mbt?UK zRCfFzzncwt=>_$DdQd8kP!V;nKN^$!I~y&G!;^)Eqsd(n&%&_Ei%mN81C zevujhLcjQG>I7jl{Y5B25fV6V6{=DNk%$E-De_uEi%Xi$Y#=RDJyY0@Qu*pGK6=l` zW&}LnGEM^eu6YfJ)}v!OcSWi)Yr^yYZ^Yz}Q_6-_?eQ@s1#f-{*qDb*{ZK{1Q8(=e36i_Pku%zvEwOW|)OwRve-B`J9SDn4~F9gqkRj z3{zGFoPGwUX1T}978eM!4cC8PvfxHqACYFLbri+eVOV&;a=9uRXX8<1~K6R z7>fxPg;EI@H|6wFe*FKDnZ+yY_|KRvs+#eC#%$fF%E?d~g{f>Yk%Mi%LQGVn%hj)D z)9QzVOkn$z&c)cezbgr2e+?wpM~8D4!X6a~!#fw6#5rFKG4y1ff0e6tr%aBn?RQd_ z%g)C7ky+Pnv9*R1?YOM2yL{ZQs?K6Jq+tWlx%&`58o`>HA;;5K{z{M`c9s6SQ(Ik+ zMJkVMnATaU*g!xIa3qTjta|M66>Ib~-|p}_(u&XdIK~4agIjXoF1z7r3AqF;+E7?5dedO{H$VFpe!Zq<{e_=5cHdnyRn< z^8NcN)QjPVv;zs_N}4%9zO1)hmQR|ZLz$t_r0>TA5=5zTc0mPFdgvISsVth*9L~=? z<9*+nfN~M-E6-6?OIrc&#vLv?+je>GjVJ5u&1BY-!I}q|pp}J}30pLNU_LJ-D1;Xm z#%{k#F^#jr9ELL8jau+Cka7q1=sK~by^fVeGWY7%)n!Y=kNtsQPSTC=9VED-=ze(G z!9Wu(3B=Y4-47i<4GX{I_zy%{d#^t{l;w_Zrf%W9 zt3yZXNJ{^we`R)7vkiz}OxYcPQ2#=5|FV#uF2&?OWYuU0X#IGrzFzlZqfY&m?wvhM zzMHMc;f(Jb*jM}R>se+!PyTp$XO-j1Ht{k40KbgS(%XUej;p{=Q-<-AD=lw+yw@7Q zE3NJ?YPu*1VLtgXST;}|k5=l>0E(nts*18E@#8pw%b7SR>#vOdmZk4A(Q*D_<1oQgEH&{ zTBgxW?4kmSB5-rlZ31Py)9G2+Kz!aiTqz|W1EP{h)$#_5cCQ>Zm`y_dF7$tz5K0g= zRu2kDoUkWS4pD{>T?SJ7rE3s|7(7%6M+l9Of z*z2+zKQ<$ot@cHpK6a&rhs!in0n+n@S1Z_aK_wgE<3WK0_P;BOVSDVN!WrU-tK#xd ziK|YP5kuez=ujY8RE(%`iBwWd2x=%jyy)WdR@5jOD23Re4g|r(QB-e%3D!3vB;GcI z*LR#p^f~V(?(|Nkclt1sH5~4 zt>jUuzTpX_a+0TxT+u`|b8u9|mQ5gMI?a^-=LrTk^QvI)l~bO}W2XX@F|bpw($FB@ z3W%Wg;^5>l@kq_T|CA$e=RYN|OR%M=QI?nx*m1>SNae6$5ODhr1>>pS?(+qE8V%s| zZ3_YqVq^)gPd|M3e=fMu9>7W;IUP?fFrD8(hAo9ez+s|>QV4b&jE)AphHQ56BM*e2 zst25W1aWys04(kT+y~L$`=IxONYGv^L0d2WmJC|?F`o0#^Z_ZqkausDcT#GH>3!{& z_v-O1D-`cJTFqlps*?j_@GGDhB`lqa{`NKXwqG(4Od98FeoQUSn8y@rIoX&xMGX*U zuZ^1Fk?9%;LzdGNo7<{4ols+?47|k$)^R5wy@mT#?GpPl!ul%@5Lplle%00 z9qDDX;VObo#wLr518R^HiopM=Ju%9Qp0!{lUKebI_!Fu%VUPZKfxDGF%dmB+V8IRO z{QeGfJUj%sTKzr5>oN-$XzgeVNkmj9FkTjIWy@8kMa}m#iBttr`jB+N@uW92A1jRPJwg^DlsS$+pH6Ybulu>)Cg>lr8~q zdja{EO~(CF-=rgrXK#U;Y-VF;Dg8oK}u?=SNF&?1krlT(FX+4fH#289auPwZi*&N5S=_1tcHJZ zAg9K66^I`;EToQq15PS*!iNLfuH7SRy?ioMQ1=wtYf10+tv)nDa`EEzCm979Drjq6 z(pIEZmG5{lG^h6%d_OQkyIj}0RnNexe9P~kb?elfm(koY;ldhpfA<{38U#qUDVVTj z1+!wR!6@p{!p`Rlal)FYyaDHwwB@BS$gK;dMqs(l>WbfehOR>8<#m3kO%3pBOr43# zhos0MiV&iUK+sCNWJ&KB!8vf0h$7Gild~id-&b-HcQDD=B4T8rSWa?;B8u|s^Dm)d z=N)4c_?U0O;2dDSZ*0HN(?@aw)2{(Y;sEFkJnX)vOJKr9QZerL{sN@^(Epm5P)=aR zas5AM`*Mnq@3AxkUoebLB9)P6Fm0p{x_GQ2_Bf?7b~6-P%CQ{b{OE;qMaFq=KB*{= zswIr-FZ}!S(Se{By_n9^dlmZ6&^okK*CArilb#nO3zZSoI1yFK);Rw9_g_xPU%me; zFZfhf8GyL%Q3M?){R|zckzx|6(-oR#5z+vpc}iZ5r#gS_;S963x1;vlaSLQyYy>=cXQjqI%#gRm_Q@#*!oe~ZQA2o z|JTc(tRApOv^zcYm-CE_wPsOmL?95H~z zdkuj@qr-qagaHhzp9G-x0vV_Taf~!E$Xp%8oUVtFBt?Qaj19Ow6nI_n9vJNzZk;?f zqbax0kEXwHkh-82icVf>bc%{NO&Fyp2I?I2?Pa)ql%l+28DzrlTQ=K@TmJ?GRix}q z)roR$lsy^uT67k&+^baAT;Os_Imy+CWkJbeQIANO>->WpI^9XqKa!j=FK)X2w%Cc8 z2ztZ(gNRA?b}=;SF)oR5y+yLEm(p=r)UR*Gv33*XN< zL9s7%B=#cKRL191#6K_*88SXuD6{;1eV)fY><0H&7%jHtaPLWwb&e*bjRD5bYmhiw z46Y6UVUk)5O-?nQbiA*xmFEc>Qi3 zn2q~g{kQSxnvL^wAHRd)WN^-OZY*utxAvijEzamxG~4iI_w}_PUWi_xFyHTu&Dg!~ zYUICI;9G=0n!`*Il&t~vL}rMKy!DU)D7QiP>-Ozws_Wd1No84S z9n$HZ{DeAMhkD{1;UGky0$K+IG#z&yf6?S>2}9aS57qU)ZIYn&7uT)$L@QXg@8YMA zdEbJ|TnPs@8fjvsh5EMpBrzm}`X>5%Z*yy}Y~Bh^kZ~BY&Qn^nFl~STgPZ~q#h(I+ zXmS|cjT>+p1w4)I+!Pm;rpN01o6z`_&uk^!`ILa5mR;&HENcJcYoDt$5F~4k5p^1; zT#8AxL044^S9j?Zu+w1V#4b>`Pc{3}*p@0*oAy4nuw34$On62S*%GbG-Y1aX+7qq< zP;)#}MrK=GC4nEMeSYF{6yAf`@ev$g>?0*o+UcXyP&Uc*Y@N#f6>f&~7QFPy`y<>) zWB+oM>wW}YpK5%h@;}eQ>`pBY5N*PN2l+u?gA5IUnlA7lS*(7XkSlyM#=f=eFk<^U z>=1CDYGijK8hfl1hKHxw9a2<*?aKFQwccOC+y*UaOd*_M#76;0IKBGec<^t*eG}PC z?7r72=T%v>1DLsLkp=%&(8%>k40d8!)MlDO1V|PxqYdOU^mYv(t~p6D{r!Gkbauc) zL(+&Wzz%UBFnh0p(LV{d!XT;Fb!c`#77`GJVZ_o)Cg~M5y4UA)GFH$RsDQ?PRU(Pe zX&Gg@OC*QEkVHI&u|lm8Sn$s-be(NtuxYB??v>}Cpke`@{c!44$7_fLn(d#q(@DoX z{4y9xSfo|vE|Y9TVnx_+IE+TEzye`5%>YAI;coHX?LTB(ZGQP7;UhPQtw(RR$^W3S z0iF|lZ|}e>L?i?Czye-!6O-ummuHzAGd|ZNCwX={AX!g#R2jg$qJK&PW2lyi18Q%| zOSXc6csmLMX?l6cLuCrht0lPA#1U#EL|(KH)!(l_7LjdeITfviJ2;4Z$Y94jZ@KYo z()a`S0yl{KC~kE7C!~#Hans#aw3!_1qUCB$3fXIY6OsQ&dXsGHI?Iv4T?~KzUyLI7 zs!s(lN<*JfTWt5JvQ%;PsQb{1sxLs*W3m<6FlT?C=(cHZ@hsG_(h$vEe>e z^{LWt^wj&VZ1wuA894AxyxG83Y#?&e7fwd-g4t6Az09;a(5^M=-ge9g>He701M@L05JSJ$uAMr@o@63FXCNKrm28Dd5$TXfC?ErIq0GJyPYD22%qT4i#m+lthB< zycOl6>C?OlF0lSe15vRcOU2YsCOH%u0tv=YV4PwD<1`o?s)_=PQ|fvK1iTp%tpGd6 zn^WP=-={$xZa*As?tB;Eg=wfY$CV{v#H$#6fniGd=&KWqHB7h{`gfII3(2><`|MpU ztD}TK{ia&h`V}L;Hd{Q_e9^ravW*_S$FJ&FYtP{UN#EC*Yykm>^1V3TBi6{nHs5iB6}Pu81o#Hb_(z{Sa(@AtIQ*Qs z)-l02r2~0B#V&*BR~i@#X+$HfWAOMarvj{=0-Qn)w^f%O$0`n=zUAG$XepNH9I3Q# zEH^7%emj9uHQ*Xgf6U%yJ%Ae78AUnrzyID~D^j~!Yd7obFmPdaogX6z+pGO@>VQmi zrnTG1J<^nGJQua<9v=qtqWyE=_8n4V5%;h{%%fKEJGO&<_ODLe~8ZT}2Ce zEWFUDA5s7-s?qoSKHxHeCa_;YK0Xye|DV~UoA)Q7)vJQRBAV-xkt*84{;3eJ5=RT( z2h%m^(rG2zF2zCnH%pQ=?UXpC*V83fmh$w*Nb)o}KT5p9z*9$m<~n5+bhfVuJ0;zj ze)fGYay+geqNJxWTKcq5rbNbP;C{paOj#jV%tu=`Oih)$W}4w~wJBK)jp#bw{`Eiu zRj1EufsTY^)jxybOV-4?VV9Wr*f=l~;Uf_|A5`c$6uV)4y!6SwR2{uqhxL(%4PMa< zTGI%~V5}D0KtF<`8Wg&><|}{^*H}p;AOKP#DeTx>wsTI>PhV81K5qqf^??l9#Hh^X zKn5H-)N#_FIQ5kO9jAZ8sYDN{$+$PX=IjVfRyUt0Y7@i}DFU2H{tqb?bdXOcYY_-iZwI4N^YWl1C z9xeiYDYladv_p-u0NvfZ!1^&^P_B2G6RM$iU2Hl+O-~%>(NL+;Rxq4Y44M?^x>h*w zeaT(QMi%}{y=5+fazkGhK8{kbz;Td;0I~X-`BLuyf3F6s6k3UKAbH45Vg~ycbA#m! zJnK<`YiC1iM)9@eWbb_??rZ0*aWg8u>-nT!M3ldm#>9m2oC8nFqdenK8b&>x0aXKZ z0R2^`QmBeZ2_03JY(P@@@v7tC5DPW^r$zQ{#FIqycgo{D>ja%x~l(f2ilw*D3oO9}qcq;o5djLLm>zIfe_B2aJnXtROH|%^m^a^x{UWcw z?yiE*8EL_EV#9{r9j<~W!>B)o*`4~tmvGU7ha`!~iYkuWi$C$PlHCO?`ywz_3_}+ zk)YV(fBjF?$hGezG+!tVCyQM$>FME&K4WzhZ#kp);{;vd(=bW_mKg=G%t2r&)yS?! zG@N>d;CMe_i#j&6o!`povR$MM*@)^T*g;R@R5YIC6!(?Mv~ULMk)L_BXzqTaXYmL3 zT7PKIVtgU<_wTqtHgwa%GW3Q#T)l&|e27Z+5rn2+#o&SWTR=%ibq}L=7CFE19Rhc} zO26ji=nXm*9&~&xFsgP^4+#27KqLc&V~;^(FJ>$k8-QBkpitlgbkteAnR9lAWq*TcyipGJ;CGR9 z1JVPpl$_U+_r(LjFsiU!3ahVSyVf~FG_0B+$+RFxOqhR(X$j#%A1AMFaD@-N(?YkQ z34{DEjTRNr!zK)mklg9yU?RJDlFt$aki+qM_JMZa_b*hu7i8bgpE)j7KCa3u~)JGwbi3X{3}7FVQ73Z z2l&gH(#y+HyMC;dyfcM1XFHuv;h^DLY4Pe;&9L2pPdE7^0cAw+0kg)!`itdNE1l)m z^ZsRiXRTW!MU;<)6_&rjQ8Z<(j6=buhDyDKD81n(-7Uo77Np_K*-(p-WXqwm7%E*3 zKN=1dBbtuLN1qlSclQUFX^ofr4k53o*x1;?6faCf!h(i;rpCyNk~^B4U)g=c*3?}n zEt2dqPFLX3JF26`B30TzdhJ~%mX**ocvz)>K3rzJ&cO?}0O{&9fB`{&HN zNbWI-&mT()V^_~gx7VY8U$CH~be^gq1v|bICw`VTe#Sb231q~9Vber}f#t`C6%!kV zp`u#dhwZwkH${a>gQ0rXg9{vYgX!u_g9!{&A%%G?{)GuMM!5TOROkFWp1i5@bag@& zAP`MU7Vvz1eE#QKfQ*RYp7E>J?fu|zhfdMfj?N)IdcW{^R>fzwVj|fhT1|@fNY(od zy6~PIYQdht>j$i3b6TmNTAu~1BC$gIl$3CoL@RI#Ezif zC~5FpR&Ru{@QY{f{MKlkk{EN41Rj1*&g-g2edDLht3&HeR{Y2u;@&p-whPCA>)q*Z zohklLzwZuL?+;$m{GU$uf90I4J{_zobUr6s9i9#tm&!_rru(<&X1pJBjEYa&+Z*X* z3X^7+i#AomNFFWaN(ne0$b&}iG2{cyKuLb5FyFVzu02JO`g`*A4i!?or!l8L*7&cV zaWPCZA75}?Zb ziMEnVYnzXGK!+bPouuunewF?#dk=l@IZMF0q~{*_^Nga`5!?2Oki?cd?~R%_j;-{U z#~kC(VO|~fdMT;=Uy<*#e-g<1uDOC7ZY+bGYF9=lBfj92oaYEKCTv>n=qR6me)fCqaDecuz8#>HB|1vCF2!rlU^j-^`{#ogT@xVyU(+}#Nd z3GVK0!QCaeLvVKwuz=w1?)nz{-{+ir-Wd12F{-9@S9Mpd>e;iuuCA3J`q(56S3^i6 zmw5W|On$cc{F{o#F;eso=5c5b-kp2h@C46C=wA9AG6MdZeM3P7yd$DdENu zIDIt~CXei>;32RqCV87*BoQzu2f_ma!zfJB{5o9+E?>H9a9NaoQkb}3YmkNdJx}ja zy@zVPwPq;-fIq;()9uEO0g%Lgh56GXY_CYx{&k;dP||__&|a}mIYBfU6o>b1_4$JN z*ek^ZOXiD73QY1{3-pPndZjL|R!j3wg zH(oD&qP~?#ew=EW(%*2c94ydC`xs=`Yi|QDAJrkYjh86jkZ_B|n$Wvz2=RU|gzjGS z_>HHGQflfq0^NbKFQR(^cQDDy;9|1#mrs#+DO7|&K}Wg&y69U3UP{@Q*XLDnM2l;T zLBCsa3HT4YSgiNm#64K4uRkQAELdqDce*=pF_nJq!44<3pra0OX}x6_Vvz-IBWkqo zA!^{Qe|tm(3nYG8(d?e{4O-aUQSDnki|Z8$9{a9@N*kvo6)pzDiFa}NT|;jX&BfcG zFm~^|#)Z^;UB_fOYE6f}S(OcJJDE3vqBfvWqRF z=AsbwP-(fcBo5g;+?Z3F#C2sGll>THH6DL|ia`Cg5yR>&fr@7C zxll3X>q)kx&d2mahk20%7kvWO+moxf&P{bo^3vTRa?OzM@?FW6Kxl<3f48n+bKuKT ziF-KO)RhuK5vdxK3M#E)pLqDU3Y-h(dEVban{)_Fvk9R>4TqM?pbx&{Qg%4-#ZGJl zV53?vkUZ2lyd0OHbqf(JNN{oM$nNcg zl@rI%We}?MIJg@X0_RJ=7Y_a_5ib5bvIgZwj><%rPc%Ad(spnI2EKR+{{`9?B<%G& zF)YRkOy}L9k3$ttDlM_DM430HSn#4m z5mx?nPznMA=)wVAo(_?Cryb}5eyF0wkH_F`QVgMNJh}k;(}7wT2{k)3+H9hkj%;Rg zScCPK%{|>SXyrer&nI0;2HW>GT}g)9_r(|^Gl@kwBd;q=#loREyhkwmz~v$yti+P1 zsUKM47wO zgZRUOhBxn)louE|Y|@JEMXliI6a-Q5jtHe5lDz5_1T|3bY83=s+C(5J#34;ZOW|Wc z4TSrPhT@*z;%%?luG<@gUtH{)>d0x2g0zv%8rS`^+hv(Hb zM9oc~`$fZ$s-2%k&R77qGTOj$2-f5e)i<2H!EmfUPcaHTg7kt#3MfT8TJzVRo|cPd zn^^$R-a8sD4-j2l-%?)HGdD6eRNwvzI=i}NKPdzMkV2ulVcHZQVEBFx@HPZltL<+eMy)|zJyQ$U}yt^PEQ2yhy zHXbrSL5s1c4M^1ly&{x;<45IFkZ6GgzB<9e^i5NcaO;8vQjoxOr}%G9f(HsE0&^xX zw?IJD5Td*$FmZu{$<#wYD6T6AqY$IM&f8(c!L(sYK#be;V{{DL->uYcF!{W;c3V5n zM`ZZ8on7Qo5DS&iVZV{xrk~bq*DLs`FA>Lw%{v7}JYye=9iwiC4gjf1-9QhOsM#iZ z{&l=(@MF(QI~E+!d8y^rgj;)LFTG$XcizccjGqjo$Xm!JVf*@Q6?UL=X3JL(Gi+lB-@GNr-};ETjR0P`qSy1S_AF7Z^bxr5uuc0Iw`y1c5Y_a%dER1dJef zxdnK+D>&=roz4vXRD3`a`~0bBNFQy0F$)PWW+8nH0^ST!fl&nMXA}|W_n|my0oShC zU)!M}2fY7i;xE6~UcHTvDpOhCAKUl|v2MPkCTvl`&u+w_gn?Xmeqg08tjvdg-V%(% zfVYI&Z_8(QzQKeSKU%PdiCIxV1HdE2lsX)(ZR<~gvr2Q!S^#KpoefHd!D|KPVBNX;M)yUWu_Ml%RM-8&g&R?_oH0sq+P4xM zNr~{F;?`cEFM_IoNj?ZB9q_6)+3i;f_r7oKs(B-U>D9zB*d|BxGk2J~cHe&sPZZQw zyAAN<2QMVWIM1s+oJWBX*QS!>qgL`vggLzB-a8Yg0{-LT`Y`#1=h`rvg{0gy7SX62 zW1rnSvpCV8>5o^sFVAVd?-QD$5Ug*nM>WCJrq55<-Pa+Wa!y!aQNN7+9>PTJQ62Li zw-F$*E!4$PFZjNcKW_7v@FW^TKq6aE31mD?zt=~j0b`+s7a|Ih$L~*vJYY|Sr9T!6 zg54W5Ou5A)5+sAi04QvYwu?Fi0k2LGP<_+We(do%2}PDh%au*y;J}*}lpxb=4?Ey} zi77F&>Am7&e3+~2qDmYJc!an|QgP743EzAl>#Uz?2|deRSdhVnb{*O$#IN!wsPw~J z|M)m@2%%+fN)eiUXcU%DpPo?-lU#EYsf0@dba<4#Gyeq2@4v<2whqu}vi^ypgAHYi zE>{vn5n%QU2{%%ayj5*c$e<#>?C%iD4qUFF_A53D>4{3Ggl~=N#^Cr~O^{R%^ zZc896D}HFlxvI-#8JxZ;~I<`=n< zjTaJe*gv@+=U$>k%x|xXek9`Xf2M^#z%OV`(pW!g{AyReJKxi|;$?UKXhey6n~K7j zTKOA2mG!tu_n|ceU9tDb6>Qkg7Z=twoyC-r6#}z;N=Updc(WZ$!1m^_v-F%Eok*P@ zo=B>eQx~q}F+?g#Am8~1OHq&`wTYklsUuWiT;%qF?9}4~dRCPLf;ij12Xat^+JKjX zQwOO)(-`20;sZOlu1$Tv0zE4PLtGw?{Nvr^eHF%sm|ULdNJ?QMUd zR@+1v=mMindK&(a)(Cyc)mTUXVDKoz)m6}o?v;v@s!1B7(z+!B1J0*MGN8{kmc>Z% zV^r1x2An{#S=<;nxca(Cl$UmuUzZXwBHrq#XwY7+KFR1OiJ+1tzgHlu^LrPl!Ht+j zlqHw+>mp28a`St#`v}P`FzkL!ecv{8baQ%`z1!PCt}*ydsrhF3X5^v~@Rumy0A$q` zq?HKGJ=<<0APGOoB3E|0#f~@LF-&HoH;E49$0EpT!C$W561ewI zdb0m;|MMN+`{dmzH z5Pf%U{WbiHml*izxHNI$#&tj^vIJ_dd$z0}SDN2)x69v+W$*!v|(DK2V6#~qMJ7)&5--BL52Ak7O0CKZQRvVw`Xt$jD&!g=}2TidRrT9Zttu!Q_yMvS4 zQ%n-!Ob@RJ8#FWAF@!KjumH$528};=vAk7@B>)`8un2^fRs{qTlg2+X5<^%*BC@%- z#9`y>T#H3*mq5_n8E&QBv=O_Jjx=z2<@~=gb2+^uC`~^b-@sWVs>r_|{WShgVI`Zo zjfHbVC^pf8+G3F?hML>|{E*{CKj?|{_qXju9mXC{rC*IMOqQJvQw$u*+$DIV5 zI0At=?772wo76RTdI?9@V$Zl!en(cMAd)B%`(0yUWj(%GdzpgwByk`fs8Ig+oFty9 ziWb0qMmX#|$*ZgeP-x_%n7vODFCB>}Xm>48y|v<&$fX{#q2iUu4OJyqNHE}=r9msT ztjQiR%qXy&F2r|xf!D=2@M!F=6<@ECdV!bS)%R-bUU%DKN>MRAxOq3BkZ=*asCiNr z2PzrF5>A2_Oq{CZ2RDdM99Wq&p*J3Mfj1YPYmf5QUs3hGywM&FtqHftde-Z97VV7~ zWXG5AeUbMQ%}_mN%ZWGl5zUx{wQ8m+TF#E&$Iz9V)nh{)bUG6+ozTYk(}bSQYN4zL zP;izxp>77Av)NR^V|Df+22+4Z2e2{Z3J(9Ae(uCOWw0lgV*8z|{C+6YLs49dxQWjG_ zx1p#**Fdp|Ls#&&H|*dOTI3A{#(^yTRH{}MQysUVi9;8;#g}SfHO8rLYnN|V!hS!j zS*9%+_HnBQ`3sxUEHF|vqC6yR{!g1Koiz(pE0$BB&3{-vQ3AJ%$uT-if2Elp6^=%x zi?nPo26CQ~r)a(f#(g9XH5;6lEc_eYC<4P3)N!L+?|TSs^^P+qi6rG;KsI z788?NIO57a=!(m!w!(%nE$t>9?K3THv&u3ABT-&ju4AGUIPLMHmE}-37wco zt5G<94CTQfNpy3z?svYHu_bM@Q~C(ID!yios%BRWNtYH47cPx0{EEvM^`Rl!AVen0 zXx61$lRoOK7$(xCXA>|R{1dQ&y5>4ckSt8lZEn9s0IegqSQ1nA`lj6>>A=<0$a^IzT{_YA z0PP!F|5eH74z<+R1lXT7{zq{Pb#foFXGG28L7|%^XiT<5x|C5n?5n&Q5=Lob?iYPC zZ)L2*Ap#k+I$4Qnb%m|AN!@G%vcX&k7A_dn-gB9;m)!0G&1R*cK&|E_74kqDoF z@zIw-03az_s|^BUI!;R5v5M(mqA2%?nn#u ziUuy_AJ)Dk7_ktVfTyTLWf*;w4ND627JTJ5E@H&G9%@hsv=LyOhse9z)s!OzIU}JJ z*GFK1h;rQ-rSBD;cdw<=It1e+C**TD4`i`-?NOzj~ef2S!RcbL$9>hB3e&hQO=2 zsfK5lG)Ia_NEiENy1$7dO^!zvaVp?=IWkL@0GW;nuH9C|jub|}Ht|K4%V}h8GD}m@ z^vHbbNCGd1^5B*fSt--mGa;-@p0{%(NG)O1zkm<@Xgy*VPkQNtE$rEEU+H6-;P@k5 z>`lnYKtTC_l(G-izses}Xm@_3KsI+Iaqg$S?151jo53@$%ofILy{{w%JQy}mNpasfq7{I}f+Kt~7YT!q=VB%Cs-8EvP4KCk3HdJ;W5P#5>d@nY zG%{9cMT1!C>(o6f=MAdBe(oTFDS|2mZRUmR1qB@+&4H%POK0$X>n>X1ir2NWN1 z@@(p-hU#iG)c(aX8x}BaVi=3{8Zp;RB79Inu~K$j6+5q!2*{C^hZ0ESo?(js{|_7g zleTZ0ju8eEJ|??#99jlemT3X!d?Od|BZp>Yl5I~7oZYHKm}?s2a6cVvjbAk{Q#Db9 ziweovXm$)1D;M&8cjQ3reHvhhojLeW_A60o@KI6q+5D6N7}&YY^Nf! zpuqEOzX8FcEIezVguCWe`cm|m4=03jB( zURhoLHWc>QX|2{whzuY7`DXgy7)!(JwPYei)vYDJ5n2qiTtQgl1Oc&+k7E{^fZxau zodM!=;*!h;Rn6XIVzSo10z~JGNN$6@IdGgR01qm6{e}1~?3bgBu^v8uL!2YnT!LQe zyl}&{E_e64#wbFpUJE9>AEr>lVi=f1>Jp^u`0i_%xWtIw`s!Kj=g01HClRm+$wv$Y z4dHG2>D*kG*#UNl-rqJ|!Yn#c#D*9!tM~NSpe*>lnK+#|LH-W%b_X6w_0fBV%i z4&^}a;)?hsw%8zRz0!!@g%>;WlRD`1_X^YTKxvJ#^pvkZU;~g%b%T3(i2Qrgt8!Vn z+47+#<;u>Zf?2syHKC}s$2P_FaI;LmvQ*E5;~huS-T9-73~4Tqvu*K@!r+E2mtELg zUSAKsyT4sp+pyv%YG+PZvIdRbQvOLts4vA%fi&-H1J^O4bfo5gD}=TVYaeAj0Pm&9 zK!!-QG(cmV^(JW*zZp}F*mo&Jo3xRm$N&_|I47Ip%)U*Yt-Xm|N}2?#vncBqbQMLBR3~!d$Pp+E2jnP2&`ndDNcXZ-(-uG}#T3^e5sxZ#3l2K%M)ri}q=2tQY zo>&pW6b6cLYrwY!iolBzgRwujMVPNq&sIuNz!m8oSww)*XnGnJ2#;Yajm^W6m<2M> zrL6tL6H-)Fk4fAJf;ICm>{qwQC3TIiur9wW8%nNI-_nes`&QA{j;A@K5faByJ;2!_ z8}XeoY01Qh;9z_yV!>ORn>GS&Jxwi9K+P2oc;;hW7G_NBk)0_5j_cqEqLe_FkyJr! zw08`x_4_&O@OU~KwIbVMMl@2aDQ2aWqGql__kKWX)1#GTOAv;&EgwK}C?{<7Smi5A15DUzLQqDNE3me+mUr({rLiFRpR#&!$`EvHF& zPzF`APJKX~9?OB>^iTvR@QOsZ0s&$NG*p8bhSM5s+c{7~O_5m(oJ32ar;dl#B(^n@ zn=G~%DK#BuSf$jAUVReYQVs`7g$n0uwh@U-VBP#ID@?Cehh;>F5xs#g0n8QSS!g78 zRq-%1+3n#hWed^otC1$DSRx*G(~E>3e40n;k|KczLgAB&Rw#elZ4c_{YQ~;miAat# z!a@cqu{3zj?{MyzXZA79`q= zh3&dnTpk>r6D6G_j=g~H!SLl$YOSBTjA(zp z?;dX_(qSgDmXlgZs?SeSPiacrM3;}`DmTgWSo-e%f-cB!xnU0R%p9tf679^EE^A?f zy>q2pTlrKhXD!gCAlcHn3Q%~YlV`XIrYi7l6qdL}7U$4S83aT;HO83RBhHGuaiC~o zl;!2zt~N0PX7AqZtHkI;T`VQakg8x7PGEwMT_8m7c){{L2?LZmV};8Mq)60i$n5EE zdBOTUn?j8{b^2=!s*JU27MT%r+(C=I|2v7OKphL7z!1Q*9ZgQ`b&Y7@^*Z2q{Cm6S z;$27^ebdhprk}b+x20N7IQ&57scDw87^~LNT%sjAI!(d6dlP-mqOD^_k~^h-$R@ENrpY1d zahAQo6~Ez*vy8>!r~_Q>#WMsIFK|ikvQSwso-&z3f|_bD2%8r}nBFUMq-z3yPKLN^ zT#iqw45W&?d3zBAjr>kKgp7P|6s3KT!hL)$d7xjtEoOeKez3v5_)$8fCj`F{OE=*r zl^VZ+1R1~S(e)g|%K9&$r+`#Y(SvFzYJpYe+(BpwxWm@2c#ZyGUx6EMwf6D9bHWQv zRsyRmM>A5t0;w>E(t@SvkqNF7s82_JK+Yw&IFzkw;)&$`1wxrL&4%8&3d`$}$R1-| zD@M}c1443?1f`r)q==^$2oG1u%ip7=+LQ)vyIa-9+*95UUfKM1trp}O0<9->i|}Z) z*%?4b7}5GuuBTUt1P1{!@L!f}3Rby`V?=QWQXv?5)?1t5=dpRo>)3ffCV#~wzb}a` z5`=Tu{0UI!qXqlLAfPM$c>7%YCkOS(a4UJ12w35`<` zkfUaD!cw_9Py0g?I9*^>JskBamAVCEcB7S^-UjZ`SR4Iag)1DxoubLP9^lNgMq!th zChPt4{OA3Vu$DAePdO7{TbC1ksoxrGBls)SHDtFc#o+MKeBz*1Sfg^?7iPNaH4-&kYPA<4$ zF}6Cj)HdQ#O{>H4<<3^D;60LqnK@bY{@-vq0Q0tWl-583lLYr|ahh-!2C&Z+9)%Sa zizeNsa{}f@KU5NB2a>PiS~KWLt0_~%$$p{57Rhk`q}}>j9!&P@=d#EdDM3@z<@4x| zp4_!lp*CNGDq^VZ3_&i08Ba4O$&=(s+$v2@i$;TG1nR?%!)&@Ql6tTL+vSO*)w~?r zgfpc(z4KIaBIIt1^5B{hQXQP%2pO{U)D#hETbqBtaUYlylk8i&SftKwn3ahb$way>wTo=eaM{1}03%aWt0&wlIF#*@U+FzdcwLRpC z_i1a6vPvo>hz&OrJ%K^^26mJVz@)!~xQnc*XljD#TC}9?$Vc z+K9kr`7l_2aq%-<2KXx>(?lz~LU$fEcbHGsR7d zDgmb)ev113_IZ6MpMVNDDY6H=UqCb1ZaAS+paTs^0*%jn{g~LXV$D#+v5i%|>7>{4v%#?` zmD%dm0m6>6B>L6eZc=BNK+xz$xGIi<{rXs*9fejC8bS>1Dc);yuN~fNTW=gMLgI%Ou)JMEXI6&-V(($BzvdTRSkobyk)| z+GJkkFX5CtYS}oXs$LGpPUirj75GIv%$E;@d7MdC63N1MrSnwDc{UW5za~6_QZ>Y{ z=OVxQk|DO)t0obc0T8UaQWakFu9Z~tPSa!qG!feW&QNJ*N@VW?Y(=kyny@3m=+Db_oBkh>EC;tpCDsF5+O}frg=h1P6)QvzZ?*((pwDXl?&{~@$CRr%shK)ves>$N8t`M2F zvIX)VyV^HJ*;rvpSuIQPK*q3|;w0Ofk#mjVIL9x-QNZQ5 zeROXB#!^Eh{zm7ZOmSbheQhB_c_8qd;t6?~p{UOQIbv19*jjoq4lj&B8ZtY|cqn6+ z1frm%4`>@|s;oYdadE`8Q?hY%tTRa}*i?jo&0|c)*U^u{SIc*_D%+W=y49XM;Ov%{)k9jFeLd=)k20uUMaTX;{DQmY8 z6eBW`(;EaxMRoi|9p)%8fi&gCkQOI0vBMii%poO?^~6qo5ixGv>}r1;?O!yu5$h&; zd+vWDw2fF!))EZ+5C9Ve`~NWJ`9c#in}jstc2XR3h8Mox+LcOK9nvCqkdQfaF$OaP zKi`JnV^FX}mOjw!KXGS^?YMkM{x!WiQJw}gFp!oA! zI8c-bzY)xWI|wx7J$M@m_!LkC0qIVo=!uz-xQv;cU6F=gB^$NiS098?fVAYuzpvrLb zjUZJv3K^!9119?0_k(O)r~vgOVn^Y?@u6U4Ze0aAoREeyaR_`xprG3vOk5`1S5HAe ziyy2UYR2l03{CF7K#VR4PE*DVQ^~IfF;F*mof`cmHaTS+5_9_u1}fn15NM*vX7d$x z!k5^;LvJ7stDHAGldq=W=&j!g0)h2F>AJ|_tPI@api5RQk$&qdWBh9>UaS0h_)J4N z9J5-`A#qnHo*xFic7jNRulwHu8y)#{J$lEcNojS6FD?nQxyPTuS@64Hh3cpmIPLAoR>M!Bg)z98wH+lx@v)cB3G*4KLM-qvrDy1 zV29*bf7--~`o+jU!B_E5B=i5Rjf;tAHRONCyGn#m?WOeiQZi>!0t#%MqOCjHK!aQu zRWk&9#8w1Duc(P+g1gD*i7DCwq0#M#s(y6c&!hcovpNK}TKmXB9!(EG-s|$oiiSC) zKO55e*&zWZbpii0>;F$f1P`-Vhk%b6WU~vDSa;u-2UaoN@p;JfmRbmqs_**7&>%X0 zd2Jy*ZBNkXpW*)kJN&(`xq7&n@e|tx+9KI?(!a00N(R{L^D`F$0BOMIEXgo@f@-(c zsV$8o_VWb%Vbdp=zs6TTF^PAtf1G?`UZ>z7oZVWu@lVA*{u4DX;HJLHBqTS#O@;q` zAYKN576J0TlZc#g?MPT%g2lt^95H^1TRTo|k^~g=S)!NwB(hn5ouWM0JCY`^$lo5b zqXuEEfFNm*0YPIDz2RgysIH{*zeUjU?a$x8#z*2vHI&d*Pt4^s0*n1wV6np%=Fxuo zwlKM1cGX*nH$Ba0%`bFP=1^nL8p{bQwI$bg#g}NmJ6)vv#qzs3+RCp2U0bx3AyL#u z5gPRo<9rrH`YaeUzSc^r5)*f@xf3p1Hw&~y>U53Vkni&JNvbBsPUef5xAwzR-D`ZR5IE;9b38~x0;T2 zj=)*w^$+2KNN(gjmkA|t50I*Fp`=4}Go%CHK)@As6BG!(!d$>LYIT8q01CvWnm$kZlC6UxT8D}Mq0lpVlz z#+1no)Nrl}L_bH`zeeV5^*_K7byfa(xoh1;-hKH*Wu3`|Z5GDY!aI0;b4P70MMYVe z%^r;tM_w2X6f?yYe(-qFS~R8c%Ommp9-Oywq;935R7ezKkz@IuK@e#iDUE)Ic5%mM z=NO2eBl{ig&WI;*h5WeJkw`t6p+tFJRL}v2Ue^^8CVRh+lqq(VJ<~K|yX~vVZ*sIz ziiEF>5#o;0Tz5LsBV(MW#>%hwiB~HsQsJ86Du|Y!O!Nj!- zTb36VK9bc1eQ{F!o5zI53)!(=7d|kW{}0Mo&X&Gz3Y9pz@8HX`yA~C7M9e{mzDT&) zAfF)Yc3Q=nR(tMm#+-ki*3Fk2Q%0hu@hE$juEbQ^+@(hBa2L21UV(ir$xm!wel^x!FH*BcUK4NcYnPv>07&Xd_UMvryA8v*}#(CG`c%N!MY`O{#>=T_^_5;(|h1w z66o}(+AD)OXK~6>-A21zUP1aV%L!_M16;^hXm!(N8^2XMhu*c%;r0B1-= zipc_rJB8Pihs}ldRA5L%Fk1J?fBhT5EzwTi0}OPh6!W1#pvVO(f@hr3j2A@EIvLI_ zQE%Qus{jsEr<*ZwH?3ohi+oKRXaZS6AC3?p%6qwh5n4A-GZX7H!s4BKl=v+}jCoc) z)P*$AI2(Kr#_?R+h!pv$>1#8t8okZV~FKsEOH zd&U9@koWv$^9VEpl{iu_N^1U87^7aD+5lST^26z}WlO%MB`lQ#SFu^rMCwvm#RF12 z9FA&pVU9%`y-9ymO*W%}Iv+?+sebr^p6K}4#dV3m00vT=G}OR=*)KS0)PW+p_ofo4 zaP;RyItM;hqj4h2CP>>(gfE$Y+h3r8r~&PO0!f8aI;O;%!%1tSxc09q-D70&UEO+o ztrY#}F1RVy>djR$%*u(;*FE?&UOEv-3$OIeJVTJlHmw3}J6ZO>i)fRst>O-Z{!n^J zD=G!r4KnQQNfeR6inST3Nf8xSuW9*1@P?`=V}NzIR zmT|RmXs#E*uq|Wj)Sk3tE*inmmgYcDBt}IltE5~)0WzjQ23{b%{Gjx2l6NXKSKiZE zXmw0ZvQgjBu1AgArmM_~KP5OCxK%GguPZw%pYXs?bLBO=*e%k#0Kx!#+hZ>#+Q%1Z zP+GsWK{XV#{hKDumZ;IhUm${-Km$eOWSPVLVKpjpfe5ZqWUHejDH0`ieJE7cU&CG_ z$1hqZz(2)h<%6aum*>u3Sw%0Gg3mv>En+AbeC{NQa+dN%DZA)#0gz=YeaD1ZzzSAs zLKDfoec8QbD%5lf2YY1u{q)OLJWBHDky~T5qi{((<@cj_NTWz|?Q^Y~cGYXN8a5a? zrl17m_0==NZ9n84tEj`815&H+O5CQwbWm5IIzuZ*Ym7(tSMey$W7Lsl{92+R1RJSD z<1(1KhONU$g44v2Uf(#q3;XQ;syr1>;uxVjr<=AB>U)!y;x5z!JQuIak##a-S#z{| zb@J&yF*gM8PLQV6iqhrgfqcen2VKFFS<*`r>GM}+-*sfwYTh8o;*>h?(Gi~L)z

    zLD*BFEBEUm8Mv$Bgx9r+U8+6kGWJ)`!#vT8v)y2<7_rNExc9`7A}M1Wj6-*&YS6uV zb4Q>i9dC^o6+hV9d9Ewo~&pvi&$0-4QHZy(l`%qa101T zTP^}RXn~OQTS?BWYq$gX4V*Bh33kUBaQS`FUk5qz#kCc-V~mZF0M=?FW^Zf6G9V3f zJ$n2>3@-HDxkk{W(CZFWX^S~pxV>7i4WtkxW`G%v*??(n=J|mj{Me3mdSbkMvhh^7 z4?2nk;bage^v(pK-;)K1YvTZM?Py~yrXl7^>~A=W|7=d1$6KJEUaPgVWX%6k^1z1q1beVVU4i@HIre`{T+p|KJ6bxH6$U*b8!SlAu?{mD$EqBAQG?BB z>MVacBFiV0ov9;tl>93Jnh>zxujNED`4eX-r^y>f**?{_vtUjS%6xeRQGZUJx*19; ztd-pXr0XXPxEfj7FaTtE|4HQhgW6Sf2UT0_X3lAKfR{`Qecx${6+JX3?s$Ow&^&1< z46BS9L+peIl(0jW64lOa_;9aWp96EEJ;6kgE+qjp8|?oW$O)jOVeARWv0{S;`W)i5 zrn$C8r;G)7FiYn^U~RI7DCs%sYUf9`RV>hs=j257Go;I8*$dZB7&zL8dQLQCp> zr;K**R4ldxjFIw29qx72MWhAp93cM#T?+B6ul)~NIp&mgDZBn7!f1~a=;FdbH5g6& zlcR8q&PXK*I3g~I!f%!*-wRI;7W6Q}PY&(~xC;MQ2KV=O?Eb}=ZNgC@D~+f|-lJL; zyA4)J8URx9j!+v30an?@(^H-aUfGszOxO#71JIRX1|7OvM3wV*=yZWV-)vjg_th)f z6cEyzTN5LLT*mE7$o@j`f3mHF*i!`bktyccaryZ~v9I~@P<#-5f1yEs%2=Z8NgwBl zR3h+}xB0%))i4sz4LOTX=^BLC7D9EfUp_k{?Ns8C2<&jFY&%q85~RfhA9sB92XFXa z@sXUp-kcIIkpl-MY4!ebc!f6g_Sk@wUV4iENud!!#S>-Em@T9hZWIXJA2cJgaIi{t zj-Ig*fy<;~R@mAx@*YXbv1%xH3QcILG{#b96*w-*6q$4~UoxLgS~;Jidh5-B5$qI> zt=dWqNkz8>`Kl%SA39e9VQ9NbhME)*=hk)Xm7qAa$x+qrzs)xrn6h=cD+N{Qg>w19 z@@hceF`N@ZUfFM{g`OF@9R4y!Jenfjr4yEBkWzCNZe5(RKF@Y1G(nHi?^U$Do`=#w z#NnRjGJw<)bBf=Bz$ADWngPA_$Q7D}cCkYUwPvFieT~WE;4?3fsk&gIK9QwqfMhS}W!vU}%Sn z9@?aWq0k&=W&-K%S6pB!LPAzCgQnRMDL*Cgvf3QN+&1#k&MnwladnT;jfQ9^CIP6V>r9Q*znm1U6VDTbiz#>E?Ak z*v||6*O^V-J6*0v`JfHXNBtlnE_TvUse6AJqa#z9nHDrHopdxUQF*Ebzh#VIXH^_WWhqjD0hhK1?=ESFAG zRnjvE!3Ao$Rs_x>z6rM zuYlWmDVi(=*JJ8ryCnS?X$f44nNj{nvbzNaR_9$iKTR4_{xM=XCJO4CQ?0j1i27}^ z@d_D|v7|8$nIi;N9pYWbp*$=%HG1;Y4k%ns3Nu|Mx`jnSIC>a$;m7_k91!n?mJ3vG3&{w|I-yVTQU+saTSi|PC_&}d9# zy-@2OEiN!OZOhwju5Y>`KoNI_Z|FyUc~r!6YoEeMOk5qST7H0x{?**BkI{~CEXoc8 zyCtcTOpHsP@Z6ApJ^bXG!s~25a$@Tv;`G+_)zjM5*2!>%MF;iG^7j zzZj*Ae@c(cW zv;ykQaWMNDtkpC69D)h`h5>QT4^F;}bvQKyNrO{1OFUVI9BgpHe=}mv5E|1x4?hzY zuQ#1vjqiZh8Ce$vF;J-KJl7Wb;p4gUCuTw_m&iMVP%-nIKZBGe)qsZ`nuzg?!?r-+ zmppq7GCsS!VYSSUS4OIJ^-*~-3NFOt)mj5lHs0spNF%F&#ORb9gf}4J5zVQ&=vRl_B<;p^2F91%* z&&f)Y?ol<0Xw6bfmeHUEuf+P3<#@Xaesp!A(p+jHbw!vQ&3%VG{5`UN8RcBip;2Ny!bgL4A$PFrKCe24L$ zVgdR6w_MIi_zlLhsy}|E8TCMMTRhws^5ki?@S2o**Ao)o|Q)ECDyFetXCJ-WVYDC`QMWut-I`McWFmA$FV9^QuP*tm|}0diaL@AofrwLU2A$7GR<$w z8C${ZrSOhUj$?PDG>S|<+&sk=lkU?gvhC=V7kHNnAgQkEU0ZmM0m{Px3081r#--zJ zPEcSTDOCG^a#tbw!_ezSbL_dLZlmSd=|VoYX9x>$Xhhhz0l>d=Eq^sY#R<|Y3Yahce@W4tEAJV(bI2?0ob!LAr? zHy;A;su{Kq+fuJc>iT^9nKs|Jf?AMFe;kk-lO^TCiGkYm)A@}2%-CgJuFj?;-c!A} zwY3C$9Ot@~-@t${&P|G~_m+tqXVEwC<>Y{PO>Y)I%c$PsWoL|xFYez7yMWJ`TUAo$ z{3@OjE_}ryl@g7P+PLNmwioqtomJYn3JaBn2QlL^h7IP$xjGG9!_8m2{r z%`A!)*|9(E&D&JZG>ZaoZA3nQ)!&@~x<{Hl$(i=P0=c12ciwpW zfE%kc!|77vPea1VO2P@8@ou_`7qjhGRGAQaa*4he1BwdRD~_IDDWO>LccI*KtbJA9 z2;Ywpd1ky}9K?{=p+#5Y!JjzbsCe>RQDb+7Ta%~1S{T5+ZomJGEfZQ@Co^&P=GMa> z*yB2^>dt8Wc)n|Z_MR5#EUmqMBz5rS(VvA!QVu0}ntNiFCyZ5tPuiJZ4ND!S^L)x9 ze;H0?q6BvfIbMR6KFmB6)@qebT|Ag`rg+hk*22R2VF%liYZgGQdfrL#AULj{m<=dn z$nd$_*aLxaR+)7Hcrpk4Go}THl5(ReB<2gA%PF6a!4I*-{m3l6EN3_ar!z zBFvsaM)u?^5YlR9YeJ`S$V`U(25W2ZHNNJQhbf(b<&=<7`cN6I@yZkgxW*Z?Q-do| zZ))Smw~fWw$BiA77Q0Dme-l-{E66kn(k!^Ely4QOy-ELSOH}z{VHF~fih1v(u|%hn zfUI6qJI_IloUJ~lO2tPc$Yan-W6;5`_e}HS0*Tglj>h!=;p-j1D`~n$(P(1ZnAqB} z?POxxwl%@Twr$(V#I|kQp3K?r`~Cla@43%8_jwj;byru{-d)vIU2D~6k@9G%)%T$< zKd>#bm6=*1h8l&lG@%Y(MmvF?o=%T0G&S>(GkQFBHdrgdOU4-W9UpEL^s1>h>TtJG zvh|z<3uozqC+hHFWmJSxvU3Hg#q0!02HgnJk|*GvL3>m=8hfK=Vvcdszwm7)LP$f? z9gG=HqkTFOFJHpTfl_W2}-Ol^>mFz z{Gu^KBq6c&!cnkxCq6FVsHE+zm$c7t+&(3PI)15Q?puU>$7ifL&1X3XVeci8Xv-(( zV*w@OG6925r7#$dcG@dI+)MF^`plQo!l8(|=QxDNdf+DI+bhcnF8uc&&S{0USEg%n z|DzLH;*VroK2pkA=)3r9m>H=Sdz%OZeT7gUX7KfTOb;N@6?IyBDx=bK8RF?Azhc-8 zYq+K58^IbFH0gxUejihVG(n3YL5l}LODut=0W_)iR=lf(QT`anL5NrHScpS0`{Qz* zgAL?9ldp&Vx4~pG5&nv1P_+d3x&)rSyQxUrVb?<}>|PrK-PddoZY+KRu!IFSQRo5g zkcDLA(Dnre2k64hv+7_f_kP7|zHDG5%t^j1{Q%C%>=++GY*(vT)x6Se5T& zt${@L{+!|T+X>f;W~p^DZ4GjAFt1K(RD?ZAK#-z>UnXs!;qe}mz@TCNqZT;yfbbh< z=QOX)LNF&?(zB{Cb~!czOA?PSEp$3gf3hbM=lLy4tg0UZcOh=_-rJ`|mSAHp7H01t#6GW3JW1A)}QtYg381GK~x z-kB>kvbb<@tYM$h0HI0PfYR9#J8}qL>@ zBN<`t0pl3q*)+cB! zy9<=Z_56=v8QV`Zg6b8Q*PD&AH&Zc+tt+2$U%Z*(=g*w)iHIjkwq6%Ie{6Zl2&a|2 zo79`1x$J7Ku2>IqetZn?eg9jm6Yc!5m&`Z`2=BJNo2m#objgCV}j&whM!Fa_3{+D(?FFx z3CH9)`h7sHJPFb3e*__#UtXn`)0^k*9#;@)aN2TGR0Et+bw ztFJ;|AhSJ_XK3=^RU}MjFB^Dru3U*#{|H)rPim{ffrl`%B486Gq4LAN%K($$eBv_B z7(Z1Ks7Z!8ze>6nZOc~bvFeql)(-{zY9{0GQ?b48B0mx0eH&G?!aW)!HRE?(F4X0i>*!ifONFBKdRsS5m z#!}}{|MdGS718PrY#^^=Vu|$S#$WEfj*xys$4-Jw(;~t8PtWk7e+K4yTVR*^O~-Bl z@G;3idP+t_S-+k-51xL<)5eC=lm?bbGu$FfkJ4!^HWNpoQuK{Y=aZkTa&^^I;MqMP zFb;y?n?Hb=Kk(AIfco^>9y>8B9;qOgJVs@SX2Tj)4@w*mhuIgs)mn}GSsClNk0yNU zKNW?`Wy!R8n;M#$I+y}fhb+xHq!&cg;nMWhr2$ctMlkJ!%ePZDu*p=Ek^qI1xK6?# zv=_ryohpzcHWlsh6@R%b$O37OO_T$9OV|ZUk*czs0|oYCW8i(hL^L`f8Q**{aq{+& zmwaOZ7zcicbfhth;P%?B=_6{PodA*2-Qcxp&zbOj*sd>N1I=I&l7`txTs@ zBspb0&e~CPDG*OZZz7P&Q?a^Z2+OrTbtxN5wcaH;au099=R%uN%((Ntp*E9aF*UII zW-IpTsH10dG1Zlg;k=6BJdoksN@ww#?tcI}edU|(^8XHc7~M>|@{TgDd~cnmxvJ+f z%``Rd=T>Dk!{=7Iy0#USl?7h;+(V|icuEmQOsdT!$y7BJ>$7-#5tS9}q5nYehcP+# zcvDx^ko;OoYV#`f!G40%^if<0rn;((WNTz)1$j~Dkm?fZ{HBjsgtm?SBQ9)WGg3sJk+VmCC7qlGnO4PpL0ZQFChXj~4X!aBia?Hu4QY z4JDrzsrqV~3`SQmM(zow4msp0{rV|Ra;xYvO2uu1PQV?3Nc2lMs)2XTFz9qv$mNyz zoFnW#-dj;O^8KmctGi48a3}KKTT?*Of38QU$shR%PxMcS>wQ#gqbGMH(qyFnB7BGb|$5FSZ>bJ#C>2s95<&;Nj z=2pR(Qkz)oYnYqMPJl)KdgrAz&ULfA8Q|P0* zyxZcEsEI5_h?NW>#?vq|q;C0ruM!Y{tC}JCN+U)6qzZ>imPRh#%~zgGFU zs_N>_py{_s6VmCMA*C=@c9g&lz>Ll#6P8`VMR(k7rnZzT+2h*C$0jaHlZ zU+BKCwaEA}f7gL*c}Dc0N-{q$a9sJ`b9j8OI@g_;sqHLguAmct;-Z?wp^M>~UUSYH zsUz*5HR7YFcV-g$OhL&LQQC9)VCqshG4cIi_cS$dlHaWhlK{BWsRunb_s8m;7M1jK z{Xz5T=%w+9bw2dkNQ@lDn>i7WUgW#!KlJ%udfymJa6x*(xSsmYiRb^J97D*B0>4mb!I_4q%+6bSKiV=6InwKL`}E#)wSdVv`^Uc&Tljo^5_Q+tu5wu4i;*O128>i@irI%iEcguhl9h%x@!P|8pda$QUjoa#-pwDXLAFWc2(?bR?Xlbs916zla+#{g&iDuc6BsY{y7x<9fW9hCj1KSilr&LwP zSOwq(2dwi6#7}zZQH~1c+afm@!?O0<3z;wQ$9zGaGZx#d881~+-pf8n$QH<;6t@II zAZfks!Nw6!>#h36>s3wnEN%C!2LcC%^`p6qds<_N zn|@9}dopvptu3RCwYT90(0zWp;%d2^-cik#!|h*)UZ3t#-H2A!-mhARwBIVITE|2Q zp3rWNzKa~wY(}u_5z}${Fm zQLstS-!hC3l6WROQiBI6G*I}MFB-Tnuxg%3N#k`fdulN8G?Zb z6*ja?yyUIK0SJ=E| zD|Gi;pgq2vleu_hH;?`CwwZU_gbM%JD;T5&138Wh%+7@3^w(c#2+xG0b9S~-QZYk< zUay~LpB?~O*^`lHAwzC~7EaiOw|WHSwCULDf_@i28*_}3k0KGs_J1K=-yZ>39+pu8 zmeJ35H(+7og|6d-j@^a9kq^V+okIUFAOWcv@K^-%Q%mR+C4Tq^ceTSKl_X|=?L1VT zot%O~GYItkp|ln9;n>n5urBB$A%${AwVp<6?(kZH)gr3aZ2m!O5(Q7ZqGZ_N|ASzF z_HX1_g1hPLBvZV4XirYTx3g_cg}K10QVXGFL@_zH6T;NCv)H< z8Ce!K&?+dmEH68?^nXGU$*HB72#c-(&_Cj8#UlR~2qMMQOh)`Kz?fDtT(58lfqfXh z*gd=3-CFY|?S2vG?mk+k=#J-SZt&#Irjd;dptSg9S%05Y%al#Tg95DKyUz+HU&dJQ zU)2=^o+FiKGYd6HwM(_L#AMsG%>^$N9n|k;5%uXt3)0M7G12J0tYTQw<%E}Eyjq&@ za*i(f_3|WFbi%NdktKqiv#6Aj#PpOx${M;neSqyvtsIUG$L+~NUFov2R3mTU2sLUE z)}ao~@s9M7SyV6N^30#(4yX`@Z7{f8!66+$3dmebdY-*}$}i{gRTlUt@q3orI?wD_ z;$SPaw~{9kJukI50tR>?GU0x+abe>^jtxEOI(+o#@|(sZ%avDVaXhTyq^s@{=AKU~$~xxf%FjRFjBiveWL{q|NnqZ&uS}6L^k0 z!Rvo{<(F@YuTto7b6xNLZu+pwWxK`y?B+d9q?irX>fJO^1cm69-Xt0c@F&oyetV2g z7YsyXl-x>@4HS;#X@-DPsx%j}(xsN<%Ys#U@en!}l08J0EH@qU)w)fGz}1Lb*T5)R z{vEg|UPs*ghfcCO<6HTa6J`L*X}S`3CUtQ`x>6yx^0 z)eyEA`9+2a8g6*4w!G1Q2m57vVX)ERmEX$mZv0Z}bFSm;=FdKJ5A4HoHh(KQyLoPN zQT+W()Xj0<9_J}N%Drirc$iM~Rh*vhC-tX=cgMbFwmJM`r@)jU0ZGD-3v^Tym)W@t zCi@f1eyw>j{R)EDTm4|V%*AqXh@Z;{p6SE$0H5P(5vwqokJn}yR=3`3em;wk!c;|b zXULO;B2(_+5YO?$Z2|{1t+(pI{Tc_=9K2erdY#8jcRN94litQ@*>@tHCA~MnXoX)H zvVCT^i5T5@)y+;(tww+K0gK7nT;#X&I!6v66IUhsQ24jhRtOYC8I2^>4G)%qLttB8 zDx|YrVapk2q^M?lP>z+@cug9)sTs1Dl<2)mg&s7kV6a^2TY#^AvEk3Yb2#~t)x&ca z+%=({ftf`J>@V?j2@@NwIGdLUOiB}Jk&o~y^kk6VI!|`^q zr`16|8c;vIQuACvByV^JLnCJG+7Vg&f#UE2k zp#ZBGx;DAx7X7%VDw0vJ9uyNkWtfJX0|-qbcoY-gBGI@fLv$f8)N?+Vr<~xyJMRCH zy%dD^XQPS#O@~wv2A{Pz@#p>*EK>2ofDQPU%jnna7Z-%p$3w|T9ochi3yke%VYJtU-}Y{*qLS?Np_9MAC&!diHTo5Ahgrl@mzjMr zr#<;hW~=6#V%Pms`9O$hJw`|=o=0P9lMaU7ZaMM=Xdk;#`% z3XZInh2d()!jrg`F*$6qOu?ElX~5DwR@Ce|R3&>St7W6~ta~`8wK)Axz>)g$X1Qo~ zwpA5-_eys-HK8_ORM+O&%RrQh-^62)!diV>OE zKvqAYIVZ)S+J4~>5*&O{r=7ahcDZf}90LEdGHlvZxh!lI-Q+uquapTzZLR>X6IIwQ zMj#i3NS$^iI)Ier*G8CZ0QYz0u-MTi&Yz=ARX`*TkTeBp_@Zfyr)K*@CM_oruDGCJ zwD_2a4OA(vnh_^4+&2JkcJMydyOxZty)!2#jbNoE#swl=a`hMEz15H10i|2}U<#cR z-w??Gdq0HH{)dTP1)_fMS1H4bWpTY4UTnrwUa^za?PPYn5^v`YXEu+*a~^K{FBZTJ zpw9j!vX$Xbrj3T^YTci2C-+JgkNhyhW}4{qa!-=(5_|2_@p9HzDv@iq=yR~3oxA<^ z*e>(Zvp5?0V4-i-&B%7o#kZb{`_@i)B@^vq9s67?{?#Q>Id;Q6r0%{a+1=ru!#=~8 z;x%EYn;QB)Znz}tpWQzso?MPcu>AD53=K$m%Haqw;he6&=MHhr(A6wW?qg2Tr3_CR zNl`RAX>NA2MyeW{={A42b<^NQ4=cDt=*+ONAwi9%7cHp&B?)Lj4j;z+KDR1NhN~Tx z&VFfCP_HZ3#K<;KQ&RDkz90(o?p$&=nx@IiVul$Tl|DpjZ1(@CWDKc8>wJwgN`@;I z-&cX}jdLu?FQlOIp4s6%>&1!&_KH?(B+6Fx-<7SxNtLY($&{_Q$d#>7D3qLC_{g!qj$tvFdJ^qX%8Bp6pB^R z8yO>;$S@hIrrKbVNkTEzH->RHF_<>9q)%T;Vz^KatHA-O__wWaMIm=1mD%j4Arn~w za5RY$tGA>its2QFE{I1bF(cWrv!jT?w1kBTjBsZ1(o|a1LNmA=l!8nvh5MD6=;Hl! z*UivySDn~!*Uc0l&jIpcAg>1UCLr&+I1!KHYW(+8TsJK(X;hY`x*AZ(a3xqyPDT+I zPbtv}WwR|Y9UB`r=x;cbSV+)5PA%b=)i_qK=}L`q8juF9;+^kqe35KcQA{Y5sQD)_ zoObM{XOWY`8W!IWU2(L+Q1(bud>zDsT^Xt@9*m3w+wbx6swtB}`7@4bR!o1xiAT8EKZlScV zjGBYlDnmXhW8FLiA3unBf-B=Fj+{ORVT25k36zt0{*-Zcl< z|MM`4dt1H>bIR!;bF6RR93>=zITq@^RjYt;9Ewk44OV+C&upOi#q7On`UNiFwOMg? z*H(rsY;*$!I9W+-;Hdb*7Ys%oPYS0bx~R$8m#WmYnKY_<&Md)|XRIWf%r;g2iME9v zYZV4#y*V+9Bk@to;Ezh%@VamooiMA^j(kC}qRk*fT}$vgT0)a|v;E7tiFbz7dN-RU z+1j|#ghxPgrdX!1wp0nIN{87(7}y`ZOMJ#U#hr>ojLbne*)3^G0n-K}M$dqy8h9kz zng&QHT}kU5s`kTb^k&vUQ^zZ|Rfg(jnPeYNYOqBi(!uqhWcK0KgeEBK6~cR6?V9R8 zW9Z_e?3kfGuvN|eX$8q@5zG9?7bn0y$Iz{kOEF%1;d9lfT7ycB0cZU6zlg|AwO}&D z;Hx2wY5O{o4Hl&9Z2##b7IDE*p{qrx&$FMX#Q4M&BfPQ-(VN8!(3{x<&;hmVN`QY} z%%l@dNyR!#o>qmZ&+kH&7R^z9M3~(mO>Gmcv)CRBZL5-sHPY)U6DGzlRFVyaLkwY1 z;+(TNI>n}2UkTc`X_+WQd5NbqD(8C?EWUdi{Wo4Zsl?@AV>p~xWkz{9 z#ZS~9@vXWmURo)(Xiy6SPrv0>J|{6MSu7lpT^kpMg8=pzg{{Rxv{?Ui9s6#;uEUTw ztu01-@s{*w`cPy~Jl~6CR}`*P7YLqImkSV2fvAHo)isMR^;u?|5;(IDMZy|M6g*kA z!|^IKI{Du!ogXp_9Jl~!YPN7@cA(M_d!2MSbpVRi~2gqi9)&8&!Xv>;kn!_ z1OWqHc0Zp*E7<#boh+O%$Q{fS`j$WSELvpz(}n|@y_y)Cw^K9fjcMk94g1@zg?j;M zK3A3Xezf@(>qUkKYT7^t#kR*XUt>);r6Ctx$`YNlkG+yz>X4Is_F;p@G;8D$aPQFn zVHeiq=}SiKXDqq3if#|w-=y64w0#2U*l$y3P||!dLop+F8Pr?-9+U3g6;+2XBxG@F ziI`2h{04yuD9ZnxfU(c+;C#NqlZ|RDe|w8!^~f&^Ni!XL=!#?89`WvKNp)p zgoV4gWaaL8SFwV!^$si1)eFP0>yzAWVeX3_RU02YdN`HQ&XJp1IH1NR(LWu{l3Rx!)Zr;X)oqSO zxbxkaD8$?6!xgXHjglsEu#b)@hZohmGt#l0bxxNi2dPq7TU9)Nq_Y$Y+NJibzN96P z=2mVp2r0^NRyDSSMT^HXMP8Cq&{=jr z(f@s}V<)totg_a@!X#L+%ywNHZOy;gGGhOX1%^$=ByB(+5Dn3SdP-K;k!Icsf?s=GOPJ%9KM&gB zrMKhUhS~dQea&M<;jVkvo`i$<=4`@-$?t=$T!s>c$s=g985<97Tz!x$%sRO<#-hT z@s;nIIJWa+DLuYNaWSXV$WD?HkKL5-+xDfT@7rj;0{&@O^$!xZ%qv`3^v}BjYeid> zpT%;aO&>*tKbJ_Z_|qjj6)5Jf6T_Q6Ouk?7laQU;pjzi%nObZcSfTjStvdhkx~FAr4Foyw z>~472}H~P3wi?4dI}B@|7K=FWz@)}&0;OVVqJQ&60^7r zgq%h08JRO)#$P=F*OOs}1{ydIsrI(>-3xadRI=`pBRkl<{y`&!a@=3TyHf7AMLccu z1UhG4h_)x~{n;m??l~WFf3D)?wF5&9sMtpsDa>ho!}M(C%juxB&ElU|k8Yj$Bf;Njnv|-I#3W%N0+e1)nW#=M`T(ZLd?<_aVMA56Vd5 zXn3=#^KPfBU}3E>$pJQOO>?taA{}}`H7g*}i%+ zE`q!JxBL5zNQg0^q}+haX%0r@Hed{e23n@qfVAf{DALmBC1cJ?!&wyb*cF?$%oDW! z3oB<{t{r+!4^qM%df7GVr58*8CUn=R*Iq1Lcs+IK{VR$oSdydbp?GRrfMrsK&y}hC z8{&-Wcip45vGq?|5Xf`cLS@4|KL9@l zlo56|41$#DGyoj~vPdXM(NGW<5R49aUno?>6h~Cv(=X^(^a%_8Hb++s)TfmH5qT?t zVp{Xe6Egn_xNqrHpFGlZHeo*x->myO{-sN|TZbNxZf$kVirR{GCCP*>9UO|*`)3x9=YCe8ZbMb45YSNPBK7(Tns4RV)$Sfrd!H~W{cwfP9caeI71VH}ZO58&M(+`1N z75q_SCG0YyH+@*}Cvf?3P)=&7dxU?ApHl)Xe$&qQ7D;~23>o@tRS^yOPqkMw(wQcF zmVsY{P%m|44Y(`Cu=F+RVg;yBnkI2B8jHHMWPO%!qBfWJRI(q`>oaBqNpYB!&yAYl zWJUIi+nllAK`mF3qHXC%E%2;`AQz})G%CFZdeo}HOlU!6OO%c(c#U)9$cjw!R!nzY zq~SDS7YHDI47%^fA4-B<*k(aiBF%Z*VI>(E8#Ad%bc^(6&2oPTb2E11PE6+p3qJqh zt-pOju-`2tAcRI3xw8WB+C^z86;s?G_R*%jAQtS=Wip_c^4XNFLvI^#X5}g~(KrX5 zoxu(o>RO1v1;H|4>$s&F3**n zV1l7XtFK?>RStjP6K@9aYPbN?7odE+)$FG0|)AZ>Yrm?{Va za#wC8Q#1xX%ZU0VyFFw;gQGL@N@DBINUOGcL#a?~RFI+vVq_y3;@vmF(Wwz^352Pt z@UL`&m{=S{R%;<0RnS`ALB!_qJahR16?0>oaB%v|l1Yg~MVRK7;-3u#v2kGe<=|!y zgT)^cVr1=6+UAIDr9g-iC}!?K#6luYJfI7=4;F|)#QrefekoLA8(vTj8nXM)LH3DT z6+wXKIteo=1qM937MK+X4UUwnV)8xz^5VVqwxRH{A@R0R@NU_G7T*%Y5Zw~wbfSK* zV};!>^Y>c!0XNi;T4x70qypKd2{NGCe8U)n74MiFfX}mdeevkIs8`s2kc}`{6~Bae zTD3n1F4i&5tr{^DoG}y(`}+R5fAR5ANy;dH$!J$|EHRx>%!I!!+1KW49JUi6j}rj3 zSC_s@dR6~-{h++##8Y1i48axF%Eu z^F(zSy9*7tCXz{X!;k3Hzn#SZFF`K3V$C&C%v`7I4LrzL5EppHKSp}<_TH8YptL0I z@NNh+wODXXhyfo$2gH;{o#22L*F3*}4^16R{YRIFAp8VgmFdUte+wK*LV!wV-VQy_ zGJC<|z^yy&gb_C_Q#%a2Hur0 z^!s!X%YBCXO=N!>sT)v$q&II?qfnxz%S%uS!phDWU{Xz_uS1YqZ9~I1zmk+_xIQ_O zEcr23l7wYaLonaXdZi*_vVIUU+QfqxFExNH)or&mPDxGIz-b;dT6874J=gU%5PO3E zcz8|v3XD~SfQ{e=eF|TTy(~(YRALzCU^!QEH?X+3n zhFPngxYlt?5NGBkzo6E3q(hFM?xl7Ekj~?94(;)adg)%Y{6gZ?Aj=i2lRA;l_`rAK zsO zgwp5D99A}a^1`bPn#D))^T8PKvs47XIlVL~d!#ss)X zR_iE;s)Us<74ygb)XX;BD&|vzRnD^c<2I{gk_DV5UV?u*xcj>E#kNHh_L-iV{EelbyB!qKM=cT45Exsnuxl&foQ zrq|zAPB5zYS51&^_nVwER&A^=ezeE}Nn{A22#BN>DzajFSSia$VQKXGwydaLlWA(u z9yCC@7?+Z72t}hsJ4Hg?m@MaL=M#uLLQsIs8T?e*5S~W0)&jn}2>J(u<2V6oU=u?nL zJKplMHn+E1ClFI)SvLZd7VNjapa1p)8vpN+CUk@f&Qepw!IoQ%i6 zg5JtF8EoNd@XX_QIKYo4sP?907Rypy>G64*k*5!SA!gYsEyDewo{U9#>(hE_s9ai| z$OX-CBwFe{xZOSQ4>zn}BVY_iJu;6I3!4>p@2GqojIrX85mr6kRI75N|DXkth%)>X ziwcF&9B0e!8S(07FCo9{tuGW2&+BYoW-s>V)xqbxQ~2!3%7OsHNk4SVuMu(HT zAJ%k0N*#*C<)hwQ957QWXUS7WZ41R#e3e(yM6j6D5`@T{q|$Tcz*Tk?$#u%CsMQH^ zQ6BV1gi%C+T#hdp@N~}fq%yy#ET#Nk`hS$zMCI7TP(n&1KKXff5#AF1-@bqUuq{VS zJRIT@&&*y_bn0Q;bVOe^l#iT>)K(&PPxC(x9?hb)iNq#;5E2dlA0bCHnlBvJI}6_{ zYx4!W`G(nQ$9S=4q|CQA{ezJ5HYZ{#VM0bDR+;C0zwY4eQ||?dSnGw5n7i0w>*1$`_AqIaEhsU7 z)yur|?H-?R%H!2cJk%nDCw@!*14M{O$C&gcgCY0Cy=3AB=Z236cix_8C3WG=DI(+Z z`QXndsm;R2i1}dj{k|?@XcwJ>jVx2w%--xfql3jCtXGE68|PP&P@=+XjaAg~o50Y@ zhHprzx^Vg;jvBYy57{pZ!D)Mdr6P}$xB7wK%sN?&9vw0)2X{%22lJkfKVCo)zMlIs z*nQhLqIj-iILI-(7VOqB`x*x~pr(jTpBaPiul#eKPUt4e164zJ;V&x5y?oRAjv9By zIl?Muc6LrVFYLOH)untamgW$DvV*Q~&%NFj7rx!Uw!{?X-#?!GO&^B(qK7$Dl7NwS z>CwxDrYZ^|LGY2>ohDlF{LSn8m*vyvEo_ci?1j36bg?O5dX_8U;AZfofMDv*eScv& z=6Ise*gxlZ;^3SsgE98=%PYel>h1ms@E4K4!P-L5je^)1Ep7;A^l~s24{$NoTu*YR z2`RVVxRNorLV5YaW$1y~O&1PP%%I_pk6H$-UB}S72j##bM$Eeh?q60Don0%P5RG6+Mv_DBUy!>#U?Pv$zC+{Y3i^&)9zrrU%dW)yuPK0u_S8h@wgu+_d4Y@ zf018lf1?x{M>uhB6f;aU%J*0lLw-t>5#>`}@m7Ghwh-FQioe z`3MYRvX@|W>Q0m~-%7b(4$yQmJJH+8qY1hFJj$Z-W{kNO`-QX41Fo35j9e{a9kyJ| zCVGL2nb(bGuUWl{F>9Y^GGnO!xmwa7D<++60KKxeIZ^Fv~} zVB0XEO3cNdNu&|;2+F`q!n)x(Av!l-(|hcCX11!^B?%foFIa+pFJIQf2aWJCSVDMj zPf??|BTKL3x4K@^+)@h{o-W2hMb~~2!V0`8q$18_!;Im?q3*GJXdpKMkL!2dYUM^6 zpX?-|z4NAZR73kl;N~GyWKHgmT!}2~^{6gbxdrGb^T?+PBk#snU#FeiDl_Q*fy=oK zPdE3au7ASyOtY%`;cKJIK^su_p4iz0)%FqfYh_j``)xhzoP+A@P)Hmmdr832q;?y_ znLTm?q?9!th7I~jmOGh8Weu#82YRV(4<>@A}Gkuqsap(K4qqcVgk9@vU}CrvK(hFPDfhPRt8OywbtH zBAA-i8e|qh1ym-7sq8DFgn$RYly;o_NnB3xhMcp0GjWBdsNNfrg}Bf74vEJ0T^NRh z$ua_3Ke$&^EYd&*S~iVXc$Ao_-1bD?PDvZZ6gpt7ZZ~s6%$ZIN{bngK_g8MEXUS#f zHwwO%{c&jHtX;!D&))+~@AY+QG)C2r($s(LR?$e*i~|Fd+t996RPjhx&?r36dJJp2 zR5EK};PYwigb~r(t76b&J}bCrH)dSHbzt*n7FAb;>kt;}Qa#wfSgG^t)PJs+RA0x-z?o{>zkKm)>T@sZT~faV|Oqie(a_mn1N zt9hW5R6@cLt1-sS7(hpE^u!)(ASS( zn^AwK(i3FPicqi#*B`mM1XYx>ao7Iz!antdai&&adEmAKT)uYXC01rCvAZ8OM!b60 zqDjjMx!W@7=F#niCSAXVvHHCy+!=90lrq#gMh7!J_Idtxkyc_rJewq5+4Ja zQYZtOQTs%&O4CFzdgXu6rDP)5g~D|F{S-4he9$^Yh+Ho+);qg!mC&x$R%kl)SBv#{6-1y@Mb z?l|(u1UY!w(q;}j4jI+?UWgV!(VSPAwa|o5Z}?G0rW%7-&>Hiltf3JVTgBg72a%k+ z5Z({Gds&V{u2-%f5i!}k3cTt5>5-h7@hW|9?JJBoBdN7m0-B0*P7O{t@@{nNZzj|v zY2^@B#iE63(g4^hNb)RZ3v=jiU@~G-6d;ww`(6p?IoVnroMT0P5b#Xq?=50#+6ntQgC($y?!mH@EkPgXp;x7 z&;+=rxRE)*PpSlfv8v|v%3@e-{R%$qd(lzmovD@Jw~9T+IPbWa7?X+d>!LCQIL`S! zeH_8DiZgrn{nqWNu1n5(!*G_!j+v>wS&YFuXX-^P4qbWJS8Kyc%Xxv%>Qn3f+6aKv zj>zpaV9$FezimgJDNN{S#zlMm!HI>Mfe2`3`b!!&fL$I>EZD&fA}W(r-|C`7v6AZL ztIKL#E%Vb+HK~4`sR9Q3x>4(WgihT4_ZPE^18*!HXO0Bs?6@DODKK1K?$YR!&s~a{ z^#Up=R8&;y&4aUjYU;z&5iL4wx}hEZ_4dRY?U}DZKFTXgY`67;73dsj53_I$$B2bl4SZut?3~_~;qxEMC z7o>@y`~2Lj-BF8vw4jy~3=L7rA*eDq21e5s5Up~-k%zx;Uw4}JdpzPbN}(Y(cu5wM zmSVgL9Y3t~a@L5ouuKE&{u~^M;vyIysX^k-i4D?iG=G}rv4vx_h3a5nnwW?ujZ_y= z&1&VqRuLs2YX}IDAZzFdyDdl%ElAwdeL_1^dakmo6_|u;)IDuY$0}>?A$v>KeL!@| zFm0xDUNCy1CF=$DJuAtBkDOu(Ymk12R5zKRYo-NDv1i&_R z3HEJ%|53?C#w~N?bnFXiS=QEf!-1Nu=F>)www~aUK6?y&o?4`IDVp}qS@Ns3Q(QA=Fn$n)TmMO5B52`%nMLh&uG$SEv*Zx56pjIJ2v;srF09~f`H4u|{EM>O< zp+}n$!99=uD0g^V=oEJI?ztdND)tFM=i)0EPSusL)47&Qp~B^=Ou-xaNQT8Sk79er z$>|o2D50hVfnSlc7`jg!8KOg8czltic;CKz@7XkyHDKvbm>{&vj^a9{Uv`M7V$;tQ z<%A10@g`=_L&me6T4k$E*36?rw}#?cJtc`(Q&-Prc3^3GF(~UvbYjnzZLR9-<+(#C zVq5|)qUCfIENq-oR#&TTE3^{agW;e@7+g^EnaRMfy1IYP;#Onh)%%f#GPTAZV2ie| zS3$vPmhh=uaNmd#`y-z^+aUEoXQl9YwQ_i$+v?;Vs-tK$b1$^{ZheDS;6`RJV^-l} z%Ra;j3?O$W8lY{mAt^5%BHwBEYq5#e0@o}Qh*hZlt$TT5m{h7fy>RsQBvFb#yLx+I1f08-{E4?%u&-}5bQ2V(cw2nj!}P8}*0q226u$dOY-J8K3q z%lb0FIhxN~$MNl&AbP-deWgP}0(Rrr^17LH3QX=0zVcA5E2slrMIR?}> zw;R_Oiy!PUtk)KKK|btm+pg2pkOl0vE-_)faRF=wt2PT7bpGQy@ZGSzPiC&wcW6vIAcu-5ii@wvzMQ+gIq$ zfL|_h4l{lTAD-LHf=76p9yX39Y|>H^ucA3bJP2H0hb0&12Ly098WT*d9L=;z3;Tff zI8iVO+q>ot%A?aEND_34-U9y8VPkM~!hsjYGZK1bSTpj#-T|+kCj_Q$d`eKpP{Z3( zWSRSIAcEkRM~1f>MB+O%#b1=kNzx6}nB#4phU1k1)KvstBf2pJM<$HGJ>I-@BKWO< z=GekFhJ(7D5b;)KzA3g`-!zX5e@3V?H^9p_N;u)EI3kp}#y77(o{fYo=@8TQ96>Np z$b0CLviO56o($_H=%gX&r14SZ^n6gnRP57`;rbomUEoNLhmpZj$v(FpSY8ucYLE1Q zQ$2z%@YV`?f-8ARas|FeOO_KKf!l0>t`3rWwd8{yasC{00ZF_Dp*R7x1nWtyPFZW( z?ijx!z0tqgrE-rc_(acIy(uK%sFI=^OBu|V`GIIw8J;?L;_o-fr8Ym8YGaK6U{u&j z0X*+KA4aGJc*$_-iX$CALz?Fy!H(#L!N=SgC%ufh7g^IIxyv|l#~lPhG1hmo2Y2B* zHWat}fh;rAtMQN{&D#z>%-q=9Z?eV425n~++9uw|^0SboasAk2+76lMUC^SjFQUV! z>BMGMvxa5b9`y9~3-JcjPN-%=-0;n03c~2M4yJXVAN#JP|2Whqo6aiS<&*Q!x2b^GTJl)nFh4)D56+|2(uDDBETCL!80 zrKqwQp=Z)pwelr>;;#9-E{Ht;5#W_N!qX-5iyDdG?dH)wH6MqEo0*%d*F4bd@|AYW z%xrQz+bRJxJs+o$!bt7U@yp1}!o|eN$39Oq?oXlBmcvg*bc))--$(Y217H3!`kkjyMsqOa_xknhoYVKaJC{K~A(aHYI$O%A zzD~AGp0szwwY10R5oO33C-J=PKXR836KA%!m+S4_+(D@i|3M;LDUp3 zqst%v!Id{2mdaT|ThjkqpHP}qR)dvLFpEL?!< z4ha)8RKyiJ-*|~KJO#p^PVSbd-e7-%zn)S&o-pV^KE!T%;mf9FdPgCvX$D;lh)m7o zLg1rSLa<{W`zqGRC7%f0&Mj*uOIThpNTJdo%q2SjNizAR??@ zDxKAuarbK0n&Y%yCxDMb!nZ|GYp>{APFwHXVnV<#m@g;!0Ny`Urx!1w9P84%tYvZh z5Rc4x>UW-ID4IQ}@tTtSYL%~oi+8q9u~1_{h;zWL!>uj zU3VwAPsBH?I;&4hy6|gA-bT42@G(w8=AKnFjNjN>bEWu(;vg)pkizy?7+fp3(E{A? zWCQl?YF5*{=XjjA=tKk}Uz_kv_nu;A8vHO3ne}cAqS&EDCaolKYnJLkoj@sPKQ-r) zL8=E(3!YP=#4l{X=D5*>&~+ZL%3M)yr8^abzaJTTpHr$j!>$rIu!F*}3 zjHShlk>P(q1_~_-GJVST|I#ZnL0tk2#$_8rG{xpqhaj5$Z9Dy6oV|09B|*2Z-L`E^ z+qP}n_Oz|(Y1_7K+nTn!r@N#+)Z=)oDa(T6bd9$xc@mf~G5zW=)uh(~wB^*TQ=7T;&9ztn>uf5w$KWm4|mk#XqU zwftM)Zivy1%P;aKj^lQXO12)^EG)JtVOqxU;*(9idOIP-IRh>`%`N2nHkIbB;JW}t0hg0X~Giy7tm9`9>mvFFK%$mW3Kw?o9%24ZefUl z(_0`TSI?hyIiEK25!oAsaLHiJcO~K%UFAya@8He)=eDJ}Req-Hz!dn6E5LON&~Ihg zmoy_d!Wxq>BV!&N;1ARR`Bk~vZA$4MvM*{+C9H4hx0w%eC~xU;cND46T=EXW(VE-L z#29U|Vc>u_H2;a9e}x?NK%V&y=>Zcjj%hz(*3?EoYIMFqWAUYTJ8#U)uUP^={B#Sv zx_3w9pIw>jgL3lxiM)UNdx=nOpEgCZ2A&oFqZ1VWnO|T%9oN#cy9so>iycSptwfOG zhYy!oFhu@D5CpLq45TP{AxubMUBG}T2QM)qMmQhOPi-L8l|W4dBcL6Db2Ru zP`5@eh|t+r2z}qHE%Jo!%lVDL4*6vI#1Z$JMk{a1Ok@L$c!*$s844v92jE1D=NbNi zLW{!nFM&PN)Cog@*jg#wy@W`n)hMywcbJtXPkvu{X}FJ+4LI!S&DyoC1#!;_k+~tf zTA67G2+6DqCbMy_#^L;Mf1eJGCPbC>S#n7Gt>%>W5UN%;{gaDE`gCf4vFk-}%&davns8)-%IO@hMy4xtoLAzLbz{#0u)=%Ki6>Mr?p|PVcaZc2U zUyZjxR$H_BGkeWnA*vR%fuqo4T5s!3YNSk><|KJvCp%w+-HyxZ;QXhVcl7JK+=f>u z=bI~%uHt_(arb8ph|1Ji)dbTLd2q1|!~1y89%dT%k{(s0mGtE>)_XJvK*4Qp(&r0VeT z&MH4nTg9JkN1)A+Lt^W;PrYR1pI!OP}e@ohJN;F8^Fd^7sN2wu@I*~mEo&=zB(V}Vg+Qd_CuaxC4ua)YK7X*ftqs4^Na|9v*a#1 znNM+@C7(mDboX!m| zRw6G_Jy8L^^kzD1UjJ6dVLC1l*%}@3qvXBOV}r4cSj8~@ME1qvh79%f+YvKg(R+wD zoD4?2q*y?J2~zboT|X1VHC1!IJ?GETaYM{v8Ho1U@Cto*!RU)HA$WA1YvKM zq@Ao0cq>X{IT6t1GPp1{3;gxPdh>oJ8>mT5=NJ-dhC1VD)N$t*atIrA1$NjlicIjJ z#Hj$WMEL%Xu@EPKH|aNZ#(+J;5>1o?pEFevVqH~5qQg>96DS-u7rC<-_BRbT_vfaD zbH`8hI@_=+y_1dMXv^VS*lhHOueF-$XA`?!%`9?BF-&kupCvtD&X*cD6HZK;2qLFS zg_cvMN6jeGC1;gtm$gi=P9Kmut?J1k4tGyfZS;wpObNV(hOvE#5Vq+qa7b31lW0n* zro`65=H0(e8{Az-4=BYubR=juFG*UW-X8WYz4tw3|Gi9@VQxB>+C@0} z{SD#gp$`<~r*Ax=;XVUaw}y9`_UZ0#R!4COXK;u)U|sM)OVFBrp?i?gs&F4|ESxtX z#;(${>zIA7u#E+sgfnfd_Wp2aE~+|o6T(YzX8g!Fzw^G>78Be~_6OE`gcZH>uEk}n z-jxZ2PBbvlEfgoYV=KyBZ|Crd-P;!o62Du{eSiBgAnI-j24Eoz2sE;g)dNfVEg&$6 zc3MQ;Om8KidW^U?7p!KeFt~_r*6TWEINHG(R6Q9(T|B(Vk)@KMmN^mx#*VP!!uATV z9cR44KnLEMZZr>5K~V!-RU0A#p+2G+tXVl!W7gR3Dnf2i5Me!3=k`k&&>$pW_1-?N zf`(vNd!eCKg`RHlrFc44ZZ)7`^6rTy))FA?e|Y<%T1bh?><*2No>RbdkKaZLiKO>! zrg>pN1e4Na*)y-`5hP6-UkHZc^YB(czOG`xw6~vcj$dxVUa_><_m5`g+ft_n#aulL z7?3SRa42(bC{>Ze+>nF#Z$ZJ!zKHe{&Jb?Z4#so0p8+O-^#=cGiu|a?1ZqH{5h9Kv zcd$*FSQr&kP?VveI|SDV2-Q$I8dw0zpCYiZWvS*=?d9=p{jot;Xf5vC(IMB}HQtd`U%DQfJOZ0z{J zsqt3@;GI^Mw}cWkmdab=hK$BJfr*7>6-n!NMyY7RlJ}2qAwd~I(W{cs=sN6PO@#2|)b6qqAKo3Ov4;dsg1N^x zU#foZ#5~el?wu=`47*G zcGmgy70LO}H>R#zSFu%|FqqFL(PwE0U7XKI%%f9NQ)s+r6$x7p{hH&hRPXOacfGx8 zs{E49ToGtWvqZ|GH!Jp=RQc+sPEm>4!h}BiVDc28M{aTtq&6w#IpK257lig>_YN{8 zUZ1QJstOI}>8I(xX;t|2GR-QETpDE`hU`;LFk-Ikcb+|9M@Mxy`8Nk{ZZKkETOEA5 zg7^05@Cg643nu{B{8imp{eGgovv|$DRrT#M#d>Sw8)c{t*nvZ29-bX?(jZ-cQ=6C~ zLMznx4n_>2-OlsBoD1w|DWL9+fg8ZV6aaO11n(`-;pL7z2&0U<{K(dT;p(~C?!G;< ztpKVGePGg$eKpbaWw^QaMW}?QK&*s!MqDZU#HzsrXRMO7L|l=9!IEFv4>yUxd(|rW zk>V>L)18WAf&Hpg;l54;yFSeU_3ki{Mren9H%Mplqs?p*u{G*tCxuDi5A$KDPSd$V zWD5Sgz7{jzX57(E2=5ceetRde!b4cPEl6UCe*T%Q7o zEvgowfZhbC5s^4+p%vUtEoE25hN9CvQ>i7Pu>^To7c07*m0fX)F-QKKnX7iMFC}|b z;WU^w8Lc1I?!LsEmj^=68BW_iQxst~Yp_-F>l^w>2R>6rwP#87N1_NZr^$7U65L*# zqoc(k_ky0&D_y9yFK~X{3f|Y;h#7b@(WN${9vyB`|K;p>^#~gfjG+~lP>v*d(wzaF z9C~6rXW2C<*>^4!m0G(UiA!0fJX%wp|5d0Ult}_i8kb#hsBAap44P_%zcu9?c-v!C z(|%^req~YKn!88K;o`A1ZnQ=F!OKw>3)g0sgWHjKcn5G`aZ!H&4gxHFqnnq+kI{F* z;Qdj+fFH;+bPSX{R@N?a%V#nMQIq3iv?;vz_9W_{#=j*0tm&O;5-luNF2JVYU?jhg z4+zKWu||LT(AIX-oLnQJYoW{9Gbo$te59drV_TzRZ>DqoL75%o#oNu*GQdgkrljS7 zKXQkMaJdm1IQE4ncq){kkBfbZbyVJCET&UJ14(~<{VtX7tBL?{g>X9ZP z&geO!$O0^m#=|$JmX1sNZW4$;Kg*yGH7478F}67UqH;PscK=L-CTptRc80K3mwyY< z=W%*D*6JdVvsc}BhylGmcrH|Sh3r|lvFrR>*ZddL)9C`kLOyc=if2zI&&LFRNJ6PK4R2Bw!imp-oWSR4 z?L(`dLy5>U@$VPG&!4fT{itTwg4!N#@QRL`$jVP~+(Oj6eI=x3WCNhzU-}W6O=C1YSv z7(EjZ0V6zb_kBnHFs}~Bsvlb0Hs@r4h293dA3zmP7}&EMzdv@7ik>myh8>c%p5$xJ zlQnHCxI`3*0G^KT4XT~!dP8NB~rW$MvqgQ zLc%SZvt zay5xGkyCj{-G{;tp$;RogS3@OY{xA_$2LCZ?l&qLPNeeBuivSASB+(NJ^ksgqL~XY zWWb5o_S+JLR5|q0q}J`;M#Sm6otR_^R6Wi@fz>HUatb(zybK|f3Q@$3f5cW*l;Gpa zs`{l>Q%s6?yT+ZWRL!{5D;n@<(DjMtD+hz27?Aoa#p`4}e4+JS0yGNVqfz~6z~KyC z&3oen>AO|>NZG{D6S%vLUbF4}MukN<)|gpwg}XCdR*_COwkJY_t_}^^-w9aE7Tc-P zyIf7>&s!H@~J#Umh-ME~=%>rOtOQgqw zskI)qLfr1%Uc)ORM80sxN?bf0#zOZRell>NCSY*LKQF!MY=5YiSaxq1-EFzye!qbw z8{damC~aKXb?2O6d}M~}hU~@+_kt<8|3GnO~f6I5siz|(atjhsgK^nwD4BY^6C#bcE0%^N@ z=J)g2^6bi3#i|)?Wz34&(Suv+h6K7mq4lo0bOoxqj0gHIUDpx6SN}>pD&k54u(weW zzG~KokiA9R7?me%I9~Fh0hf|MZsvZ%Ao4M3ZUXj>^P=Zp#4fT6Y-($zu z*}ePx(UVR~)7sRw+fnaf0heoi&?~QQhn2I@&K%M|52jXI-orgl5x$(wRoL9%la>@B z3P>XarK35Fm)^;Lo*;&LIEWrMxbL;=uWpRC5`XKHl;pI@@>%6|>id6|7WN^d=?uE? za|i5}r%#(oDDsNTdEP-qP#EwR;2YOR3yvO;5e=caL-Vf7(=_NOT|c}+B9OIBU9-b)Xx}D z?i$>z2NZ%?9xt8S?(RhpwvHUWk22xWZ^Pi7y%DBnQJB6Z&A?#z(c}X!gbtc*u0v8e zzMrp;J{-98WPKZM{zC(+JruvltnUH=Jf*(6mrPhp|B&^B>Nl%B5we|*;GfDa<-?AB z2-oz{hJ%4sJPH^GgN6wyYO^x~nc^m4K!`t;I;upCcQH)>7W2N+nep7}q=@-J33m(b zbYo6&cSgz{$)$?Dr3ac;v|tA7AkLYlCnu|%>VdlEOUww6SjOF8NLmNj2WnhktVwBi;U`0ySqTP(5g z@E*Wh3mgs{ML=Ur*dg&M77_A0!C-8&?yR#nXo?6A;3|TAH<)t32b4Qri3o)gazwl` zi-{3EBd%}mo+EnXS|wIW`}NsRi1pmvHsASf2#ufHA3T0U)i+R7O-&YMo_L(pPI^Yg zP<1eraS}8hKEEII*jgoJD8^0RoyDe-NqwwMTo-}bWx)M$+`v<~M=^#XD_gVXF0hT& zhuGX3XTGixPswM_)!gq{wy?Z%5!Oo*X`&w|*2X+Qs*W`H`8Zu^)?8pkC(@`rx0s&q znSG`XEZV65E0*RlhS!Yl6u)^~dngnYg%!YFwTS`PE2h{gv2zS2p+=12(VI>SV*q=V zotf=AudIE>UsRN}zJa89rZA!um3aubB;GA41?Rr2#KBd*0&A{N?!mz?ntDUTo z)wGk5KPg8&$bCjn>-3x1D%Eo%KTm#CJ{wBmY>^h&fWl0&jhjwf^3S>p1(OI1^hLJp zbWs#sJ>14{Dd9_-3*2kr;b&NzfcRx>#@pWU=dTXGRDoB1&T#~2mM+?Sh+on{8q147 zTH!oCwhTsu!%{H)(;I`<;v@j{ssl2xPZVW7QaPJO{lBwUJZb-(y@Cld-5zmvPALch zRe2}-#)g-+%Ro}T(}%`}r#=@v2)xN0@D#s@*MyRY-RO&L}sZ@!~cUI8j1fKK_CFVg1iBsSFk_;^h(m@zoAzH2{?g(R>_+% zD$UVaF{Ls3e*+~qR9>GB-YjZqqDcJ-0Izgr{s~?^etBR%W;R@5q}~6H08EhJ7!djZ z8VZ&&mK$S4@;|Vvb8`T8)oJ*D!>)i^{|9!Zi!Vd;Z|sWgzhPJEei&i852m(*)^G*u zqu_e^-!?05E|#4%drvn!J+G4=Lk>f_fB3x=MOW;-wC*{(re&B_AgDCTE*V*;lyf^y zF{`=PX_cIMu}!FU&zPrIXjw4>rceuPQGn(ZxM>|(86LTdUDjLNpX=JLfS2pH$u?%R zl-syh+pa=bF{|AH>yKr-mJk3vyK==D-W#{Aep*sT- zsrnFDwDJaW&YD`tg&FoUr03Jk^XvBg)r1eamS_9k%jc_Yk$07*YTI%V0Ap2>LC$50 zdp-a@-A(a59=y(1TxD_|)ycUyaUO+}wFRgnXdp?~fy8s~d!-*fun;fpF%!EUGZUwD z%1Vj>tiI!)W&!XP$|Y5?c5~^HUFO)TyKLMy+|@h={~@u4|0jvnkM{paVs&#kAHg^p zx9^rD%gh7^5SAq60%=js!W!YS?;oRKY9 z5~w)^o?Z_=o^Ky^DQKv*<<;p2$6~~Ia%suHSds;+lPnjd!^QoV@`6|(F5vP74a+IV zzzmyJbrli@8dYRC{vueAlDQM0MKcG$^GCOfV(sIoGMd0VC?()fa7ztXV8#ZR@ovSk zza><{TP9VsV7xb&L9;Y#XV#o7bW?09MX$BVuBYc?L}UEZsTB=NV9?UaL8?3Bkg5?H z)m&3+{C@D=68o~&Dz4}m$m=`Z?}I? zEp_{&7z*r0$=8*m)I8ALci&~P4cBt|u!W%dTlxJD_{vw@tUK^R#u(Np6(n5pNwDm3R_1Hf zXv~9uIRA8~-f7Ig^$C+)n-O?x-1emAfnuzJ)9FsJ{I)~RuxJjOd$UTRKk7wA`;BKT zc{sDSQ#JS63{s$uYs0#sOe6(b7KhHruFe*nqdU=jF?URm5_upZz||i=7eoPJJ3~_! zCLe*Qh^ebT{vEhU*+1s!>+WN(QNQ0kO1BsRewCeaE^Q&=xekJuLrnMopt2U}U9_rn z4+Tj&!qUt-Q+nZ=$m>C#;wlFMq9(&`+mg}1wI@{kA4E_<&L}9sKq6&+*}YmmXo3=U z58jeYX73xVYlbI~8TT){^9abB1&f>$_g|u()AwI1H$S?D0qc<*Fbsu&t$XS@*pYw> zoBdqX|5ldq9Xmt&jFbQx#vI6hZB?Yu?Qo8A_7()(Ia08CIqsM?c|z+6yeNMcqIXNu zMY|pXd6GGwP71(b*>%WTW-giuxP_0D05}YdL$wlM*RobST=}Pvd zX6tsv!5Nn;%ncr4Uwn^=VK04R(*En@QejvRVSzQ#R5`~`a&$D~vG1xLbuDK#WdQ$zh5rIsm#oN_hf?mD89?rLzoUIqDJZlY8<(VNO?Hs8ojKE(wF3s{Z<{DNoLF*#$&0f-l z%Sp?}avPDfe5q8mg?ez7i+1M>46~hqWiW0T4s#Gj<1EyCrUMVK?DQS3svlyxPBN zE_bwaWsCmtj&Xc}>gWXUL@!i9I67%|J>Tv=y10bJm(Mnkw@?|y!U0<3rU*!I_e2Ey z)d_O#QxCZ*{rf3!A_R}~d6!eOgl^gb^ppLuZ)+rHqq5D1K5F}Ou2T-^l3E-F@(2KC zu}oxkbX&lFl0{}?i&+HjL?F*rU7HB_B z@uqqM?by6B>>-Ci812;gg>PGZYB5rS%oxUK4CDVS zxfvEwaK12;AkH~F17Vfi)`054drFr z0_mIW4;Ce;EKaHdIyj3PXlfaNjwrtKVam~6Xs%Ymq}AhWsIjR zC?Ok{hGcf{1OyK8Xiko0TACp;QcLY*aNg{_ox}v5ojRfjCNJQp1qsn&I+3vP_C_hM z60amzv6-EE;f*>+83oIil7Oth=qqzQ`F!=7@twl>>r2nZp&IV9G} z4+wotB^jOb+Kjs3Q~gVuRW~KIsCC_$jH{N>47D(O`oj=sw4*|W}y0MT#O$2lSmdgd@gV9yf-Ha_- zgdbF)3JJO+C_F`l245jVpF^_3(MNjyVLx%YCn>vuNr=gi7;#)O;)*5e8%RMuB6U9tsF5= zK}v z&Z`#!*CbBpI=@T$TT|H7n-;m~P5KI>=F;-g`1YLY~>`xODU&GzqCjnJn~dckt4or7)*s+VwERCdps$9O395e>;+d$afQ71VCez{-v>a>p(vwNl(_V;s(Qq5O|>_j`_2fA6J`3_}NSt)3biN+QE@0F67>u z<2V}0wlkXRVzJP>_+*po<}nCCr#b8>cuL8U7C$S>&6>*ILUzE(jgb01%=`^bg5l8B zIcm==ZT$2cpDRhgK@bdoA59(;axx(Pc1Ppx9ZYdOY&E!)R(p zVk=f=3s7EhT?y!T!yO^3?!;8ZC;u4Yw^hgnfzkl~1-F=Pk4A9vAApPIX(blbzNxt% zQkU4b*0(h$Q-mjtuK=+POCp58N~2*W`p-m-X(QXBW__K|gI_zXNa?mgzDyN_dU8Nt z_o^exo3wZd78T;g=&T+bzOiLQeg&PlibTTsO9H(H9sV|+F*7I^X}6~n3~~PzF?>JtUtZwHJ-(M-A;b7!xWyXiv)RU z0Ax}g2dNqrLG;WNT*=|fAaB{dZ~hN?1T^(4O5R@zE3>`m;(Q=~4)3a?R2|dmv0SBH zUPT)@u%#Crs!0~KpNJoN)O%-pYd9`@8=Q3jHhvyDE4yKOO`tfpGZ*8?xl$Zi5Z#?; z2KkW+pGkXFn>p^g*2!F1GC{QV$s8q?3<$W1RGuL%^nJ}u{Yh*wtfVhK_w!=^6TZ*+ zwg_Y`EK8L8c8A?yU7Qfum9xkA4xppw0Pq<`VE@{Lw|YLb7D=YWb^&q_hl!&Yu?d{ZiK#;Y(5dIv z-xA+*vYH}w3>cP4H@u8c4^`<2W`>I}W7iAvbE$eswoIFHq4mbxQNm95ne(~ZWy|F0 z7vx;WKNObookhs^!3WHQKS^~lfuSkFkDH`2tI*GMiY4euh>`1dd zFO9%nVU{*O#XYNxbw(WPGV-V=Mb5SeV*A|7G7uBGMF@- zVx=6ki&b?Kb|jGeM=6rRYQocDHq{UI%}t9@t1?3_v)?^@RIw^~f%C4{6&)AOa(A;; zF{B$OC4SX}>O+IQmVr4B5v`1MnqZw6J{5e1XP!aBeP#00e zlQ05h{b;J%LtyYrScVBTj%2#SDg$lU+1ev;NlTP>Tb8i2@)jB>;q#1oNK|2Q@ByUeUCGbTykP5?&_TUK}WaTd+OY@(bQ1Lf-C zzJ}~MR=~N1cbLZMWR;z|a)9&Gw!kCn6j1iT>MGCOSu_i}x$;QFXQ(rp+lV0R;NsM& znF)U9J7X*@J7v;=p8V|<6G#JKvMN!J?{4!EmZ)>eFpv~fVWB80z$3}21Kv>44^x5x6%=v)HeuV)De2_U$D)f{a0Lr=mKw0Ym zD67RLeR*{{vtP_-0{a#$xWrp5f7L*#&9sb?^_=E4Y`Gad8u1;|#1-qg5#()54y#dzYjQ1xcF({0@a1Xy6c&PtIn@2(AL!a-t<>!>3`KQcup>4Gi zD-h8eCHu7CChlZh=aOqD?p%DXn~YP|dgI$e0c5z_H;J)vSR|Dy4eLh&d&-(%Z?{?d z^$Wu%EtF@2st zzo5(Z>nvjI@mK8W_t<*~8K-XWY_-lc;jg8dgV|N~vYtwfea?*p-R|FKy=3iPj`!d) zPS&AG#h6mt76MQuyJDD>+GvIchjo&I{>mR+VVLDi3W?sT3L-B)XM)eDSeDSDL6rT` zvfx)g*C+~ViQCKWSZ8o=xsI%IR)}V0k*3Equ76rj$$MNZ?0c;&_*6S0QBxe^kxeH2 zdH%^Xpv(8$DlR|S!71jj4WzK#KaK31dGg4)8+dRdAIM~%b%QbI^qe%;c(slGTY=3j z7TU=(QqX{-Ewu18=Z_7X0LT$rP>9EzXpD`EKJ_(FZwtipZ)kW|_UP~6lXt2DTm3{K zGf>7KQHJC_TuyMaha|JFBx(2ol(fg)pIS)S5MlyBN+|u>q)sDIPQ2thNMM)f`G zQ}rAfP_?VTKXNx2bTe&+lxF`4+Jia+qb=yNWqso;|d8A8WB*sf%7fd zMA#z(6P^+F+#gwGH$TIoza#8J!z-iG8L0^{s0YknN;*UaYYScRcWg{OMzAD5?PyL@%WA`w7nU@m;Ew##1-+>@O(5G{~c!TzrS-fNie|+N8 z=aPPGp&e1}D1O_IW8mwUTs!W;y2w{z2$mhs$>K+WMH{DIF_6Zgih1X?E?1Omszfk+ z=2B~3h6(d0!TXeJ4xH$Q}|9>q=q(D+-q8f4j9J*NB#csvy|9Z!szIOotFIs-=qu8!d+&KX z=M)zMiAC3ch%JME5?feSbSuhaYyQGH&LIQ}P^ zHIR+SX_S?$^K#ZJDO4n0tkJZ0PcG+#Y%a1CbziDSA9MQ>({C(k?chy0%nj-V|7E4> z1?&yfYS>!IFlz09?Czxj)@UUB#r2$@0$#0YUQxZm#JGT0QtIRBxd}Amvr4FthrZoi zS1OM!YrT(OWtmT02TZU&r6rPAzUWWJt0YAlSFSQCy81iBY`Cm-wk48Wtr9N}0B{wX z4*;(GmjS>P4gk0c{SNr7K_ixO5)$wj+iL37MyA5YpJ~=ntnt`-KmJ*=yfIJnrsEZS zS@_5TD7LCvbroxj=y;jsz@^-cGcL5E)D#$;jpE+&{)s!nAa3sr7ISaCU)!MTc5|1h z-T2lTs^fLxAXAHPXSA?W!o9(qg3uaOJV@8=)K;v4064@>ihg^s;{shbGobo~?xyPR zC3j~c2<|!RZ>$70Px_3yh+`&g1oflWZJT8S*Im3bH|2p>JRVmc4;`96SI(e;a|SzQ z#d0`xf92&*186GTzZ}QSNs2zU-AVGP1KpU4{b(MqOh-#psd6I5y)?py>i!lg`;Ulus}BgHG3Z91Wr zfNMfeK*pLcnRe;UEX&L{sg8NR&p8|v%i;VS+f$b z{^9j>HP`QZKL z@$Mzn$j?vW$cS^P2e7n;G{61c@%sh({0klefceax*#YAI!Jvmb?DDSP!5wt+-0e~g zHTMF>QndGU%Oxf`da$5|CkxPFEP&n#!2mLG8bE)``RIW1><6ak5$bwe%u8Qs6ES{| zJKU)N!~@|=7kts+Q$h;t@pHG3_r$7;0vJ->RjQ0hxpFqq(`42-t5| zOrAsgz==jGFWTrOgC(~Q)Uu&+*?`9QRN>Fxc)w8c6wOTGr~TmVzQwdp_L&{?&hAAqvkr+hVM`htXaylVf$ART?>x6+(xWLd54&SQ0-Kx_)_guz8Ha; zt$b0xSUed=6=p(=w6s5tR-%g-e?o?lG}KV?*40xqL?nS>ii8<2ArcesTW-wNm0wm2 z;ZSPxXil1$8mn|egt(OY9OW1_4w;r%A!(&$k|}z0LRCROAd^*5&|m()I3@jBW!LMj z8)tGY8vTT3Eix@!y@X^kGA(TNgyd{8t#rKv*Q69v{K2d&muhmoN~sm=`R0s&7duLq zAjx`t{XXB@ejH$x2|;E3Jd{#894@@htNkgrSsmx@BN1X|rsTzd?ew^16?5 zLWjC7J++ixC3|dgQH@$AsEqPT#Z)d?xy{nyW`d9C#u&dh5_Sa48=IhMnc*r+0L7iH zgJ?*wXbTljq2Q{K_p@;mg#-jg?na0UXXTuyttxNz8xgj8hJ;$!XWMsQxN)?@dBT zUuB&Mzxev#hBzF$xx2glymjgE(U*5suewjJ`puA`_uGEHWmK%0i!NjMWR0Vf%ri{4(N64ocnfNHD6LqKk= z$de3^6V8AYl5~y}iGsRINhSiUt#JaFXrI_b7WMnyue1fQbxvjowthEI?8FSiPX8z+ zxw;L(kt#rC2~1VcEhVP1wFNzC*RDUO=9fMRhy0k7r7V~3jE}1(z>_g>%=E0wnr0=> zn9VL~8={ahnvfurG9hoa_^5_Tk%FGl0+4crk#<#}gneN8m;Ih52DJk_AD?2iE=OI0BC|h3=<_Ud?NC-WWJp!k%Jwy9@V=JQ%3Zm&Ehsx#(^$hrJ(n z`4SiJ0pj6?a*-+ew&tN@fj-w9tQa~XT_$2e_WPyfWIoyOXuU*6BOu#8lU@(nE~Ayr zw!?)CYL2t1SLLk}00BO_Tl%@*Lzln%eb}-oTw+FOE7io7O@%ERbS}+mId$F2T1y2| zMCXvcIx)O@Gnk1TB7bya-gW^tg9A^BeK(5z^v~K`i7&BFZu;XdZT=5~Et#*C*V(V; zoO{1&fe(Yxr1jI5+Ju~8~1;2C-@ik7i-yPYuTr3%KcmNrMWW`U$qPt zuXWAZH7y2Z-vTHSx25pC?+p$ydCN`GJ|la|OmYAk=d1CS^cnf2j-B_l+pT7P-0N|r z-{p>WRow{mk;+W6sdL2g%CN~3@uZF~`8Digo769jKYsD0q%*LQv`_P$;KzdUdf2r6VCtb#jRX>3| z?4zT0UFw1`t+a0tmll-zPe__RWf+)#n7X7Q;W`l7;(DYipot}L)cw~&q^b>u(l_I# z2&)#Pa}rzs7L;@4e60bRetPr_wr-RlB_rC~zn65?>t9uepy^+3s-{;5TXnHTTdGn^G>Ct1CLHSgRdU0>YHs>hODu^s+Y?ZKFGhJppsamz?1g{(+442zQE;4d z(Mwy3eS%in_=y<_9MQiDI4{f437?TI`dlFChK9sY5EudfbOTL4m~BRA@KtEvCWnZ* zLG*JyeOa#Pz-~5$k^bo%f(e$dfEv}jJ#kbk9QR$gPR1`>oMxr0s0}=X^GDG8&E}8Z ztoxO2|9pR2D880RUFq0!c_IJoZT_11Dzgc8*2&3PhuQtJjDFOz#@WjDtG!xw+E&fm zPA1h^XDKIGFkFoeysvs{KVsble?~^TiknOCp+5nG2R7>;M&m4B$+yNu_b9AY;#M8F zCBPqRTNcmS2G8S`#!QhqHMS*FtlVDczk;jf*j_TQDCI z%t}|*WP~k8OMMYCl#S67D35cmPeJH1UuR&a=DgNq%V>)$yZ%d4$!Y@!_r*QN=0BkncDVLqA@@^^L0*I5RhJ@aA7XgocU$CBuuudE-z8<#2pcS zMES2$@`6%TR*dn13mQ$SNwy^5W~kL{CLFH#pj5w*sJ9k~PrgDSCLo(ab74g9Y z_6M6nE2+%a=DD__=qA2XQ~XmhEp#C%bvC60weY0%pq1(a|&6k*Dcb# zbjEvwBr434ksvMTfonGMNogcl3X_2*Ih+ixW!VvPE$Cgb|EO`WIV-!?@Bk;3R6d4g z`SHF!#rnun{l$0u_333LQ%fG5(UwNn?*O-~FlCqjRk_iC>NZicO1HRiVNcVsM%QWH zdY-Y-VDpdUKLg=fBxTZjNtV8o-lWNr*bHF#ugp*GaJ|~Z-w*$bo86$u2aG;<&kefd zhu-i->3Aa*ACihnO2iVPU}}&z9?Bk)tN79dA-DPl?Bu6muI21)aG=NZu$a4=R}z zxoe!h)`d^y(I=(s^SNu!e%9JMF!q1}K%WXzlql%>@Ri&6BUvNn6= z%{Pl{9@e(J&FneaxC+(LrOP8K|45U5hrU!WqH)mv4U>h@0#X`W-DmahFfet&csjqS z4xN(fJGeB?4(b9XS#Y(Wlo5@h@}x%6cbj|i;QQW-IM(vwFXL|E*hp7i4iSnoRjq`F zB{D4<^#tSWA{@1Z!*1hjQ7NM%XSN~kpao<60jqk1ohHpt2kj~mPP%2{EQ~WG`Bt&d za$5q`8=Q<;c+!XmtrSlsOnDvM#o&bk`Ya4r!0%BVwX4EYnAF{6&fBVzxZP-qW3HsF zGKmzbr_^lWfxM{ta~Rl+e9FSL?JEKvUgFml>9vP;^VigMXKd zOH-m&P%M{gpq%mY)84g7TFIV|vV!JExSwrRM1+>)g_g90mP|q_-!yj@_>KLt`T|EZ z`-16SilTgF@X)j{dS!T(KR;*Riv~P40pt12!R7%+bi6OcP6QlF1Fy?U{G@N>lvy|x zJva@QlkN~fKC(S{!T55gDJ>Ha(gVWig;SECKleH*tR+8eC2arRq4>>z?^(Cml%{gR zu{Uewak-HMr_3nW)!*e#iSa6~iSG#!BnW|DuW6JYYM8jZmQcS)o z^Vh9Y>N0EB?u_rrE#Rf_e#N!}R)Yps>ke!8y?-R~oBmkrG?nN6DgB2Y{X;1L4~yJC z7JnZZdgt)Qs4<_d^(*Wb$9`-z<585~v7}*eLyly!(b%Kb!l`y5Nfr*%LxTov7U zLs}4-n>OO}FVf*`Da`Wte!aF%5sa3|S>ZN**lTy$j?I6Sw6CYoe%NR900KX)5}(vK zYNo*^IxWE80~+&+7BZPH8-s>*ke-12c{L2qDNIwfj>nIL<%1hN&18UH@c&iczj{&D z^=&-qP=1zi)fe?idu%Z1e05{_ z$|m|5xMq|y&0^OVAA6<0ssd0?A2X$*mzn}VUC(a+Q08RG%p0zZ|KCk(SBS%PXw^)a ziaRlVG(eVGY?MTZd?}J*@OX^Ti#dw?h@ZTAf+Z>k?*C)#EuiY^nFUbX-3kS67PSr?(3F#--G>r<=GzVD%&om9m>duh@rtrQt+9q5xHT*sUii9$T-~P#FFR$56 z>_BSISDy3OTn*ox$l+bT8J(q8BWEd!sVj3rnW0+^DB*HVVceUa_wDY__rsqY5*OAO zs@hZyce2L|Ts58LOq!CJ^Vc8k(kiG7<28HbYm%?7 z2HvL7s1cWQZ)jAK7SqK6mt7^Yd^KWMtHJx>VTUiDAtP%KDFEJ$2=cm$)sbNUa#y~< zjFCm3yDy#=D3#0<7e5hp^I2{W&u25yC;EPgZ-`bi#%W;COjD0m7u6!gHGZ=~4xWxb zJSkLWXkX{^w?scBHz8%%Enm()=Rldm{hhZSekF`uCQ8-{LiBv$>KCW^LANR+j1)1@MNSl6C?SpEIE?A6QhDl1sIiL92-XBgCBt@GTed4rp5qQ*^ zu{Ow_IzQ1@EQ*RIkBqilx8VZ@nJ?S7AYT-9-zC)O0u00`rdtrhO#c(O zA@r5@9%9S;L~GOOT7Gdrv56A0R|!zuPy}`35h{b+=Lh;h1SpL(u6>ORN%Qy|5g*+Q zY1a$Yo5?h}9m~q1cxg3Qi_I9tvYh0SsqoG+ahTG9&d$?P(aKW_stHlF{;lC1u5fu; z8&lnr8`wpRrh6})mK44Iw$9x-QFoA<4l@-kqnUR&ap<9`isqO^H{LaxWyt2&ENUjF zF8#U}v<97Pu|@ z<5ihum!CY_s>LT6)9Nni#wRV&>PlP7&U1{)@<~!BG;6tpNC7M!z(pw>=}p}erL`Kx z)YwLIrhPR3x}*-c6^P3@wfIyD%WR#>hFOct6r9U|+jWn0HWHJ=L|^?3`RJYTvw*9? z;nmdu+d=cQp#Hvq2I%xhjaOF#8S|^F0WrDY$J`#n%*HNLZ-85 zNmz_)g4<{#lR-M&KjtEkk0ermyf2;$;~Ya#D#x|1>Q3v6U(2Wi+m*)3HmK`md>;kI zLQIEW@&DL|r+-gR0>53zT&;jxMjr#N$%3pxc!xixFn;=yWF9pOLyY(++H0OHC-yMd_)kkPNJG_P}px80+M&0BDJ11Hr&-EH2xtdoO z90P~A9SbxSl#@aV%CmqUDUe4}S5S`Jp*2`gzGahd^izXc(_mgE-+1(Vb&sJIrvge| zml=+^)9F+NslLeRSruvi_d1C=@qg{Q9HKej6v@n#W7#^$URJ(BT*xcrssW%~G$+0& z$k92miFer6y839xWe!nZ?}WwipI^l&Z{>~EZq~iz>$YQ;^kz(zt{7mQ&hCgltK0F{ ztTNwM;3d1h$Kd=7ytuSZfdK$E4WFi43xM5$mCiKq=6}a(t=_>yoKhE8FP&8(-bPbS z#V}MxCszYZF_|tk*S~?v&WW;L0J7JAf#;vY#I3x;1%Ct6Ov>E=V_2Jd`JFj3@*m4Y zOF&-J{~rszF;xrs2v?-yzJIOY4uOGq0PN~@3mC3^2aNP6pIRsN1ZnGx%WlVDvlTwR^v7|A90Az)Ju+I42JM|6UcWk(k&26+`KZtm7TtF(Xx_ z)g926Eh__LuILF!%3y7eK|Q5|cX)Oe$oiz0(!e{+BC9zI6GIf1}2hl%9})MDp9cksj@HC{(L{o6`#^S_KMYo_S z>57EoPL0b&=yR~~hiheP*M+6oa6SJ^Ora`204X$`&KB7y5mZ%Q3f1zLPymN^K;v** zDWj(om}MOaeaV^fM3!`gEVgulznjo<)mWg!`g_+Rpk*^E>A=#&hHOFch`{WP$xQBZ z;mF)ZO5jdW*IJ5T&7s4p!;w&Ju)+MM-k^k`dKq|DATSM<=pOl@2upL2MudE%D!pdeBVKwZ!d_4gab>Ui<$&h)IqQx9ofv>5G1L%B3VXXJ>jX6i}HsL3RaZ*jLL6S z5{95kc@dt|k7f%M)1*Ygbk!M&HWK|U8l2CjCMwM04>71J3#?8aVubv5OD-f~91Ug? zECDhO5Ijk=Vge2(g$R}85StJz%`|%+FIVv1Xy=}SKb&uU1XT7dcIS5-Sm+#26mh6! z5E2-30|~s00K17RS}B%5U$5#B5ETGsVrw6rqHg`&FG3@gci)+zfwlD24jF%!&4xz) z4FXjF3H6~#(*W?@WH)Vpr#xD4qH&~QPYjsCO7Ok8udF^sW=xI?0++~5zWyjzJ_kbB zI<-`T=L8F(JJ$W_osZy|nv2Lt=H>PF>BURCJmjQ_qiZkw%hlo~Ir&*i%*g)z_3p*U zC~4N_**oi3~kFp?)ISb$KBOeU)WJ1>FzkN>-F=CfWOUZFN4Wf$bZEp{0Rp%)KceEhAs z-hut|%g2EgD*gG#Gl!1x^owKpmjcKFYn}Ml@yW;iW1!>0n|Gd%*UQHOH-@sGX{}yP zQu812vM%J#{L+fwqjo$$ocs8e-^>5d~%4H{jJBWaX29g^ao}SOG?LK6{r(dKVgT{j?2tA&Ug;(*Ue6?{G%oE80 zovnVOUkN7L+ zFijy>J)Er7vHkf$I;~Muf8Uz&_aBtNexH|@t7my`d>og3<}0F@(SR?_zbG3Hx zu?sMvfiJ=z{e|FNDhem6+@YU^a)sesAYg`582LyTG;EL*6a)$d$ZE@I-yb0&PwmuzTY9BII}d>yzKj z)60|B1EPs;ZRj&|&dXgZjV7Ir22tYk^H;a5PB!trez>m{?UDpf1;jaay-EkpzXQ7-}SIf z%YsuOLjb4X{c&U87Td@$yL+FmiUS3w17-Z~vfR(tS4g@Lx+gZr6VNmt&#-J1=37EM zZGxdGbufJ$l*wBISa8EnDDa5QCWcNR+aPIts5*l&{<7z@E{J&PQtuOa8}{ik`=D>} zXya`c&st!x!}n9Ma?IuBaQW}8e1ZgMQ{@AY@BNVPQV?wj#U_}1o49usw&+|r-h>!S zr8VcpgWu1mv-sN>HWcDX4KgOP`}4)_DcUiJ+Jy)Xb00pqM&0eYb|54-xlTS#ut8kB zSOVGOFRA9PPm_=LBR`Cl-Bq4JKOl7ocVPDrus4Mws|7=}&O?iSw$q)%Om^{5nLcgwkU+Cp7m7Ga1 zHW!jzR{>^M%H<3;$n&D!xqDK`O?ip|uKuX{V^FvHX7P^e{&9EL1v5F zb<~MZ!iA+b%Z#Ke$CL+|y$pe<#Gvi;jKDZt#jSP(yQPKS?|45Y-QtZ{DXK8A;(wL1 zTgtha2Ik$Dq*jrySeYP{I`N+42fQU=VRl`x~Imq(@6_&X9`aO ztWPBuujAu~fnB2NuN<6_KA0SJY!-p^$S~|ruD)oXMB%B<+h@5?EtteQR)ZX^SGXnU zd5fL;?I&=R3>0Q3o(78qe|=>R{fB&X>y3;skP&WvFd=AN;lqYV_SG~)HR*s1W%P?! z7gun>QdShH*u+Q{!3bLqlk>j48G*)YcxabJ;MDi<;;x>4WWXH(IyjYH^pVhiCfPi# z2K-HB@6o#1u5qwNf1ykGYKYECrrE`+AJw3^Oen2&!n@&1{Dzj^M`Dfmjn;;rE?xPQxjmhy#d_rYDr z_pchL_;KxvaCVGCE&79kz8!38vjPUlHH`#(#L4UCXZPLNpY%=ECUDbE`#+cMOpbuA zIZwQa`VB+!QlJSn&N_qz&|Byk#A#q@z<$#PSJ(V@4IvDQ(aMJHN3*aPpQmp#m>C7o z6tE#NP!KJKPAq8tC&}Xn!pR_Z@WMvK68BxV8+!YoL9u^3=7FgQISijYyRz)ORL0@J z1%#dAyq)Mknm&>Jz#pW zlwRP_UgVr<;cvsRC_bRVz*&m=Z?GU848kvYlB!|-xo&~lSeA$GY)FBDqSb}Ur9p*C zG=uh!XMs|Qmq(?B(&6P2S?w#A{p7@g*e0}IWz=D~_8eTj5s+7#>ru%avq_#Bsg=7M zNx$~d>+kdSy_~=A7hcQ;+XY=!1`5g-13QOFo>WMEd1@|Lpsk@&!6?n@ud}3xHajXi z+8-(`=n4uDR6!9`*NE;HW2iwVXpHu-?i~nPR-et@qL=+>{xNn>3~flFDM z(M!OYk6HoCgc8rXr!r2wv3nIiwg@PJ6b&XN3v(UZWDWxyMraMC)zAy2b$e0?!!Yne znO#!4)AHCo8Vj~ov(MiusT!l84oXB06)OCpKnMMbgAi7v{0-D%Bv&W@bS#GLyCT?( z=)+C~Gd>7@s?zGij`x0T1@tiDf&uWVxLfSHHPm>M2{$gx$1c@3Fo74UiTgoEC>!00tLjb5_hwtO{h+<5T zasAfi!$U#^i;+(kpx+&$T%qgZ8B$YYaK9vU%J(gkuG^{d+Wjx@!^ty>PtJ_i+l9Y$ z28C=j!v>}tzjrAfWKQ0-PKWgs&g%H+_cuu2B-Ev)wmH&sqHMFdw!x>pO<#tA9)I|$O z@4`ZHp~OV*!jggy20?OgvY`69jD2sb!n*ke)H*{u56wHRJH7$&~LQIx=UP4?slHct798&vz zD*hv}jdrmaGN2|-bS1v?d*&w4j^-Yk3zIjnl+_cN6K>hU&9tIcC(l@UFk~yDx`H|f zFWJO9L%<+4MSsY$VT>z}5@f9i8f6wWc1cjsPX53XViGd0P#pxqD#H4&15r&!CK(q%;fPJrkEM~#5r+BhGy2ncxovffIVi%RQ_Exu)D4d8*i9AYa zf)}2fZ%mtthA14HWZotn{1{DY`00>Kk;$Y=XIoPXSu~$v^zA+2R}4;CRWodT9A-^% z4uPzX{B*^g^aDLOH@zwfG0BtkAttkG$Y1i)y9~Eo=q~K78SAHa6lTytP@gZA|G)X8^ux%s`uf)n23^B zx*>B+>9_tVSN%ek1?^kk;t^4|R@No-spxeUeF}_2wp?8!F8&)CqBh!xgXA!j$IV zz+$=o($>NSlf-i}_qDH%DUq~@sb_W#b8xWq-Q;okAXfeGfMcZda*j3iKTr_S zZ6s=wnBnxa<y*0Nbc@YwLw^un}*}4LFsalTxyNO!w}?{UA?6>%pNi(=H>gm zmHQ^y3ACGz@OcqEt4HXw<@7C`o!$Vnc)HEzoge=-l7rERQlaBqGPOM=sM(%uRSfL1 zD$s1tRvm)g=j4t2p8glO0+)yA9kBSj!bF^a;L!`#H;*Sf@r)C{P$r5xQ z8RAAR)=+7D9Uh99ZdWkfIR_=e5q#o3hSVKOkFp%$W5v(|FQd2I4Dtjc*cNp^GDwfd zS$6Jt)9Hl<%$#(p9myT$H+Hwuf{4fs4?oMG%X@|6{WUbkNL-z4K!L z%pS^BY`#0AS57`A*bmx#=186wuT>cV#pmlUYDsUte7#a_J z(ZBi+lK^YwuiGT3Pt5g~@&U9oI36|;T^89(CXlZ<0P@q^VKw-hpeAXcaNmOila zBtg)aXlxiDFFT~xf5&&R>zp8{GR1U%fd`O$B5-XZ6v7-( z4+>cReF6saiWNa0`gMe0m@9xs*w@9CzXLlwlw1vzh%5mV!_dFiS}6p;rqrn&XaEb4 z`X`Y;7eH~^p5MSge_fYmLXZHc?HvGZ@E-si#9|PTDj-JS6$MG6&va3J0~Qm#F5nMI zZpIi%QA}vTJ%kE8@K6bg(Eg>GP!JBu{YwXwe4e|neSkvD>cZ<@LK@LG@qrBr$Ku^4 z(7X4p5nWncjT}IqlK02&vTxbM0H%|`$AKpAQ>|cyo`NrvoBP1}wS@K${!&0Gy~v@h ztztkG35J2ep#&bxIDULwb_W=guRe9Ou?DzhNUpMvUp9g0hF8|1F4gvd)!phaAwZ0) zTVb(k0tr(CdV<=AG6YD_97s0_rm-Rb3OT0cL-xpN=}}wkAYUy25LgnZCmpv~F@YqJ z+=R9KQwA^;sYpOJtAs&z0D`0L)q-q}2!f*Gz99olNKxGYJOT94z6K}($B{11Bim<9 zmKn``b0u+t(Gt+2p&d{6o%4dD^VIBY10(>wHJgvnTPlhEjhU7h3o(Z|1^oN4H#TVoX9oJjVGa=6<~bO&_+ND5R*J>vEvA=Fh0+SE`$J((-NRTA~1OX zTbm026U2PE_#*^Cr;PxMz_--|l>h0y0=fnm^$Gu*H>{4Gz)%3hwz>en6Hq^t@|85_ z$loGDTM?shLfh|E$6JbJ->lIvh*Ak!COR2mF^N1<0&a=!Vgq8bzXT$K>2Uw?w0Hq$ z*3;o0B<3TsX99vC`h^P8&h>AC5iB?`2wy8c5DypfqGc9O3jjPPfZ>5s-_ZaUS7sV} zYD0BlA7I$@y{c&l5+ShOhk{RS{oK$8{uiH22s-*4oxj3QpVYv}VQ|^MOdx5N0F!ya zKqvHEy;unIwPTE>qksUe0*iKc3H5V-Ye7`l&WUSaLZBkoM$Mpx!dL`kEfNiA5Ei^v zph!R^yUJh_&?1tlA3#L_mcK{JryNmZffkQmd%?Z7T{6zs1=IX@wG6itQ;R`5rC(vFhU`W)&c=;MW1eYV`qX4nbM~8`!*!3x|HC#`^$hCPp(rt{_Mf5iq*1 zhhWtn7YLFGpUyiDQbMIdgH&51-Osohm`7a! zoee8KhHmi323oTp2UI`sb=3>Vk#!>LT=2{w80bAy*F1cmJ!lHS=MH(F6|l{E3yzg5 z=qRAnPr`)0K4oCeV|~?1F<{~!GWpn4e+HnEO_n!jrvWZiS&UX`fN`tE)3<(8V;Rv^ zbYevg24cDzoiV_SaSMT75`^Q&1A-p`($3zT|B`LtoxqLx_#X@9^<)!IU`nn1=RFN5 zE;H{5E@0b$`~mxnB5dmew{RdV1MiD|V3LfAD=GcQbxr@`Jhy7s3ZOvFj+oevyBU#YD)$6LkkXU zDz`TAR|D&37ds0;JAf0QQtq_>X5||dkhFFMfcat@OVudQrFaX=@$6gaV4$lbF!}^| zEnO0C|7_B)SeF9*Os0{_5Xzkbiun*F^!6#=hj%5@0QvD7%n16vMD7O5Za}J{cfKdB z5D=k~$@LLk6Y!vAf_f@;;{z!16JWN$i0LQw>dga)IZdE#+OX4CB68C}hP^T%##eze zpIe`dWo}o}`|5|yEdd!B{qsHdkw7^Ulnm2b{Gii+l~cU}aKu51GblyteAi6HUjTwR) zZ~l}0DE)L&^Hi?PCGZh-HO}NXNl%5SSdV%y#ix=9n+jAkCa(Fw;mDuPQ5uz%6MKxAnj*y3kdGd?GX zc!r}U=NPzzx@?hyZxtB(zIW=2fDl=kuItv@y)!OE|`s-jQ*`J0od5UihIs z@U2#tqNeDtjH73=kq``Z@;Hd$Sr(t|vN44Iz>-=h!iOK*;pc}f`Q)?fv?`MMf$atA?;Z9DTd=jDU1j5WAHP7eLj@F2d zQ_u!3P;W?+BOanDr2EAdgJB~Ax#>jT^6H74DQP#)}cL_ds9 zrv`|>=lHOL8M5hdb@yd`_C$M>3iYFS%O1Y_mG)=i+Yn0#NW8m)Y1XexxMgqgwqe3*#rjQ z;io`0bmWd?h0ZD=+&{;2aQ?730YMboC{~r?c+>5g`dh) zk2rac>}S)CxFHE`$wyd&Eu>@z4TZmWvzhr?z0Y2FXveCciUF{2-IxSt7CHNMKvG)i z?sxT1&NyQ7V4_47L~%40N)LlIvN5%hb3T2{F9A-i(F@z=xjx7J3x+Xopr&&|kCvMl zeA`ILsv7D7uJ^qjJ&x#zOmLR_3>~UGF(WD0g`60~hb0D~P%bYadTa4!j8$j(&7*tN zQXFI)8J@lGFb#GxGHzs}gpTas_jIHGiAsySV<`MOz%(~u$zO2S+IX+h76zqn;n>=x z)?_{+Z__hNnN*z^Y9g#xG``X5%3wIK!+)He6n1nw+w8Km(h602+wAa5E|Vv4M#`tt zf#zmd>FtHYn(Rr^l&Q0~c@_HeUT$)ycK28taIoN>;Re2|HtJnSRa;Ftyhq34HNhQ9 zU0aR!0$01e0jB6JN9v;$tdFS$LbG?&K!*s55$DgKUL)y_K@J>m^DChmplB9$>#21a z`Whkk+zq=%3kRHGgZsob{jJ6qC*Zu}5tvWi&L`X*Ok;z}S|e|&YK$jhjiC2Yy%L@8 zP0Y-U1`jnXuFkk-<=h<{-&JAl+)^y#DXIK)^)cuvBpiMGaB~KJv5%w2XLtA9^M>gC(a`(uBhg6PYL|n_!VI#c%3O@OZ>EVyn0U>MK=69y;>aTHFL$j}AI>hYt+M<$UP>GmvV#^8Gk+ z`8apjST^%nvv|8qsaP{gv0N`_{;WoC+WKexOj&=CW~K5mYs1<@xu6wL^24#q=X{ay z=grge+v4dJU#hWZ`e}iRV(it*A|jzUIv=XByRdgN^wYM&aeKI4$*MKUQYZH|>B=ke zd&zU}a!Oi8oy)C-rUt)eJN_i5DId-9}iBpE2f=C<~j*3hKO~k*&0~Khr8$ zYinP>Rn68qQ}Zkt@k1!reh{cIJiLT>6;AXV88Bg%H$VhtS@98Exk9?^9nzZxO^$B9 zl`c~k*~g^*w}kJ&?*pQi@0KS=Hvd~-a&g6PZbmUM`1<3An6~z%Ej9bMcNZzrp5I61 zMt&r>PIPqlppa|b6;1TnxZgjcbm(uk;I|uWGC$y=uI&Pld2RQh2yq|N*Jpje-M964 z+m2k>SK#LJ!FFEEdp{O|XQ8v)t8w}L&#*rzVtAkIa&`*g42y|__~r;_OZyuof?Cmy z3oiVTB4)UHjqX>F?^8Y8$=9#%T&;xT;!K4YWE~En;@763&E$uoag_@K(smZbrBb~vZae)!*E?qc zK*2auUqWNKSZ6&5&XvlWOxX^{Ac=7VFil(B?{BS;E+qB9*3MU$8Q6uy{4=vYj~xa@ zSK+I#1g4Yf+>kDNB&cwYofz7h?<~oujK5`Ag-ZLA0i=Tdk%Tf z#+pep!W2`^JQPGbXxLJzI6JmD6>x%sa26;|H^kHug1asHBHy;IVzCu2-Zc4RB_Z%~ z24d$oQXr~=#PI0(z(;ZJT%f!xRUw+SJ4(a0mq2!gs;{8#BMHUa_N)P{+i|4DNy{_P? zVR2#g5!}#LI2WNW0m*L{SSg4!(9S!CP@3zX16JV?;TxKzgwnoc0X~r@*`2&6`}H@ z$(SD1V8BkNl4ecKISS;W;J7AHZ}U)^PgTl$)Ffj_Xs=o=Er@XR%-^&K}Pz@c5 zeMZrT)ze1GoMIXV?9BVoN)>V_lbYTYaJGj`;wU5;g9l3Z8*XpcRjf5#UfeP(z232}xfJ?eVK0vc&Sa`6I^0j&;KB^MwFw&97pEL= zk|$TDZ|kXyeP%7$Yb4N6l162EUkIOMIunR!M1(FQw6K5kdDTPD+Uh{9q)1_aO)t&%I#wV1mpC5nz-mn`~q%!Lm?b&}A>ye+>Z_*f#XO%g%ME50m@aPMJtyBf(J#ppz zXBYnVb+Vi_nk`q%o^X6LW-U;KP8Y-kxLFy$RgJ@jn`iAyi|*gC+n~!!Kd^yt27X9x z55DRjK_^eijVGUL*TyF~=e*2-Ag49~A%o}%tCiMAB#~|*imNs9IT@&7|Kd!dKM=0vyBovQ%)oPxhHiIepO)@oe4!tIAl;$(7{!G5 z+?Yz|46TCEcOIHPGR2MeaLUEEZ4X8DMiU-i!l=jgeI9)IU4FAPHrJj8B-tUIFV>_F zH<{CK`0`j%+#ZPh@KoM$muRQHV2uk>UCF*%$pc3=>?^o4Kv+)?=Shc;+9{oimg5HF zO2?$&STs>rt~crtrxN2g7lT%(^TQ~`C#j7buD!#v=c_&}QPyQTrVtOa^I&vfa?%c| zxf_B;8Dk%=J6-tK2Q1*22a)_kv7mJdrLYJI389WsE60}CCyGZdTMmdOXWR{%N19^i zX}>YQh}^=!tVW`MVWrNlpR3*JCqv&YT%VUMfzQ7Pz4#s&;IY8=elJzJrY8zWr(Oa< zkxavh92d8Mpgz{Qu$H;r;~?PPOChd@5TLp2Aa!cVz=2h9p;!Imm4h4!nlpZqyu(0h zi}Gm;k$tuukIkM5_I5V|dvkC>+ER(wO~DfFE#eEf3*vOdneu2DbQgtWlm-1>^!beD zy{wx3BVEV~@TA@sh2*TTj!;YyvaSs4h&W>2#!#$2>VY@*xX{`WaMvpm{&YCdzarpH znd!xlzHuPKy*m)ld*KUu_ zP_To2<>ld$Ey3WRUtk_YVa%8>Il|+9>(uRI&Mld1MiY-S%6}FwZ;l_gcQu1h?#FMQ z&+o|imw&`G_@af%b~&cv{X1$Ebrov=l3{m%PWcc$6NnJK5zBI>cmJEqJDa@J*r#V) zg=)}h5-*ioNQeg1uP^eK6^i}3@Bz=(Rab)kA=vzNIGaH#_9*w7=~#4!L~vDTaUwi zg5w{yT^Zi>r-_*+lIcIB51eE2`$%;DDa=~oeXG-jzc_{*X&2C`@aBVOWzn6H%>V;z zwwOg{&!FuI2(*;=iE7vETVevGno@`iFVPlE(gz2UEg0HwsEHc~{1eNEE`DAbAu<;`idS zjYRot$&^QSL@=i;`YzIwYH0zJUcxvvd<$9J6pau#9i$^4H13$2U5mmHl(U$mq#W-pl>7AkcE% z@S5S)S6@whwuQdCr?&9sy+5btBwKx_SrL_@JyXFQaA+A(UjxQdi4G#ufM{vwEnPL9 zT;e2N=&YWUX2t&mQvoy_o^|>BcjpiN_JNzm)BXPAkOW9*F6bcDrB4=wK}7 zro`CZO5gW;Cp?mHBw#@%k_IJ^7supiR5(>&_PXv62y8PhoU~lvTS!tXLyD1di&W%4 z@KC=n#u;_a#Rhe>exw`wDhCego_m6HR14nnwkc4E{0)OMlJHbxs}`+9*7SVF*GB#K z@{SSDGinbhySyLOPBw%zuJ)=zggTC^5<{Xrz{$>b+5YhZLg$(ll?YLl301O82bn)Y zIMh28I9%9`G!MAfUtQzIr9V<<$mcQ&kYyN@X*?20B+Oql0SV+1X8U}QDeEJ>ig~iI z>}qJ`H_}DdLehA5k&U?|C)4wGmLEH}d?{Ms_>;T+Cfm-{i<8fDqrh4;Jp}pu>4I3E zQ*VT4zYVavb&M&gu`TM~*@93sB+ z3(Jp(({>r*J@xnd6~?B%Y1Df5CtQ2poNzuYqO~Y{jN#~S!KU^L8GOzv7`92n0e0%V zkgrUL_3FEw^JOH97D++3@R}HO@JT^LEc2+NU~DK@B4|+T{~ig5L>*udCvGPTQLl^a zHWp5BGB2v)2(x(k#k9@1FAr`Dy*1Bmu|!Ch>JaMw)LC~P18wz!v?2JzLE0>aZOl0n z1D~^SrE&()bZeA;-2MR5=wV;;!uws9&or~GxezD8& zyy$T`cNQBe=Y@yepN#!t>?mg7{iNMnV3*c{kHJ$y6+`|;1)hxYYOsDl)2f4?+CTrd=oJ^Y{} zvq*?OH0KHmnSXL0Wca2N_sG0i7yFrMBmW&qbYOT;(&wH5d<<#TzD{gst966+L1l65 zaeMTl2vcsC*;51ZQ2LIr!VYF~A|;%?5H|dUY3`+4D3^qc;D?E`yXej4SWw-?;E} zT~y-v#pLF98nkGfdAT@=?PmV)K`U~oHJK+PQ=tVuZoQ=?{?6Vl%d!3KvPr49Sm&D1 z&eQ@YPg-gCT_8X6qHfnsm2p$M6kWWjxMKVeO`P*gNMS~qgfgWa?oe2v7EWd_p3Zm{ z_j~2f2N7T^cFrN$kUgrG@ggOs$Vx@dRKCNmpLSHd)$?)+pQXOI^ZuMKs%}V0@Tlyq zkIXzs@T9$AkD^E)GQgR9`WQ=KxoG(lE5BcM( z#vdNH=w-cL>hOcK2|smfsL4+XOe{Kn{z(J(>R@_rTr`^ZC(ieDiqK-cFza#(=^DMT zDT&x1!^&POvG4<$qOU>Oa?5n_z)6$61bP^wKXLS}ctaNCZ1Z+cRG6#3w!3FZV<^|* zET`?#h?}Y_9Q%*6h6d<|l|C>?`|5>}_BsWZBrRq$ODE`sRR)uI{)(0nJg!xw*$)KL zA(_$Ew%;qiX0}_!FK!LSMbm{k)7A%Bte)1OVNQ?7^M zy7+0?0OL)*u$EBoo+TTq$qeEdL?0jGzS$x6zK9ZR>d*dYs{CtF%H%k=(vGHRLh09v zlu7v}Ul`smSzA^Y9-BFPuVgN=gv?rdT1+Y9HSx5a6*p!M-x0+edki-V8>iaHTK$Ae zn@q}#OjDlwq1k0t-s@Spz^UN(_W30A)q-r%V|3WWne~xQi9eDS=ci@slOydbqU`gD zRwcNa!z^5U&0TQEcCt7YioSp9R@JW{9hjdlGqs`F(^}I^ZT-uzAKYLEEkMO<-r5%H zrB#_%hZ{v=VNYAM+Sl{*HvV#UEXj^_cB~>{aPx#G>{O8NS2};wy$A-M103J9e!%%5 z&0-*SYE3Dn6}&~_aifj?GE$~#d9*I`BJteAJo(QzKbuxu6(;R>QgzznTVBu5OcM!==<-H1vPdLpwjwPRTZKZ21i|{Wm5Pg z^JMy2y2<4 zp-n1mx&O^`JqA3m&}gxfKed6hE?IExdK;@)E9VUJG>6B_X{&JYAK4pEJ2sv|{}l-9YZP~$5Yhiw)ArX}HwrUX&#Anhk+J2@ zB&U*e!O=%QOS3uw;f2?3y^&TfG)RtCg zEbDOCFNXU-s$$5ZrF6M{?ruoSWFXm_EtfLSj+p)2y#aQpNt?L&mz*tfR!<>xYUNH> zNd zEH~+291Oa)8dMic^?G-}NU0!5Y#)bJluhV}Z87~V;uSY4`HDNDdd0Z|I5>0&QW6$5 zOSb~CEH)1Di_gZ?H){sxRYQ|t#()sc1$0&^ol;K_KYBDO{yJ-$ z6e1a6mh^d1T!-s&9Cp!%C^)n^Tyf|g1>%boz}XjdDvwlNCUtAD_stw;aNqor6U1$G zVkDMIYyzBBBP%E)C9~;bV-{SZ^yXXYWNHfEDwo(p%mYj09~`W{FpN|$D~N^xX$!%G zWv;dZU;4)^eU3^s+>&S{I^ywoEG=3a9@oMVq)&oC{BsPcyfj_0M9rSW6*B-Ea5Nsg zD`BVHu{k>762mJVL6Q3AEHHOWbkg`oWMLiOW_8=LHS^=3jGXpC-bVWKP zB@&cm6Kr3iMwYL?&0(r?>RzAwkXZu%>SUbTmtZXsqPG#f=2b;4n+VerL5pVd2NNtY zQ34*pdVM6oqnPxMN0IH-qo^$j_#Jz>v4`{JsQ_o8i)b+5(_;&L^*i=q!Pww(P^3&# z#z3o9HDeHBUH3QPna7>l!F=;FpUH&EH@wE-px{#_#|FHX*D%0im=MKqJ{LAZL2FH# zBot%SxH4hvMgJ1A`P2|H>~shpH{ftop(lE6D7*`3ot_YQyt~Tx#vzQ&IEaR8mR*Sz z(RC4qfz9_=jq3DgFubJ#QG_pKA-z@!1+o(wg#&619+06|8#@2(X{5pocu$kyMG_1m zk7lz$XhtBKJqFy4rn6_0Ur7-PI9zo@p{+-w#}90Da_UX{QvSV?oOMEhknI)%Wr!G zu?szoUE3PkWb9@e^9mJuJ4U{-#n5&B$34sn{fE91t>w~&<>r;k6}+Y(kCBQg1*U+q zIa~Sg&^>+<5my1HZ-~a;bnNgQv6#F+l`oANk)$}2y1k6K33};h4W$MmL$?ame}}j2 zpLVfPr3@{=JLU-g6}4crT+%Vlvqi~%bj3qw#xC>0bNvTOvS9y$R*lV-utH2!5xH?~ z-i`Gmh!pY_Ca)f2`7nk&ca_Xu$wQfIOH8tJmA@(KSZ@>kNtAowXYQ*{k(ZRUWF?V6 zBU%xa%*XsnBAH}vd0I|fJVlJ#oX_oW2U7}<$x?1-URR!@q6n#+v48H>hsetUo4vv2 zm76YgUajb)3qyG?khNr&#=Z&-_uge#Y_2(|fzpd}c0|DE$GU(*@h6iUda{jv1cUS1 z5FrfT)~()v`I7Vcn7rakzh=^PhZtoCCqmi((|=el)(G))^jpKMIJT_q#&T(NBK*8e zof0~Q~HZiq&lLO4z z!J^_F(0PN8^ss-we7371Q67ZHC-#5)4r?;4CNP9Y(L9FJpfUMtqa4n@9vX`SPQ!-o z24)|<&21D+W*?nR$M86#%Z)7|vgAEcGQvVNoZy6M4oA2x5 z65QQ`ySr=f03ji`ySuvu4<6jzgL{IzTjK-`?w<3I_kCy9teL4bXP;BM_Nh8mxAoo7 z@S*8`xcd72Z~J$2EQ<86`rITR-orE$ZbV$$2!O$S7r!TXFAZDH1uDH;g>;B1uCl zC#3)*7K74D#23}m(*vXVsj`_0X{?=8XLYle{?xRI;>1ikFc>j z189B~v2b0vR1huTlts;33{Kn#!HEDCg9*k_a_`zCkNam_7?79uGyEqR2GSTM3@ipF zu^^g?VLQfT0^4R1-PZPc(9eKqLHK6HVK73!Ap;d6m?dGzqhRo_c6>erT8wCR^-c^t zG@9fh7~x=^ zOPzA>c4$}~QgSxY|3UY1{U0kTG)#$1F<695(bOk~`X018aT20UT zH=Z;y>+RtBdm)O5p&}+Ba#U+X_M#b3=Y%B<#O|riVhl3<{gEsJ7YQE)1fIR0c^_asKlx#&PH*vONV`2-%J9~x_| zOsKEF2;Lhv3dw2LEzp(ZKIG_;~l*_1FA zM3gCvK=6oPXx!q0zFsZuyu{ETDlN5memWxX7uN&*dF8}Za4=F_D;vt*ADz&9*PTCO zOetoZhH5Xsk4ziYBC6o-EfB)1w>#z{3VA_+jf{v^2qrKMXKbxMSx(D&*q>v0rQo+w zIc-9U?b#*T8O3KnY*0%Y4STOEIgGOl*dQ4b7k{)r1-BFVbj^x<55*$p$qN0Z@a<}8 z(Q0G9v7h9Wb8vef{^O{&&(RG&_KYcrBvVLdoW%CM+vL;m#@Q9G;(13;920xp{LV;L zC(1XgX}Yb+PRw&$k$~~F=^5CXAjt@vMpvc=7^Hr<*|+5XO#fKXSve*n+`LxVN|z)W z!4k6r8aVC`ME*1Mhbv8dnD-jux*0u{2E0`x97+~Owo3qatt-GMC_y3#2jBHxgAfl)%hD3EteP}W}MIZ~YwISW_o z+8&Y3Y9niTxsL;v?D-o=2N`e^vsTiXSM~gjb~wP(pSQzDi`cdwVP0h ztD+(Qig&!#qjaz*2&IJbujpXeKa}I7bd!U@0EQ><%Kxt>H$VJIA`Y5$1 zEMxWRyw^S-E+2_Ki%uHi>S&4|OVmuV%`bmaw86*|{KECyi2+;Px_6Pd-kTEW-&fXS zW7^(`vj=}de zJ@lQ&$oXWgx7yI}_GbungYF(c!)vyOWHqasp&bTB@40B1L6Dgnjcu@q7d~?C6})d3 zRQqS%_kS%MvmUYCHSg{8IeB=$cFs2nzXQ!Y8T!p;mBciO+gq7VcQ7wtR;i#{&lSr=`SNqb&tK*h7nT?K`j_f-4B-?^hPbe(trM+aYz-pV|Q>y4)P2~RqG+?h|Xx0Ku8jH6nSo@K-4ON!@Py?{@V_*i8M z2IOIp_+~Bby7GymQLN}QaBaw@^I?8xw~DoaI4-xc6`M-bLDb&u#eA&DO3~! zo8B{EmG#o1ICFJcB>F`P$NM9sTs;8!n1bpNlsH?O6jdClS!?{INcPR0 z;(&_s`{oY*4so&yy`ti`Li=J}QA|+j3C-=zEX!6< z#dNP~H<=X>@roB0H-9>p{^yJw&u z#RON#Ey?t%kQdbtfjXr_-L6)y`qcb1?)LQ3Euq%dzCfRIC1U{Xd_fO?p6-0Jyba2FVk!JcDXIe z25dfpdJAsBVZ4|@Nl^OCof+niV8USVk94gcuH4=&ybGx%gQIL?HVR{9_90dd(ThK* zRc#x!peEmBR7zp2HibK+_gwRks5yxRPA5%5V`XS5PYyz35v_b6_>=8njPBhJ?NNOh zM_5N|zTJ)glkFjd3AJ<;Z*DAkn(NJ6 z4>e#Pn9RM=+M5{+exIQ=GRb2G;>Rm1r)bB*%~H&}Xn;`V;)JT`igX})Z*587?`Sp4 zY6-2G_i^n$?>d(&xwWz+I>LtkPp0?SH+dJ8m-Z#UM{)Dxz@<8#fK7SIShi?ydC#)e z_Y{rr{R-AsAJYaHB{nBqosP14=?gEvp=fVugIB-quS*yJ{fZ#OAx<^DAdFMPifpL9yLd?>v; z;w>FWf@!EOWCUPT5{>xt)fwsr5qmC}FpUy(#RV@=kI*hE-GZ_~z=mDK@N2`XbL61D ztvYlNj#jK`6i&*KSmd+L;xSEeq&8*~L*h|%z!2Loh6SVbsuo6f;N8ROqqphvYHDZW z&dK1T;Fr$8yVi4*?Zpgg8`#LWl>C!#=GvsOZGs0? z-M}+PlMm5mANA^k5_{=@x}FzgyFXm4*Tr&JU|r zb*bm=EX7}ol#B_qCEpa3(XbfSgl7;#n=o*dtltrgo& zU=CEB2WS$)G!*^h% z(25k@_DP@1@go(OQ_e{{jDvBc7bPyh&s1!4AeJO9(9TwD!`vW}_9<9nyusuLJ_2yj zWXIo|1wIj2nTv!;d-;H%(Ydf@o*=wWya7FHXUxgCvmf7yV$P^;WyX5V;?an{#&B2= zXCiijD=PW673BY8nI1{onzA8iY-0*+MEzV(O+9Mh&~M~DvFj`~r*i_8wl<53H-*7~ z#G5Pd46<2i*AO*WV!Us1Ps*!h)mMwL^7zLIFR;!{7EU!LM1V4=L^?1N{o7351~$C@ zK{z;$K2=nc3~*nc)@$ItJf@fX>~UV0AXtPlJnJ{|k^DGJvYd@^SmLM@fa@Jm0`{L7 z0Qx2jf)c;L)=jTBDccJS+-3V8!|Br%0B{dreCz&`?wGdtcbbhvc>15FWxA9FSut5g z^2vPR_211dbOcqGS~%%SxZOIg-~hZ(RQ#71WzRwEwbO7J;c;S0Es*#aC#XtPO zUnkojiRQ}jOSutG?K-&+!l{-@?S;s0leKUXv%}2#m?HdP5&kpalmCAJ_^<%Lr&0wA znXBVW%tCq)RYyBS4gx`;UJVuUf&+>nr2!xW3Xuas-~lYKL~j>KklZCllyNs^j{sBA z$;e?L-Hy7z7|NZ-fXPR4cKGJ^HAj){#bv|{1W2MH3$Q%hm%Mq+Ldrc!1n(5zLN#VhiYn@Hg1|=#s<`wlY*#JaGCt z>Gy;|)!$0yMd2>`i`R1GeyfDv{!|ICs)elkCA2AJ_GI0&>5aY5$Kj@_v{6u;X8D!9 z4^$8!zXX0wtpsN!4b*!71)zN2xkvY6XQNmV2V!R>*129Qk+5bBJSaq!sFzEgVTM%#u zt>*PzS6}xo6|jbvMd#{MiY3P6+Wa2FD8=|mU!fZZ8t71LB%B%#m@GQkrD#Zn zF5=DkvU*-HO9hpn5Ez)Q!yy1Srv8^E=%|H2SCj{xm^KwKRAb&9SQVK$@Hx*z^Y5Nu z#$$Jw*tUH5GM^=F$SkHlXK&LNgL7?$P~$F)Wl0pZX&Rx1H%xM*ud|AN+kyOk>miC7 z#UEWyxg?ZAJW@l)uhK&IofHl)dLWk@SX~33=I>OM_5Z6sRCxbqFxe27t~PSrTKku}8MU{qVMH zgH&p`TNz=mwM?q68yj)n5xSb4{A~U<$3}!qBG*G!Jm7%Z$ZX5|S^!3VCmGs;8Firp zLiCq_??XG`Co|_*G3fzGe|{Ap0oWb+;Vny=I_6h-$+yEs_Y;9#s!13}iyNV-;7Yvg z>-we*XGiTUf>wJr!6)jsF2PFlURoRa!6@{Eimd0B#OY@OG?p2zA_vAH5n=%)?Sw3sX8T<&9$5x(t#smSv{pyN_!r&*4x;uvJ-s(=I^KI1U{Nw=O zOB(2=sg#B8Gneeftup&H)YYKoG+$7DOh9hhLKIGzx@0BMrBdIejbh`8sz8euEx5qQ z_yv~@N)WJ(gtu|{1S-}dYsJlYjRiOpbglALXN^nvnQ?b8Wu81DYcfs@*#oeQpt{7v zz{*u9;1CfJ!Hl(K1sqxaf4ukqMZ5=1-}vcI3(zS%RUFSwjSZxyAfT;lqO_hp9)0-H zVFx%xR3%Z{WCp%ai1-1wT;D?Al64M8@b+G6>kRIVsF&Bp%%m?LX1RpP{l)F`C)pAd zcV~jpn`4bfdpF-CQ%1sX_BCB4u-yhUp1`F+Y&;)_lB~cMH5@9!)#%lffis`k0u*&z_ zkfUB*<)KYx8l!GO%uQUvPZR$4jdXN=jr5Kv{5fDXjp$8a+f&JM${_QvLAY=TB?ZWZ z>-5QaEN%q}ekd%u%bBp^du>(X=C@{OpAEVU7RZbUFr`0ya1pWp5%NjQFrAh|YQLtS z%AN36^>=9~MBSyqj5>cTE&rADt_5tk5hwrn`O-_JV39506@UzQ1u)`r!A-Fm;smhX z-x;61ztPQuh7#KrDh`b(!1$!s+*cKgE~dBGKf$+<>dD{)eKa)pc@g^<(_0lnbFg)A z53CU&K>?}$)@>ule24<{W0Ar}(Qn0gC8E;EES3@9+76>$H6Z%CBr)=Z(<|Vam5hkU z8FRVY`s&+;lGt%hoazU|j_u~y#oM*JaB1FN9i72?DLA-$1gJYE>prxHKwteQhM(Id zbFctR?E1wG$CW69{^VbFAFG&i0^D~KL;Y-a6Bs^26JX-H3waYMfv9jvPMp;kS;{rZ zC_-7B%^20e7t3+X|Lx+L?YnOna{*yv2>GhNiHxSZeqFeqhV$3h;l8&WXRAMi`r=?8 zXu|!xDYe ze-usNeH6~pXGDH-(|rwpDRR?~L}6Z#+e-@#E7$gje(jSIeryJCZUEW)U-kPmJ!PDe zA#rq9FUU0E&D{b$s3Dvt|V0!gXa; z3wHLe?zdY1V0cC6OP7dehW~UAR|P)ZCk&H)N8xwV)Ik&{*upVx&xe^3Dd+BHG+IXb z*kewX9c!K#>R)+^svO}5KB26$pbrKkqcgSm#c9sbaV-_A&g)RG%5D0|TKNFZPiYMb z8+N5(1BBI~xuF+GcYbRRZk!GJ4fp&LolI0i{TT~Kx?r?STsvs5;@fZKzg-(i&iB&~ zzCI}p2$_+-Ee_s#KtlBM!2{n*-sc2|`Gf317&T#$ey>;uH`72YvqBNb(J4&Bnyi9g zlKs9xQ_S-Lj~jRcx5Io#7@hm+h4U>8pPNLD-(Q}owByZhD|2F@?2U%(a&)Q6-1-0! z!0rRazIv$Xj{!#?16Do;@aGp%c3bUbXVN2IY1uD8(<3{@HuAM<7B~j59(U9{Zhc;( zzO4ie-Pso@ow__fU!UI)c*>MF-sV){E+#4r4PX12-ZGwme{Wk;(Xmv-yq;mbnq{ph zaU{3^xqm#XT6=h&ZERC?3~=w3yUeLhyXjBeRv<|jdVgN5ccp61@&N>uoyCl$D+`M` z?G7nQ>j^2kQQHZ-;h?&PFvSn2LsVLpFmA1_b4ez!H!vLNtsHqS2G&M+>i8q?uwZOY zeSZbAYVf`Q+OEo_DWH{!S*6LgoO#bGU|W;+kyupHztyE=^=j`&&=9Zo9j^SENpHEJ zK(?${MbEJuhm>wmyg?t@u49IxQq6$^DLzv9^eg$MG^!mP%#>{MJgHub_!KzuypZUR zEhVyE>*bTsWBA%EWs{PYvwM<9qYBkTIDM8=e#}Rbj~NOXTFb9X{7d3dx;R=sM52?- z%RH`VC-hIQtwNjQYsVH&N@mS`Yx z*YZD*y+1kN8~^kk7epL$8>hG(sVI*5CCq8QY{%AH>AZ+~jutohyog1P78#|y2pG;bet9pr6v;he(&-k964J)e8@ux*jI>)2-rsoiip@BO^%@DY>BmDwbSQsv6^lI z-y;W8WCGjBU&$TI_pZfCiK`N9#aei1y3W+P*ptQqV@1twN_)src=@QUCusfs0qafd!bheGG1z&yOn?M z@8O6#sT7s{P2d}Y#mbmi|7z?cDoKr_=HwtMDa}16#XTAMr^46423UOOV=0!Z@CGNZ zZS*uXf0Cm`kEyx8*FS&GgWB(VjN8^gp>>eh6vgO6L^URx2| zlIo0(!{_X<@s1kDkpp?@TCtDrr;IIhU(xhlTSZrb0+J{_OP*H$JJ6EUI-#cX$j9!v z;;`ZXv?Mlnitz1y_m@Q$(zgPE^f2(^ef2ex_f2ey^CX`%ZC7R_HG|ks0tFJBe z05-$zNcHynu|~LgX19BTgC}*(eHa;U+P`)8m~`r3v;c@ld}JbJa9;%z_7%O1&GghD zVTda(;=G)U9q|1oEBZLC03bx(LKNK17{_S=FrjlESS<>`A7zXIAx_{{R0Om^=AhjH zLLD^D4~;EolUCHc&i4)cujmYMpqb>1Tl2DON6v#j%B6A7OPuOGcX0czr2-}FPAcv^ z*?ePmfb?|bqquv64MH(w*(&w1+bziT?Td`e9LPb5 z3p#sA$bp)C5`uHnk#x0K0M}#mNqfeE?&G$j*G6*G!42x3*Nuc+R{lWD@ zJbrUM&b$p1;5o2lond}>C6$X7SJ6|{%&xt7QUkLR#<^(4?F?$f7hRM+TyPkN=Aiki zutoWN>_WQqC@f`&lKPj8K%l}@!2R`w__h8un8 z#rJXmzK8FPa`vV+)=LEHD4}F=;wUzLr&vEWRPM9 zk+t{6e^(9C{&I!v&GkjNtM_fd*2W3?B)(Q-y6jkO_xQK{{XK+I537#sJ`rNK%pooH z#P|z(I(8Eo<9o!Ckpi;BGtQtSFv)wFe@X)@2jxfFB9sQb}cOk|ORXJB9Qd*Hg&Ea7~> zU;3T{-$&(UTE=3+G;D#}p}lYh;0W@9#E=lCV2dHA!>K`%NE)@Vgn^2>B!dqMXzHqw zWwcaly$&B>1iG~^ML4524by(qLW!Nb^VNaabXy?dZ1xg@OPyhD#V?JDlihE%I^9zg z=X->d1iGS-S~mY}^!EqLXX3w8y+072TYwr*%N8{xCmo5=^#dx6QKI zAkCyCKQ{heH*~m)180fCC`pSsMk#rV9iRKe=UW{6MXoFy__Psu_WE$1{(sB^Eqpya-}c&vUUy{DTQN>=oYqJX27F?GwSPEI68~n{W#prgeGTD%M2~to ze$lu#oD-%FOY0P*h1o=bmC=GZ5{3m`XD%r^tcF=HUx*?ICt%DwnMvf6f_Iw04oU1{ zLa?@qF#SE`SRIfI0Mp>>w|*>Q_1o%i8<-TGJKcEWfwk8>n_Ha3-=R=`eAdMu757pF zRWYN3NTWHjF7|JZCYtNk55^i`)f>oe9j&%Gt}#x9Zj6OsR!t>g9Y#ILJ1NeI;%vhS zBR5Oc^wQNaBhNlvS0P%-NxEwPCz;=Eqgz!U>+jR|lq~!~NveIS;&;7LeDAcAS}p?g z75!(b`f)*bLR=IdM5Vw4g5acpat4r)A{xo)vN3X%WmxIz`Btk$QN`jk^AQ$o6Pq+2p{ECwS*NJb|nM#Pf zHooSi3D-=NxoZy6{FR#MSjh`TEaBgELxY;^6vD${<@}LxfGR4I`8F(T{PnjBWvski z_V9^9iE&ev67$6g%q+MREA$*9?Mz6tZx^Qz6Ju|>}o$G9d15sM}*#d{jLL`L$7Vmvq%~b~E`>WMTXi_GE znOGfZAfshX2+D!tg~NyNgqMqP+HzxFIznotwZoBo-821$B!QgrQK3S8_qnjTYi0t( zWVB&1wwZkQO8+TQ{3Ucm=}nMR>}k;JL|g}XD1i^izl8vjqWzd(+@x0eedCk zJ(=`Zxr^((eFZxD#~NPBqI}m^VIib~{&TWb&WJf#Ga>L059`?an(a@)^Ln^dz2jjd zb645|cdfep7C~0y5jvQmDO8LwP#ld<`IovR^r?&Su|72>>9slpPWJEgE`L-KDx^MH z{Y*Ee*R}&DOjQEvq<*fJ{rM> zOU{@r5|`UBzYRJ5W=F2-0Q%}Q3|tU|e=3vR`NzcP5>zt*_H|k#7=g%WtF7~eew1Hx(DQTU*fwHW3`gh_64+nxA#K# zs7{WU506eED`D`3%1^uQ1TbyTE9b}lIlDVwoh<}B!4OwZ;-27nT#Q)3bGv^mdl21-cjt>ubT}d)Uu?-qLQXx+b?MX9WpcbILvZ6Nu*Y z%vM}zEM?Ky4vRcP+^cEJ{XFM-B$R0XLvYu&&6W@60BkdJbTbR~mz7p!2;t-QHk&z< z8ianGd0-lH=u^~Dvv9xN$zS1JAEDE-F6%h#Jb!2c%G-J>%DgGv2JKyl z*#9=M3#56wA!ovlvqgDr_Sw&o)S@--8R`OvD9^sf7S}98s3o~USIz5bZ z$?~yRe;%_sV5#m+z+3PeJ{;pN@TtYgdb5yOzIX3NnfgQf-9+p?97(;MSEoPt1TzKL z6I(k~7Z{Mx{X5+g00XK{CLZ}y&kQ@&k>9`Tk~HTbgvNrU-wKJL{|oEA=zE?TtL0}J zSwcFc+*>V#rG=Z|?Te@BFmA8l*OY)Vm>=4Eo09ZC%2jL2Rcp%GggldrIYuaMK(#?XgfiT?S@bFK3}Y2I9sh1o4!2-D@_nWwI8 zKTzdPmKdwthYktGG7aqVqF_^Q-_L;0mXyXA=vvn|q*^uyo}wPG-DTp=iRaA~!x2J3 zute_e)hM6d>cIIWL;6H}CA81rtK6s6y zn8t3NX||O(BVC!dh@K>HA5@-F9}27X1_+*dhxhG8*r|;0YV2zF;YBV$PR*w5!I7&KaOP59-f(d7fSp|N3aeFg-!`3%91^m;3 z_OQ;nlK6Awtc94jKR3%^MsD8z-{${E?&4dWuE}Re>nixmWTO zW?$<*a&}AqTW=3Qd7lA;$b4fx4^a71fY8rBS$@>CvGEJh8|0%=OjFCnaXTN=3_ij- z+2H&#bE-*_!ih0=VoiJT2%RRgrEpj+oZc2lMM=&^%8*oBIN4W9;UHK#iL$iQI=p3R z_qVfWZNGD1Es(;QVuWF`l1{e9=LXY;>KcS5P5&3U+z8@b2&10^me7TN5k2ld9lqwU zk@yHbuI2&5_y{ttW?TbcqS8Om#%HdnpI9t@*SfDU<|fayw39z3oyT&LBhU1D_Xl}r z>;Cj;_n5qbO_IHfrGrisyHUL32$gWEN9#6unBsvG=a6*%(J%%tYu1DH>K70=O{PV_LN2g%CPQv3C{$yB-%I{8)K8NFQv zO|Y1OUg4~nIt!$N3^Of}%SmV{ER^|GImo<*_YL*R+;xhZVnvEfZ3jOLqWeTatAihm ziS~i0s1trhBi8?%%GGiLy%>8J1k$_QFN|+x<~5}Y`n*_SA8Pb9+xBVT_<8m3VqHl&$N`nD zV?98?vaQPs$Kdj2$VFPz_%OH2xWvj8Q!Pm&Q`yq|V&@AS@yOcE&I$j;4G_-d^i|q9 znS3M~SxfY#8K1KTch=+dZG=`U;CLAme~(DNcevZ{2->VCJGAC=8n|1xgZuoe(Lo3+ zQ~Gvtp~d|kU*1YKKx`2-_1aE%=DtL93(EA7%ORF-Kzx`2I^!n|jP+&R=O$^R2`9m# z1%lpmpglTSI&DdS=_wpuz8HKOPg0_S*jwJ9fUi+N#5DnGmjJ5q31|6Q*X}tGs>l`H z@X^o?Af6W_90vu7xS&EbrHUSP@fCRrAbIN(1~7Fi&NkEBNeW>1yE_$r_mnJ<*{#Zb z%kg`Ix!avt)DW#cd^wk{N%EZ?v83IzxZQ=%>4lG-T*7)1Yxf<@-7&;VBE4t*t z`Fgp9KI<--(*P-9q0gQ$*&6~CJ&M^jj79!3z@o&4k-NzhjMc#+O(u6iBb@Oo=*y&X z)t?#NSxwG2&iOx@$`;( zd|WYCG7X!((p7|caNI_YTFb25;TU31IPKYJH`+`ZBnW|K-Y#-Ko@T;Mkm`#R6?xM>6dExo*^n*nwM)i3! zJDL{C+&a`gW(6JCY6q60z!aOAXM%!ZAr0*O2Du_8qSmiEMxK04mGo;q2WNB2LTK)!4lYkf7RgWh z;1MS^x5yC>Ushw$tMoiRBQM9z$enC zOV}%Qgf`0CSp>P0(UEQvny+Cg{bPIR7MhhwCmoxnOxNBzr6VsQ&WErUwO!Bib%H2` z^aA#%WM?J`v%+DK#0{nAw=(pUgoFX?!ED+26!M?^bnE zY(s-b-D%E;#s;|GN_FV7Z}!HHv*1l_!$@7ZGQk}2ly>rShgpg*1(Q`GnPY{}6%|PB zfW?0aV-2fPzd4=g_t`{UPS<+hV}T5697E8GUI%k6Q(E7P9O_P#r20aUnH25zk?(WF zt;g{+Em|Mj@zYyWq|=CSs7C{G@Xi#OE@mq9(`&xpOM;(8?^$Kf$=kS@gWWGwQvR40 z$}QMah1xT>DFmkTGIcup-Q^jJ_8*n1&RV0W-I3N96ai4Ap7Nf-AiY8}w+wgJi7>CJ z{n@Wlw<`bes+Ur9o@DCEI-;Ot{w5E~OIWt+A19^AYtks9!htS$*L{0x1>PiPOn9Eefvwy$_dY5F731QFqe&d7@eUlk|WuG}v7JEpW< z?&hpuT((a8fQSznbDyL;rT1@GkJ=xf4}C4U=T6!Ww&*BoZp32R58EfU*jqKCbzaqI zZtZQOxAq^mwmmTuIqwlGwZGH!$DV6(`3}pEv;YN!pA)fONtt2X{8S9 zl}QYzGSf?PzxHUMeh%Qh;qr1?w?C<0*xZ?dTj-t>-IIvhA<(mxagV^xy+NG$+3Hsw zj{i&xm#^lkj*>^l)&5dRs(2^rkpI zVnDR0W5M;XI}|+{Mzry?n-5&^SiRBHZGB4GZz$#YOjP=Cnc(v9<*>l1_}Gn|FDB5- zMJG)vGV67!Wqp!?>b1Q6r_g$+8zAR0hpuMozYaBPPR`T)HAzoP4s{M4(Gs4ktOdD@ zc23jjqe6|gLXD<^edCu+AI{$IA3s%>)>JR~elJy=mwxkM*8Csa-wWjSzsra&<9n%` zTVY}KarXYJPx9<%#j^Sgbxy7d3-6D%%~x}}N1{L}-F}Uth~ZZ%hbXo&ox+Jb#~9|v zKuKJE!KghO&e79wjtva+KBfFrp*WL=@Ry>sk)P{1490<4x0^a_jpc=R5-Y};nm}>e z2Gn0BoTJO!Ng0~|wpK1%IrUx70ES%WA0=`#fGW6qoNSPlz@xMqap@>rz#~v+b^|4H zBNfk7)Th0~#y43j3h#n4dp&_40WTOq-TXyrevCn(cr_p5UzWhTkC;y?jK zq_yP}y}oQ~%jbF%AKs-&IRBGcN0Vtz=Mcw2#;p0V}gxy#8Papp83oU)be8+xsn$|E~L z@22lS)>+cmpu6>D>}~a;u*J1|p6I{v_L}c+6iel?sQgFS++-PD8g>4FfKa2XcSYsF`&S8=A9eAK*!@9&qF$v+ArMi zf=#B-v)pC~mq#9Vj+vp0j(m3hDp!0o?_z>gu3Yf>K*7T3Y05h7H|~~8<3HuzO-Hpw zCGWc@J-YLGGi)rQ;o`y}Mk0MUe%yUp+9?Jbom_2$um3(ewj)d zol_HH5jBYQXy~3=`7BtWDokR+VJE@FHBjcLA)tiH9w*E#F*XHDP7B>9<_JmNYEr)S>i5?H)G0{bYxXe97mFzVWXJ12ECF;jQmP|ld$}@HV>$AECJ7~nQfE}6{Q?56>KH`Nd*LAlqDOo+YQp3bmapElu9i3KUu?qW!RWH7?09!}xH5J|un*@jTZL%De$=+r z%vE|ASbhK07PE|uaG>YYM_G`3Uhu1}=t%C@$^Ax_eX!6=8+)2>P1LXik73ItQ@-o5md3FtW`?FJX7!Z#=o zp~Q%SJW?qjkC3`>9$E8JV&yl(mA;IOc{xc*Mey}s1>B1ZjL|C#jK2Zhp{k%4t+>GB zOI-Y?Q2(Alui%7Ui%zH2s;N?zB^nwgCIt#6WMv8_pNmkUHlEb${i(xx4P`-QN`}FOZ!#f)gK7$FviWzkwG;L=G#^=fl?_U z=Tod7-7Qn{-$&Nbwk|A%eVuKCGuHf0jYLzD9$|0(`;ahct+^}7Y7!T4NlvZL#6?)wyE z&fZ4!>r_wjnwD2l3q@$Lhh$jO=h~X-*KkkKT~lfI7N8WH&5e*OqC$LQo1!Bd(TNm8 z>(G{ssVXSYoSiZdu`t)*l)=hnO%#rMoAkH7cs1-JMbG?zSJ8H8`t`gvYjWt%jY1t` zaD5Tb03T=kaREu@Idy!VK9g@Q(4TL#xYql{cClN!C-k4Q90S9BVS0Pg zC^~c(N8X+Nij5Bit@{Rhrb`WgbkE-?%I2?rPEwG4FNn{Uz1QdGAPo8G6(S8v{7a`} zvBX;w2Wtxj`+x#oO$?z|0%bM)KvJi;ZFDGX%TFr4Pen@Le~3K5CBg-!QEY60k~Ir% z$Cgmptn)e1f6a-Q)Jitxp&)r>zP5R!-&J+%wdL0@Mp5eV<&zelIXM+;3;W*8&F~D$ z3a;jpJl{2WYpwR8

    6VErps@&s;!I^SqTUlo&_*b1x${a%D_wCj;jku)vb5oB+a?0B? zr@K}U)~){G?X%X74%Yqol+j^g^+H>xCJdZk_*_r6*=u4SHom`FyS$tDCG}wafp+cp ztds5vY=k^m&uL@@lV87xQQe&I){P!o@rrzYEjgpvtpwNxL zWexrv_HgB=^epggi^p~?5AK9AR#*qlXOYTy=-BvkD0SkieLt;6us?cd;>9@+F9dH% zReC)5c7BHg6>LKMy%Y)dz#H%Fax@z1t3*eI?DU!UW6J&xE1ovn&aN|cY;!7mi$N=x zEo-X^Ii?ubDu^Cj`rN^p3JWhcT~znnV^%OdRtqBJ$CjC1RpjHjtaljZuf!08}~M_*`}mGin( zxs@=u^7}Lq*P4|mQSpXFr?gfD(j}-bsH_udVa{owh;VHjW|X0DLF_8zq40JbW~8A( zFLE8c1dInp9oz(rf_+0<<~bT(9k0+{;2?KRKIkF9Z;kIj?(+stWH$oG-&V zeehF*)Tj620_n{!*N1)Z1&ivkB$OTwsVCXRAKnPPJ=wsxO4>JJjB0viX>Jstt&rC* zUztB?NcDcot56`zj9!qXK1%{c{)l=KQuXBxD2d*y3VKmZKAEDgcvDRtvxhfiz2N{>x2X~v?BOBfuMd{6 z>|^+xC~P5Efqc=8pxb<4EAb3BH(omMuUPj)pg4-n4^1%?Q(K*3alFa$4&R<*T(ai8 zLwY%>A(;+$ynG}vJUk*7OgOh+j($ujRHAs_aDZ_MYqXsx}gAgUA z;Oo!#1K9eZF1u!n(TBk0zR~_%&PrRY^^my$SlW~g{eW1!>SEu~gbhN74Z@IEvv?~b z&z?IcV|x#^0GDdbQ87?9=3NO*v1|^oI!cnA`<0$+0>owu%V_p&u%K5ea-SYtIKvAE zK0%1<(4>$fK&cw}>wRKCm5+lCpsf{Qk;H~894OuQK$ZUhUE3~N`~Bjohib_9o1cS< zZfg3=6Prp5c#=N}?}aOzH2<7m+yP+;Rh(iyRS4kx;sd2`O!SMB)J=*@?fzQJ>RKpm zkj`{vRaWy{=4+ueYq^GVegAHfe8MlxzeD2j>WAdEg!wPc%r=Rt?W3wQn}_*jdXrQk z_(9>5;qlgU$M-(!i-qTo48r1fw7XM9S!tceQ{$nJKZSm^40pQR&2#($+S ztnVPLQ0@HbtxJ4uQI^W}rRq?okL=>K60PSwt+A4D!Qp<97I$L)o)H~i>LIBjX`~*jjGVgpX05|ygO*- zkBRxhjun+h%#2&(1x9DdN$Tcz1(#ob7Ikt|J%8HYd`JA;qH{U+el)L>Gv;|p;9l;+ z3@@?JftfMeeUy3htwnC9!JXzu2cVQCZ!NZ=;MTjOFKxSX5uKkHu4BvdmLX0~QV*C% zb2<%lHI`VPKY1IQpWMNK32>RdPH)}Er-Mt~hFO_ducOGZSJ2E%k zGM%|L2ALl^w|#7dGo8j3dUeUCwAU8PZGU-WAf2B3lBMz1Se+)3eAk`UwO#vBGy0e% zv*xp>)-X##jw&ZKPO;zba#y42v&<;`qYUGTOmd1Our0eBmUbZUi?A@td5sk#uRz0R z&*UAUf<=?TYWu}wtp@&tQK}{I@L?{}w zKF0lDoV{gO9Kp6OoFEZ2AxLn7+u#~31RsLC>i~nh!vuE-gux}q;Ob5+InmZ0Tdk(F^*vQA!>r&a!NE zs6VwU9aseY`vpvS8}J3-R)!G2zKrsU;f<=TDKdQiUClOQ$tvDvUgj=NE5zl51?-k`=rBG|PNZw#=I^pT zg$k&Y7I~G+AEfiz+`}G*@5dXPHyQSro0D&#InC5T%M{xYt>@N>-FskxZx{zR^&}_m z3Tlm%VXalQ*gBxp;o%v@maEJ7I4ZrHq*6RcmjVxGtD(i)2rJ6gs_a{a%NZ)2dlln( z>m;W6uuBs7WaXu1YHuWYV^)vU(2D6aEb!&AK3D(2|; zm&^3ltpo96wy$Jp0_2e$BT1bpLvvl|NaUWKs~Y>;-h3P*w3 zlurLhI{vgC+W)j3M_cNQQSt5m{llln;Kz3mQAn^*rqE$LDdtX3m2rCK#2D%N&R@(E z$MYWhO>q5bm9|DLm7;KgSC~0>7CpH7OyM9%xsg(u)v1};OAchlstXt^teUcK(fp$( z{RFEp$d^>7$2x7s!wC=*Gnzim%(Mf?Q}vZm;>S@ol#P;x;RcC?b=aR7)ZdgXda7Fs zGOA(J_YOci>^FY}jusVWF_wadlK68N_STxd0t&C2E(_F}Zrs5KQza#FoHOkclau$r ziNG9-E7CZf5cuqVBo~n;a+yWf()|#(T-ZJ=_5r7HJ0_=mORZk3FM7*wD?V;y|3iNL zh=pz=#xxPAIYn)D0LAkLO5M9j54x~cJx|IiN;!M{FXw3y~yWhm+WQ@d;p2wVuWQI$qPjDElFplY-zEUx6So}r)3Br*cu6P( zu-NtF$f~{NTKUK^U|H$RIWTtM*>%HQInRZvU3)#vPq&BtM7ROT3{qwfiG-X%@HU|@#b z=r+UlDWGs%!wuAB{-_)fFXYq|`;V>qXPi(qA$)trvHtX3)i@#2?5P4nv+bhCXI| zyV_$;;QDFSqn5MQ&RFY8<^BzMzLKs9u%cK6CY`hvh}h!o4&xUDVV zF(u{&dPI~$c<;k58dv?jLQ!57v){6{2s_pdqCxtb6Y(L;ty^~*;o0^gmea37P#&%{ zwH6`AUieg;j_TsjRz9$OV77Q^DXUwI{{tuB(z11MePA%Hm?HKfup&}^8n?eew3^_h z!@cs;gwA5NQWzIuFn}Z$7ErOsGIW<4zT1&g-<164XMi7gUFb%KW(bxcV}Zd`DV+}V zuVtGjPH$1G|hV=Ezcpu-F#vob^?m-a%hV_+19`(I%gLknzSB|1@ z?gZFm>b-OikFI(p9hFE@yl?N6?YD&8p8Vvfgxm=G;J@*~hO|oL0L$Fs^dA`8b~SuA zE0H=Xksd0MmMW1HtWeF*TJPvgn#%8RjQC!%;yq@@2qf@eL5g=m8K)`Z-hJDrzCM~5 z>_^Oc(BmB%6u+)*g-E>FU1_CA>4Zz_XTJiU1Pn*`X^$Ys!OfgUk9RKq2Tsv&*X)ml zJ#xrXx11DileJm!BbrbFER7!Wx^+7VG?HVR=we#p3Td<{a%&0 zEo5!IE4&9+ZLj(t=l1nN-Vg54zfVL*-k`W$Gw^0m;`P)$vd{CuB^`Kig z>fp7iR-YXD+mV|To%Z(U;9ok{o1l~-;2d9CE>T}ApMXor4d0&D8L|4C9~N{s3Qt7e zAN!DuPmL7cNS^!@cISok=VNfaee!~MHucpSb5LY$c4J@7)J_=mdo)DY z&*bI)az()jJzlQuJ=SgM1_?A)C&YGi)NXWXIzh4@*^|`WB7n#*cLK6KTQBljyEWP~ zABl41!O9ly4d91k^}?_HxSr7y9R^%y-rSlFy92~~fBc+747O8_G{r|$noHMG@(~JO zl?3YSvi6V-XIx@F$RvKcO=c5u{9+pXm^1k9S@)x8rqJ1?L#_X7@e$eV!~DZ?DyfjJ z9O?U`Ir^^g?~uLMDy^xJ zQA;j&G-0c9xYsoK(E}Q@t%AB2a%e{;Og%`@71#^Zy2E`ZO!r&-Y~8IzBOYfaB0jvx zRMei~0Hf{pa)-6qdfWYO+Y~lWAB5~ZS#iG|_tOC(%b0%Z9nA%nI~u)}RW2ie>(`Wo z(Ugc=PgQ4-d6-ZDjifk8UQ^&p%O|U`gJUDMasNYZ>2CFeRIZva{jPWCB2~=)Kz;CUfkWlQnRXe@S(vtW%Ac>8!NR+JXtJm zv&o&_cJwl4ZoMy|foF<2S8b&;4r{UjRwbL08Lm;BB9{Y=0}+iPR(G{Vu25C}X@Q?K zRXNh(tL@0_+FE+zl~}q6ZGD2AiBZdjiN|y4L+elX;DR@^&cv{20wd&wl8}W)ravWH zYhm}cuF56f^go*V)I*c{7J);?)-aRI9u_5jlg6p|)Ou|0>G(5!M_TIZ&vE)B;RsRV zd-TdfjjMu*jd#Y&az5&bJ60$fgi2ie(zQQqT;@ld+}wY=aleL4QH7{k`LRkkKg_;e zK%+-qp%-zHw)lBBy0fOF<`ug+B1vGF9|dEI+fry_Bpwkmo)38y+l^{%I7B1!z@1o_ zM93sQpcggc7cRC(h*C?U;To0QCQ@M9?LIo_GCr709v2wRk(IA+0~GiQvEl zrxad1L)nGQuM#TNT^N0sP4Ap$*IS86uO?2+J?bY3XCTQ9mJ7KuV~4jKGoNyFKQu`G z9pHmcE?}f~6~Pu~>t*D7D5q9atF7EjMSbD3(fw{Vo2iNc0ijz5dqY#HfbboR^LE!6 zrdD+H&OrP$duHU9d+%sfpHrWe^$ zNwv!}mA)`_DqiNAQJtY>n^6sN2EBdR?0;MCe_QE)Tl-8XRsOe){sa~Nrx-udUoHsi z{NM%{gLIQ^nnc;e-&@j!OGEFx zYOa@>$*+rLUbk|KT)ApW-&9N^K{A5U6hrTO-IQJ^<`~IC*S1pMe7orrpr~Pc^nAI* z9G9zd?B1v6{42+I;^MA_hxy4TRZ;_xkhUE-u=wREX()cKGl_YzKA?^U_4`?a2q$z? z+R*bPytFD{V#7Ge9T%f>Wb)!yN=P}RYaPJf$&CLSc+g(F#pI~rUnFc);MiW)|D>m> z=u73O-d@{sS9-8~AGJT|KcH};SQ{HvYKMeMZn@)MRCYZ@6x49Z2CQ=IR8u09j)h;49il zxtZN(@V@Pc-LWM5;H0o@MN&?ZYSOzQ1r_=2_j>zM5#F5Z(<&K%@Zv{H{~jmrq9~@y z2Yv55kNI%J&gR0*erJhPktq+bmE5)G1Sn_UnV08s!PnQA9Qt?nF+DZ53~ zEykMr8qi3Y{KB)`6Y!L4gRX3w>d!m<+mZS>S8JC3K5cPnjoq`BDX>1;r-q^vQcq(} zPO~%hw4x=~9O=IyYj3B`GiU@3`^X3M_y^`S%m03f$44d-ta2Ez&wIa}!d@!Tvq{w5 zMf{p`9x^dDxyUS|VkNlncA}ppO5CEDq*^sqvrwf>+axOI)*8-NmWf&XsV|L5ymyiR@BUvC$Qw z*t32rP-$}f8<$4N!N76z{S!;GUehQ!=w@=KV=-G!V}A3DnL1Tyl?R|yKgDR7yye8K zj;r2QsWq-Qfm9h@5|-ABJhnJyMgxK(8%uycH1ttI{@OCZ!!=2m3=X9 zmeNZ$9BRvGVVhI~rWfc=Cm19OaSk-=hp}=f9jS}>^bi{vNB@l<9IlD>TH`D&favU7^3;B>jc3bRcyGBg9c+(;HHba7I$Ks~KLjX+fp=Q4zir-*#}&qG zJLFw#-e-e1a)TdL;fs`Hl~1VN*dkud{sFx11?=q*PA&)NmXKhuSiwx}ZkOgmD zXpi{_s|$R>)LV~qn=6|-A&D#Ez0AFOd&yHr3O1|z@+F=MutW{~n|l3&g6Wb^3ue-H zc6F6A^EZX`8%++^Ay%!dXViy#5B-p#IFcp6eeL{qrO)>;KeuhVi?_}%acWVq9^~fw z?n;@TrbqOXJw~p?AD3S^b{;f%IU~Lcy_K^*H#mA*Z6>?J_4jdQ{zVZtKJ_}n?0u}U zdEO$Icy;}ZVDt||rwS6SD;t{tF+!IoX=j8ztFY-;S{CVyF~CJNP6mN#t5)@n9Ma8? zry~cfvbs-UcYe)M8W$52dnJ#gOOxpdSvfCIb!z4~Xi zUdtV129Cz*{Yu#VWU~2}E$WK$F^QVvE$8|Dhqe{BuaAR=n}9(T(-O;mA8T>gn34uJ zO|qNi-2ju>W7yTrtgN`|9!@jFU5~j(^bpNSCJ^^huYTsW#RTN&0k+rLaAnHG>Ey*L zq+Z~U^9f^7b@e_M;$>9Uum_o3)~olfVeoG>u~tKOS<>f|C)LvszGOf`SWZokJ3fM2^YPPk&4d=J)RwO-NSW6rBd5 zU*&S$nXAqHsZ$H`aI2dM^6=3oXgT7=b=G})s`xRsa1KqX9oV?KAX`8g*wif8q5R7u zI+MG}VA@)Z!Y)&2T(nbR{%gnH^4AWV^{<^%n_tD`#iXKyd6(lcj?V3{y4Ce5^{|Kv z^N*k@mi_{RSd|W19VOHwUULIoaR8H_s8Lauvj+F!T(9X2{mLk9$2%dp+B72olU^a# z=7gcobicIrWlMg5^N!}@&p?6c_S1{v?P|;EGN+kSg~EM6GMJ*R`XtS+smgQm^q?j> z-im@bOk5W?qDj&e$D*86(<=4ctQ>a>G}+GPIKLmLX%=r+wKyKf=9XSX8nm!+6*Utj zRGM0%gVpk*>($7HW#QYt;?v}P(FuzJ+FZxY9MN3WDe1qj4tzyhv_s)bb(sSlp`bzA$!eEmw4 zr_LWLguDev97jsGY)G&4Dni-Id)6LZ_nCta@dQY$qe<>-Bxm9n$6p@%Usg;i>-iR- z=4{%R#Y=nCSHxR*)R)I=dEAh1{GliO99dH0M5Lp0Wh?Oe0;@!AOH;Q<6W^OCsrB%x z7TJKl6wowR^gxFi{fE!Km#5VxBXRzT zqkV(^>L%3K>{|M&mp05KRs!QgdSQ+$lbKM7lBKx;8fR%0LG5!f`_0qCr{C2;BPo8i z=GtHT;tvf>YwpgV^~R&p?XxxG{nW&A7n5#CBEE;INmA;}&y-2_nVdO-QkmPy8bU9` z8H+lup=npEr0SD-?i>5-;mn@L;J<0kDdtrk&4Pl~K@C?}_jXIIf{szHnV%`ypw#GT zL_5S|&6pyNkj{70vwb9_VXxMTPOydv_|}T-u!jkh))c(ejQ}Z}hwT?b;r@-g1qdhU68v31uZu58>%)NxJmBD-VU(d=G-H&hAgVO|9MMrW!X68gX8v({Nj{4yS^5u-K@jdJ`x=!C${hi2o^abXNV$$B>!|9k!Tx zz32?lPZdZ3QHCrJY?GNgt~B?XloVvR0Jurs!R(Fn^#mN}ikI)5yz za&vLhO6s(6@;-(D6oij_HZA;$1r5&K#R5~Iapt^nvD}E`5$uDD;9GS8%|Cu3(-qNu zntY#?Fll(E-*-PwzYgEGcilS6wAj*To4Y+5T(Y5C=)MlW$FH>xDMZq?nJ>rTo;xXjhNP2t)<{*2FhGm2p#yWQ>9_GHcV@EdNJ{NvA2(|nn<37l`6F3fL z>G1?>#EWPK!r}&5pU_mfRI}94M?aWHmbYHGQC|`UKaA6H_mVb}T7ZKOCg=ovJb9~R zoGtTc%8q)x1h+uBX%}C9tdlv7_MIe)rVdN90Nufn8Mis}M3B^E7eVeL&$L5r=2+d5 zxdN@5Az!*RultGmy{Ilb5V}@X1N>%dt**M^4Yi!KWQa%Fqw~$?z1?Rojqt9P z!^qdXqSv5$b*GBW(%+WyrI3~G7wA+1yT4%|#$rP-v2?QfeD`?RezSwr<(scEBbZ1@ zX?$-u1WMnT4Fu+FzebQ5aeg(Qld&VQjBpT;YY%s$dUguX#ODsDx+qKR{uxH`hzRc z2MCR^+EQvEx>09jTAVEgQ< zEmsmlNhQFL5k)CzkrbZ7qYIC*H6vI2NVPU9N@*RT`t)ns3~cZM){=FV#Lt8_Q>Uf? zcmp_i@NHEpzHkwiEWq{8HvcCqzRki7%$5|E48`{UPe5rF?Nyf_f)mwv5N!>_NZB+1n>i`Y7T>u0YyS0^MYL_u8Zx(Yjr{xxvP} zs77T}!XVd0nC2)CBO!W_Isdl?m5E=s}XgNFmswKmIR(qy63} z0)ok=eE(OVRRDy?nElRrE-Nz8Y!+apFBR@AuQpPz0CY&eS|Cmu6s-cRu!HY4*u2ltZ^Q zrh89q-1PydX(>sh}jcU@(eg#yiq8!Mh7DMS3^G>E|!A+b%f}_fq z?z^Jw6B7x69>T&ulj`diI=s9rRYu@o(t^gPIjRSX%5xu8f4()zF9LyY~I`$ zE~WhSy$-e@1TR3#APG$zi+hjbBAn8Cx?7Z6O!3|Kr6aS7Tr5ZekUxyxPGl48{>%0% zw0(&ID6hb|aiV886V~npyRwiJHlt^7OoSZJv z*kaP^ca8DJGm_7DN-aJ;vX%I_D=k$-Exy#ovzQ#sA$TuMU?UgPz3?;pWKD0})mU0) zty*|bKJPgALx4m+Eq{d=T*ub+6J4v8#nx4FfV8)Z1N#d*CX+?6Y?5+XC}UjN^AzQY zMc1K{Ff!`%zvAyq3y|${H22bkQ}S_VuQy#Lh4Zmz)w6$hlOuEC(v_7<`b3DKSTZWk z5{Ug&fVNN5259F66f2-K$fIgyVLNBwiiVgA$L9SD#HA)#^RdOHIGz;Xm!TP30sNX* zs7>Q`K?vYhb0egacdevFu>p#$4VBV1^-ZJ4$*;AHLdC3YQ?(qn3pxu!fla>oorN&q zqWIF0ZMx`VFrGAwtW$KIN|o*nYqamX0k{blWh38j=G^VppVr8F$FvmAfw#w;n?1r?F1g|Ie%T2J>^ z(+EE8$%<{qrq%41HM^PFlT@xyc)$n;YbE{psy%j{H4BOOcKk*yWCj)LYNZ%5BMfy7 z4(mjxJra0>QiR1E2W5gk8eQL!}ngEKcR?!GH7SV_{g}AO-)xQ@Cke5{f$2I0YwJN9! z{z_RgJOS_>!wVxl_c;vx5q}OteG%|5^jF^(rznbW^fAAiG#86I7`RAAZ|9fS=|{Qg z_gZRP6Vl_y2If!riHj}EK|-O#M3HYGsoQv=(S%ai$5u^89EKl6obXNJ=;tpspDSWAbUw zVq~sILW!zqTE(K}?-yNDphk&eQ*ez9Xv~W}l?-gMFk`HUQr_Fq)7@O(>LIQOQtjUW%>(zSA}#zYC~+k$H^!1$!AG zxZS9BAOev!6{s*H$cdTJ-9ZiJw~YCB$mnZ-sqd+-M5T+YvW}xhrMslE9^nsc0xN`Y zOVXd1e1ow(2mQB%@!0_iSX@j}s9BVj+A+A|Qs&x{W4T|*s)3K8wWRK?@@oe{v`r82 zJdkD{Uy5Kadk42KU@ExYeL^Wk(^S;OS6+t-Q~eu+E_#REIzsC>KZoF2qr(w?FUrI3 zMXLXwvaFaxT6g>#s)lqjcvaEcl@7xi$pALND^t}^n?DxRo{FNoy7f*>00Kp@lVkaa z*H9ooHYWxAdcwsG;l{Y(B=BiZpL8|qInRuOKTRa-GGIyZBjm&-$&l`O{gXq`5~w+PnTP9NWY&aG*x7?LUUwG#%{$rn*Ku_C zB0%+eNpx)}fz~se0;o-5jpQ{!%$*8sYR~H|Ol&FfnbCr}Hml^@iKL-J2@B0UhdAm z&|T(&2Y#?rm_x5c3ax^&XeFLPW?o%@!Whx?@p9RTcl}PaV{|8?iThh!O3sQlhFW+Y z@;jfwz7QK(|D1tOA@fCeT%72dOfeDVRwP~kpDre85Q>ZEhWec&fnZFXOK#;=bZ0YP zb1>_(g7;M%>#78}*hp??^mib$Zf-NGZbNMCAAiJN1_rlly;X263&z?`dJV6(%KT;8 z&c~I>cNBSQYbBewLfRwfQMk=LJq6O;FtM$b73vhk*2JeW1L4LE8pH`^bn=jy-#aeo z(VZ5n=M16nqLZi6MO1+UjFvp}QYH5P<84*6ix{5;HUm^bk|n9UMXZ$Ta?eZaa+TL1 zFz!DJ8CX$N(7G`YQV%iAi0|{O@q4i|;i>Ccx}g}Yt3Mm%*(Y||_#J@CV+Vag8*n!V@Wr^E7k2K21DkU4JCkvs zu2rF(6x!I!MZxXKI0~+nslqF57jul<4&91(7XP?QJgU*z=4W&N zIaC2t>8YyhxHplIKrZiQdiD|!#p7d3{(Nxb6iC5n+<0_M0L0x~oSxY(=y~@`fDvGR z9_a91liCddFO;O?aj4w=skZ>J-bkaLr(dorWvPH4>Z^+m$v5$6hH+|C1Jo7+4L^K* zyR?UMssi;)I@m*Hf!M@JW^!POdKobVTs{UhaSpei%=*OwTj3?g32Cokr_JJ@>)Oid{>u>WFf;I zk4=bH!TH&wx?2mM#=&OhL2Or^TW{sEbxVkEpL8QVWh7|A%Mu`6&v=9=h~$ZXypA|9 z^Ku2rlR@uEx=Zk2rR9NVUp11Ec&wC#AXD!>hiL1TnWRdG+{43$w?N8Oybv%r^>!hB z=IU-BJPnMefE;R8*lS*o!)BVU2Xt zn&9=#-d+yDqO*{-M+SpP57F25tC@w``z3pca%buSy)NY>%gdU}Y;|YyuGle@?s z9C7^F(tidtDbBkL#=%Go^%WSP|HuZDMGE>Bl9`LuCCH&r^blfrAcyT$45xShlbVHy zmP=RK8j?p3#e^Ge5E^armOh9z(u6w8VIQQ?&I>41H7Zs$e*JeUGNQXeGaQ=rce4C2 zSst>HI-OA(=G&tCx<%Fs>Gre2xl`ufJ<+k55N8LqM{m#gN4M5isI{$etq_D{xbgx^ zeg-E%QQ@@-{iL(8b(otXj#@>H>j z+EL#MLMCZ3Win{$zH6a)enJalv=sT6B>YSX!WP_xC;G2I`b?mG?_+Jy4(g|3pXOHF zTu~(cnW@QQY@(g1N%uiw27W?)ibn3Y53h*dU!QVasYbR9d4`+5N<3pt!^fWPaW&EB zC*4RC_K-02^j%%y?e=o$o4@s`zCg6tdp$jkSyW8=cY+lI$MB;Cj=q)GhIq+Fy>cuP z6<|=%1I7lXr9KMy@%%V!|Kx^;)<+ZRqUkSknz{PJ^F3N0-cOmgU7y@Cc_`(oa;vhc zKGtONJSYZFFbDh_s3TMl3-}F-WR&9hl+su~IRAWm0mdc)t?`~X{Z@Oa_sTP&{z7@a zL8O#AR96POxd2Y@{ufHJA0czE#%!K{t((d-FHZPoF!<|W#aG6ZuN;0~ITF5d6n}N} zZO1#dG+)AvHN>V2egBiT20z5`E{4{c+o{c23D24v2RU$R-hTmq9!aK_MR>yJ+o&Vw z2aeQnB$+Et;fXPsQOA%E*@-=K$zS*|63G~%iG#lVfc8d;Q1@_>%`8 z_ycEgNFImHXkMWMNyBbG&%k$3)OnIcSe2a5JOTbcv?+c@LO-klZr8BhI3P8Z`qXo!Os?+WyINMo;45W6QJP znp}^~W@JIKD>cYy{OaVoM8mJ3{9#!Vhfad*ukPY)|kNT>3 z29Nrh_~XUmW+XizqH#&v)p+dG%=mJm#c^|0$`*m4(!9O{dO2Nj{d1D7`tY0(_O84- zt@XLLBKvo-s{wH&K02{JaC_k6h<3*rsLHHl?Pk0-!J;hcoc1~I55dnnIx=`ZB+~?o zaEab@N33Uuj^DE*NWWx8FK{t@zr}lj)VtR%E$VKjJeh~s+CFZp%!BAsFYsQ%DRXI) zn#Ki~!Qd;ir?L}zgaKpyJ$v4AKA@@?I0sf-M34^AE)hG7i(XK()CaAPWXhk-DiS+EH zMe^VCAB6HNS3M6P4j1WV9l5m)i32-NA#Fht_*lUXQ>+Uo;Z53kMVT=B9SuCg^3~ zX;+gn+v)qra_GH$xWM>K$HCofB$0LQK<(X{Pg^R%Y*c|2rxQn?Ql(4Y{OZo?hRCas z?ZU`Shlqi{ckS=fb6kvVjdyzcqpK-QWA$rHHLF;cr>vLAMajcC3EC=hZ{mosse{4C$qp6;6M;xW&QBj<3nuT|V^@<&Jbw*-I-zI8!n3znbB_>wGUrZdVKRz7T7gzFj z5A0mbY_I`IR`^R~|KfQz>yX4RiTPGBP0NHq)tcYL&7pM=_XzYL_DDyn5tZ^T+cs?` z#4AoCecXb@;vfVLxEi#m^gwJk4i>*{_LFUeLX8q-D< zg9cASOf=Aq4kQ(=uc2Z5oG?B<+z7>aHl_v`=mIsI4C-P}W4$+jzN(<2c@^(Ts0{?$ zmWQ;3H2}OlmZByUit*gS(|%2o!+1}Hj4f?RB{r2R8n8j%^(G?6)5d+qsbCDinOJMu zCB=S3*;}(fx8F|utDi}1D`b9`;TH~A`y-khGyO(eMowsJ{EqiRkp*?|xAvE)-{07g z62O5k2J@?2arI?k(8?DM^nhgK1SRkg#bOWlaDo@R+uDX|$aQ&)UkgY~|7x zeIQCp-Fvb9bOSd|qPC2`Az*V1z!=r?0tl7YG&DO_AacN^q$_j9ExqRUV?-nqr@2X3(=; zn$80O?M$&B1HQycY=z!$7G~H!3CpwjZ8l1LT7C}9lnpnd>iiogKtM@GdPG@ix$gMh zK37@bXWJqju?w&#Rq^86D~DX=4o$y$rR+A-g!l@AX@Ws%4Rj0iEm+a#fb%__lR{+J zX5X(W8T{C32EXx^h}9OprN=MFkatAyJtdCO+tiR=BY{YMwqdjp`p&o|2|ZwVA4qEK zCOmJw(l_-t=cso95=-$dzcyszEjG6wS1|4dZdg`0VAIa{l70Q6Kxdi?dw4Whl{}K% z?nMX@WqB8Gn*ZU8b{Z`1rJdU$9DNb(+)BDV6JwWo{n!WweudAl(Qag;sh-{V?A=A# z-G62_mqoSI>&ZCS>5jT{(M6qK!noTIQJZ0c4DMNTMKDRrob4+yJ6tSX zy!hMr_zqcNTj6MIb!wOKirHHzl$NU9lp-0cm z&q09Go%I-ee75Q`o0CAQ?nuzWTF;s@M4(ykvf>WM97fT)JJdov{BU^jnK_Ea-K$xk zyY<7#qaC!`U=FwcK^S;y2L+v;Fc;nl9J)NwM|~ZtG}V0do)h2~oD?T4DidZ?lDl`M z=N_4FNv18Q1iSTi3&$}}8;JUPvHKpg5d}Ut`lM!un5+^XYtR}#RJO}cz%r17sz%O+ z?FX{&!D2F6gwOSzKHn8}mc6v`H3rhc7WYhgQ~l$gp$i(D5+OH-hrZ-Jxn*t0>DKMf ze{*SVpYFrW8vV%YX-r`qUlu1o_4vUa4UGDTcDO&O?8t@ zqBdnsC54x-^FfsCkWU?d>>G5O{0^M9SW8Zk2lkh4KKjaiW!bZe98w!tt+iEsRxh8?yaQ8 zN;fB(=?aB0gKsZKu=dlaTs`7eoeqCl`PMJUxF%J2)EV3AmzkEGAK@?}&L9lDs4W8+ zfPQJHy=7w7<-6Z-x(ecz_;pXVFq-Slvi+4FcGkY<)s%?BYp622WaB=`g4tkLeBW<5 zy^IOwGb8a=4HdW}drGf~`a`DmD|Y29vm$}!wy$5Q&O2q|27kj>s)>~3YGN}3#6!Fi z1=0jh=&bah&7`r;ui3d(n=&etL@nbVTzGpwWC@BZOHDNJEE2R za7NjN!9d_Z2U452)<%$*Up~xrEDqvKKl6-jIVz5PlI1HYzg6QYes8gR)Lz;%CmWakD z-mlPnU(5oRv*Gfm58gffq6!^fFuFf0=0tutfvDs-e4tZm2`SoJJB(T~x-zUR9{bTFF8EU6sVk*>86>T$itZ08MNrHr*J4lCPK(VB3S9>23te{h zccZu$vDM?d6I*P{>EcMa9oF|xcLwk;gyscgXQC#&hzzkCRnAK9n0ZrFi9g3Xtibf_ z==O}MTA4HishCXD%c1mH3;0mhngV|Eh}oWk3i|eRWkDc2!^UAq zAA%5hOnCA4KiQRuuK30z~rN^fa+lJ-=eaq@9D=md|Uv6}| zFs{xrL_~UD%aHfIuxMo{!aC2sMessg9XMn!Znk2y0F%c4SXGl3y1@t0<`|+Fw-#mZ}b=Dv=BdSrI%D|h5rZxUB}0*>1hT+!1&$^ zZ1**wYpa2;3vmH808}e2+}NKIq<_Ce?SgQM-;WETAMH=mJEUsPZl3ePbN z{o03`$X^24;XP60zf=0nf54w|G}PF>;HJZ$bo$xip4E5H&p&6Ds4Tf`MzwI!0Rk5* zLiL3UW6+D;IjVNYMhhfF3Q6F~WbLlPN`Zt|A;|@R;=DL6$3{bPxehN3fO_!{asr_K zucXrRGeljM%KZeE0iF1%%aE>kp;<`GHNgKX5kxW?=#II!w^_qCdB=iRxm0Xl{o3K? z)+tRE#s^s()-SV*q&oBTN+A>_eD7r=dfxng>`Gj8PD{#aGEQ^KK{@l>QziLKng!v%2zG1oxvZ%C@&f0p zplDeH<`b%HKg{!FwEE=fRqmGu?f|%oMK$Kqs*vy3U6OM zVs=-3>Bn;LP^+a=pS7e-r)vCu;JNsJSE_?WZZ$hTc@?kyXqmQ^)U)8pF6AT|pP3&W zwXN^QZpi@bGTBd-#NUZ%osVD83M@05Zo&!$dTaIpkgZuF_Kh;Y4>byt|? zE91CH`B%GIFkQ=!5SNKgzH>|PuMWM(bam+be^xym{J&S|LWMQP`hOLT^qsUo^y%hFGfOXxD(d4;IE^YC5G z9sb+@cl8{)ym^7JBja50q2-Tj*GbX_dQTgL9xcfNL+F4>W7~5xt|?Z488jjdUg=VV zHwC=#2Eq>-)ln{CDa%y}cZh8_#Zlem$L>6d;%$3tB-iddFS%}4FKnZBE^KkZ&bzJd zi(3|MSJQ{e%jD6ecl9oVtL}VFUY@CZG$JtLoS}+R2-f!qaL_a5Bh?|#CIIENzQe@| z-cZyX^^_zqd>A@e5no8{#Y!@5K`iD`8d8!{bb=thp>6m@;} z2|*~AIFhV3)RaK`)x+^u@3iB)d354;V@igIOd;_fMC|2{?@u0c{ASfTIy|uPF63<> zE2Q2;EeOi zrW5~3yMalh$x$cp`wl^1u;LpBbP-WjcrVqbqK_3VxYEfMAKODq2RYFUx)z>0uucr& zeOi}cvY6MI6NoK;&%ZN&7B~-+eRf@RYl}SYt67j7PbIzftloO5SM2ute^rCLt6$BB zwnZ;T4@ZkN7v8!{qGDHke)4|eswo?&v8=IdUaZ|L0mKJheu95}7W9(__T>Xz#I=ZS zaoozPPDW{?-J9Ely~1B-D&82%)*J|D&bi4gLH7$c3XsHI1EC)mWu(3CvzW#L`>!iV zE)g{_?|h1a9==R>c$4k@%Wd`=RPyQk^BV#1(%?l!(-IAYB{a^Ok|wb|YcxE3=`zXFg(R?Gc8TP<1TZ)^HNs-F@S(!JQ3k+}$C#1$PMU?hxGFAwUSh9fCU~!68V{VBv3a z?!E8a_s%!|G3H)1*XpXZtGlXNO1h<@Cmw@V7*v`y3azTZ)0&ZmHJFlmsc2z(ddwg_ z<_|R-QhMewt-5S_BOYJ+vNZgta-6GKZ&!an1H(^Z=ZU+>4Hk*#tsvuLBHdxd8NRbp_j6Le8F$U+QENlL0HDF}9K zJ#ytZMM%8G8EuO{|WRLRyE)T2kIy{MwM#4NYiy$ z?4t$rxf+T}J*YZ80<-neCBESK8uKcL$l*fs@AyzFNyg2^yP;y^`Op7Gty{TCpJr8I z9GQmruiz89mB^A%k7^-e8m2~lN_kK<_!?^)3%DagY51u1lZC8C^8Dkgk< zwUK*^!jC`NNT>2=!ayC# z2+%ox-+uqxn?AL!1oYthpZGs#&E*EjTJO|)vX5fIJf+VR!i-O&_Zz+M2>+64J+1vf zj7H|4fHyliLBP!_CXl|IKaV^o+Cyl3Q6GB&t@C1O&?|_k*naDMf&KgE96xWu zTn3EQ;8v$xVEo|!-z>AG&rK0PJn5s;nm4#6;N>@=V@U5L7{D10eM;tdV|0^Zxcefo z5jmAY{Z9En++2mMsya0tvLw|@gSn7c9~IKLgwl(S;78?}sBGcWl+ap%z|8p}D{a|O zVQjn}I8~QusyBo6VC3{Q>%nhfdOS${`1_L1jCg3Yxtk5^Yi8z)sc~pe3!2ZIlsgF& zMN(1QND`vJj(I9s@=7Pp2(q+|iOQ3(>hEr! zt`0LYrQ{4HtTQU$xqr82R!}A~h09#;LSrw+$-CX6YBGD_K?}_Nl*2n|!^0uP+E<16 z=d{E7q!Dpp6#Cx*YrSm(hmlmxYv!V{th7Wcg|YIMQu7c!wY8!pXdmost9aAC8#<0b ztC1x>N@=-TyG1n-KlrnhDn+`~>5yb#ktS_HOO?T8HQk5t)g+l@3ABEMNl+mbA`xbM+ zN(Q@#S|uh8%&xm2)?8g=H4=Mjnj8GXQOj>x+;4eVF8RZ6p%KqaxPDwa^lFT1bS4aB zcyDNuAcj0I)6_n%Cz>M0%)?X>uluxaC@ZmBX2;v+a$kATPm*tQ15E5+SI}&3vl7|d z=2UB3xuBG65ZK>wm@>_Dj>5g13eRh%(y@IsxNWIh9IXrK()2g@(Q=;cS@+00eZDtE zn5%d}8!Pqm{NlYP&Wv~O<2gHO>UZu=dEUD7gQabwaQ^tw55MXIeD#MaJu)tMlkR^$ z9J_knyZLo(O5c!<4Le|aq+cvaOn|htBkO6_+#pNIt0gl^qYW47*x^7>9!9lgj4F@=Fnp$`aMyp3+f@)tC!rCwO{vmYZ< ztT(`;T#g{B#bD`@*70(t@4)X0_`y$#JBeyxoz z#sd9}F=lvY3ILGGL^ETEIRL%h&k437OCU3O`Qs452#Y@ls^j5&+|=qXSLkS`3ylVzufl zxiIajx1O52vO8Nk1Szt%6}IXRn+z_oQ8yP0_d4j>;QxXy7>JV@ZFp*FUaLMi6{KJB zJ`ZrfCxYZ$a_$d!N%hrOS41)%$6hv79F%+$&6kOr$b9?84$NA*nEq;6 zB?5OELjZ9E^_8hK#j=p-4?3!Qq~Qe&BC;%r=3f(f52d(u4ygiKmkLjEyXLgb3h;9W|`L;SOILt{5?=$^xfv@EycugyLUzc<1nfScVEdp}X>- zUbXPlhvx93fLrTl;8%NXntntu+nrdwTk73)fPJPO&(v@TyDu~yL}VCc=SkoAtzv2=b0+lhL+ow1uLpv$0buaWFl)U1mr3(_+c=Na^F41iQ?~qaBJUvF_BKZtuqdc z(XsAE6;(Y@7RU!W3b8Ja8|D|2`m}(+kL%vgFBah@PI?B_pg7#;N4|jI^?=sTZ$kJ; z*{G=eCMO?=ndlY-I)av5_zrl$DHt%I!Csc9Ys^%B!&v~oe!@`@@us)K0MyfAVAhAB zKiW&972(#2e&kNEa4cTE8mirf)Znm4tlP%e=%5vX>rs;fYWB1_t`r=`3}kjR+$QQQJ_GH^>R26keK*rMI*N3;aLY8)Y*}`Eb6tU(;l|#FX;z6}d~b z-?rRFMltLb&whI0ynt`D#s4Z^Z_xJwFX%W6>8<2aZTkzYkU!Mw0};PIMyoB4>j#i4 z?==-6PTZwM>w;VRmpHeOhHesgJ5|CU_iK;Hb z){&<7Zt$9yf9IDP_;)a;1~R8EixOsPs)HvTK8#fiFDq18JPyM+Y3vzL!;{kgg<(xj z$*)3Uf5DhU?5pxbWfj{-!Ki-dPgIRvhVe*s{L?q)wY^ld0=pl-64zagQtrX*d5TRU zEy>Y28-5<3lbl5QfIpvTFvD^h2@1lzMe&hT28mUjGxW`pVGeKaDwB!89oshN50x(AHHc+36BP@EWN!T1%-M(}8UrZVhAh&mVE z&16-Oeu;A~2DE(;xmLLT7}M}d+hQwXkQ4!QEQeN|FIH_#iOcJLo^PX{c}DdWWGCES zgT@loaNH4z!3*EjmdG1%^MYU#-FmS(BC4@U--)S96?6_Ar}*HIYjh?@PTY}GVhM~J z6@P$Ib>IRXAA2wYECmA5O=;1^tBXRG5JJ$8_$Lb7fRf^uY<-BTKEl>nq-Ws6Q?p6l zhct|Us}=fJ7Fzi^T>DovBf8^TYS3-C19>oN1~LycSBwrYgT_bzK1U)mAM+09IiSdpk~S zmM^s3%z-rV{WpA9+tGYIHQ04Rg2|nZ5crN3{cQA}eJ%7$*P#3|ENGz|@;w-y4_~VJ zS%1YTW?qON(h$2CLP$c*CXp(t-j3s%Ig^9*evkM?*x4tonr*&ok%7NP(asJr{x7=T zv5S5mw#^P+;-Lzl=>0_Dg@mCic#DXk`yh1~O$1wMCg^-MfC2^6e)nA}EJ)waiL&n_ zByL#PDWT_+Um+;Mp^>p{xs1TchhI#FS~^Yhl1cwrFQ&gKQ#V0mW> zMK{*Pvc`fcczLP-_}dwKig)}b$Z#Z`f#)kILH1^mg!cvEX_h)g^XcjuGz?ZuW%Ca3( z@D85r^%8W|!LLY)eYF<*0x!E^Cs79<#2600fy6`w&yesyp^hS^T-KaGD%Nn}j|(b~ z`P6E~U}>|tpYZtRQH`yT9DdrmyTkqN@(5-NUo(cAP(G4XRoj`xJ zDjrX78_zTMYIg~N(g4KcLJljnD@TH+t>ur1Mw|9o2MYmwZokjcjlWr6BUOAh@@5_U z9R4QlJzm(F(Mn>MfpQi+w8bYck{z#;n2LOs?PZrx*Y(Ub(nFj~RV<^!=DaoJO6O}h zkkv}LhMK-HhZXI>uP==|OH$B%7-AXG*lrPQSQRv&AY3hU!fB0(TzM&9i8AXenrlZ#CmPogoFpdI1BhJ)+yk-)FTQ9eN))X?*AI#3ag&I-#k&=4V!0F2 zlU#;ZKdHh_X~14dd862Vo8w*5P2!#>w^5_}(A__%I}|6%M(k9f1s|i*kI(i2V|!Qq z60IN>Z@cN8Q1+Uy_tenOhlD58wz|5`zSG&^bfddtywB;5L+htwLtDS^=WdiPPa;2A z9TjD+-d#t2N^AoUcKGixrCq7LX{aXSh&F#j)@3@9o-_5DPg}IVm1%FYzs;P_^E!@P z?4(uUY(=+!lu?gs$*-wf^)}itwZM{zC14!SsAR08LrP}a)$);&G0ZJp5>{yN$})ZA z8aT9$+L^`fo5g;d#a^Gq-eGoAiP~}5V;*DN@1>f0?DWk||F+|mbbLXTy(hCUm0X=m zOV9cXDOs@X2{jA$9pWbnLjmF-i06TH`4+io7=rkO|A9JrqfPo_{or zaZ4@jR5(?9Ae>nG1kM7!zmt{+X}SYvUD3|i{q-3h*1Wf2$ZWZ^cQJY;>t4D0oCP%Y zQy1W>DJtCnn(5s?@6Ns?h?ZLq0JagN-#rOVTgK3D<|jJ=De|16 zWBi`|449Aq1R|}0w&H+D$xZ}5KqPuI|54^Vr+VZ~Ad38#k+S$ z75c*JiaWGZ3w-WON5leYZgC`ItO`1~Qo7E!5dPFv&7(Z+8b6oJN2M_nu)lTXYH5dc z5BVSBZ>H!`wN!UZ=H5J zC01wMQve~Memi@hmX1_HH>;lBMpGz!0%r$)0v&- zF&CK|bSCZP?DX1>GfTD1`KOiLi18~Yw3FMx67vnV#ia|e;1BM#c(s(ZdZGFqK=rfRfJ{=J8I8e2?9D(R0qFIKwH-@%bqbMb1d1@dRovC*tKB^ zx-F=Ah#=uxkFOx)X6j}&y9ZgOrG(Ywe9fdTX|e;N$sAO!KBtF8JcgcPqUXE?VuU3v z`wED;=&>^6aJSW|ChgK_%g(IH%E@F|5^;@OU{9?7zRW12&niRZlDyasDz(b>|AIY% zg`HLCg(#uMrQ~cCwJU7ZsO>_=MeTL+@tVa&_FOPwrE{EK#wD4P+S(ztlxhNHF{QYD z?0u48n}d%f54BhB`t_uP?D?AOu^JQohzn1vQbRG-ltiUp6gA-F-8Fq$%67ZkDy_6? z(jMx*$j|(}W&1p085v=d4kg~N?L@2L4!{pKKqaMwa|yK-*5Id|7^&m>pLSH>ur( zsg;Rpwnq5}pi~(CQO1E7kkSvaP%DkuGf8e$v}vnl-J2_A-R5{DHAlK~5{oTaVYRkG zCs~#MK zE`>5cQ6ju9@!ZyM7@V}W)4f74JtY05rO72R3RE*<=|Jj;O&GcohRv8TO_v|_m%zQ; zn1-*!q_Fk(K?U(46qtptMt?;isf?I24TY9(b2tPN`36j`x=snan8 z@CuVyi=I(e_-~B5N{3tyT$ z1x$(^5~@2pUx>(ek~wuoqdqPfOFo-jUl|%YPwrk27EWu4kKf6sP+(olSM_#NphLN^ zM)2D3yYUu&Z?vg+{_>DRRGEd0OeBDylHB+G-QxY#<8P;K(#iYv<9ZQc_f51;kr<*O z^+i75t(Wnwz*G+z`*ec%YvA2iwBg(%Z8{X#9q?m zDI+!}8WLkeY+?nAnO-|nm>c;kIh}9FSfh{@=_1tnW z3l+kQ5-*y6XGS;syAI~~O)+#6N$;FmUkug)! zDIr0(j8}1~c#PPZ?qtE)A_~UA;Z*p!)cbkV`?=KGf->a6?Ld1sOnCW5pvPMn-4OFV zt66ryt<#4`{;A;Kg`*F*^&-hc-J}i&wPqSMs4rmr?sqf5x8Col zpZVfuQd+>8x8L!B?I`?l>v-|%%0K<}ySqC7nDrSak;&^Se~+hYg^o@bT_ekdw)mqh zJKa{@WwJa;jh0(7D!S|^u^`J9xv)Oq9Au;ACQ1+aq7%JdTX+9x-)HRADH~==89th= zZlS(G#aVRzsem9AFMoW$!Y}vMijGw}(^1#3fTQSKJcA&yH?3_xr8h0TA6s+7UrpOF z$a8%E_u1A5BUKwH|H22KvBi6K3Cws zBv6fUvEN7(lM@~6$P{3|q3m+2A@xp)EUuFDH_xeYSL!{e!v9A=vvX;Yq6PA$3E3h#Zq@lgobI{?u=O6aE($ zR%~($wWoC)?3H&|7&F!TzPHvZ3KelT7b^>U?6*te>+T}jGr3}`;+9qd9ne~=$PNT+ z#y6R|$vD7NUAQ=(I5+l9GlM*jU!gP1B^^ay%TI)PI|{4n4!YbDXF_Gk7$nM>Dv}H} zaOnl%3eSj(Jw8jxrUVbe9x!(&V(ySP2GR+_9SqDT_PUTGPW@4i8}%dY67X1oHZS%j z_+y4d%EwpObRYA+IHH^h%ZT_4`ZQijHfw)hPn8v;@;aNU~OP<2xRP&^T9OBTFFFg3s#$>nJNV{`g$+YP%v${J84)# z7lw1xsDOQ|!D!2jJL7iQDFU}UOCFu-Fm8CmC9sy{k+0W-sLQzRA&GkVT@anpP8KsC! z_bzLXKqLR`Flq7EtI)+AJNQbly%e`ZKA0~e3;5!#LvB4s|4}9bjN$04Ela4w6xJ<- zVL7Ak#5D;<+Tbjv*ja)$)sDAgHUBjVEpXP1zXwPGKLJc&0PBl$ z=JuKAWwtZlQ79tfPDBBq0Ipn?UkQLx4|Y_c+-7nwfvC(4_t9oRuQze z185VqHZmNUyk;>fg>11380X=Vq}>?`Na})Mm<=|+h3a@?=Xod9>Ew0R3W zs(VlU4a2GXyrIXf&i4tt0R%tNR8#S>bjP$1Je*%xaJ2}lQN2#iJeFx!}KC5%>ebw)OKo{^(1F~Lx75P}zOcd0=ON#=<-1AT@Muz#ZNfU|u zdeS;9qJM*L0xoe$U@zW?Fvv@hlAg-ba@^%NL`8DuoEXz*ulXz-h!RkN6XB<+`%1zz zFI7`4@oP>4w)G=!WS7ft7FKkhy$5px3AQO~6Jmr70${+x%O*+FBf+@qvtU^X;U9mx z9%4ZrgMxcfq|a^~!~r+BZxg=ewA{Swg9;~W4?Xf&oZb56j~_lHVmS1BD;eQ_iiU}m zRLw{41^ z3YaCeQ_BICiHdbt6QGGxuwK1e);m<3+(-9!%ENY8y17*-}-!T zy!HFHH`x=@qc_x$AfJXCViuja#+K!!z%{){<;&^H9nO#8e~; zv78RAe_K6%+kJEzlvhe}^lgX&=W@(AT)SE5%gfch6%;$<1{tO7M2L65-0@yBxDV$1 zzL6@ysF*tNA&ePe41y1$7IBjbYWwPzuOh~pFv*fLyh9$U72g}Jil~ZXko-6I z=~BdocdL>GqeCy-kkmp~CChqs%709OEU*aUuUg%F4MB8Y=!F~mWn1mZx^3UNx@DceoN)|2qZ zz>{fsU5pY_yhJ#IDy4erla7~tBobd1f59uoXEak~R+Zp8TzK*5wE9Fij&ON^U_Df7 zYt^8$=v2Dcfb@)?O_~izTK`6sM=CG9d%?qZ;UrUA2rUudJh4}aLo8| zI`FHC0VXeq;zv#?NaGVw*U0cK6cgL3#=WK=%1SX)7^M(NeG5$2^~VhS5xTwezp)d# zEUk-3s|g{1WROW2Ay>4_d62Zh_45$Mq5LaoO61m}|Ipg`ogG#V!ms zn5|h}j_&G=GM*`LDNun_>s13(wky{RroON&90kzCo!A`L>u5e0+@z~E5~Q9_mB%Dl zz8RXHjX(PlHuvv2!_49K$^_!npR#j~=0{%vlZx6FFCN`!Mc(^7bac#T$);gc-?zNp zKUrMJ2k!d%u(|>YJ(OHmy>v+eV*LENkNwwBpFGze#kg&x?e7XUmLG_xD@pJ!SkaCh zamomJlvmVb_3*4C8CWfC0&>#}9=#01cHl+`+r6IPrjs5IvU+fWFQ?#OePECEf!i17 z>mkGC-!2;3;ZgQ17Y7>Q`6ib>-*1P|P{l3|^tKMdp?QhAtRwnJE-HCIo)AM3X=w9d z#-9;u#|8wx5%NP1UIfF0P;aQ)4kO4JKbcMKEt3ST?rqUUe7!#KjFxzN|1r-#ajZ+k zE^(?rVB6u;`BcezJ9r9pnJ?_&?&j#kQ&{-U=L(gY(G8SIbX!yWz(5{Oe&i+1Nm*0g zR`njHddRKfDTF`$#sZ_~@q(qUlfVqh#GO0~|6t@%fQW^rmyiD#SOCM2Vb@Vsaszd(62t<-d7kps_qU1n@j9zk%pAuuEOlZ15S3|x?MG{vf!w;>M?0Qy8!zi5=ha7hq z=Ne~x3w$FXDv<6`^>aSgD>gcfSHY^(Y;=612$Oj+%ZBhZsVA@}w5 zu@|&E;h1eP3=V3<#8%-GX|Z$Hn%e0PEb$^$cfl-dys~u+Y-#(vqGx=}IJlw}(^7|T zyNO-nr`GJQFun3x($?~4OVcl1CI`~iE40;)aQpSX))N!GoBA4;(}i}AqqR=AlXdz+3bAODygf5tZbl46QenCIP~oY;ZLxbUgY=*OjS zrBhP>rOt$YvKp8%Jf1pB1Ex#b0;}9u`AB(B_)d5Y6B=*6(~4)-c~?+`aG+h!1q)q$ zP1-e)xu`Gp(w-4yvL;OvBh|)cw*D7T1fyU{C86RA1Er@w7g>E(;X0!u^u+#``Rc2v z5N`zBdMw_WB9cQHOq&{^FZ=k9lrSS?PJxn__qvsF{G@s$@ilQnnnPw) zTMhF+mk$3j6Y7z~*2E2JN}E*yFAknwIxL41IP8h@u6NnWFL8&Cc>ASkj^zags(0GT zFUnrADb;L0Fz>#OO>j3iUCVWxJ;EwFu((NbDXBiNKDR!O&C=O^3WJZ;N-l0V)kHG4 z9WGJ@Syxki{% ztdW2jN$xugNwiKe3LD50%ijC=QLXbRqe;fbiNu9l#=gdElBOcnZG4%kr7n&y+iEOo zShBRWB0~R-jqM*=6!9Lf8Afv3xz2?id=kkYExw)0`-}E)dt`-e;ytm8zmf~h(EH6< zyAk%i8=&iH{8nfpf!HN&wjBes=aGX$;q1S7iw@d@A$ggp)6^;~sxS2@D`w-3-X3$8 z8Z>u8?o4pS2vadFw%t2Whiw%N_2y`J5Lk)&+=%l1TB_iJaA`^Wbl>#y|t&x2Jadl?tvx^QmeJJdBH{JZB#3*sa$UNTh$aqSV1qk@I; z4szh2g`BXHJ7W}dB1hm6n4ZDC!b0$AZ8I#f4N|G)zmFqJor9TR_Nsy|c zFqrw-!SK$v8CKn^3LEz4X;w~ePybA_GQ-3WGFU!272pml>Unn@QS zD(>m_+VFlF{>`g|CGg%yQq1iAkBbtf$y_(oDN9rn0SMF>?{`0kB*80Q7>mn5*I7N| zNHr$BU$28am`6d)R9vQYra417i8+VR2hvzFYlbF+et^9!feGeUxK4mhR7@435=$u^ z3rQlERkIM6K`*i9ETD>5nA5X8ta*L4pxYHH!9k%rQ@=S>J}M$8#Z zGR7xkj$S-@ePGZLLl%$^eKGe-s_P)I553(H z(Mp9QO)T2x7~5%W+Q4*8M8_HY26CX3Wd*HdcyssJD=P8Kbyvv|96n}x_HmF1Gs7Rr z_(w9aj|*m`rQutY$UkECG0|37nr7@!T`3?pY0tc*FJMY@g~-wYUolO=95s~*F9~VX z)}7U!NvvRWCd}d(H>F2WB(P{m2`fY9L@RyC@I9AI8w=R=Stwf}q56e?3TS}c|4_L% zqyvMcg+Nn_DX&kR@j;f&Db;wF!T-Gm+XcDESWpJ%nMQ!RoKVH>2pd|S zap=TlB0M@^CFziyyvw`F!dHC$cVIxsDVsTeASkRMBrdb8IzX9{!mJ_iOiKV-Q&h#W z^634+{B7S?;FgV;2BN@OSR+jozd)L}$|^)CcqnuzsQZ~ObnO>^E47`(QdT{ViCiyi zuXithFRnIMKuF7tw6@Q`g70tsqidWWCSHiII6IGfQP^_;b21WI(@B8SAJJGGA8RqQ z`Otg3hlaZivZ~X^hC9QX1mH6D%dD$QWMTE*tSdM>b zRQ)n1P6yotCE=rYh+#q^l(!iE{}Tm^UPPcv@jA2D;QdfTY3qnDNL_JL=mmIGb)+UI zYUwfXE_wWKz!~>*B$T~tWs~?AyTWb*5o|YIESP}drb7^jv3b@{i@kZq1k4H3CpQpaDjsI2++jP(Y2PnAD4i=)G9jFaFJIML|>;U5Q zZwJ07k7#*-gFV1O`;ExIRm+hoX&_C}@^hMjAJ1u&|I`#}KA@&>CjuABv+mV{$5VIj zr_P*>Cx^0||5By@s$JxN(xCiF6Z(8{T@?drS9Jv5?||zKd$_c<;l>9XKk+lnwxN_1 z4Qe_)%oH61PNlo2?hG@FZ(=uHct}?HwjF_(`RV$5`_L1u(-1;de-E5PdML?CaUs)u zh(`Gy5_SdR)!RUjMnNu1Y-Vzw|%d z{sV+p4u{p>9Ri2?WhGLNJWM$YG$&4e2m1J5#-5{`iGRYM<3A@53>^PcWZ?LBaRSHx zY7#j9e~g9(R{w;Ei{dzWn5C!3kNMWXA3SbO^<@tJWk~6~d*UV_`}B!> z^U40x)2i zO+CAbH(0}O#=bo|{}1H=G9ZYM8fQ$1(ZdCLu675{)vkmR*loaC8l=S^_IG&Pe_QwW zY$Mw^4*%a&v<=MyB=H}C_Ui`r;p8m!=WwEaBEeBp&^MRxZ=5mTE)u>qrG9hC`o@`; zctLpqTVY&)EW?)J7OPuC#EIv%?B@AT*tRi9Z2jb%2(tGx2T88njY>o{U!{g(TOTpP z(Raw)Qg9|Dq$idoh9`C=TED?Rkjlci`gMkQ@&^vv#?rXw8iDK<2>F$4gG@iwsRuKt zb1Mh&n`$+22+Vd%tH0@@T~>~4H!=6Sn0AuxG1q~FIOU0Qu=fwFl) z_=%I-_sQ-T%j(%h5+537p#iz2n0PbNHZdf^{bk0>9?wGP@V%f`wO}BCxQGHXU3oUy=Tgl-45wGFO54Ts;_`t4HN?jw_#Y3>e)-{e&|fVdwUOmmNj@{5}5}&#h8W z{p$9}=pwf6+p1X~&vck$3(95!(Ilfv@#izsLqiKsKPF|Iad+CvA z=G-~V-Y(SgCBnPSLL+K!AxkaisUiy(K2d6cmljN@Ls^RMX4a|_!M~uZEzyPUW)~I# z2-xgrcKjNbiPsP@7m*b41hF)zAfNHeq#B=CVu!Dvu@i>d?VafX=>ih{3iNuJB3(O(?u(R4pboGGa0SuNofVORjt&T*R@K zuvOP8MyX+coT6(gAhOmX|G%(G2aA(OhGfbpMu2JaAQ>Y8_9Q3Q3JN*L1)_xvS#hb6Xm7T@NL0sXiF5%?x%7 z!4ukA?RYAj3mPYqb1~JEh$@_lp&M8;pDXTCK`8Gl4e0^8@`vivtH#$+7Dty4En>__ zHt&>mY>|_7M1?G}M$qd8IWVV$JnH)H2dlRRT4)L3GM|k_%uLcb7+0=vBcU;)D z6En`+aeWb7^uVtF1Ta=C>^fSkRaOT%B374f}Tf<(a4(vtD4Up>TApaA9 ztd#hW9Ku=1m=uw0bnBDfpeo3X8x=ls(^C{ZgDs>Na|J~~lc zbT+hdiLe8A(EA`l?C01(k<*Iesl?Ywq{IEx=-QVYo?YMCh7UMeye{fSDUK zz&YPB3meP;z1NMsPYWZE%iR|T17bGxA1Y*61uuE{^9uK~*vH@3d?l3-qSLE3(G}0S zZkU-tpGW2d?u|pozJJ0rn39mC1zN3`v`#VuXkyHL*b`G#ZEY801zx0*3JE(;Gi8pf#eV)u+@XFF;S4rR!JDlzNFUI z`gBG76`@Fo&zwBwx;kDDQOd_zR|3C?i&i#-UDoAffVhau(sdl5xx>#iZ(OCTJ#wa9 z#m&!9f~_)3&9T?Yw)T5Q5t_68FtJ|(qvGydotYp(zGXa%ulo*Obu4bH_yy@K-G1Pf0!tg2$`Qi{Iw3(>IRTdlUn}t4vqDmbT z+L+2(wD0eK-s$(Gau<^vi1Guo&xI3&6B}`;G;kIWlGQb;Y2{VT)j>2B95fio>I8`q zBctBUF>E@^s^H!?=12quj@#d(`L0LwXV`~?Gm#{B_k*qsoO||z^rtYT@U{^%*$@m^ znb2tp^lHB&n3YcSzHk|D)^RY7%jAy6e?BC!z^=dzxkb+)KU^_=XOnhm;_4@!mW7=-2@x7b+b%Q=DW4i&*3@{}d@xLx3R*1#kJhlhrkV=ohVsE@Z!Gz9E z`zvcx&DdJes6ve}Owh}O5@u@57dk9z0Hx*ys0Y%!P8d-KWBovAQBBf1(O2Y*nOfv8 z6ZG)3$TuczhJai4Dqm*`cL%TCQH=<9-qq8r*3H-U-L@g@4`Knlmyh_%QgS*G6V2U2wi_Bg>L?Vmpk;s6_FiL}UbtD1mk11ASU?f)?|f~G0othHi$?$HFsaQ% zZ{KRiu!vP!t@BbegTp!W)i)w+VNSYtpMa(yoUoCoSPW$?y^=5k(KG>P48|kU^%fhO zYxZ8oznPSlH%XvGG(`+1u0vPD|Hy~DAe~c}(rfHDcPmT(-^vC)tYE>ie1LOnNCtK_ zMKBv*H!NE3_5-ohyQB*j(U-E5Qw1<|d?Jfuq9eCxuEKd66<3@l&i*w;2x>PKS6n8C z!Y#Gj`ex83chWY^R&Cv-GkWuP zy+Y`bZ3d;a!|ql(FhNj0_F*|P$5{x8Baq|1Kk^4cBJP4=0lM|Y1Pvumc1$&Erf;D9 z)k3=bC(%;xBRLu)a<#52>SR{sr<%jmnKTSKmb95#CN<;$pOKRxlztqqE*PZCRpFJqv zz9aKLv^-0D@*fEh+36-b-}JaWrGFCI?(Tf>NPa3^(;Pdo6-mHR{7t5mwt%{Uqfb37 zB=%AZ!8u*!vqsQb8}{4O{0e9V>S49h^rug<36_#9PkyQ7O1b*K?-|5OgU14lsE5_x z-a01bU(}lK2~GaO7cgVMzQT^?!?6fbqoC!*@efifpyS0!12hI+oLWF*60ofBiDubNP^?bnGs?wR#JFJJpVDf_Og}4%6Y6=oMB1$Ti%XHN5V9^G5N-yQF)UUvRP~Q_I52&H}-JbZ)Z`;eqGfa!yGu0r}Xp zd>3%CPQ81*E-Uf)kAv%6dk+y6*}E}h>~6E~Pbo{mdQE;!LbUgyMyf`Q(#lyvDKkIV zQ!UVHxqMPemiw3|HUi_!(0QaMR6Mwd6{J0+(WI56S*0BthH~jEv!li5kd7)YCC8Ck z(5fL_%06RJb4V>lH2~GKe5NF{l^Zc!lm-#=YqpuRVE-yTziyfW@$EJnT}H6!N19P_ z@OqXvQX==wl}wx(lH|H*p#!-J&zBFHAeVVJ7zHsc}X59*cNvSLBI3}&s$n5AhAue`%VG#5rmdyS=l+bHtzf#%Ls=SW!2d^ z{SHTCL}j=(NfogtNR=bN9o*(*^dgKmg-y3m*6NS-91F(_E`h1FALA}fF4OjYr9bDy zTe{O&qPRvD7MeizzwWf}LD#`8CDo9C`ql~@Yt6bEM@ho(ZY)xXvJbA2$J$!O{*(+2 zLOqK=GC#EnpE@P*hZjKnl=XU}zHX|L&4o3CuEgw}qe~Bi*Iwfl3fE&DOeogy2rO^> zxb$hlI=A;D-2J}qYDwgAVZs5_i zx_;Z)c}usdss|G zj>79z&GX64DRtaL%zHu#j7qeqgAq*=#T6^>2*=A>10*TxgeA?hGoW?7gIAu6sbH&Sz+qH3t~~z zc|1fz%0FtFSJv!}i5QqON*;zXgwm_Bs(UFUdKbvL1NC#g>&rUQHA4N{R16enAH4?4 zor?!Erv`(v=8ZE^{*tROxEcDI*Mhm`Y=vsNww_Jll-X_>79^!Q;noW#S#B9t1tGHJ z2&tkS5of4a5TO$ings7P0eSMP4<0}?8r(Ulxh-xYdjM%@**kp}xAi*0c4=r^@MYd> zLtjM?oydZrDu(=!QGtgic3vRu&{qqyx318XhfYMn*|9wngU@=Chfj7%pTz;2ZaL|V zD?ac)2W$!4Yq%^Ee*?z&%3Grk&@*B8z0?U|QLOr}tjo81sfkwnJpyhP_p(!tFZQ;i ze-nPDsTZh+tEXkVTkkV6Y9(FeE$B0%b^Ub|f*O$XyIzAmk+YYmon zl_Ygr18g-ECyuPMS*duW!?=1zCPhpj3CWLrV`&}=XJ7Rd^C~^_bhWhpLLyL>&f=(e?7c+ zPhQ|*6?VMJYx^Kek~?V(Q)qoG|0$}r^|Pxqi{6_BvJ^|b5M9e)`8SJXQw;H;o-+9z zv>0)scuXFYkAFhKXQkGuS^ZG+oU#w_6I%m^1=Y?l6zBhpoM@>f;mNC>%-#sKM9CId&M+TJouWDw`_y-757MSe@C}+2|FG&Z&@*)J>J%@_0RbE6oSdd zv!pDK#_+p~siU-O2n3iRtf%%ty$Bv ziA0go%0>_{%Y?OwVu|jk?f7jz13MRJ7(8BvX+@U zTN{XIJ{)>W=Czq~4>uylSH>&+oXW;6VkY_USfs}Re&&<0EC9W18FZztzA`Rohz_L9 z448lY|3xVQT=pHDkmOpfzY*ofuNO4Rmo#`=5J^l_QTW&PPXzlg$cN7EhV{+K6M-h? z0rioI%73RJElrg1%2lp!^rgj>5erU5DK%cX@&l!1%rdr62O2h>IA0V|KNM=S4S54e zKP3EDqE_LLGex1sYmC4rZqF{^TVu!n6HXHC22T+YD{qJIm0USOF29?eHMMJ9R??M9 zFlq-Zzmk(FzUt+TjnKM`E1)AQIhd1klEk+lefzwTk{UMs~RJ*8o z$u^Xmc{C*{C(TfG!E0fZo0^7wUEfR32c0mB9^IIhW&XICiUrh`c7hL@KTd3hgtr8s z@nhU(p!zEv-RPrZm_ohd^TLww_0}RR8eBiX9~4L{FoA{PK557d?hGQKa^F$-pxZuy zStbjs6ep+X{{H_n4D~-kSwctc#>I%Dz@zly(2T>U^y2!>nV-g4Wi^wO_EtTa!)X<` z#dSbnef5UnATVS;4YJIL_K>&<_S)HSZtuykDs<&a^~HEuw{6)Pk((XnJ7MTde` z(8x>x%07DcH?$DQXZIaErP8#LxaXIoXO5d+i>^5nROHY;T5D=+0I9hTUP=7!f6J1O z5=FerSFw*OU#&QJANN_W^vqOxOn2xHgld_4H-BO|C(8ZLMWgN=*%$1-!J(;kE_T!2wRmYiDOC zn^K~a#@qdgK)3A38uTLN`VK>&H}K7U0m}z3-NBJdNa0MJX@E@wZH=CfoTw1lyQmju22Oi#`j!tT&y0 z7#v7D{#W*H%F4!>0{&HKBEv@Z+tWTCr#J?q;_&4n*uv&JTGS2 z?)WY^*XY#jHt)IFx;yT-bU(G&j8?f*B-yJD3xp4nGbuJLuF<1GztR z4l5(;Sn$s#=kFeijXND~^P!uqxD$R94|q!TaGIRk;Tn^nxX?Ep4-6ricQuNh$ z38A(R2bz75B=!R=5U%j=|NJXGD=tFk-#5)CAB7`JCAflJZQugE;pA_W)NsO6W}v%4 zF;q0)sL>X#a#`$J(EFp4qCmS=({gt)a^&YfXxd$ExvcK9&9*S|tNV6Q6x7Tk%R%JG zwEdq)_`s2wA0KOPlj>=8#toSs9CMy6_O1~khOS?(h26DAcmh;LYi2Kl)6qYu8$dt+SMaW;Xk?ymm2-crHw-+(@6}m@gw=iWllayr;rjihSLM!&Fm+gT= zrU+9Z2o;Cgy2_lwEdW=yINS0iBcW(I6+ZN+qi6`^=_Up^1gbtYh{JHNcLe89PCjfrbw>Fe zFJUNVTJQPEd9i0cr%8At%PBKm!fV*o{KiMdl>jq_46r>vy?mCuiTr$4Y+E>)V0W~O zwHW1-ZjWxl?kC+`-A*6G$1oLfT=AB(F%n4)O$^&KG@SkVE)^Bp4?|CQ2Q;}6;Ubie zlAo6?afc{Oh}=QK93CWuJW96zs=%N&%wG^Br7&?gRmXAHXkvA_Jn^|vR#B6N#)tgy z5QX+s)>|y-c8&62&PsvV3`E9&$kG_pyJc0f1c@K}6@}G?**90D6iP}{(_FNL?kVeQ zpQb}>v}K-mF2#Kf~ z$W);VMTLiwT3QBpLH0_80+gg~a-BoZpL;BCSM5jCRA;X}yOg}zCTzPnr4KmSm%KW7 zn{#;Y`Nyk}nDHzky!5FZnhw+SmO;j=Gzg{jTNqZbi@Q)5fF2_Zg>x9BH!IJm)UdiX zY@~{E#>Uyrp1FKUdo1Bs_tB2SLEN-@0kh^UnO;3_Z;WJ!P3hm9PsGwAUqJu0IZ?2G~qT!r(zy_jr)s*W# z5Q3dB?M9aXDKaYHK|%o}yvGM%Lj!K&=%9*mcJ9%c@@Z21^ydDmt|AVCkt{pclvW3s z*YC){G$^ex5;Br^KqM?Px)|}O^zN!#YsI0l%In{~mCay7CjTNRSDOw6Ctk+|ZEXgB zu&VkI<&WyMEuxnvoWi0Zj1stm1P{wN1x3+niYM_%M~gLh)znIG2BaRAvGby$*Vrzj z^aoHRmYK?AQWNN*3Cp&R5h8dvMdL&hR3$tugl1JTi$|JRW)7~bRRmW~vGai| zi{apQ{Y=%5)Hsyj$Y(&nGpd?PA)D0GXY5Wl(D94wQAqg;hcVQ?W#dpwJq%{I1CdpQ zi$r|A!aFC$n--x4>0p0783{>UAw^!zU_=(pKPTqgSz-eX83k>2C5LwEX z`nqvP?Zf?_?-zIR&yudyvwd^X%m#;^J`~Z-h=;5jCMkA|YBuQHPWIl2_W4a@ zT`GZVuipu1WZfEL@`UF81& zy>%Mkpx>&dpyS2`O+PcV9d7K|#RC$`kU^8Q+0(s~*Fp#iYf+rVgr$M`w%cdc`P}?zR3b$qn zPHVs6{dx*Ape}LW{3!$8$~WqTk2>fYMI!~w;t_;>ssZxuXOF5z=;Jf4UT+aD6Mtj8 zDe_n<469K4HTS+@SwK|Es_lvexB*sS7#mQC=}-;@LV@bXoSj(!0bMTVJL5uR$f^^o zMae6Q9Jzze)nH8A0_voF6qVBQt5**K@>m!bnSF=7Dd1W+yKDp0?;BId371VBE)yz{ zt|N8ekckXYc1%kqUi!}9s`hNZpBA2K z0zi?JD95ylPKmvA*-Gi*BTb3j4}Zx-&3)mrwH|BnB!I@}Y-w_Yz9$CcvD=+aki9+J zAZOi3k&y5wWlo#5t(#g&u>RGDYq&-#lO{*xGmymrlL2R)SG>5^NK7i4YHO=qiOgXu z9*cY(vR>r3q2dJ}OkFu8mISr?70OcA3m5ZGLfjX-Mfy6d8uxA0-^nuAkHybwO-@4XP7 z=-KFJ)pqOISXXbTbT=_%*j2P8NQ?NikJWOAwZ1`6dAGXYU1Pm3wgnKs^(?kHW-Gu*!K1i5e050j%jD5krH=ZVTc(V{G z?z?&!XHJ@APHtYBBk#O*=vj%p^O1MMQ((fDe-v>*VP{?;A5fWp7_mSxW>TYjr+2hG zg9)6h*;MK7fL`NyJYr019(|ElU>|-kD5wqzio^lGdu^ zl*StBlYYYxvS!JMOC5}t-k+F=i3KmeayI?5p`Jsq zBoySPjcb8^xMD(qV@RQk8$vdYO81^4X_1TD9GKVFEN}n?!EIdjcNqv400T}Im5eHN zP^`@AVfSUN($H zGh}KuTdbJ>tgQoDyT@eV;6#n233R&lLR({e zrl?{l;oT^6YkDN(0!&U4y}(k=D#m=L(H5-TtI#O~F@)Rm;+lV;<-W(}$=6CsIJ*`gG~rYWQn%yTDV(u!QZtRh+9s?7>6%d4 zWYS^>;f~yIX=L6odIKk8L$<@p!>hd{4SIte5fh|fd;kO=3;Hic`n(Oc93cwD#S=s< zMa3A8lXHt3Z<)n!3~H2x)s!dZ>VHi&VNcxNOjK4`Nqo@1p=$+m* z6S6Fzooat$QMT2MO9$F&s7FWcQSg}mhv`k58e|c`lr9G;6a;evfR(AdTNxyuCMl-1G1g zGfaPJY(EZe6RjJo$s?!j6+PkkpU9PlIm*089z(V7U6gP{M(}MIsc0B4g4Lbi8C-pf zW*C%;%_e~$^{vtn64}aXa#c_wY=z9U+^UMzi7+>-nFeXJ2U3MVkt_KDibQE7ubj4E zP2EW3S&+JTc?*AeOO0VEvFxGiqAcau7a1C}sw%p#jLJGtVKQ#Ll+RjSmR4^xh!c|Z zbMQO|~(Pyg+~PA@4lCZ`N-xBfcND zte5Yoc=$OrZu*QE&8PiLO=3Vy-mfO_qG5*ljcY?>q2s|=7M4(%a3l;tLhW!!aa{?n z6l^ zby^5FhO1qIaJQ7BBTo-y@3snp61AbdkH&(bPAJ6!&oVC)(k(TE6KnJ-e$2z5Tku)VA>Pi|-#16(u$4+^jrSuBZwY14%^&55W>n zqlG10tNxtqgDIFA7KI?EhB2(%lkO+4FT{S;D)M( zd3Xk;j4T>unIaBQROuIfv*(^YtU%=o?t1a?{TwSd=qeddoN~aNo$u>jT6AmEu!8rE znznHJ66)e^cr7-S%C~1hvLt*#6Z*j^4sO*G;99CNH*@4d8{fkmY^oLGOx_Q{e72@? z;1>10;-cUdgcLPT3f4cp#0^L-UYVOcq%S$&>o=Tj^mD?y5~rvuzo6zV7)8C=4QJyb zI!6BiHT!mZa`6Y0`;P$Scc3%0!=Ba#8LFBr=tbPTDpmb*GmDA(jq71y@5?+xe&aL~ zLN7{Qn<}hjcy8a1Sl$GdNQpt^)Y5uU5IOu4p1WJ8r>`?Xmcpl}t!p`K$!`>=kf8ls zM!=$%Ig!d>pEwEG_Vg#`liz zsZmA62*nu)t%e?1e%Zg6Xw!M}kdha+X!FVx`an#Z7!D6D;0j7~RFqxBY&Zglhc>nmoA<2U<2a&s1)#V- z5XT&gTPYxD2dfs4yG3m75QFB*GVt+Pz6|gEjdl;lHxTVeZ*$}DyUJtl>1rs<9dz!e z+Ng&qg*;8ujucU0>YAP{9VnK`pg~@$<-c9;1k9eFpcA5dplb%+C8G9T&?IcPCqU1N z!IHhs#J8eF1bH2Iqzs_%4~Ful;UbK1kzbu>OD>1eLy>2{s;Y9e+%Y1D~y_+ zWNi3WGr@dVL}U<0=@Lc(RV-@Vr49VAc%Y6IuM)XoJ}RKJ&e%zVlIdJG@v$Ei)Vsl{ zhPOeLO)g}61N7#wSEhMZVcrM?7b8P=sn zfuQu;kSo)BERpiN)9DASH}{$As8K!6o~rNjTbQWAkH50XZ2!F zzopsl;1WWFnmEI8+ZK{3{M)&?E`ltKPtbdsf8jO;<$s#-hr3b;LpvgAs#j!UZXYuB zl={S3KwEpceN2{<7r9(ZZ%SB}x_)CCM$j))vc?1y)RK|W26{{H!TkKiU>suU^>Y6Zgz6CTZmD3GN7B-bzD#H4xZ^kTQocR0_YIwx@NmG$CVB z7pUsNjbo)w5e$(}jD|}9}c)GStw7Q0$lrFGH?xq1t%mIF8t>_Zthc4=z9=2pmMPz;q;spdR*Pe+)m zm#6xPeYjL()dpAv6^%4R@RiICECuq#R!|I542fmRafUvlGvP|-dhg}i;@k?%?UB6J zt3|mj{A%1g#h>`H?2zY@srctQ!w7a!qZ_AUT(Ln|DJg)KN^!-aM&H*r$}0pp-)|s> zpp3;oz3=z|cOnr-zt__lTDeE##sy3@b?&NUMJ<~?rjdm$Uh?wc(@m|Iwc*ogm~TU# zg_Lpaa=s^a7g2GOrZRIAP>Pd%nsESJK7h6Tlb)t0^3>{KpUG^PH`W@M93InJ>gHBS z8Xk!dxVUVbjZZSM`_P3EzpturioX9>o>e>j1o(VVA4fc|uU4ZWkLZ+kdCE#a_*qKC z>z5i{ZUW|i!LYY74;eDVCgUytJ9^rMA6aikEXeuerOfPyaB6awPUZ)%i#LfWHd|~jM6chJ|3$XmEf!)RNB@~qj-PKWzaX__=0GxPiL=_Gov;>3s6Hm1(QQ&?N;(% zDV$u<9zA{%=T0S=ygA3~E**x$+WR{>b#}hzdlIj^>$rAMZT=Nb>|GhU_N&BkJi}=W zdS}XB&*91H$?nPaEi>7X{Jr>4hGB+coJd1s5|f*&8>h^M<&M6EAGIB^!`Yd@I8!Qt zJvz@{>DK+x58$t3z)lEQN&^ER^jrMom!H{Run(J*-YR4QFo<0vx&*ISp8uuvv!gqV z#V$v8k&Fe&?jac~V25nLn_xrxZ9xBe+~&I=#HXLgbm$^i6|N!oO1N;YziP7K|evpCeudR~yC^kFL?<703D7_NPo0 zuwp{Wu|9F7dQ){}!H~$p(7>(^DRVQ1O33S{l=7T-cgQhr;iA3@4IGtLN_BI76yBvp zi$cuAtsSNiBCkAFNy@n4qLex$?eJ0C%g#wB{3>}nXS923rN@_krTTt}{K}zU;@8Yl zrlg5ubqVUK2=LcEIh)$pTim9PS8Dtcq35gvN9w8DIUn8VwiqPVPiD2W(XQqACwMJe zIvE}h)ZpEIyBS^B@P;wXsuy~@O7Erp8^)YaD$`6xRGSxGFY$6w5Vv`N^%bw>0gpq- z*Pp01%)ajQ^3;pf=ThIWlD=CX4?vHJsb#g?)4mJ-gC;&(F|s!e{LBeuZvvNqujo#{ zCfDin-tErO-u&4ru+j~?IM%#6zk7WtK_2OSaEzN8RBp>!Tfa8`i&Yawr>lMt? z-L^EOA@9$7Ijj$2r)coIGuLd*a~NRTvik6=0~a(u5X9Cc}Db+sAimed`P-xTo)y9RNn+0 zZCL6^TZ%!2wiO2}x2RJW<+~1w3#1z~+~Th8uW_7Yrf*<58`w`+i-tZ!wXZZX!6SPg zKV#k`p~L955mTQGEx<+4%HyNuS3Dh!~ zU%>*lhQH4^v`i$bneteWjMZWTJMv&kyq?|?{S`P0oew0;6*wpGRTZwtW?RE~c~j52 z)b5K3!)BD*;VG^$jux$fHJ|9%@RpWRwWj%i>MMTHyW?AlPY<4JPd#~su90+^_>|#q zaE!!4n(yr-TP3uhD>dVmox5V`6kFw+g08*%(aO2Y$2FDM_BS>^QGIw+MIss^{;o6d z-t+p?5CU%1wbxrA1ZGdxUSEgAFbLO;4XNB`w~B6gkD};fGLL(fjt1Pm z(umqIdQ~ic;34C^fKB_Z_1h3FxvokV}hOdXAfzX3GaxV5an_=x&^=wN#`lXdhOxL6)tnhGF}5zQF@6J zG+oInshG=1;ujYIR%tDy{?gD#_?+FPv|P`6sNkx-)0M_-;*60m4;9z3_4rEJb^vb{ zqZ-EzFwxp~wjjsnFA;=hmhuO2N50f|q2wjY%0IWH081NsU=Xr=aQt9*1z^O?(Nu zXK7Y%OfJTV+sSFdQ3ZiZ*8{(3ZJLSzyOzA?(&OQWZ-%k;m>UJnTk;NM#&9giarj9D zpzqXRW=Kelg!^U|8}Qaz7u8;AGx1dyX>y!Ro7cebZyZ$uWI}rF>nHLvV`7if!k+CD zInccyc=XXLJW~MkiF}K`mo%8it_lH?n}OPJeK8TJseug~U)3+bYj2v33Jw_p7QM1v?%$@Rr!%^WWo21_;nn}gT#7Jk! zl+hmrdLHM`8J%~8$=e;fgY5%@IVEW=PuCf~Ji*lS7YTo6fSOJ;REr_V_}&5EUi$G2 z)o4g~ZIWWhQ>@6^k~bIV@3)KP0s@~k*-o377FqbwZHI8Wm440fQ>UHdhZg0;+@!7^ z2&hCKj!zH>~oPGKk5AQGoeN7l%JbRA@?lVm)Q>O zIMp&nrgxJ5QxHmwk`#(SQp>;7vl8aWa02${ zYVU$^{K^a^p6Rq34h_wch(w9J>pUO{3b=A!!loURcxOQcW0;xAYPij~ob1o(s;o{f zoX;IeqnRNSv;K{#C($O(LcgY$SQZR4+TEqY3c;|VV!DROq28z`rNA;k`Yf3LIqNWe zX`p>@H;dQhQs+|JOl-qy<>G8IRQ*rvqy}{=q?QNtcF4k;g?(CY#tB+4>N4yh?5}V> zj-)i#coMzO7+fw}i6Gj3&a%VjRnSzIFZQ<0GECcgFf-&?&i03mkDbLM1SP7Xr6T_n zWO4a5M-#Q<5Qx+p9K*A-;@M`;D->RU1uGS{2S<};V-3)Im_@hZm@Zq`1=xn?t4m0U zF=VyOniRRW**||QAss2#Q2wVdB&}V)K_8*Dm}WHiG2^e+qXa zZQgR`8&xH{_nA-si@+q(vSC!hDO2oGHbq)AS94P<*PC#{r+%*UuvUqjm=vfi?qlB5 z+MDZR72chiLn=C^S*uc@zYq@;fAKv~#T4}^=J*TRhtB45h3VfI`><&{GQKhHV5jh2 zcIh9J*nNLeDudivpk}W5ieD0E`jYk8aZdIwP9yWVf)EZBJR~e9JF7q~I^~x$>B0V0 zF*gYvK><4N;-$0xai`69!U8wR^znZRhhpjO4t8aNQ7PLs?%dGY36Zt4YGb+W-z455 z;wuepno!@2vydSV6pmo4!YV}>N|rIjujuByY4-tXWBSbrOiwhv=C)JPPKnYpD27_W zr}q|WpFU21b9E0&yeKEzM1Ol*FILTxc=4S2`>|l-j?-fUTD`}kiql{LwghRjU-s+k z=2zwIKfP!emb|0oq%93ADpkUxHu+U?;!?Dt8>B5+4nLkAjj*E$lhp_1XLC^ET+7_#hI=b||Z7 zFJ8`W>4r6$&~Es<6`qg1%hU}kr&VGSTa~e%7++Qhf9$E!6%u~JUqr(6V**V*Jp7tx z*Mxjg*s0~_t6%BY#(|GL2XiWGppX=~+S ztrNyntHgp2=N(yEf5H67w~K;yFXu~d`&UCx22%eb#90rM*=*WL>c!PweH8SQo<767 z`3SK4fVI|^tFAqj<9uGqPcE#Z8c9=9A`?S4$<)K{KW~j66jc63&raOlElz~K{8LD|1_J1IltV>wOhJtyAq3O%)P>HdWRBS# z_q9LxW+Xs6cJW~yeC#Vxx4EMgg-v9Yb2J9u5RVil!mPkT(U*^pl1rn|F)XU8ti10i z>(nYYg!J{lu}O!-$OsESdMa5Tg$l>C+zx`fYz=&aUUg2t-6O?*_GmheAeRLEPZgD| z?l?4&36l3vnYeztbLcy^CR#pwINMDnk`B_qI%Urnq6Sk_{ewE)%Hfbdkb7-BMSSc) z{6eMPEinP5pdOa{D=a8xuDUG^kCJD{T=!o<8cJ4ls6osZ2f>cyH?Fub!uff#F%~g4 zY;}la3;liv5%7f_r?}@QX9SP-;ujjgof?=&bEHGm6DL)I1H_I;lP#EG0nx${@jec4 z^~6~Zi z3r(ZG7IwtR&T=am?7-ls8Mj>9ia+^YjK7b=kL8+I2@@=;#%V%c|EnON>e=h(@N#wn z`a77dxf3-mA!sZ9f1wox$_}B2{hHh%E|dc#JRvSYi3L_zOHb29ufU?y1eDX?q5B5~ z7vYAj*y6yvY~AvyRma+!W)3OJ@9}jQSUCoW?)$K%H)8jCX@bSS()09~euYKDxhMCV z?|{tlv*02Whw&c32fpzN_SrkePtD$`Zcu>265xAXlAx+*URuX&!wqi0D>J<4UkHO* z-53@9z#`|%OQB zgsw#0Q|iWqj8Vmi3=&Yp=B&(OisuA#oHJ*@B3y^x3s3Zi$8|yLS2~dI^EmlUQCYfV zQR@$u)?d9O88+(n-g+4KlSj2Jkoyv&`+%Bq#K{zNO5pgaHl9V}mlH3G&g7pw7`$W} zZr1tIvI)GJiv;W^1BD|i<6LK%ekOlxnY3?VR1bmr!@WQ7?putfWTenn`)|W9PS7MJ z+GG98T+eFy*C*HuOiI%KRfs}P>sP65!nJyDe&E%7=Xgq0eeG0Eo}Loafa3ziL2PuV zun9>$3^24kThbVzf17$$KYGxUmoNE%|I(#sJyr>+00g6JCRgW*geN*`HtPx*IqlI`)RKwS>iMK0c zRRj24&r0G(Ork@4_5n}7vRyvVWzIo1Sfw`%ul2_f<&XLsTdKneOg2TeB9UW@wd}Vw zN{k|FR{UDwIGPrjOl0}8VlwQ?(H;?6$yD2PL;T`@}G5V8uMe@0x}y-ja|9&eszwI?!RySBC0Jt>al46ri*vR1wWGyJsU7E zm*&&otV#jOpyTOnjo4M$_l$=pU&M{I-N7_tDhOOV8g7hr3k)=V*j$KtJYlKNmK z{n1!x=_(6_x)Y-GWVkDpltaU5Jn?CqcQyG`#AsSgmrm|nDS9o_A)>LqCEOdsK0Zv;jjn(wMslg19f0J)0gX*WE9|1%FX^T4&^*WajU{FkdV*f`7URzG$- zXXd+fBKVJnssb?T*+Vj(LT=4=rNquZ$PT;nzb8~%>~>{|!nSNYiNe8%kfCBP2yF7!{|0yiuo{4>m~DR>Y!+8#1vUws!*k0W_os8@&mZ^C z3nHN^eHE^ihGhU;3yNG!f zLWD4H*K)g<)ytFUA=sno*Y3Hf>yP_gE~U=UQu7{VAK2+dp((b#3B}4DU8?$MMo`X# zWft+4y$orrAB2yDsKoi6Ue2*T_C7S1mYG8`%91Jfo)hms`7uJ^B}Tz5EI_!*#L|Np zGVHRy>)s{yHZobn{7r43hTJl5L(SuxQNlcgm&}L&YM5o_iJbD!FZ);FeSTik^xOuo z9>&XE5?3ct-tiiw=U#c6esCjiHYI^IJ8{5O10%6YPj&BwhQJpEX3DUsQpCHEsjTDy z+e($>9E|Fz1$zOZG;Nhw$fcz=4)(|soy$0gumG1FBS^{^YuEB*Gi4~qj-SbO?}@6D zCTUk|MTegt&?&nP65K97{}9?tlqwR0u8^=Ec9-Ve%$rLd)5{*EuAak9{Qjz?vUngw z_}K?Rgp6I+XnZp?I$1ydZ7DHK3Gx+zZ`Vbt%ayc%y#dKnM3(RV zlh!&(g&05BTi^eIb{g;8oh{9{kr$FSMwz{(xfQRC%LJGB{6OzBYsYU9pBXOU06s#W zpa+tCIdHi9lJC*I(0~r`l1i~vBZ}~{N%_16QCZPdGHFm0f0lN#hV*5)b%jFERcO<> z(<@LI^RwV}FNj8-Ae5xS*;Myw8M;UdPH~9LUF};@LO_P%y(PTC2<71J6c<9$%I2N$ z^?Umcw?Mw0@?eA0T9nLP(r2ha?jl}dt8GL#pra;wTR3jq0C_y~Sc>ggX8IF5+?SB1 z>Pk`S>pMr?zFhd+pVtvJAAQ>~L8i8FXYD)$ndRi``X_B&99Vx1#)Yp7I6F7u)1*71 zViwGNYX67$(-X5mbgo_S=qS47WK#OqKRBh}=_|r6(uC7M!mhM{G;^M;JUM0V2Cu}{ z{m%MD_ijt8)i4)P$*7rAv)MtyC3k!jP5#xU6FVoLC;XZ98t9wN#YsC~EvF~D;h1cls6lv~2NJG>C-UKHF%{F%b5ZzqUg zO)iM^ETczoogZ-FwuYDB+or&lQeZP46Dm(li}6(>o8cd&Y~tQ}k+a_4bB(!~NbV*4 z2t_fnIX&x!RjjM*YP`}+w`dRkQGTnJWBDpMA1q!_$SQ4N)F9YtI(SM*$SQV$RHvP- zG)VL5%Bguasg9TVZPrlj%s`CBN@BUQ1?qWo7QEKo`_Sp%kg#>vVWvxCzUx-ZWAHV9 z$Wwq9iOKy9Y18-2{l5|HBAhe_2P!rh^L^)p&nK*lu{2-y#+owbZ)tjb!m3UZ@*lT? zblBg015DK^pR@FLd)c9|S}iqWK9sE6#q{B-s=OSzsB9Z3K-pIc3ZE)?8rb`liV2!! zem@tsk_*!=b?S~V@(ONinBbkc)t&!K@K_`OR5rYT@_r84u=iam`xS0v+ z%Sn?kid9Tonx+~e*#UN|pGNL&`b(yH+4*kc%pyy@*QdWjt3N|)idA?OeaE<)9-cyjJ;ocCjyoYF>LQ#|f6b7_f~oDj91Cs=G_;FB7lj zjrRRe%&!zzC92F2nhSr}8R17$(+a>ANeU)_UwGT=6>1-of1`P7pZT<-Ho@)H6B}YlQpc}3X;>1CuNFWscQGR; zNbLKZq#XqC@5^p?P}}8rh~PS{ll>G1r{)|c_A8NPzLiN_wR;0PtyBGi2B%PtdHa>L zGT-VXr>LveJ}SQi{xcT41C{Z$w9+9#y&R`1*?G(8|KR|EUjl76l=OC*x~08rT;*+< zZWg*fN%pwbCSc}co5S?Hz_wG`QJu>9O#Y<<$yWzEiXPZZ*Z)rQU61}C6Vy4cpGRK{ zZh{W0Ug*;4I`QQt$+dwa`PKe#nVNp~m9A0aW!oxSLO|=g-Og75k%m`X0VgY8C9fvP zenp+MS8ro}t)&;6@wdNn$aHYhu_DZLkn~j9UEu#5JVE-Tc2B_%^1y^RPa=7u@r4Hc z#p=x6m%?wT4PL=Qnv0P=x3KgaHL`J{SsZ|cBnNQMT{!G1aGFcN_}e{09thD|;i}3_ z1&y@#EdkN%$|TYhl>VbELxh&zjM&~oWo#5BA{^yQgB;qbo z+S6_<^N0;!Gc?et+RgeJ{}~$m5e{@RMVs8Ty||^e^!A0nX;sy(lGJ^Zn-N_n7d=jr z*Jm^H{--NFduKgazQax5o_JH7DeRb>z0JB_>Y-n2Q`5Q*ea|PA%ll%OD53m@;v;2| zo2>ZUrwq5izkD2px|ZL5fo~s1^LFGummqzaoH%IRK?D<95)quodIaB{g%nuJS;h!+ zH!;EBucmINSg3vlTTp4)UVMA<#fHF4gN@}=ltNzjS4)0>mXcjwz38ky+Y4o#Tm{yI0>_+YFBI+K?CEYLjM;aY#)czwCR=`i7wXeLN#xEfwN%)MViDO@- zt4^hB$J-usztx%PYLGudO=MWImac;g?V718cCV@Db%CLhEGU`$LzJohmaXC{(vk+xpyosNBCR!ZOZH zfeFmZ$$rp-+0M^~$ijp8v4$~uCDsp`{5E0u#q~9_(qo&es{lj|Wyd7=m0PBIIHeEG z*CZY^pb%%2EuYM2IS1`rNpx@K{~)0`Uj^KkWPVmskSuFqQnDvMz>yu^(@CS2?!;EV?qq&VFMN9N9Bu-duzW9+}^T3R1cfuV8kU_sJxBo+WX+gAQOd(aY=> zBAYTTRKc0lq8fr7V{T8*($0M%fSa&D=?kLgIhBLJRPA7`UNYJ}8<O}tg{(lCI-v8IJKXN zqDk#H?IJG}+iP2Edn^7F9wI*6JbP%mh8fuRa5H&2DL&Yh4+Dq5c9Z-|QqatF<7d~r zh1y!jN<+5#vN8is-H4W@=O{9ey!;V#;dTZE)7N((^22)?W14K8vL8rj(C)R1wqv&6 zYo~Zv#p?!XRHZRyi>OU`qMgd541UbT-jVB@hh%p-I+o*V7@hOJ-QH~GQrmF1d$gcf zG*gBj$dTt*Cx8b0NW>hluvpNaP~Qv!&NW0IUYc$=CO1;&|9n~0WcDX~Q=`ze9962! z#A0n}bc;I_rgN8AQ)qwkR&vMfo;Trsxuk<)wWZA8Kqi&{gIhP!Q8a4U?syxnDFQ=A zmv+$l>V{8N6GUm`lF2S9oM@XLw=7wZ~a z;2mA_Ak0+neo&yaogFqFslEZOY4$gL!z)Ar69HrG-bU-A@UrIm9U^m%ifz%5$$q7C zTkG#rm^e72(SF%P25u(wz)idE5V#V%=>nLPqL{J*!}|UlW0!sF=?@}v0Xr)KM(F>e>@A?;ShldylaN3XEF`#w5JIqE0fJ5- zKybG}aCdix!3j`Z-2VyQ-@z zP4BlrG=d$d?`l&F9@ zQ^Gjj0j2g9+zVCqkoVp{f2A~qD7ACV`7{?uwUdEhBn((b2+Urs7m($5<6nJ~Qk`^NLG3zwOf*>=B|_@>(bSon@gLAmv#E4smy&ehPl~!;ihwKh>6_EgJ%i}29n>Hq0RwF?0N&QK3m$}eGvjI3x*Xz#B z??U1V_xJOMig)+(-Ffj@>hdcJxEuZjr&Vel<{Mo~*W7Mf)3ZF#p`{y#%b}7ACy(T& zv%URfEu*8m|45Pv%kPzA=gNQkWpX_?fmA6f!_-z$>i?fH+A5VQ8=_xYa4KNmww>Qv zOuYY3;89u8*E#;b)2Y4=KwbLjL$awh4OS^3(7z5#fd6$=5Qc`Dnk%jm$*w(G`1rtz z-yw4O$tOu-cIlSTm)3vUIHg-|y(QO&Kr*m4Q&j+^<>fNwZmG*AYwvo!!5Mq#>Rsk<*?Wb>(1 zw07o@uq+^jD}nV(1IL=l7EAUS;kc6?hIIU?1pRkTz+Kx@i?WbRV-| zD<;Yj=Sa>D17rG+2By3Qea!*DNE^GUV-ymya3_Rs2cYOZ+B4an9|fcB5nxX-kr!(5 zszRNg9rf884Glgvtbe{#U-E^MZ{bhDUbp5Yn2~SQLjb&a3a5=Y(@>cII z@7H9Sf-`-Gl)|B?Ntgd=EaPTU-s(gTCSYRFYy`f}*tYr)CAsUWrF&Z5@^pEy;Las# zfD$qIk3CP8oADH4pL_me!|mn>ZwpK&M5plMOk71PtRv3ulf<>_L7TfxPw>%0e6(p! z>|!hOZ_B*3%`Vp9v){1I){8-(y~OdW|4lDX6aON%qvXlD3hp|~HzB+Fk1<&C?2H@^ z3y1zRezgPKmtWk8-t)<5(>&P4PE)5tYD-`H0r4ui<6|wqbJgYV$vGc-Z|veHhRNQ{ zuGZyfG;aR^$3x_e6=+BMtvqhWLkg)pGT=jAjs=%pyDiGKq0e2(0U>~?eDyvw_hWsC zs@Df)we`WtZ%=3IPrM&rk&`~h#`;cUcB9XjTDTEaMj*hS4Ud;~s#IPd=Z)xQtIcjUw>$BuAN&9eIEhhqOC_Ahe{90>w`MefxA+aB>Rcd~<;q@d8%9JxE(pVubOL4#{F5q+B{ zFGURsuH`bzm4>^0aSKS(;v#gRBRgbK2XF@qFf?M3@c>h`Zey6N z)E=P}MZRW-{!Kb}l5II}>6?BOiE`fKxA|3+w4*0Cf24mD?_z8CG?^^??=<@iqtAJW z@ZB6fHZ_Xy{OVJ~G-Gw%!~OFxsAIQQ!&=GW$@Jl!*>*3F>uFd@(splPN|N!s(EQsu zj?wDCYN<+#UlwPP)5r^}h-nlxP0(-Z610g%a>-NIbO}u+``$gj_byE%)FlMiV&Lo(0J$8f#m?lpMV_#Cx-5hoG2cmFxu}Uw8ZFJxzq@exGn;6WI z_p+DXQpo$nopY9__-f}HC+VH#$LmGyC|B;yAs>eJR1T zcp))beJ}lm?5`r5pK4B(p-ngl2$CuCPn^oiYY^a0Ita)S0UGO9`GavW2epfIR&9@W zbJ^qh*uwMnfNGp5UBHiP5qz>1*=B#uh4}TJo*x$?9*aj2ge<<77jx}^yqvp9yProx zxpQE$l~P-Z6hYNH_Xdt+1-!|i(SY6Dxbv}btao@B-tsJT01MZ6#N^Za;P0d6;?W?4 z3zjGonXuGd|15V0T$u0qYpK5NT6RKKg^OtwDO=3{5m|WGfl{ zC(quvTlcQEM4f0eoFRZ)7rEkJvi5Lp{nWF@3~V{W{XVYf@xxxVR}qDOvJr!}uTMJ1 zz0Nad}n(g&)LfA&#e^ zcm1gd_E=*u@{0xX3(%auZ=X@XPq(0mTPrvP#C>1={^VM4S3!>kJKg zcYzH#1Qx$1cANqy0h|zWoC0yzJ~)RW4awyDeg0)xnh0`^w>R&_blx4_&2sq*)WoI@ z!N@`)B!reWWi~Hmx-Mm4mojsgGWHz5{SrMZ^{-_IBWfwm(-SCdK--_$Kd*X>1lSG- zdi^{OK8ZT|73<$Ai#Vs@x?559fOWsqM1OZ$aofb+WNV@f|D^=K^Sk&+CTlxp7Z`J8BdN9 z9E|>pU^#jIbkX%Xf_Wrwf7a`?rK}H@(zvkgi!EWeMRK;MtTPrlJzvaseOND^1&)xc zccU>=O)Aup5>ARb80viy6m?)<{%KX;tE2Z}VANwepeH_8}WnVT=s>ix!eK()n+UG z-5j4;_$0e0Db8!tP|!?r%=C7PIe1<~FZ$m;(&+?ym+F#bfemVOLImD=S{9cl9+xXS zaw^PXf|@oZX0M={kh{IxOS3oSXAYUA{TH8$k8HtFW;Y1Jve-S1V$Qnvw(zCqLYno9 ze%BjSrlY+Li>Ain*lyRllGtEu@bz^Q%qDZ;Ov<2X|Lyg~))8pA1cGpe7d3PpQRoUI zPglAFWKumsXg7iyx(jRF27=toRjtD@(GSXW()l1cFvd}cO15TewPT|asKt;QtTVH z{m%%D+XztmKU8StQY$$<3Zfp2jYJ%uq%Ov)EP!1)0oE8|C$+p+JDPWpxaT~v!)j?? zAHtISeoZdNX}o~5JxE6*zw_CYmPnmGi}cYTdX>k=K5Fy>dB#dJ?Q{)w^n(Nq~*$xtOBIMbbr} z62EfkSohL#YN!2)zH0nS?yB;l$L-QZ=4M3M3iR`8OFMu$CKNCWbJ3w_QG_lgAI(rA*0xJT5;cP9WeIRKDn>~3!@ARKuWKdaU`FhzHmVbl%1J6W?B zKvf=SFw^X8pucqba^(=Ws_1@oy=jw5SyVe5p}c>#_}v}Ljg~5#_*>)8E@M15+HS$o zpr)Toqf-x^a;Chd+gx%GZbc|xPEvm42Y3=)YO{QvN7GCGWC@1jl0_YljU_*ACcI=W z%u#&VK;+22&uq?Fm}6|0fw||pz0YtExM)VfFXPsTytuiVwz5jqglY(yfuJclBHm8n z8rL;TB+3hJ?Llr;aUTs6wvKZf~(L6E*Upnp38Gf z-|5z_ru*k>gpmSE(R47-PCnA<`nIOl2Hv);lXbdrM&nQz8TR-K?~RKj?MKq{b!LqX z`;iXoze$(u!#iP4EVUFahmvvq3t@z=i{pD0B!u|GUWiLkyal2LWBYx|=_!Kr=6SjoB8|(q-RSU-P!NdMXN#k8fNh2?^ zZzqFN!u@=ud-(JWWYT%-10Ps_OO z?uqItBi0ddK{8mQlFK;=^n;2*ze}RoUEY{t>DgSX_7@8D;G;&nD>s>^{Ul?(rXAGhSRDOnZ>Ur7aotfp-^0UH`=+t!|#po38>3z(6t)Io`UWSk@JPb-v(kX$*Wk*Gwv|^!5y+0W=)3+$$*2 zMo#)YWhRXa^0%U z)WLBKB@!AXH$~X$?{ocw8rAj!S=`H=lrAjWxphp3RRG+eNeD#+=zsq-JvLbf5k*I`jM+uznxIakyqUcsF41oO7p7lWkgZ=RNl{`a-7=Yv=dDd zuVE#wz{i#XSvvlyrsPW+Wl(hjMdFw&e}99#be*rJ#;P&I#4(Sf{b}7hF%p52a}da? zOOGvgrVWm@&3sOODIbQb+o{?2L$Gj0;)Z-q1_rZO&PK-WAjkQRM97g4NhDMT36(-Z zrIDa);)GV##p8SaWtyh>>n%;};xPOVRhb(+oaVc&CA}J{iGQ*2GTZiLzS37mL z=L@+xn+%)hIg*~Z7D9fa85&{7#0QacZrz$8e!IpoieD-+xf7@-M;Y?M481)`5!QB-L!i@si6pGaBhJ>Fi@AOxnEVCjC zsMI9tl&>$(x|z6TRdP`r`~c?sL-B675Vwe7i(H!kc7i_Yvn_2;RsSN;6wKc;{Lqn{5!?TUp2&AEV@daisV>PO_ga%H*p7D`US1$y=_LPMLn< zm>)GdL@p$oPBj=7EJl|gnaNkteT@w11N|@l$?}7ha^J@kImuGno|S*h#5pSuPL9>s zZ`{v>F>o?TtIiUjJA+-czd`X>>3|Y(Or3Z;tBOe+PKB<>0MHh>sm!pp|7xNWL|@xA#ewYmS$~Pm zwel?4$&N39MbDvgQX!an9HyKs93iv0iybq}h8gz>x+^aUn6lJrb^aT!I}$c&CO?4k zlob~*yL?}qyy)vuSrF;+B`8v|qtY|TE%{H3>@mo|%;*~w=^Eo?Rg?`;SYJ!tbds=iXygY#O+O8b+uav%S;GY%5EwR9V4^&Vdy(v>&Ci@jIfd0oZTsu0Q?1%>Ma- z5MSY>Q$aqQiSG^2%XI|SK@ZAh3j3F4C1Sy8?{7b8Tzb{-qd3f>?UrLt7*H5f?Y3IH zs^<3)mc~)1fa=Ba<1P`;@%w}PGj7#eQk;V}YT25A&5aymw^~w6h zpUv^XDF|Uey?XWw(=yn!^r{JU7!gvkAD$9-vRL-RLAT4PTVyKmf*+*nYgw8SBUzY3 z{c_KwwZORRr$vRKFMXbo7Ap9(yNj4t^sWGy@Y)TExCt+d&qgzS>i>f zOSMWMf>(xfQjiri^ZlAyQCLyuu0H>DKC?%e()|@bEcjkTaVw3cyQfD}@Vc7+vWpH7 zK@EWDSV0enOn-{yfY{A{876KFyup?{!XEcDb&bqBF#ecpi8Ah=$`8H9&&(`T-Od5p zx*}mGSgpVnOJCjRCgSSidGwOaHpJ=4Tf=q2qvw*qIN?P7>$;crX5Ejc-tk)^@1Gc^ zkj%b{8`WC((H4RHxbp&rC2$mA{PFM!FtWgXe*X&+0B}eFV7Ujmd$1whPv4 ze_E<9zK2NPMoet))qjiZS(pr{@d`N$BfzFH#^Ri6nYGOp(jy{;K&^N530DUKf?^w;b->lXq;$HXxL~aSWBcIl(8r_ zLsw^-Y`^W5t6P32LV}zWCy}W<`MbzrGNlXTR#-j^Y4=45j;xC+Kp+=-m5`9b^?W2W zNqxK;$`6K}Y;9?6_Ct`@&6*y3TBP~0ApS;1C&=yj8AVp}I~69-hDgoRyS;1C_}mkpQXOO^HyHthd{U7Yks+oxO4ZNMm}o$8uz07Amf23* zO4pgg$5UIz19T(J;w|L`h<1Den(E^H5|k*0%v1;o*@ zX7I+mi^j0u4d42gziew1C(annC-|hs?&Yi~oEa$exy=5w)#S)Zij|_>`ghf=pe;JP z|2Xr;)x8eQIU?R zF9bc)UwK>~xOgrISiVw;K+#8={q#%}y;UbFf$gFo0VrMzjKBiPI9LF?7V+Zi@vN-G zs^sfbQ+*1g70=!p(!vOQ2R$eZN7f`?oFH%9=E4xykoi#xB*l+%Xof5a`Sb;~AO7VT zyPxBQ8(2=KSxw~howSsS-ZreMxs+Lp@vAUydUgSI!S}Xt`zn1rIr9YIRtkBU)T_I9walXjBiY{{~J` z{2?ZTEZyWCpS){HI5{sMX2~w7n`M`lR5_~=vL}2GI}K+vQaEWl*(yne#2kU35ajty ze*hA3eOHo-yy=c%L%TYezoLMgx!mzV5eHB$5CXQ=ew4a4jO^~Vu?=v)od+Ufs@#Ws zIey?UwxzvrHbq^_x0wOIq+M%wGXpB6U1#<(1G=PLulF+pW~9lDuj-gSAMRxg8#_^C zpzi9megbz8=7!UwT#Oo}@rz(id@1*WIdjTV-~o@q8k^spMegD+bTX3?%_DBKaSeIJTl-HpIt zB`ku1pYQQmcI$!%Q^$HF?8z=o!H~tx7m%Mn{1#mq2(UUV`C`b?bZf}_<50@f!>LET zW{SE`cR!iKRrAS!B?U&u@7kQCwr()=o6!@lZ}9%(xX4nX%gNTnp!c`%Kkz5>*TD37 zUiCcdiD^L=gRrbRqdzUHjPIOjlN1h_vEn#T9^HoFUNf*V8~s<+9_E5XK#xJ9h6&i9{_v4fH>HaI^trdp-Y>x~S@-CI1 zA;+B>cC&m`)^MA&4oB!9*d$hRK) z^I_~QsjJuQ)-pOYg;n)7N`%_|l6Xmfbs6LE!_2?Ir&9nv)U6%&2gR{TjRh6eP?)p7AeruSU*DTH(>OE%Yz_rbTH)-htgxCz?FqM8l*9x!uso z`ZNS%*Okg9bIE|cW;}M2$kfjjP`DCwur9?8Yku4vWo-r zOOH@@7x22Qu%QO`9h6Gyv@am9dvbrHU3Wp!c$4C-q;5GmSZ!sT!)0zNm1DpmWeNH0 za;JT7BEP80w2r=#lU_$pLS}+35D|NEyEPxQM@GdXT-jYDUQJER1To&9ro<2Bb#gIjj{+)c(!jRT!f1j_Rg8S4}0Gv})xw@(e9 z8=CA#Kdzj9S5ed(mS|IG6*w571KwY-x;n`kOz3nM`cyCO_W_Y8O ztdLm|v7nQ09Hl*Gw}m6we0Mgj6(g5Bw){ns2YLQ}nv)#@_riq9>R#W|sz%BrPoE38 zr)sH0m!K|Y>7-nfe@bFqD7GhXlgDyz!=Z_Hs-K-F&{ART#$5t?Xbs;e>zXo#-#B^H z)2^>9dREr0WQf**=R(k6$w5BeDOqt-gC^Q#TI_EXsJ(W5ncO+NfLHi!NtRx{HaA@v zn4(IV=)*5IGp6`uNN3mQ%bFwk?k_e&P`uQBnZy0X4eq)-3VBYSjPTLqoy2xMQ3P#w zD!|i9Dt6F@6B;h+Q$0rL{a~$YvuML_mQ%&Z!B&%nLMopjz-H2WfzN#W^OMr zJ}Z89gQ^)H`Je;yrs+*qOqJbUewT4&Bjj56b9$pdV3n$iaiWhyck5n!%XBZo0Z|X* zD-dN3kwQNhavwWWNo-vJgEv{q-a|t29RyxYwQ5ySh=2~c?l9b{flx4093{;06Xtrc z_4|_Qfl}m6A(fc^9tk%wisTM&T-P5lLntN~+Bylb?gpk{`8-f6gpw$|vz8N|a7&kde^$)A(8;$?-WN+QTK3m3uwOE1b(PM5c!34&e(*IW`irbBXy7Yc%fX-GuLevVdK& zz0BY83v&B=);^s#(FGUUe$-u21OW|TMO?NjaFzCFf{%*}81#D3G^2n;}(lTVX+S?@BsyOd%W+^uvZGM@^%AaK%827xS_)qLy3^y>NV$d{eFS~C6fw&Qw!xOR>w}~+ zbs{eIu9o>5Jzy^qZJ$5nzYFmlJVTIpwcW~4p&vo_c}~J@YHiSO898JY1sA&5sTJ&p zH59xXvL6B0%J0RkBEQQLl<}cvgkMA5SVo;-F2@Z0LT2wX@aVF)NC($f0||*H=8u?x z=BJK+KQX|aldfE?D$NcUB&z+@W_599fL6*tPhx5itYitqaLVFR_}ooOeE4qS(&1

    CvlPiL#>o)S5!Z`UHyxgR5@d6%E)|lv^lQUsOf`GY5Mn zG|6hSQ{&S2a|t=g_JHSZLSrkmCm73NUthU`Y_>X8Kudlfu){P_qhr(TdgLAlOqP7- zZ0F|~vUZX8>(_yy8>q*&pYv#VZ0M(h2gvPV(NREb<;HrSNOSJ4<};V{nSOvygHEDO zXQ>1|$bJedBU_$}~T9bWjMk659@3t^Yk z-$JH->n@=Zd@+75$NTD+^CzJb&+n_2Zq}ABnfZpUK0CBuTuH^K6G+(CUyJ4hXC7%u z9I!i^7(}B&8k?KoCpT*WM;mq}%2mUg7C50&k9)jarF7Cd4PICX1^2%hOB>-Ek;UZb zs^FLXt9_Ipo(s8MpULA!j7+d z7dgXA$SKH=$gRi;!i6bm@coh$ac$*UF2y=$Xz=t>6+^&(DN+~`u{|V}{%KVOYwpFK zPfRi2uavY>%W@c_god>-N{$asC6y}dMXu=k&mC58mL}h0S2FB!?vSJU>A-oXz+eNN zFXqEG)CW2~b1GzjX!`c&eeg?7YvSoO{~u~SAgkvYP8=p=5D#~?E((NK)f6|?!Gvoo z_xQmCq~yZep?Wb7vwn}ESz&L*%pvW5y2xQ0xGrV3`#Y}`d0!U_a{x1U;x1qw8oM`F zK>swCEBs)DT*~w9W{#CdiT6v>G4kY9?%ykOF?nB-6)QdDM`2<`=DTt3nu)4ZmvfC zb`++%vlfYLzT?PP>_+_)6eccYi)PaHtb~jStzW}*Fu7NUPEa#qZYs#-3MQdR|9&i* z+QbGT7+v#XczXp8Dgr@Kf8E;4(Y|m+=0Ce6TL{75OYOYm8|;$VO*OntFgp`ZU@=&6W_?t)FP({OI5uG;C$WX(CM9vmS&O?g)1*G zr!v7T3|obG_h|B*IWIdvjGa$1e@91N3OmZw)fs`v&Pssw9nCtLN*v$u%@==2rYMFQ z@qD)V>AU*w>8!H4w-#jBG5roz-Md7QTTNEMTgxNW*8k@#iR1RxhkZw8CC*RhQO+a? z*U>f?CvEN{m4^=2#Hzanm=aBeD_P^1jrOBnu~>Y^V{o)=R3PA8d?VD|De<-Or&%fC zj6|MNtAEo^H9YgbM9QqEwkR2kZ#}U>920-@GS4Lw9!L*J6BDjf%lpoY<5~z8D;<%UjtT8f zfHcKLW6&Kp-gm?rvwR8+m(tvA4x!RZ@1d-%B$;F4H$I1B>wRIPHf(Ann*Zo=PVr545i6Vg?QW+9jGMo^I(%K#$qouoYa6kJdh_h^Hbz}6x3xO5rf0=~UD zYrjeb{Su6+VdBMdw_ZM7?{_oOY<{zZ!twD^C|j2Y0iVyQ!ewr*$9`u-(O*2V>be(M zH*P!2;I-P)8^f}qe?D;2y=)}fUHtU6;)#tLtoLGvhPC+6vQ+AwkA)zS`OEi%ma?XW z4HK#=TP0k-^~hwL6m%EA>SEr9SxMw5)wtc$)a)YkcQYd;lkJ~kCC?pAzSt9^mgCKP z6GRg&bdnkQE=BQ0=l$}54p;d{&8A$BdIxq$irR-tKoIw9I2hx0PILANtbe|HSj%m> zaJ}Ye8F6>FHeLg2hpcmyWz|6Kr^bu8}Zl67D46vr&89PddZjIN^MH z2`PahlA!DBAjGjps7|^(270uvYOX!7O=R!}&p}Dv0AnzNHr7)bD?Q{-&ZJa#amqoo zBhBYbzlz9~1|G6L>tT46KJf5Gb3oyOA_cbF?KLH5?HB(SCE2{U+s=qlfQXln5VGol6N`3q7?)~+zRmT&h@07 zmv*+09gvtU_Up^rD<<2kz3E0b(~fy{@QmB;MKEuvNQCq6(kq_i(`x)`TeV3e+pW;} zpd!rTaM$p`qp-+!$8l}EV#Jxc^UM=_c)P4QBpxG`p`vsniux8}3iG=XBT zvEgjI3Whdh+#Qh3FE5oL56HTgmu%qkJeCl*Zn)KvGmro&7(cLbsD~Fx*FYytt`UT< z=g9i^DSv-`nn<+}8x9(~F-=ND>A!wwtFab&B7XU^`+R;lhne|Ox3$ByOk4N;$f3X3 ziYw<)g<|!2Zr`_!x)thrjK+>%UN?({IG6(spMR*iSk5dLMQ{0Moi3CrrjW$+*|p2N zSgO(uV=DNB45PTwR-L-9u4aAfj`nK$xfjP?8Y@5DL%hi_83Ur<;GO;x2^4yq<}_Qs z8V0*F<#0^zKbkq{cc#&JW*u!i2uh!7TrhKbTGgee)xblm?&s~2g_GcHB`e;-j`aQc zDL54Kl6jJHYLL!uB_|*+aHaR19mjVXyUt=(-gtV)=!;L>ERRGr$_fV1L>oR#GuPK> zB+P5zfVG#%G$J0bmO&FAu(Ak#(e(|BvHBV^q7ymbmDd^sP}5J02riglUx@PcVFy6_ zW?ogV6BX&p#s0}+<7R2sMDg0?do~@#4-8id6od#WXy_}UmdGcMQRHI)mTT2G0{&*U zI!{#d$9L*HFMlzUKKEPkQ~{#vlht_nPKJAA-wKuNBH748evj_dXXt4c&@Xy>1Zl%R z)ug3Pz`U9X?d12+V7dchMHJh?EWi+CCzvINy3qVj)Bix0uE?(EX1UQ)S|PyH)jiUR ze>BYIU@kx4)@&Ydk!kum#dVw9PrUD9#A3dq6kW$|kr|KqUSu0vOINUAQlg`(gl(0i zex_PJgh<;cN|2so|9*x;X+~3yq>?32(Qp<7ak!7c5iLq_?5i< zN^LX3>pU(>yH2OGB`biV_Iw02cAYAXD{}qrB>gKBwL|myy0mZrjm9szoXEQlo!*bl z>x~XX?|C%*Q>9}+&F`=lI@Du$CHGmolw~DIU~3e-Xg7)ZR??cq#!a>Y&&Q#O%qxx}bXD2%4k9n#qnYw;aL3q;lO0-3C8sJo@)9?ds#%ph4K#WQU z29;)!lR(j0)9!4near+q-vYDXsp zhA1c(Et=wt44l9WYAh4+rM&6moRv;6k73JGx|CW=#fS1!(y=Lvw9p48b~!&ig`0%9gQTWF8#;V3JNAn)`wgaejMEK;Q9u=j@zS;HTk)V6d%Dp` zdulcL3SbUCxe(Q4QN>N(VJUMrLhRsbw|Oqg6hkzhcJW*0jBCpZ0E256>>n*9s+R~LT^49o8`^<^6z z-VYZBz<^J1pTl6B^$PF|>K{1)hWD+2zkt8C{0*0GhSa*8I*NBT(k8{cS5l_>{G_i^ zXesSH+;*WgH+0IV4F4XOZPN{krC6ckTWh(nEazQjuXnJ2q=_)|)li`G~w z?F5s{qSD?bUmSjwz#7fD|_r&b7Y{K&xYq^ok|5(`MRGDo%XZX2owANnD_!T$x}qtBuHyHLbHripx=b6~iNGJ}#YzH((>b5-R{(gQ z1;(z_D$ssUu_p=xTHTs7ppF}SES?ZqcP;U^$FCyp`0n{j*~k4wkXkZp%Dh*YaFH}i zc6#pQD{AXC&LA~BUB-OYOf0ntZX$UcM$_aFu&;2D*G@dYbo?*nPJYw`04LRB8kX5u zp)2JpSzaiho5+nHcokkKXNNSHg)_^8ekg3Xm?4q%M4PBF^5X6C;wEv#g#ZDk>gN*# zEQP@=yYJStCxEUDT?F$_@px<*rRKZA_6rT}i{(dCZ{MJf^dys!LE*-0#Fj4qiJ81kB^@z4w`d7*kmzmxeZP#+@xD@lJ*)(pt zZ^!}$CTbPPf(QSFc-1lcc4#LfPixu2THeVZcWd#bf zuexDM=#G@WL8S?^UIEzO+P|0^Ejx=baKp)tI>t_<>Bx>U|C@a_UUAP9AQD91q@szU ztYjq;r2m^`EZ5pYb=3N^ZZXdqH0qa`kBVJ47V&NyQXN>1juH+z_u(4jaDN?~x6IK3 zxA83lHmhR=341>KxC6>LsQya;pXx>3TwHn!cu$pLx-)NB%p(ao#4r-yh+v3IVk!d! z)I;jvaZG(?KH5Wvvk2WSN}8;Iv^I^SjSp`qUq{6IztLA8{IH&BUJ&n(%Y(_a^H}OP zkV0+EG9mdnDBfRj)3tEDa*J2qb|Xq$6D;4`Dnr*f%weWc-`5h{kJ=^ zCU(*POY~#fuVUJtXA1d)DdZ(~5Ik+>{|+=9-rGLum#v<6+A|)^wV;oWcnST&?e)kJ+m*57{yr8i0*?K@Xe#KUs0{a0u`c9gJH1NoYo~M{2S(?-z&CMt0xQ2Yhp4#%ku7 zg-dx0I-yGWw7Q2#+T*f^=(xsC-C76N1&i?L8T|k$#~FQ<#C_8!4U6&uDaWebVvgC# zw+(ZD88JC#wWI!mxy7`_V5(n7=;vUsW~PxV;R~=FSW6N34#9tjL;`zjv5@NbrQS

    frrQ;Y*s&f|Y2ba(ym2-jSj4t^m^^F2-!9?J;x75q@u7@%wvO~%zhXFA*AD>Ut;X2_aO zKPpi9vWjTbS5l$#G1fZ$7b%mN$}K;;W&%Scrh$CTIHe}$0@szKqkGfPji#!rtIm&g zKjBCMd@)VcH!goEv2t&?#IC=qvDlFan|AUPIp^TTbCEfSPzoU#jOcK%QH$Xi8n++z5@PPFxs z&GgvK^#;xLq#X6iEcC=I^;RtPT&(nPto8D&^#pA6W^D@CXI0OLj0FnkYjXsC+!xf3+YfbsaY0dn5|50jInsN7$$| zXl~~V58OD1;@~_Z*`sni{4e9z`Q_Y_P}p2uat>4y@qHwmOOjC0b{2W>>$O5uSBQ$*w4Q*X%7Rhf=ZD2ih2{`lK(8%=l~b^- zMc*E7& zZAECSek8=lg27u$t(h4}G0@T4+x{hTx(IbXuE-Z)rB`D)IZ{s%K zwjPJ5C*2p^B$@X(B1=>?iP18r7B@6%C9UHad7- zqs~a|nO?Fa3F(K&X*(Y_IWjRwIWrCe|dxWD4;o5NSux(1}^bH3=W^ zPI_I9K6>0{?5x9E$DE>1B!iC0z8{o50t#oIgWm~wn26zsPkaINyMMOHn+Vj)?ABb&!Al*V@FUh(=Ec&{NB(wT!h zP{HHD{PuHE%GYjKp9PQk!0$eW5=)dYhDmK3EE?HtV>Q%o*Lq8}7PoYK^w)bMI&1cr zQb2qMkA;*d$uU&~O5$|Xr(&ygfI4qx@xGJARD7Vx1 zZ*g?|(v0$IjBJ#i%oR4hCCK(o8|)#L7|LsX{=m*3%l~`A8Q36X}t;8^|2%UWJJz7a=gIc>9t~2@d(v$uB|zO zU52?Q5l@l1lDcNqC%$^bvW|oT!^4^lVaw*>z=ntoZtt7Huc&!VsNe5r#=K1z+uAy^ zIe1<)sDD@|_jrIe7S76hlK*TQHU5S z5O8g9#%^SEd=noI&*&z@d?5pRuC}p6jBM*Gb$q$jv;u|&1Yfdfq(TnIW{v8X>wU#B4zIR!2@m?*<8qbWQd4iq3IuGPh_AW zxs^dP;o5$6R}jOAJr)TUsSf_E^4DN}?v1`6;|}>?eZSO3$u-%Fy1c=>>_oL7kfmvM zviiut#|res$!wdKV_&+mIR%dFB;dtPnetGo$w3}@%x0>|Gah-fMyje=9@c}gDGKR= z5B}BQxpr)O6BR!T7KeQ1oa>kTBBy&c2NOK^h<&Dbt>GubV6LLG zhkl@7+$pF|;3|~9jO(hBj*aiS>!vU%cqDqDnwzv>P$-)E@jx{tqS|8)&PzGjp{&&_ zm#GDvoVLX^QLvL~?DE|v)wZ~f`$}SHIOU%aU!`MaXpsx34*@kOzK)|t$55Bqq#3XD z{Itvg@|;Yri~bLv?eDCb)P(C;zjW%GzZXmSzPaHbj3c%yu=1sqrwBWk5Sl}&ff>fV z)~ncluHA+9+Sjx0h>icb+#?L)x{2@k3=f&&cGq)@z2`%WJHO-e;SPMq2lm~+Jve{g z@B4v4@~Bf%H1uPW9vkJe@YbE*zwNE9xe1rBu^yrY1o@!!wf@-FF6A>7RVMR}Ch(8c z-W6$`2=&e*X=1zn!hH74K0teyt@VRP2xPP_^V9M?FeSg8B*Wn!lFjD!CHk3 zC)0E3(51Xlp6*F&hO^lG4W6h{9<=Lg)^S#;q&=5c*Pq9WUT5}bSbRM(FjQq z^nIVOsDE5NF1x`jcyK|l`O(s1Zj8U$3j;~bD~kQ9(^5JWnpr3LAf zLw86^OGzn>VEuD^zx&?ryZ5{IfBye@)>*UG?Adc>uUTtm&Fq=k;|t#2t^BRZhi!tF zD*<89=MSP23sy5OO!gk>w@#<59IDQ@eDJJ3jMHy@Abn3ID)V`JkXV#g`w7z~1C<*1 zq-3Zqca;l0o@O8U$DgY46cVGeRKebikS$-dm~RT-yx>Iahiu^;WzfT2H}n{F?RXjI zC{MRVbZY8r-O|1IE1a@%XQ83^#`apGwS`ER!)VdV4eGNr>hA~fs~&|F%jFf^okOF6 zetm(y*PSegdZ%YJvwam1c7(6H%{W6vos%WY*+ z_+6gc_D*aw6;7z0Ba*Ik29wai>Y-s|7Ebpn=P4vkx5HCP1#7jlC9KA9)qjc-Eo&B; z^1N6kAwM9l?RoC~?Q4In7UBjj#(F)(1q@? z$15i6Z`5JO!P!B<2tIcSEX?PlLyVv?xR)ZR1$K;Sihq*!x7sL7$R9H-2A!j&WlKN> z7k_rBy+U+HI^cW#dADCc8lloGDD=*=y0($ zv1}Kb@vCwZ#hc!hKGZE#>a(DD-!5yvtc)2+4>Fx)8R{w#>9Qg&H3eXYpb%1^P99_yUl=$PGjNMqtnh>*nE&*`}yXQ*Fdvv2ci z4D=Srd>iTRH61Tl5S%|^?T;>BFT6^JANuk@vLtfWxm0EQqkW`aQN%}kTMZ;m)I{QD zt!S~!BGaxok#xG^wGcZg>=HNT^jgm8Lj2#r;VMzidhkLdnQnU$%X$mu_sXFP=Hsl# z_3EU>&t{u(>GB@tQuiEa}vQ!LQQj(Wch*_<+^%& zT{=N9PFtw13RG;~T9Q;=&UzMR9|dzQQm;<Ns|9!hdJwPJp>6(19uFUL${4f`l2> zbf%|KMtH`Zm0Mq+P$v^8Ia4|=wR+0!QEB}Y8ufTh_c1giHyCUSb{3#U;#+S8g<=W1 z=dq==dKIfYGcT*qkEsVtV6jS}Tp5=^j1WVAEV@FCTs2t`0@v^YE0kP4SOC(=SMdP$ zaeBShD*508Q|1bl1JQn99d@nj?f3=dXqIV)kRnFv_; zvL7}k*Vc|a)L>&6g&JQEs)pOgEsY*ZyZ;NKIJxpoJnH3FdiVEUWzVeVuy#r)q3nW9 zV?nYREe@#o!=nLsFb(T3CMeJLrqi|c<|WESn*@Ve-V48UV;|xnfQdS-)T0)WFze!r z9+;BghOM_T<74{FCpODpQigTf9_15W)a1gy-Y35d{ja-!G) z_*PgyO^_0G^%=Am1vT9h`d}>-9G57Se%>*YV-cfn(0!~S8p|P|iG4d=>oL@J;Z;J2 zY)=UpIX;z0aTTm2;G9fb3(tBh?@hXv8OTq%?-en~;4_D{87WYi$3xdM_QMiKTIi2A z$f{in^u=hovxWMz^b{cY;?eA$<+Z9$aPK1+S~yt9wOw&B@iK4e`O{azV$2ah*y?yY zofCsf6?ZIWjhgh(E1c{1l`7ulni+WVRgO{U9pfGRD6YmVeJxPPAB%^8QPvrd;Oi}n zmNsW-PQ9w=xyU~;!qY*IpC68AsuvyE@T0Emy3vHEl-1nPHK^rmsBUOv8Zn2}gmApU z2-PWxqX5QOJIx%V%A?(!2@p2!awQinRhWnmhGU2 z(v(P$;k&2XYeNTXsh=J8)0I%&0gwGGozn!QJ%SH^09n)zI3FQSdS1;JiWc%%Pl3iU z-tq-F@uBA`qZsUr!J@J85*(LmR>=9v&fcv}uT)4>cpX_g*<1Hh14RqGG^!xYjFX{*2K1o9UZ==nV3|&EBkZAaWWtNo++fsOe6cbzF5k6 zjkElfA4JsObt3#(&mLUrC)}^M=R6y$$)ep=xi9v&2zyuiDJA}6a9Rka;MxFWvmAe? zp%11}>g&W0skbpR1_lEog1+UsbN0~!JkYZsUuAxvCYEW2MMRj3qf7zNLA~aWn$0O4 z$E>s6IS1k2XYkCmcs$pdna)3jn9(?~3Z%l*#*9eQYw_D0^_seLFiA57L%6g8wtVtb zJhKX8nHsb&VT$Dqaa1wua(w-L=5eu)-`7h8(6TCTji8hb`ctqDBqU*pJf5TPxZ{q| z61*%+$1o%5Hx-)2M!y{OdtIsP$CRVujA{OtINF-{6U0~ok#n?vP3P}*f1WiaohM(k zD9747uDf}p@K#Rx(1(9qxIlNOuw(e@lb_Q=?u_e4Q=A88abD4u;i&!$_xQ={k!JLb zwhU`NTTumdQKr6WW=0kJb#X^AE|bDDJY5SY4Pu!oW=^x-%anVo|NVS$QqmLQd^ zN{@SBQPjge>u1UK)=K4|Z{tj{s{tQMEQ8A=HQuvURQPyfp?BqLZM-q$9R4PaJl9Rn zUdlk40qqt3sKR0S-(j1@Nd^1Hc{f$FFv z@Qyn3V`#h-Lg*BIdXQ9|`n|_2Y_}XSt{a-uCg)vEd}zYB&>~mk5f0nMMU0b&*p}aD zLoeRICYuczL0g$l9s(@#Kgg9zVsy}4bKb^JU9h9!jsIdowbCNg>S6bE_rvG$;;9vG z3iMD7>lOw`oMkFr2tw>{l2Y83rp^THF$?~n2Q=5(02m^B2Zo?KgW<<9cUdTNB*Ejv zo5Ff`o;TUpjDS{T(*U{J`?CCo`koqktlR4^;{mObe=&px?skYmWf}c8`fePUsJA2@*x9vUm#ar*2cQx9f3C}`HNsY%g zeD|i;OrKvb+PxMHG;XnM2pC2kgcR8g<%0Yylqvz3yfp0&l-~0=@PZgkdcHJcZ z38r))Bcq!k2!oPFQvCj5UvfxX3aKa*!u7^^I2}yt^&`C%3d3=5xPWWTa117BB0^IZ zBk$lJ34Wgp$q%rmH-gr?2>PwY<&Nbp!6xe#J&;0>&XR5dLYVoBMdWuMwg8c@Yrrp; zkrFP2K63_r2_&F-SH$|B0&%ya9L~;{&>HIzO(?cQVuM<= z1g$IjxO59cgF2c13(TIvcWMzjBS?s&nREx* zU61r`c(A7L1-jBb`=5dOVL17ylnA6U@yvk0h0|uvg1jud#ivMRd$gEYk@JnAn1ooc zv{*2~eO563&F-T6R{#QI6$}R2n1SO6oz{=p>lJuntxrs_S+qwm-PNc)io}<;N6MnoEKdnFPkeUD{p`Sn9THrOQ##% z{|g|MFf#)p;HX`Iy0;BD^Ya4_s8kJPwDVLd(sEavMsi|f!Iw~(TTn*NgHRbdXg|Jz zjcGU*yN_U~@YR%tI9i+OtRY!oUl||*h*d<8uN?S&3`=0=sZ1jYXcZip)05B^l|bI_ z;#V9Smd5njV7BJY4QTS;>69jj3U?!?&U!vy!_R*}{Y3Zz!Lw;hSnv9P^cUIGT_9^3 zes`j8ABzvH4HO~#`(8-=t$NDLyO&e$d}C)d$2jP!6|B!0r12yfT~>C;Ac#i5cQ_2UdcPq$mPB1t@TzSJbwlL;=iHz z;&ZX$1eIsQ{nAF%SGG>eg$-^m^m3iwV2_C^p4&ubbeoh!{W>JpO&=kf3Pk{h4u3~L z@f_rp8LN06uXyfiz?A+M1(?496gE-uDbxT_iG?h&9}H^1IFB}e*F)4U8?K6e+m_j-avI&n9x5k@GHLlUCb9=q#%gzqz9b=`0MrFkcz=%cDthyMSc}KzFCAN(w zS*U{Ula4J?XrK{abPvY_O+#HqKnb$uI7jbIgNGp`H(W*PY$=p{d|9SQ1x>@5UaJU| zU6hF96KSnT+QCtbkpGT7*fdS&;L$Qu9aS~((WC@BWYSnrR-<>WFgIs07+kwJR3`wt zv}-Q={k(p3LX#Q;Ev27TTpacxVhj`TcD`s25SAp+s?$oQOL#$#^7^<3Fs2Eq)Fo|! zE=l|os-ZAU*mRkmwPO00)+nmgu$quVOLU}xQL+5$SZdY=`WHAY^@lgbZFe&9i7hGD$I^aFSYk$t|8eXa5i-;pWJCc-R)%sqhz1i(Lq-Vzc>n~% zukLm-314c)ZE_=b-sChvH;A&=+X#`>sl%nfFUZtwhCwq7!|^baGjyBBD!YM-O+K;4 z0^KOqLu)T&9}R;6)9UI%cDTX7!$m`rhYrH+cM!l&&cFhGvNav>lQVt)ij1Sd9|SR= zdV@wYulxT;@D(E0gSOQGm)x2{4=C1QH!kQ4K`!3(l~y2g7|-0O=-g*K%V+Q8>Tu_-H!r5`B6sdpRV>H5`QIc=YC`9&i8c>iz ziO@!LRP*=!V~08i>ao}lh&;Ztf~U~WBkk<8qtX;N0(`0ie6l@Xb`EAGYfsk-=UN(8=3$KHsz?^o|uH`_m^M=fgXwCqTZZ27G#KojEoKq9@3 zXik9d0g%k7+6N%RvzNeVWBDGq_&<>Nk%B$Nv6r#faPZBAD3=j`m`Ydqezp;puPuBghS(JRv*6r(;SKd!P zk@d$ui=*HR{&jPD)HMI%dBFG0-KFahXQDpgNNBx5t6{6Lp8?qDX}!1dA+nfHX=@Zp z!wa^0NP1fHd4AhJ8QW|dAbOF5QSlnG&u!4rr(uJTxJ3oX0a^JRj00QS(&VZyW&AUS zlHPM-hm|?s9caAf#!W>CB^`Fns3UV4JO<)>9x)5Y3e6a{?YxYTaF~z#pkZ^A33dfk zn%1d-Oaup>E*L@uA4URl4N2BLLXuZui4AeirGlzFCDi+IT59_d5`{C}t@)iDyv z@jdlM$|8FnfAJC-7mw;0?-wU^TUZp^WhRuQ-mOG`H{U=iZ^~E2#U9aWW;Cyf@7Ymb zT>{znvoqD^pSk#8V(116H?L3Qb!Sxf4k)5WRUh02Vd4g&YpQiQ$NIeG<4ZhHS2YUv zOv3atBbll9+e^P}3C#Fvu1r{!Ch@#b6PX!Ijl2uu*{@W^4%^wi)sgt>Arg=6)|?dx z<@Jn*2rp0r3HNm519F|(F9pYlSCXAmL85L%7)uc=$@f$I=SSxZe%Awf&#RvOKG@xD zdcF4E|N7hZ>#rfEauUCh%e;5)-P~MHTs=Ob*ipX3J1hJlhacULd^_``-AhwWFn69% z)wciaqcqN#kC`M}{>jI~%m}KtV+nSpCm-2X1oT~Ly=Zy#-7hNC0-T04rnkNw$*Mg} zRtpFp(xBixh*ghh)i5--5ts=d_Gj#ZQ*fR&phTLMj;5i}c;yS2lehv&nUeur)9GMY zS_dY%`+?@%tj2>!x;UZ+weE1&sr3E4VcXqljmOs0NOk%07^yBqr9I;xTS#Z%Hb=`u z`!#i5rYa&pb$HpSfxb|r5_ry4miY>0-|Icb z9y``>VB_>meS|OEIMN-R-|uB8!auXX8+$i`YU%pr(Cnjehv>{nFaaR*&=&K|DEF>V zs4veWQYwep4?_91dUr|)gy)9e)#vvc8NL#kG2l}B5JBazO>7BDZ6Gp0q5Fu|3_ITH zU|r6Z+c@%$oaLfK4KdZooB+7l@lHO0aO&7?aPvFEAaJwD$OO3A>SR&FvdYNsuFzb0 zxQ!ejy^y4$O?U&OplZS>D!(7o5C;^J;ea86iuwt8uZCr4WiZ6vQG<&itRJ&sT;0}D zqhb_E_N&|asaHWkajgQ7xmNO0+gGMESjopXynE+ZTB|pgLLmHZTP_zA!ISdEq(LL^ zClXLRRw+=@)*xk2=(Mlc@#luR$l^H+M^KGeD}sy`E7_>+xwB@UfQ;;UGA0cw^j_is zlJ*ao1V~2$-@1#6vAMU6M(+h?Nv>!`T$|lW6^X;cY~cry zrjI+m<@%jSUwnGD-*+_=+mvU@73J(y7Jc4uMw0o>w2dyG(#c_i5zQm)ukI{&V0bUdJ1EK9`q_@zU_T_Yk84iD3JOx6~3HsaVkkS$@Xe5AYM>`P1q zI&Ck(w}yY%9pMUOM?BVU?RhL*Q8>p=?xY}@6fL7)Ks}WMJZTqJ^iaPsV;n@D*_oak zv~<2bg?O}qXn)%nN0~>9BgD@kSe0aE#JiHP)6(;pwj%Va0j>W4XC^M&Lk>uhFMqyHU4XSlEd4FQ zR)}0{hlvtWnS%EOR-?z85R5Qtfns7hSh?v_R#~l9f{t~a82(>Ex6S^B(8wt|skqB^ zcs&+Tp|lU=NU{LWu^&TZ^H!EyaQ11Ctf%-QYUsXuCeowE+Zwt99=}zF&KdO&d;JgA z4Y2Fb)Hf!;3m1#C5+@p6!Sbz3#KQEgi+U61C5Tmw5!$|!SC5n(50NSwQnGyuJVfKb zhXgYAx|^QVvlzdpockIFcmOwu!iE6)0aqN>AXaoH4x>#4LJ5cLXK0G z_<&58{ZPT=d?OwZbdyOX_v1rU@FK}5tM<-ws`XMULB$$9o^&ARZ__APAZGnB8cl;- z3HIFZM+gwFEi`a9tk(_lstg8i>&4U$tH~QQ4a!FLo zY~&%Xm#GGbyK|n2P(Vz7|No(Z*%ljUXg3YX?pMT+DWzBsO6%xp1P@m&2#K zd<_C+vDqVZIov_accC=kfEjA=#a0`_m{C(s<44r!2m!-y8bzr??;@ER)WK_8Fc4AK zlOij#vhR?OGAhxN3@f#=ulhqugdyin%TFrZ*|p+r;^mX3>E&LCY_63@XIJ8W6tc4W!J6Z@H z0)IF?7wZDK+GqOg)jhBTgh`oh>P=MDi@{ohLT9tv1-EysA1iXgozHZNZtr0JA&-z` z$s>^XFnKo$oKppVEv2ACVoda1%JwzZ(HZQ?1al(TXdZRw`>PfRDM^~>zFzMf^E(^+ zw<@pGqdS-aEJ`k7MoD$eTXc$4E>iFmf$$6@^`nsz@Zu!_#epB0&};S1^?Ym*D~hU$ zZ1AvB0cEXy!;6PA1u!m-tbHyH6u6cg{ySYT(H`WHBF`8tDVYS}pye1L&cFw*wOH+# zKLpIKJe%bVBW}>IlEt1f$=72Dv#r)RAd(Z(2dgX@4;dk`@6Pj7o~cyZaA~bg%sMLW ze{V_csIRZSg`;UYC(MA9cucIQ)VsUZmwqp^9*B#XV3N zEjxu^ZnkIwgB~f!fa*(jt&aI(d&YKUC++#N@KUG!+^p@BmTjdZ)ii2nva+WwD@sWp z?}{$5Yb|Z*QG=TtEeTP^oJ4>)7Vcgx09E40-Ni&+P}<;9{s%Y^9C=30Tdg#Do&ldW znQu{gRf?C+d_2I5E3oz)4t(FNVtq9tHE%UhwbF$;~qNtolUqklVnU zF#VpUevNcfKyBy#r=t3G$JFKYb6J8h%hg zm%D^*Y|e7Bth8{G8M8ZAe!&WvsM6(oT7!W1ZMDSKbgQ;TUiFy|ia; zp&<>Bv_EIqi@kIvs%l?*&SsMNI>(z%GXc)QVyi{it3*ks$A{x_jj-2P0!^b{)pZic zLRj8?!Kh(Jh0wTSu1X>oU>=!V$p>{>2Q||cde3PCPgfqr8b0${u;V{iB$VX2_v4!s z;bVg`>emA}DO{eLXJXgx#m@e8Z1&YN@e@Rn_vVYWqp}Z{2qp1$GFy=T+^_8#Ad@oj zL+Y3>ifoyDnaqTo2Ggs99ATS{W#IgB_e&mD2As`x`Z~Nwp4Hpu77J8+0(50lR247= z^D6kBciIi{<{BuYOh)EL_0N1XCsyXwZPIYP5;W{d`r*6SeG?}!uQ$o>4{!x0P@MW4 zTBErY6wJ;gi<`NMQV%9mN_%^>OI=NQhz^Wsvr0GV1qdKh>i?j5`%T>J#H0PwuFrpF z;Ys7MKqaXpQ20vX6;eI01c7=Sxb6V;pq-Rz>aUj1+?`w49B@WAwwzaOMk>~wFF<3e zA|xHF{Cp~uWS3RUxjrHFB(oW)W&`J!mInK(*k{gFH$|5sIdyysMicX^F>gCkBn&+k zjNU$4rfHn3NEVCCdFAVs(DP{6=uyMM1H;&|JCYm@9J3Z|Ry;*Uho|vw+}~AAJNXmz zjmkzu`)eO^d0zL65!x5?u9e;egHC7!)e9$=0Ik50%@XORj)v4VsiuyLp;ndL%A=N} zs>gGRA;w4FJd-}nE5?{pa0Hqb{WHU|bpn*!%Mw_TgYxAvAgz$3%^%XH$6;~6}dw&ypt zbxQ43^F3}o7)kzeb6WI=ZMePFa+KO|XRGC6^aQU4oX9@s2igTe2gO17K}N&ft(uEb zBXVHiUky!5Q$I;J%~kaIOjKRii?1RJo(Kvqtahr?^DRdMfvn4~HJ~m>KusHQo%MR^ zP6IF4n7$M*ix6P7tXzO&|KO277%v+cqxJ`<_(fI`%@}p`%wgF#tinO&Z@qye^bV1d z5PpV~_UxbkY`Xf9IL{v=zR$O?Qj-PO??JBM6agoZQ@r#3nFP*S zvK(jS+%F(%N!eMbUWz{>{ir{ERn{AnAXzTo5)?@NDReFX0ybcJI-n+j*`+G_ z(7CE`IdFSbRv%*al~h*2OhFz~oNfc86x&ia$BwhxK-VVS!T9VcSjzayCY-&{$-X!W zzp;#*NnoKQb$1AsWt7SW++7i=`QjOYb|w(HMvf3^IIPBQEZg={9Aw@%Q@aq^A@S|U z03!i5Yp@o@_ZKFl@))|QIlipV@58A%zCbR!DfLC%W-li1L_$&@EORhyVX~DX3b_^9 ze`de|1!Nf@U6eLO3s15rnVqfUpl`k*=NsdiGx(XzrN9`;HEytJ1x%iiMCy^w;$|?Z zWDTeU$r^cZD?(Kq5?Zh^?Z#>;5e!Ew21m}7)(ID2iZ^d|)Qt%_--t#4>Ho&p~mfw%t*>l6;Hw7J`Ykurpp9cehkLM7L3YwWIJJyFF{j`DL z1wUrXu!HTm$krysL9{JGceIue8V1QhOf4CutOH|KUx}K;sU0PyENV(8=O^_oNq||` zC<}y&8B(FB{{+Xuvupk4n8l8nd9ErP%5?Esiy&X+C)-EWqN?}DPd?(kC}}xH$-;Zl z(sB&V#(NRldMp-;N7T`LY#WD1G}&BJ@^k}ELqWIbwt=9dp!@B%!N{0KWw)DFw9oVf zw|7H(Kn|cDBzliTZE{L%PEVeGP@3G?(|8Z?WCd}(uf%Z)Nxk?}PIRXP173hskVp(5 zURw{@EaoU|fPzMgy6#{!vezim;qjrPYC9!iP2tIQ=@@TKUCB*aHz)@4xc5X8*Bd$| zNveY-#28L`##_#}!K&u4(}vAXb|3a;u4ayAPBAiuAlPUA``9G-*DtSwqrsXa=qsnY zUn{;^CNcsH|AWmFf!oS5@68J=h34hKvpX?Q4cLD;C3l<4aQw)5)xFll^&=&udrcs! z@iqcCgCQ6o7Jxh*q=E4_%_JiPJh=c_M?Ergl}UyWkV@@5KECN@{(?u;%_qhCe#Scu z#9sV>TnHQWXJ66dr}Dq2CkAh(?pNMMF2IrU6g-<58`T~o>P6aZZY#g%u=GSs-X+y% zGT9^H03-Sg95P5|)&nxgOl~w14IvQ@InXEjiF#b1M5i)$^??Nwl4e-^8CN|iz(9^G zllJa_GzfMZf7q9MgIQ%1kgc(EHLw<$0|F=^eB=bMl>jDq$(LU^qo9zq*Nj~+yr=o3 z_r(IC^~_K$)0r@o`Q8eXpaQhetJRXUbqHpEFcIZ@WD(Y7x1#l^Bk zW8c{|8!l&9DH!d;Pm<9>O9T5K6XJKG@mXl0az^K4{j9AuK(Z?1=Zv=z@6DS1?S zv4_)ePdb0@4cpAkdDwcK>wEz?^0jJJkemHB0XhJIHC8HYmVbhxU#7YY3P0O8WutCH zZ???Zs)$coI=liIKY4slUr^`KiMQd_DjK8H-H<(ZE36mCrc1LTRJ32`PG{Fbv$>n6 zLXhnn&Lr$=%@HeAC?pz1)ofiRH`67&MqYqfU06jt>qF}hZmTi$^G%FU$d6I+*RJwEm7rlbzrC7B;as1+D^Qo*($8^LLPFcUl z_cA7@D>3^(Vz7j|!&dI5eYvtog(utiHCb7>2XhKj!?7$Lef{w_{s`HbZcn0j#IrME z=l;YE$N!0EzttPI<(rJ?6m=)8T~aB8S1oykmDX%Fbzo}32V9;J6m%z;&r&Lc=Uj?D zR9WHjR^!mR8t%}Kndxw6OJnkUizWOkum9jq=61<4eYMI`V%r$G9ksJ#PrMW4J+(-b zQHS$mk^(*YKXkwk=LH7)i<35ZXzA>m04Y+fie1%KrUt+25iYIxUC#GRv}^0HC4UMg z?hu_%$YkE1ypLx0OXfFz(KXti$W8A_%&8x!$uDXD9oXjEOR=$ztRYCfeLfLBUfzh7 zl;%(Tn3fAsIZ}I!Uvyc_hN<;^)oxAlC^m}z5lX?OV(%KsiMPTJT9W6=NV3k6PvL#! zPvYe7%NqBS(UQOa8>mY{`vbQ5KE@0ujWVB{f_s;4MK@vGcEMv=y`$0*ul+-2e=YkH zme%hbvipg?1vjE^zIiHIVkja?VEV4?&77dI0UP=za}5Z%6rM5d)~gJ8JaEud^MWXr zPaF!w)TeAVRbx)+C9JhlDHw|f9@rBf?f!`hOu!jTz)`8!U>0Iy2%ZTQN5v`H-$rLi zw9h6@lqo;qZs+{KW{uEJy2r1U3x@Br{SDLDn$+{o%nD8>k_p5OZbuSwGyM%`AkyYa zvq)r|ut-JtOIu~9s& zMNkeTP=e#G*F?L;eRz6%e_YAYtf(5hWxo z@b6pe7(a1iOw}+@DdF`Q-ioe6*NPXU!UWSU zLMFs%vg3W4iZ=?pq1jx8e`H{SDXy(xCK%`$l1@YgYUPHK3bCX(lJScsD z8C&z3gm^Q@n>d9YwU&Y54tj4$kO47qVL0b~Gz*55*Qor7el&o(5X0=1*>}T_!a%K^ z32OeaIKF%2zlub3rNG{^WEEKA*pEM{Pk;oV!{QS5qy1marXKv(ZVmkpE1w$wP$yE z<}3F0r&AARpEg|9nY=Bj@O-`PCu~}E%?&QRTdfP3eQJ1Mh_G+1JgbBWo6h;@)ntAt zC~1TC=N0H`OmUXl_BDzgZF3Yd0rUomz}mQNj<-zl6-w5XZ z279wtQFyAo7_6TJ%n~FY(D-ojLR3B)JeSaluIGOqrxgY8D!^``c+Rc}0^Vk~Smgwj zn4)Q{myhUGBmx-r&VvD?b+PjesCTaA3=`2CyyGtaY~rKJW0^B<9~fOImqHaD(I}}; zc&TTtN-blN3-%thoL{|0RaSs$l9A}MHl_yWOK(Mc)5~u^${sUv^50#)J{yr{0%+3lPFaNEIq zlo0~Y{sx+$JUgbe#fd^vGP}${tIM-?OvTK*?itdFCDA6<6QnX>wsgT(mr_CxqU>o# z4l(|Kn=4M#J-iW0jDH2q9~uYl;>?LgX(N=uGp#1$*hO>O?n(>|ylH~Cse;`YGCG?E z{Qp_qZa@74dX8`E)lgcuMs!alIgo)Qyxooo}VREUMf{?d@M{2#$wk;;_x& zOXu$plG;BK%-=EcFplQs)Vx7k=&5Ogi}qAUAaM7tVTl%E7h!o#Q?hr_JL1Ph7|#?w zJ1L|E8}U&U`5rNh)nVDK-d8zb46Qd4QaP{-tzQ)rCP8l;$0ouw-t|GnG=2|hc)@&e z7o9W#U~ppeT^i;6huC*3H{UUpGDrGAOPNVA0h%U)HV57ngkj5wk_I{7MTwVkkgMqy z!}OO++<@m^Lnmk~*TarS-ReJw!LI)qWAWTi*gjy__J$hVW4i^LGr7TdT{lU(hIzKgN!r{|`Lj#2T_uM~s2lV`GSi zA29*mcHqBe1%u`047df6hEUI)HZnDDggc8RpgFRueTkX8aYOkKHW~FFSW9^k7uqam z-2rnAAAN>c$IZA7L68evVQ5=9TNc~MY3zuFTFSYGyX6jq!NT44#{tg7c&k)0f5*=$ zfBwG5J29`Bob0P_wqh{S6wrSJ-K`1VkooMJj)E=}9T}$b^D5$Fu9H?Om5T7OHNj8-B zBh5onl+{a>Z#ap2pI?hpGYHWB6trO@o9zrs+KZAu3>BcQfYMr2 zCHwq)une$@@>{!KAR=?#|BIvnwLsMQ2a+I-$+YZ_`l^Xghnz_7=i@MUDtijUd#|$A zzdt))KIwS=#5E}D>rduTGzF96cb6@eOeHV~fmttE0;B+U4WdmV_bGmGGyONQ9NqLB4Jo=@;(*TmI<23hsuzM> zvlEqnGjsA=ZYV`;0V3HM$fbfxYbqW zN`ZpnL1+ zxSM}k$-zSgTb83RevZqj-psD>LY2Bo|D$ck2c?4)5hG)w+G(9{9UtamQX2It>l-YJ ztH`;Ws-VTn5d#)Dj8A;HJBZ~X2IL3<36G$#255Q?HyIZ+;(sSLuyg1e-l!Q>GiA@mI3(P3nZbh4n*l_`h94lUl5tFCZz*?G# zD;{>2{x;dnXz8DRBxw`1%3&|{!b1&*c|4YCVzWl4JL;^U|4b}D1^TI-9eG!3%V%zn zDZ`zDy3v2iuCCMK%JNzkLLi+g5H>X_eve8SomoMC_nU3DN*po4iVbs^?dN-M85M3p zv{~RRLHrxHm2N?%MFF{iPpo8OfI-3JqX4;JmD3EEEK|$JOi;0eh|-HGgb<^ z;10KQu%DIWjb?=i0H%|}c8;6))-s=nL;jzkA^mh};LMB4UCzRTK4tPQ#kdafT_=Uk_b z%QvzjLKor(1Y? zgA^Y&_Q)~R_Kp=|LyDjJ_!}U!B&4(|VH~t{f_gPu<;pLFd0Y0~p2VwF`zaW1zO0}# zzT?xn{*vth8F$MTjtYhvuP>(-;-y;dE1jCHmv7j}L3FfgJcu6F+62+rw1jDb!$W}$Q|AlG) zM8jwlc>UoPFY!@s& z9?$y+W3p=QW0QE1!ib-iTKa?m^ygVmu$g&#l_K^F;!DE3Y-|*4 z@gSm4XVYE4jQ8&5w#A>I?>#@?=ql$_mO{f`huYJ$(wDtz1OistH7^x*UxcNwNO-eU zvP}6h!&#%pDKTuMRX1ZpF4*hntmXbG)*db07vNcCc*o~&>h{jlk1uv4nE`}@Hv}m6+ z?-mL14mFxb4FxjZ{T`lvNMZ5r|AYB-t&B19VBRAMH#NC>mzA8G&2&UFCSi>a;`tsn z^D{*A3QuX}-!oY4s<=^6_dPeH07(i+kTvXixa@ zUsV3D!5ycL8ry?#MPokhkACo}_7CPj#%5=HC*0pb6QT8ghwB2HOn3h2SFsr-CZj^z z79|`Mg7Geg8a3H={;`oE+v=NH(q!Dqer<_~OW|S5yewN@jEqfqI4!0sgGX|5&J?%Z z|JO^ze9n3`uZa25k@#(dZq2!3yMP|Tz4L<85JRonnd0ooOMK0qw~E5Vh_AWc%+;=A z{evHZb?sFiY>0L3^B*jgkE9d-;H^Jcyub~_Bo&90AMxX)JHAjRE=!IBFU z(`GFT>%|+P6x#TpGH4H!+>0h(Cf%M$8@|~>fEIx>BzEf-qq<6U`*X|fpVVAm*9?4r z_YZ#;1+FDr?o>=86soR5H{d}Wf%Bjz3Xj(*j)cM_hLV|g8J)t%e745$GAu4Nh)hm^ zF`Br*@^F?R?(=67+y1BoxbS@*@3n^W|v_TU+taYECK!1S1qR{qbZ_R)$JS} z+YdA5^dqA1=qRk?n%7UP`$4N=mkzzDN{i-KqB%bm?2igHo9lv$?*moA_ew4oixS zaSAA$Uo@jzDwx0UhAN$3h~Xm12|$`+1nA3}-!pgLK4a~RxLNRgLF^Vhk!Eu3Ka?_& zR^KTA9Ch~IAL&>)yLKgCG4`<^+5I$jqg}*qAqgRc|MoIfc4a!R;bs_2PsbvW>+j`` zA{My2BNLX$&yU6w78iX@#8~9B#`2M*$mjlS6|>4oC+%Bi6}Q|giwPPzm9_=`Na7E? zmm`c}^|34N*o;ROYGfd~v#Fd}rR`UIO#;KwO;f)_Nd3VY_W`zQh0QY*S-bcu2W-YZ zW?tYVE*Bo5GxkYGJSNuiw~2}Di6j06UA78K)ctAOn7~kRvmr<;t9K#qC=pV*AGz@q z-Edo*f?=>cy_r}}udhCw_#Nk69*~;fiV-;QIC!9}_vMhK`~_c0VCehKAwaMme>CPeacGk?}L6aLRzwWFN4LHPt;2qDfa&YhYI9`bOz>>OsX;K z!El8KBvR10E%8GpILic_5;>Z3Vu@?+LLKVrUOqHgP zn@MTt`@icNCY|*9|s1x-34U{f~%nPB%(wxqTj6vA&ukt^3s^9IIEIzGvl+YK+;mR6M zdAJKc`(rh2hiVa_(ipxcm9WDa_r&;cQfeIS?q38U<6ne{Z{*Ifq>%n-RfIWPqEsNoh&)=t4b2}5;hTWh;AcO^1?`_;_kN05CKp_Bfqj%!{Bp2PCPl=L+c-~^T3Uiv zqd1<9lC<=L(u)Qh9lZD%CB9#2HB4{PeyJIBe8Bt7TDdhd@{6@HtUvY-Rs&d>BmD0E z&*)`3Ns`jrR4@98_s273gdg%Pu=AKynvhr8ae^@GuW;&4wJn~0eK^<0ZgQuMm_}Dc zg0lzuR0__p|amue$3 zKkxGs1#_b9YmxD;FZU{teLusK#>X? zynEj-vVQB)rv(h&EPf7!>coPixsZ(HE!z_o-+rrRGRH!p*#Tf{Ni^K zr~>+5%y316`!~wnF*Sk5z*QFf)F*LkmZ>tEOc3F%Ozsx-Msx z(K0DaesoHCswC2N^5_XPi6JTN3f2!0s%A{)#V}UBBn;qnr~OnVDZakgoMx%?`xN=+ zfx$)Zi$}>d1x@sy!T-73A6G`|?){(K^hnA6JP-9}sXU+7@@Q8RedZS4KkIDK^Pj&@ z{%&%m8}_2L8}?WAfBz^pQ`m$df&6B^TA>?%G1Wz*@0pq4eW$U>U+V6e%=;9;Sr_Ky z3AhmBH?Av{MDt^R2d32H7!1)9kN+CnAlhS z>W`~c?9p<#5Vd~yt6zO?Eq&u-~@MfcXtgM zf&_PWNdm#$T?5=9=lu7c^WX1zYTv5v>7MEBsgZuGXNHJV$H$cRz;<*q!glnQUw$LJ zC75ZSu&VUKZJ$RG#Tz}@+BLa!6s>8qrg+*O)_$g|q5nkL9o7!6jQv0d=;4YV}sPeXd11TzY$z?@STp z=l6puGfWT-Th-DK8+$O5rwhF<{v}R(z{~}?6+!F2(rnAZCHtD?_dSw2fY(Us9g2WH zMN-F7XJIL@QSVC6b+F%i6%oiSwzWRphJ*N_R#uLoe&-8!RpPQ;(Pc1G#ePy3jK&&5 zH8*Z)JbJak3qGO}vSz**tbMfc{oZ&WCd4y+u6VmW|6DDU1kG7Hb4k<=*XpnN!4*0^ zcHAHEy(vVeQP}}z0Kl#m{Awq0l#c(wuQpGT!iY?dHz#MZ+It;7QOezN&yVjsF*WbW z4A-fKuFG}&7$2F{L?ULDtM_qArNWT*UuIv{qxEgNxf;;pK2h=+TbQ}_*7bVu zfA6XR=Fac$uwEO?|`|cj{{!XVISU|7*zJt{9cNgYbwm}s3S~qlE)iY zZLy1>zUTvMf+&+=r>j=Qj>Ot@TUH$)8Y-QEXqs?Y1GKtL3O7^ju$p_ z$jL>F|JLc1mQno^(#Q7HfXlyDC8{)11D^VOJ*0+|f1&J)jc1Is^r@}i{P{QQRFh}5 zhY(@P#xUM+))>AkpY-ET(|1P75z?~YVHBER+)}K&J16>!3UplpfMR`xo45V()zW2U zIwS*@uVCzF=G1oTxRs-crTR@C=9$v2xZih}6Qm_<*SD+Xo%x-WorR*<5v6nxa9_8$ zFI=9st5F;|_Ac4wAfKoTduuridZFk?KQS-^`r>(0liv=7UtIo4jPaT(SkxNyb3u#|8mU1Rb#J*CL7Y0Z;Cdx~L+6~x3J1Pd?) zC3am_hsObk>QiMtr>;^-g*Rvn6Q%J^H@$G>)W5yh{laTOupq{M+(U6LH&M~ta!b

    X)d!v%;|5Rp4V5vT&Ibkqf8vi95Crh=j zi<)nD4kf|yY{w;^*Z6;PuWXcjIVj)46S{6uy61j3H+$Jb?b3M(z)`r!*>{v##aK3EuVOFBXe#4l=%C?ju4 z`9{CDF%|gs@d-lrw zc}j$fTj(mq$;yrRbs+jVkHzP}*bWiD3F6V#h)!A{!b15~7C$W-Y%^{$ULsQMd4Dnd zZ-p_QG?8d{r*H?TMqu1EO#&m0PjHIP*FESPGKnFj2dNusikZBxQ5Me^M{&!>mON`vHgdrYlI%&e)N~D0yIvGeE~r)(^Rw-c*)$ zQa|4%%m%Yf)+xy<&MMg|ezzO;+MF=pU&jJH{>Ce+0RMN#TpaXGTe#U`XP+A{P{JF( zH(08)>krEDs=?sYVIC)n22}>WrBR_^TGK^T2eP$+>~$O50Ep(&PZJfp5q%bTtyj8| zsv&^@HOb{U^?3^5DyM5=Lz$@-b)>%4Go^_JNtmUr4RiD)nFlkCproLR=SSc>RL)=n zJf=Mx?nfltx~YoK1C~YelB{s$R?H17E#xYare)5xuGppdwX^(&5DxmU<0)|{NMA0B zr~|sC?j7RAjH&AD;yBW6FpCR|WxOR(qeVfM`IyqV{+y~YR4QF*r3jr}Zn97T{+tH* zow=)#DF|%zyc?1*C`jY3Wl#Z-7!yTCm9CW2WDsK$4r#q8s4<^WIyWCpHG@iJDx`E0 zqx3i+HZ>&iUxLq?ZK@&@nKH>vPu-8ahmVc9vE_t<6y`=BwnsOFy2{erAa4V_K;`57 zLNf|d`mJt?m>8Df2?xE^`UeAekfP`Bk=$I_N-q$j73P7V9};3Tca3Ne+yK9JF2vtf z?L~yJdvaGbMb_P+Ol6r>roL$7BC9)%r1YbN+uWU}icv_kOIWCY<5&|2upyiB=I}x2 zeGWRgkWiB$W)%tv;91gA^n68!4+%@Zs2ktx;ErE1SYU&YpC^sh$OxGQJp+P5Y->(W zQE^GzySjULv}+ifFA>#i7_T^gSiC6M%D*b07Er^%6smpYvEm@6SHaYb+EEiF1b*e- zy%GgRb1|v!u`8(=GG#$bvPdp!U2^f=dFnOs)GiF5a_TZN$~Oh!(~ov_1RC+PXhIpP zG_uFKNb2^dRebo4@%}i!O*Hd)?!Qv2Nb>Np7d>SK6KFUctoWp=N;W>}BjN{3;QXd6 zEHt9I!%$bNiT?v;V$hcLhD*k-w-y@BC8VZdSGr?5Cio{YH;Xf>(8xcI zKy#LxmHEUONhL8~v676I#uq6L$9oiWZQ3c~RGKklz6CMqAl;~Ug$JzVQf4}LrFZ#j zcz=wAfGX;kwMXiVUXS=UFeA@@3@DHQReZ$9#Hp;q>VvC5!L8)bt{FD!VhjLPtfO-x zGHLEPXa?XQIP-nDcF=U^rTmDbNfL&i;jbZ0IV?pIr*WvGS!=1&mu-&Yk^JvOTe|sG zIpFkiiTUAjT>vKk#e6xSHL6-gMzz`wUZyIQ4SI|C3P{*+;iehX%wX&gh^#g`eRO7g z4S8&rzbHI129I`VQx~K+K{^MHQ9|&l=gv|+)OA1PX`&SUY?Gbdj}aq9@<<1_!BS^7 z+g#Nn`F|2N{R;Wv`wk>z<#a0S-&6#Fb9vA&MD@tmiAuR9A7!QVJ@3u!v{v=~GGJ^~ z6wr$a^0M*-#EHc7?~?MmRV?V)zlvsc8+#%yf)M?(9Hsa2ias`arDPLl^%uTT%yt-8gt{!+L4xq$bqh1IR!%RjPeVqSq7)*XN z#vuBODEKQ6inT5N^fFsvo3V^XPwaIt#SyAFuz)c3Hlil11Dx^SYROUz%-sn@#2mT= z5jOpe2SGit$iWnNDB>*m!Fp^c%|`eRU2HE4f<{-`CjR_>_$TE7&>24-QsXhYmj?nP z(XWMzO#!-roq#H^Ev5{g;?Qf`*30r~-sKN|sRoy%C4~V(yUx zCQnxokt0PkhbXuiJp`XTP%m@?86CpX+XVts;H?kB1jZtNwu1ln4*bVGyAD&0iTO_g z5Xb;4^|kwjmC}6a+bJY~m7>%&#w&Z?g!w1nKVlQe-ZcN zi#hby{1iB6pV--cyPtx?K|0zQ-AUqy>PG~_1OxJm6onY^nM%J3Jc2f(+f*8q8jOlf ziiOC~Qe(i(Ity?DvC>9%PN-SuhnKKvzI z99>QpJApD#4b!|3oR=s8%>V(i`NPqFeq0+Nl6v#*_B=Rl47a`2*sMN?)fWF>*?LBX z!nLe9KT?zrN9eA%upZ9CyzYA2TY(50(2*>EI}-HLku63q9f=2YWazFx;K0!?wErZ3 zPX?i`B|r&`6RyZ6^7X72wy<^PWxu!pY~kuIWc?q+e=gzW*tlESfKvn8l?$V(r|guL{?9x&mEoRS3S0p4lV{}k;bf~oac-q(7TsIXdqw@ zvd>`PWT#E>k{N)mOa5mS7aW@vD?mX+!2{8RAW@{nN`L{L9Io-NEPP}Wr#{ECkYMAQoT+gz~cu{&0ygeNJ$mCt>ip z^?xVg%j^zY|4Ei!&N^Ze<$qlz>BUvb{j$O$UM=1tJDIZ6v0Y_;NM`;m9=@>^DTFp@7IZU2%+!o(ne-jmjN*2cr z+#_>-Qbi$5#Z@XmO~NN>G(e*Jhdf1)53&(^rB!QGpv1e^Du@B)X3<5SjBQzrJYlp$9y90e|WfL*^rJ ze5+6GS1K8Yn;0lDomVGdio~tcD0B!BdNu~cO1YaA0{-;YRT7Bl{H@~^>t)S*C(s8~JFcm2ALXg5V{k~JXL5MHbU#owC%?vVq0ZyvjgC*v;6Tqy0x@9!8!BmgWploymaqX-lfl{o`bD1W(O4RnI@*kd3Ntx0*z z5I_y{1ll<=*iRnlfYFQ}sKP>7;)^~kX-1C@Y2IB~+5-87NyNf$Y>%Db z7>=zeL}S-6X0u55-!%*P_w4?Ec)H6>&KpTFDIiMEi_rlAgXr?Lz zeC>p$@MV3N)6qr~Axq;>#{Y#LHYHR)F0vPa?aNIZIl-A1?R@647E(97z}WRT=NFSNrS>976Z8Ly@gHdDH$i&{a6homLEsvOLS*z^$3a~3k;AU< zW@EsNrAlhON((4WOcr%c74$(cHQXd8^#%SOiRdI6pnp<}#y*>oQn`V^_kJHqQ6VC+ zV+CTn2z72;;78FnG4OtaM1k2n83T~*+E_PcGgA5LniJqGRdR&3`GYVL9cAkW{$Iou z4C8xE$?;E884>7v`s3IQoisqy6$NIE9UM474kTjNTSM8v4BnRED-6*V-^Xiji03%K z9upWG0W~+opEv~`lJU@RVEoS^wG9gYCEN#;6f$R74DFnKF2%Rw*ellkVJ57i zlQ7f6-S0ytVa|uU$-nxx*0F(KlUFlGzo!X&$y=w7OYawFih%rsApc#X_J%T=n*}oZ zVg617R2R#&vhQcO@4I}jMQbABrXb)T%5xoXo{Gd9WD4x?K_H9@!AN5cRH4786BY1> zOo>3hM1G&)tfXVt+ji?HTElsV)iJu`P8liRt3!9iO)^p<{acqeL5cm$(~wBCQ|wvQ z2o$3iXboorn}=v&z~>#FGIo;}x>iyG2g*%76k+#SV}TqF#i8K93QG65a{2&jH(W)N4EFvZ*eTni(<9jvUm@(wsO>OEYs#LT`t8m>XBCHe zV1p&Vf6MiL;5BsehJB+{mzvyfRkfN#wVO6BmZDi9{wR3{XA#v6Ao~HB2DR)?QFH*( zdf4HHO4f5;c-Q4n*&aUAROnZY%FSZE%A^z}PuR;3e1uSB45kLi&BI})S=8D>N{&A| z@C3;ZT@U*C7nymmK{nLtgnIMrhcwVKt@IqYaXps2CY~6zqldAE2~!Hrxf=SnN(Jw} z*z++emwnJ1-v3Ndu^3c&jiv_8Y(95@hz%eU_6vR>eZdNPU@q6bF)=WQManKTfIF@ z1nv0Fg?gl9>QkILf1HAiKoE#Yiq5c)Nn2MJ4aG_iK%T#70(8%uI2B=v-fP{egdmIF z4btAJCgQ4)U@n%B188986>u?^-*9N!gM`imq2Elrfo2Z+Vd{#M3^`O41Ibfd^A`9Q zp?hosGu$djQRbR9-?y*6|NLFBKi88c6x`eE=^XZgec|8^WD}Ei11YmKti9~nR6i0w z0T?0}9ym=nBp3p}Kq!fmd2N15xG8}Ea(7E~i4zomVUI*h_}mCm?sRD2N`a4rjt+(P zi2?>@b&W$p6iN^-1Ptzsf?Jh>Sw)N_fPy|9+(7Y#a;0{J2NpWB12_6HpGTooc)IDZ zAkHHd)q2okK?R-*a(7ip=lV=r-!+F_z9gRLGOU=>q|*0O(17#sLo&&oOs&jI*%Arl zP$RAoE7(F8?GX z!Y9EnG&!HCl?wYk)@$WLSmsAbx+wpmj@?4dnoGPaXQbq({0RS-jJhJANK5N`y($e|zcKU5Po+VN4S86mUYl-;{EK7mZp99EU26BLZfoaywk`edeyDBhQsG z7J!E4MjAl`A~?R3Y7Ejv^415FC}Q`e#^(Ri&^dmL5ZAD zZC8~;D5ADP$egg`$k}sg(6K=z=3Vu&rYojLP~bsGB{3AVPN*|1x#;gxT`1`N;0YM8 z%7PFsha4V5M$HwHrY0wxXxA`h2tBV=o1y-!aI)fkjK;{j${z;3cqD{qu0h*DZ%h#Tz?3pRFU+|(S<7yJvlH3 zGHl~-Tiog9Cy+FIW1PSGC*AkD>vqX`ndmFpjbb2aM)iKoGopcKx24w(^P3=t(J)yx z$Pi7!Z+fOxp@BahLR1gy(hv7jY7B8H0m`lIFldK~xq2c6mkFzB`F8e_4%J|URT7Y| zMoN&rLbsEmtVaM}vN;6#iss-sNZ~q2-KQuhLFu(U(Ij9&X;u3SZNhcV9T;-(K^L+f zO~`!}VVZhkmbXK%8>NV(`W`(wKADvll}9AbBp#GEs$v2*W1M=blz6De{GY;=5|$N( zeu_sI93@U%tBKL@nbT9gZ}|!BuHFT;@Y%B;-XI0~5 z=4uqC8YivF64!NW$VsTabFAwH6}z0iXvaFwa~u$tctz^>FsdYG=@4r95%g$~57^<{ zvg?7uQMCMMfaI_pP7{Y7s0AhEt5Y(Qw&I))9RDb5wj`1tbO+oL3v^YLV``E(Nha0o=T?*jY9Q##0-iOlq2B#IZxxAAr9s8+3cFO7 zzR3ZIn_}y6gUWG{WLdCTn47CyxJ-+T%TkWlt@QSb1Oy3F-1m-S`il%&I1BB8Y(i^2 zOyC&T}^N-0eDAaF#bk*pslMMo4-1Cut8nPyF2Fs`0nK|AVB&G_fu1N@=U3zO3i!feS%P5gBfzb@eCIK z;>KSjrvh?#7~tLUruwoA=oQ}9UjFg2v<%5#;}&9h1|1+G|aQG_I@u)>ZJkt}g2h(+ci2H@RA?fy7f+2lLAU}lXa zlxFj(jydMH?9PhJ@Lr-1kjdi(P7X@#6HpwwK5KhO?*_%GNr4UVt=|VumP_vwQDC2y zMu>rRJS}nG>ZGK3Lt^`!;0*Ik@711LrN#4K!BP~86$WBpexg97q=-VFCXZk0d|T*e zIR-68s3Pf}Uw$Q#bQD&;f~7H7^5ho_J5-sG=ERv`V=+CZ(2^$0!?|Zc`+KzTUzxgS z_Tgr~xxghd^NInY3Mk##GZ?mM(S9RN>UzZ+n;ay3DSO&23epQ(Pb62-=pYjvU}hqD z@;#UWMCs{^P9H9oOAntnTKk?b(AI2@3%oK|ZXN4NCC67*OcEpuK2KHW1U@IBHl|RX zCd^?A{BrA)>iO|DCW6;gAT1XR015GBN(edDnpA#oq!NO1Ut0pXLc@H8A887 zG;-U|L0UxxS!YNbIVHt_G@Ct7k#EYIv{P>*lO%uq$qR{Pb&@iq9)}WZpLFw$tIufJ z~K zQP4dJvPSU4TK3E_#>K%PLC7^Y&(~u&j@+yofeR6GjcZ|ReC-Yohi=9ynMQdf$W3C* z6c~$yfg}ztLto>5G3x*`HVrAQOf(0IQw_r(qGZWr5#t%nS|4?^JMA!l3Q0*8ut9J6 zI%_YG?1%1TUA7VSDq5kCEexABuA5pdRRYOW2u_t9EYU}0iM z%ftc7{P0glFXVMA2y2~^xMqtJQZPfq`q?9KJt@ZDm0cdEH9Y}A<2(Mm`nyq=E>D7HOGe#rY;5A#1nA+>g+ z)s7u3Fpi8DprY=&W`Z6b&Z_rKYo*=m#tkQSovJk-y>0=}6iZWCH%(VeX0BAGQ$ z>nonF4_6Opw(W2Dq)J7u(sTIpws!X(kRp9@V%ne9KY^Az?yj|9beWeHrOxLwpva>- z1w6Z6JTp_}`4zQl!&)}DL&~W4Xt_?qK2v1ir(Ho#x22~?qD%!=7di+t^CN}JQ1M6aly9z{?Ns+_4&F2ZN>X$`Lh=AW{t^4LuR|IRsNm^k5+Ge^D$;O zZiGt*I`ROMOxfvQpH&dmr++QE`N`>qXepN#HoP8%+yB7nS8gd(O{sEKDQyXIKYw^P z)4yQr^RO0C-9CoIe68cG)is1jRhjPG7-KtR$A#nVQGT`P^yc)u%^sK5k39 zeJ(Rr5!~bG$#tEk2^Kpm96=6Pmu+lA38(vg&Ox8;^nE1v?T=2D6k5E%EF?CgfcLLT zXJ(3fb>?IE9Rg!&YP(oYR@$n0pzjW<+i5q6M3)r$Plc;7ujR?IU1GZu&`BrXg|FrUaU0>~ev7L2CzHl?0;9(#_c)!6j6S9!g_FTCPX0=tRnDDt- z_C78Aa=w{8fN{9ISkPwZA$f4Rz2#Z|o%(2Kt4AQ?LwBI3rI3- zV2uC;X>M9<0u~))!&ULGc)=0!JD^`WQX8)3@Q4@(XXLQw+JK=2d^}I@7kN5r_S&yj zMLXOd#};jufBf3sJqXKDBpqC=?x?W|79}RUIUZRsd>j|T4*tI5(QP58Krcm6=_Ry| zUtD3^@rDXGmS&352G6Fms+un2LVk*mesa9HL_2go%13pFUn?0ngvRG-EZxTaaGa~a zS!^4{q0^HUjkUDr+8q@=vk_tQ$G7$jw!c56{!CteN|F4x+(M&L&S~q_9P82RdM@v? z>w}eF_asGATm-t=eTyhi5jPGL%fS=tZB4GqZ6_Cq1mkC#&F!E>LR;vf{&%7b3+9Eh z4q|0}^xW@`W(Iwe(=`>=Il4+`5F+{Yjbr=fV+zhp6M4na;8x$s*9R*`3Hv-eeXm~j zp5dK0GBlvrEc=ScA&(F5=&LltZ)Bsp!-H_I2uCjP=ibGT%%$DqVs0;JRj~t4toJVa zw=YW?(d4bLNr|y-?u6q7@+S`B?^#@33K70W@X%c*>$bMMu5TN*&^w~J+V}@uS3_{$ z_?)80vu?xd=aj%BjOFy2d?Qb36iqE`SbtZteg4InRExKZb_=2G)oe+xo0&|xeQ;LB z$3nsk6izsaAp7-qY`tf=u5@9ol@bQYR|Kt9hO1g>~RG zCl%KcHP!5K90rGGJ_Zs>lw-6$*b4)xaOMYYz{(QjVlXj&nxz8G$ z##C>Y%dDPuN-C*%d(d%rFadPyQOL~+<0~DO5eL^^$5y@c(n+*;4>`}rL@_(Bv@ARJ zlcd>0DlVMqY}boXOGNgyMpAvkP^RsUl$94+s%_hfp6PHcPwoa*;f-mQi z{@#xJ8wbj4b^PJlRPmJll5^aj473<`9)Cl@>0%CW;gQ%uV((1y$`l zh#ukipv@Zi{bEw_0J(q3EvZ26u|r+b_9J?_KXcfou`7V$LHb{y!h_k`!yU;I-{-~a z?a`{IWZ7AwqU`p(onh;JG3P?sokcu27}D|ddk@~}D=>X}d*^c~k!E3FYbZUqu=?q#ClR~>{W&8H|@8*M+W(BRt))+%8jgbfHi++gEbL8q37qcaB! zO0drCN0bF}Gu3Byy?|KB*F$!w5ZtuOHNqeibzX-XpdZLevF2cUxJ&KSNl_MfdHCok zzs({|j#r!w`F;0xcBnBJ`}At*i&g-_nif`dCc(zm?7}VSypvGWYhiybedRH}z5bCs z7Qw?$SMoj@9ZvjFE+z6C)`5RUD(Y-MwT`6_RgFs>4I?ENk5Ip7@h(r2s{6bZQicUN z-6}#A4;!)4^a%n z)I!_cja?3kCe-^&C$5c-4KmV9FjNfFsGjCA#z^qZ;KK`*Q&?DmH{J|Fo~X{_joKL} zJHb`Vm%iX*6>g538LB2uPLKEb6eeudk5(gLnucHXN@Yv}#e{-3kTJgAsO*~aK3bzZ z>6|`MuKFlgaYpKg+d%qyFBJM!W$e=spg+SpQth1Q7zFx#JE(ly#2CG*i=tDP_g=ta zjV+rB^t5|8H#0ixI#gC{T3D1|BsT=>ef39&bkUBP6FT$Po+LBl5Dull$SiZVmo9Jm zK?D8{G8-b{TeU=j%j8|&B#+SFqiY)0q9quQBK z-!O_m#024fk~Rt%_m8rNCm10nGO1q@EaA2;;ftc}P31NYFYFbZ*s?h6Z$7J3P^Do$ zomosk!goysg?NIw%8-km@p7u#mG36Gn6i6?6cuLJih#G~BXIqpdkx{|=PYX3IAfnf z8DxN0R-0cMA7g3oLkRUdl$W3%3Dm#{rc{V`Zrr|+YS)9&>b7h$pcCw%z;`jNKE;(YLC0^!UX<_C8rv8Fk z^OKPVf@T!?QS7yLy+8MB?F)ZrOcklT_|nJogy~GmYCe4>m#*FXz=w#%{7V6mJChD> zRKeo&jh)Y1Ln;b9dgkNU<(!uMCqDsEn!+|lQN3J&v z8|<{}@iOIY)NY@%I6jxsyR>AIsAS|{X7>X<=yD9~(FV|Cd)^B#z z7sBpXm__gC(65*%o+4Kxh0{fAWy?alI`q-Zq=j|T8K!co#ht|Cm>&Hm4r-Oa1wXltpSn1}l7IShv*4@g z$O=1A*P`W{ksOj|X#d7j%vS`bHcFw}ETp&?>{gz`7s#g1A`FFa6i(o^iPndWI-X~S zw6qbsS?AKl{t{DSJZKCYehduU=xy}G>=4x|h%|rtJ@u@s^MS1IxvchuESpo`c-a>@ zX($(5xutTh-T<^E&kBZ*g=d^r@V99uFn>1NH%zr6)tBEWyCu6~~*9pVPZ;Bi+XJg5*Pm7Ac)$8A= z^I5jx2*KVC-{Di@kXARM00o3zjoC%j@p(b-qU4^?v;D z2})eVE&Ir&P_) z`BgUYDeN#eXZxx#AB=t;)YttGySij>#&CJa%Xd|EVmg2aKKrI>1Ws*qA+f5hZ7sb& zW!w8AZ%BN_I^(Ff`lDMNdknJZ)Za!9EY@iddRrQm+FW&3}mt zA68$BCf8Tx@m270z6^)L<50bnEZknnqVw<9a7DYZ@l>8~-t2Y>xb~5`EXl$l=he@C z6XJ9k8n~Sa@25QPp4?L)C&E*zEpeTozU`Vo6y)Il8ZF96qBMkW}y8mkDtl)X{ zHMZkHAx>CBH~D0^GV@lJMUSO(1FIsV6zoRs1jD9|$%UJeS+bFO(j}Ef#zgb+!j51%lEO&DC*$&d9Mx?p5_pLly-*j(J z8G}ywk%U7;tG+C2V6M6nW(%#n-#D_pswmTUOHRl~!y6wq(UugED>9NO zB^$!n@NjFR2i=K$`B-P@1(`DmJbvi>1Kb71d}#8T&x=mk$>cT3j?U|!z z?velVe&27{!?VNWM{@&pj<>P>;Uwm^Va+_j1-lA;$^I3*SJ$x2V9g)vgFE0p@=2P} zqRa$)AW9=4^}grEbRUh$fE_0kr#_XiH~gIfj!7TOGy;=1ds3)Ik?W(hM%pXumb>@G zB)@h~-uZ>Mf_|y|!mEg2M?L(?t27zbkR(}SnDoGhNLIh+nw0+a*W5&zC{$lUZmo#r zBfPrMgX8>3$msnQis6KeKJAxZ>E6iYgVnGgNcDhk$bp<@Q`;_>hhmD?&4FPqBla1!M94y~JmHDG0L{a?x8->fY zLquICVOhkMXI;cjG;wzJO9rQnW>fU=3J36P1^yrao!}xJ=oVa-=UkV7&&}ub^96~av3LHP1TeoLd z+Ta#cD~#Np)Q z+a-Yq%Hu3k^qb@uiA}y5)_~_s@>OaWIznE8F2o3L+`Fc8_nX?c*RQwFnYV2wI>@)Z zYv;Bj*^E*o2A}vF?U<1#LME8!&#+eKx9Fm;^%|rm#-FT(b)MgP-3E_ z(=#j9zRAqzsH6bTltk!t4j_wceI!b%ZBhzKtsI5J#?Ys%3q|qpb(HA#YG^#Dxn#E= zW#eWF81kKLglGO*mA}o2=Vk5Uv`+qvcIM~mI&#CCw4=r|9!kE#ACT{P5P5t0W#%x; zHfO_umc}vFCR!kSNf^}9Chjfzy$xA3fDKd{cA;l4G_@d(pM{Rb z#Z>H+{nJQiouCu5nh9}LxnGjJG3yH2POk#`*wBW_CkcDxFlfWK$9X?= z5MTP|7dh%_^m*^NPTkQv`MpYkto81+jnMoOp0LtwyucwXIJ28`bH4r}`LTG!z?NS# z+W}oXM9XY!vj&N*tGDC1u-k?}FYFIY9)CYI^0yGe5e(pFV>j~S+u)DnYBy`FMa%C( zx`Pwk;nfjl+I>DXz1nrtPE{enyo~({_GVA6Iwf1qg6M3Or+$(b(?BUT_=IXv{KyCK&?%f zrS7RI+_IW2JHN6mbelxOQ~Aa_^S$%oZ!-Jgcsw2vG=g9+e9it7Zeng6+;E`;(M{1xT5=uyd!s3&5@VVdYwf?Jx>4|u2#)PtuwhD&RjB1qW6 zSeT-O#5QQ@*@I~WY3_EK!ej|ccTIE_EPQtG+IP6oXO+##r)W92Ye%FGT7#D}c(%OF z#8(NttpY4tm>{|A-Bhk%?nwlO~kO9Fa_V(gDpZF*`U(sp$ zYh9?ijUNoo7x`!w<@!{EG%TYr>yK%k@$wh1{KQ36LS}M%3hMZeRCOLA<%ao}VWF9U2I^2$w1@0o8Hb-M1cBEV!+(d zzTO+fa+b)q?lTsz;+8Af5?_6F%iB`QIj+QK{nYK6T!dK1Tg-m0sdwcJ_>JXm%n&d8sDM3nTRoFHd?;%kIy=Py=FJ_o??1 zRieGUo$IHemdx_^_33z$}jjAm#1HGRP} zS*0w_F?6!f-Pw#ah#uKnNc{3NSR5AfDZWz?W5opvPUGXB+3WtxVyapJ{fV2}LC7cf z)M{YMfzS_%rS!0Hk|!8@J@!h`DKph&!5fkSGQl4z&pKaIG?~LSCaxx9<&u7S6E95* z3}_$WCL&-m?u7A{MsJJ-zcQfgVwCOgxwpr>JKXM$?P|T%sAIa>+Z@lk7;5%>&z37} zT&S~DBHwURVF-yDwP#tIIu%SC^#JV{cjcZ%EUV)LDfBTisvuUjtW&=B^du}4@sePA zfDSr1nvzpzAJPe_XH=;r;D3){4<;nOCa=R$m_s6ES%<1F~#!v&eP}bJ7JHOAm|AsMBFN0 z-`&M(EDFoab%~}Q{>U6Q$}P2Oky^ObtF|mBkG$N1uQ?qft;*X7eCEZAm&W#%F9 zXy7ldI1K5Ua$2}Qni9x?;alZN;TF768~EzT!y=NKY7iyFa6kCTNKP%#r)lbP3{6N> za!QdO3srn@`^I^#qlhMdgN&S3!?>X{*WWpGb9*3&L@gQHL)$xw-Ukctxbrz2DjfW)P&SbvdJS=uQiVsYdU@ z9D|!&MsBtY%*tZ$-ZYiC5S<0LI(vjp=cO{l^wN?ie&^}x*gs8JC-+|X{CJv>m89%;OPoh4;&`l~eyuXXn?lu>)ly}+~P!Y_kCAB@%eP>hzA^3&f{Hb=yh zg6L2SASm2Q1%)Iym@V#d-M8)a93R$QbA)8^``=NcXoF&{>YYXq2)La=V{2UFc8>fA zmkPKI-d-k(eF_7tb|IHBx)#u%!UNd8bg$>fB05EcGp>iKcz>ba$Xzj+>UTo=h=pHx zL8dA_c;(M2u$!yoHO(B6A!v<@UKP8}Sgw>d-$&;xeY(h5XD>W-FuhnL|Z)eH9FwMM8 z>M0PgUZOYBiaX^T2>I2MJYE*mr7Qw?)Pzml{vaMl#pn|T3uBkNb&9`oM@=oZo69I1 z)yX&}o+|Q1NtOLwJI-qoeg@9u7$}EvZ)K#nO<9f>MDhmfS8w%EAYpm+D{pDWDPH%- zDlPdUr&W3x!yPoc1HC0KC zvwXaCNbQ%BdW6d@u**(wHT9b~3b)#AjYng2G5tXJWK40LFwrqd3M$axLft(FLSh>G zlJ6>0P*<;1Q2mMsU6*N+b&VL{liwRLASAmRF@W32n83M#Lqq%qN*(=J9A*446?8Q% zFcqvd{IC@~H7&3eBHIdoGO?xao@9!KZruhiP{O=ruXHYAYSCc^`Ty{`0x=*qVzd4(A+ z7|bG&9ehjKhbno!bAOOnmv@tx;@mNScHH2x=Uri@cjMJ8@@t~;an7{5t>x#$iFwq+ z757y+zwOPtpKpjlMfB@7Vm>iRUo<{cc(hj^^rUwP&1*euv{!#9=sVIRTAJ>${wBDu zQMBM7(En|LW~wco{q>t~ZEE}Wi`Ska{okr_WaTBpuPoWq)%mLi7MWf*%8f{qD4y+* zekMNH$o}?arCsQoLriV8^P%;-`9A#Z0v-KCQ}UY!AOx|fDOncJ{_~suhhhG2A8)Q| z1(o={McCzOEhws!W^PzCldc1_e`{e^{5tV4xDL4*dN91x3&~O74aWF=%}9M+!5{v* z>SHDgp$57mH;O)ol-Q+Vb>m|@_fMcT{$FT6DkV6uHZHn)D8?}UlB#Ri@A@KV>(!_~ zC&s&?UuhFFP%+Skq?xqEB14Pl%&Z@sPTfS@EOnSb{JWf)P*j5v(*^ zYlnv0_xk5%$B>({KCBCit8yL8wmj;?t@XX^ukQC!veA6mYfo?Yr3#sG+(_a=xwiCa~28xh>ic9Wxqj_sZ8|XSVw<^0rqVv@vZ< zZDV`f@6~)h8`SN3Q1{x2x}3*B)n3-6=&f31w~}>;`z<|;XD-)aQtJ@28CJK4>%*=p zxvPENs-XIys`aU4_dy+6kk-e27*zemBL-y>WrMPJzGzV8dQdmxlubf5sN^iOQB}3L zzcQh^*&I}5^M0uNA*eo%Vw2Ej3guSb?%gW;_D9OqD!+PcH{)!%t)>ePGeU7Iz1pkg z@3-zI#dQpIa!B>RRXEI;?E7InZtKnFE#tP)qmp$ud)o+8e~=GmTYnZKT~(-;&}F@* z%Vu=R2VM5g>`D&Pq`SSV+PzAgTb(0Z=*$WlN3#iC{ZE}-_d0oPhtO7Kt^KS@b<2m9 ztLCtd{juE2YQMOfb*WQBGO8JS%d*@3`%($>Y#8Z!7{z9UHjH#S8qQvy@63ogd&2}a z_CB_1XYE!sx-rqY98~LiXreJYSFE;BmS%hRRGMD8@vWA|$J#`ASyR(XV}BiE^-Nx- zm-p*2K1?s02=__P^zs~{>t!;dRPDf_nAY>t{aCj{`J(5QIk!>ys{3*%U+Z}t*{FQw zvgeQMo>xt%)O6NNCZ*0egOt^O1}QUZ9ja*aaGefox2mbI>B_HsO5ANmiD_Xygsyob zxlBT5KZ&V7hE+YFmkHf=J*?dr(yV`QCWLM`8Xv=|lahVn@)(v+QPqvQ-742?_Ku4^ z)yaCx=f!Ti<&$}Be@|PrUVFOTtJ8Pie44igszIq1<<-8`#+yTPyBVRJ4&lLVk5I0l zL;b<#x@XeiCd3?;PKIml?$=~UF>4Wbt77+AuyU)NWBT(JQ6jr}Fp1HeA{)?Nb_W6t5en0)f2r&O*Gc$Jn!dE8KFZN2(`4_usU;D+n zxEUOOQ7s4j!so^F7v@P%zbHn)=@)siIpX|9@p*OpMb+B#7pqyS{;*$750}yhz28ie znpbG=GH%wjKy{^eTX0pWsEU89R?!S`TvqkG*`#qiADZC?!U1dYe1G*ttd+g0${t>A z^__3A&}+I^Rny&%hpym_Uu5s*18G7G=lrFl-aoC2%+j7esVizD*~pOU$m37eYSYG_m`NWv68A@$zZ+f0k<>;9V$?Ps#`J+2wT&Z! z;49~1Z0nEv<;bQ-UEOpx#Val7Fo^QDIPs1)>ZD_GG`!K5`)yQpSvK@9uBL}qTLhmZ zSj?}T-!=5Nz6+%4o=mDvwKa?1-(^?r;h3KGTbJ;vkAofmpZ~oY@(=(0|NPGnX4L=R zfBOF{Do|H*hgEN`>|xY>5ooNj#b2FNeO`49_lr}a@3jw`MRww{+S+|NT!!sjD^_pO zNmy5<=Mtq(&aZNX?^RqZOh`SQdg+s7{nuAnHd$6#tuI}xH+u8gp$hz~)fNK2CbrjY z?lQD~*(&y!?CM&?I%sQ*Dvpm=-~I0EFaGN7uYU1Q)vL+wCf0vrdbT&Etu8*AzgTIV znnzs!ULtr@NAR$jmIbzzM47Y+#jj_NR{tc=f@*Q zEXC!@JyRc!KmV`_!K!j8LR)u>Be)|_CwZ5RX0TnV9#!D0!H*x`9;Rg$&Zv(0o{WKK zG~>KKL^P`Ja71FN=jYFdbJ=gM)tY8g2fOLyvXGj~HY1yUw!sJ{>Q)n z{ttgJZ|QH#-+lO}50!l%{`Es;a&5D*;pD<@E^KwfZXVUE%~n6c1EtRzye-k7u@D+u z%$E$u&+Liyvo6W%YP6c$^=YehW{*}aUIwk2YR;_|Gm|fAb-T5}H(~Fs-py?r2VYrt zy64YYEw^;^bw4G$leGTQ9Gk@)#~`U`PxUUX^=Hjio*$jvTHK>ITh<$JYTfOLrw!(E zG??3ht&iS9H1p^!`1*p;S0Ow`zfw1|1+iyNZ5EsOIH#(@9a5$GY8pTH)lKZP2CKF; zYLb{%lFqJ&G1<>B$Iz@9u(7kYW_U?w%k7ZUomsU!5=c~DEcx(~R`b#7 z-WFMdI$6|sR;SJGRu|>6!Tk^@i&bEI)j5D(S}3RW@=2qQ!$6tYz@hIMgvz4SolnnN zZI&cAPo#I%yoH(j?vuWx)r0xl$|m}5gq)43tI4zWw!@Iz7bfV#{QIfB5e4n$pocL(B0Uz=rm+{rj+i+#<;`TkLRceh6KVM(e3#f&N__&%pzGAbH84JbQL z$(Dzp@3uyJPSR-h(Pq2{bE=?EmMstYoTT3B-kcJ)c((3#3Jfpg9sglcAwPhv%`M zOXg>-=5rR^$OhPw9Z6tGsOKaIQ+<$NyO^6nvbK-SrQX+O|1F4yjb;l0Oav&ac}7p$ zYlcc`)x$D&lUCU>@CAIzr&lh_v@~mW*_NyxwpEN#;HPmwWU8Ta=MXv(rTR{k5Ymq+e5RU?-on? zXsg@WLI&Kb^Jre?8IN8frdwMz-y_pAHEW*G-0WVLXfJ8DE<6qztfIFGj7jb76Jv7p zv#s#h-CZnPG^>+^i(Vl3sp&gxoo*vuF7`~nJvGAUYg@xzYfR)Nns9VUuTg)>!0-Xe!4l<;>@glrM2VU*N#v7ddR*NBq0SXX$M<$wRj@1nI3(rvl7aj$2sOkIs0<*%L)zgwo^C5dJ~<~T2Lv=l|lK6;&ROSgJko}=(=y=D2zzEmyoyrJd%YQwveEH0gJ zM>UaW0QdUtUQ=nUm1Q$=up*M>)m|S;XOVD~idVadwxzU|wJJwc9oyT?v#rCt9qC2O z7fz{i|8XU4wxnJiX?@W1iNy%+BlYyhQE=>e*0of6MAz?8sJ*qEsojrqWqbE(PkUKa zP$7F3=5}UF?>%X>lqyrv*8NCiZZ;P$7B{ODsvXOf=#~4ANGR=AmbzMw@L>$9Z9TTf zqZG@%->k66>KvliyUm~FQ6bO%8-Iga<>+;_C__!FMH9RZ#1BBW1&n9EEXLq+VD;B$ zAFTB3NPI7qPo{BcI~lW9BvNPAlySP+<|QX1o^`{Eg&#qVn^mSCSVGG2e?b)^rRJ**VS6x71b z#*3EJtB={zypFU)9lJ^XsWSeomsMASJ*<-czTB(#cktwHA!9E%zFWEG!@LPA_djYb zjJ2&y-QRQHj9=FCx^Q6=Dd zwRsHG{ya*foEO$z(_FV5Hl0{*znc!fzdGbe^ccadI!&!Cy%O?v)WqlGB1+;-1nLjH z3-)&Vp~VE;tSu(wcGvQ^Zoo_klG)2`ueKmd`D?MYbJ5=A&(|)81eir?)qH+&J5(?1 zO(VGLbUL!kZlDf1EZcoCOLcbPi@*QF?|=8Vi*+Gv_Nel=g21~MCRQPC)jri)8E=--YD>K8sZwdRiW*0>BxV34W(^svFX673*sHMv$pdgvi1+VxP^f_Y;3YZ zxJrw~$`Gu)$%$!e%rNF=GS{f7eLR+W}>K2#_jGUqxl}9FqRR&`c zG@G&7T(;c$KB*v&Z<9Yy&Y4%s>hZ@ zuze`&F@>1A^nEC9@m;POLmyVU>q>Kqv=z{uZ?Yx&vEeCX)oOSSk$8Ak&B}Icdzjs| zRN1++s<-d@-P&KwP`DB`8?{)afcL?8y&pno`$nwIrP`;afy47=IgYnht{>I6S~;7dD3Rw(_1-z9j^NMw)^RIJD1D>zeHhOX=HW`c|kq+nFahO1A&jE%;_?u|?Ur<*WSp z`sVZb+Oj#{b&YASu}y&S&Vwggb<@LPsv=i!o2z;_On&9Y>QKyNgFKk!H@%M`ZGN-U zrW(Bvq|+%f`)LGuc$lXgwx^guzfGp+ZWZ2>P4pj!C%xHLl(wg@*$XsP{=Cnsv16kO zQYCtMRQjG}ecm6}_CxS23+E0?F}K8(**vz|wsXz)WBCtFNqtY&F>aIPR@t_j-HX}& zWU{3)tDcxU=d9dp?`9NRRpD@da6L*1?OxlK<|K4K$K6A_NVigF*i*Div)D)VYxN1v z>C}JVo{zcn?e3{ci>;aJJX)6_%|wN@F=OT%(oDsg+E#_pv0yZ(vf84}s^rX*bZR}!EA6vtO!Vb2Gv=ktX2u?m9Wu>EKWstj`@_s4 zn`O0qlZPi`?>qNRR^r|}K^EJixmF!a7V>fOn6FxwSa(Z@81W+vsvG*o)Ks9MK`jm5${I4#aQkQx99{M$4wxjkv+J z!!-)O)s}xPK2V9=UFW~~+vJXGi&~t!31hpAJI|)}eLJCTq-YL(T#c)#-JG7-5@JGN z?rSXOZHTtujJrshK!Jx*aRj#-je+?x3_@5z5) zB&}wtJiM^ibCy=%=>+!Dm+Cj&E$@1)cD+n`D9&#FQS>~lu650?n%Rv@7cU!CER@%- z+Cl7p{*QP6+sI2H=4|fM9AUGvC5W*7-+%o35C8t*-RHmgvaWJJSY>bJS;WFN^On?l zC-ykn$Zfr2!m_wzoz*Y9*-dk#t7Bzm-X_J^i`X-p_t+6^zubCP{_h{WFIM5(-aRZW zEr`+fG(K)t+Z|gPALgXBeVPv|RE`TXC+C+5uNf7pV=gA{ey=wr1_m@daoXYbyzx54ba@1inK*B%Y$w6$c`-(Gqw72@$j z_r0wM{vCmtY)+l8W-b=SEuXu!RcRz4k434iWUD)Svp7H{P1{xL9kERtF-VhpGgxy+ zZ6Ci+zUEQ;Rs&xdwCy96c5U~$TXEaV_%KJHwVq;yc}$76pJa+V`V;OMl*3W;ZF8^) zJ;HXjqs`}LYr%+a{B$?LEUWo25#&5rV6Lfe?e>V871UcPzKyrNr-veJzHk{d$A2-o zt#fhqp&MOnQ0>G6GjB106k7ssCa`AzvR#y8ezZ`CrDyZ%0V{u_2T0q3Y_0tWAE|M| zM8X$V9vCp+!2_&|1q2S?p?Oa?%N`%b-lgT72u;JQ*IgNDMy+eOMF-BH(PthsTFR-} zJ%ZuNu-3)1NvtWuv`5C7TMw>$Z(AMBL6Ca2M-b zgT;;?TgElr_k3{>ed!FmI|I*1=sA@e2|EKV05LLfvn}JU1^IB4EK(T>l!F&x{Pu`N z#)bx8THTMRPjkQAfv+sK#n#D;iN!t>`$TV4wjvU%gthR*8M`!_Y>ve-ios@C2;!LC zJa&0ZZa&NS-gjFhc}G^OD6r6lFQ=^&$Cmep?|`*{y#>{LLNOoDVgVL;jP+SFIu@5~ zkyXnH#s*jqhdz#||7kHzjVnJ|Fw}l~hI2ipX>+|T3(lBkxQN4Kv^o1)p~x_^8vEjD z^XZL!zJeRr7d<<)!R@*&3QrDfRZcvzU3nQ19kY|}K6#p>OF|A_n-R07Vx2!Q^NcK5 z*f;d&m=ta5H+~MBJq*lcI`}MIG$!Ye4H@M&AqXU?%udKg?kfkx60LKdoQ_ubFQC(Avq1AJ0yca42Q&X!G|N{3xV~Zgge!J z3QI>q@0g$JZ>kiZp=oo!VuRn&RL>FERV;T7lOOKP;ZymuKJ@N#9Z6ctWzHT&8goc{ zQ}bRwU>rX)NbW5h86?jO$6!1~EyH3^heH|Hb2QM~u`$Z|!U(7Xv4fpBiNsRiw%p--#5h1?`SNN=R{A zS-4L7ZrD*#o3mVnB1rttzyJGxuVnR_MYo=Px$N7_&h(%a9ZxY0U-x)2u7x5@pd&nL z_SQ>`&k6L{99}ilx^3O{qJFLfyGs-f zp8eg1l3HuDfKTL zpsGg@O%A-~<1qTZh-uSX)7RH;>!2;d)M~QMh1Ft9wRXUbA7<5t>Jj>Ia*qpN^4~0N z=?4CL}xDB#zPYn?5nMg^f*==fRFc=)l<30xmCc2bn0VY{F^?Wi+@wch)Y>-|9{5bT}PH>*|PhU^9hyj zOudzsNTrF}!o^j1g$CF_H^Bb~V~lBL?oq31Z3_FG6_uG0;qK=4m^RHEHfY|KSF&73 zK*^;RoT?zT_OT#F3zJk-l7O>~x^u^0k>g=%wJluw)bwPV?y+OtOBPlwPpjY`DkUQck$?*bCcWPDX3vE6a<%H4FM@`{3eP%z)!Y^q5pchPBWnFEARsk`ZZUGhrM1>zKvPn|%O-=Z*kc zVwZ!}P+F5b$Vc$&U&Wv>_b#kuXEG7+6RagUqiF|7MEsnVq9@PZSPk$**Vl1X$bB=j z(uVvkZvxvIx2A0s(zXJv?5h96T?L;lyDHnuT?M$;=1FjGcg2N$V>2tTpv%VCP1?t7 zW|OOSD;zP5w@&{1X&h0t1Z>wM;%@E;U17&1S&x=1(2s`s7+3gOaaMW30)58P6~BuD zc4~8e+horE3q64#sJw$@c7K{sq6tfoX{ZhQSu7X~WfjM8h~6u@cTD2D{i!Lpimg$F zx#>OkX+B)N&9Qw$A0yNx>g6#dIk4(l6eJ=h?`jU{8WYq%b193a?(Z5*4_I57V#(Db z@Q&B$sv~(hs5?tmNVW%R!zoCQJ!*8GEw7b7D)YM?-mf99zUEgu)=7R8#PC`2m=v_~ zxPUxtvu}x_3jAEU=>^L!FVlMCO-Vv&IdI2p&j)z4X8iaK7C~f2VEL86BIFlUDI=y4 zEQ$(H7rhGcEGaG_inYHx+JJ^EZywjK-nKRAvtWu&WT}h50AG_zxh;cAL=;});4C;} zhFWEgVhHPH4-stEp-R3XrS;p`vMV!kfeCc{twk0J*RUSu2c7nH4VndA^LtxRy@8z6 z-epEx$r^yYov)@J^V=b)h+s4k*ws+MalvYY7aRGSgw5Z`=_E723CinP`VRRTpv>lM z1(YdYJJ=~`eF8gu;~nhuD+6{qz_ED3*E`thTs7FqrzfxzSo)951duIal~Ihh%d;1P zEU~o^WPJ-@hEtYsr`{P8cXRLg1~+^5d-9FWej7EL?JT??8HVrK?>On#6P`Ah?20$^ z((AqBrB|1(INRBQUtWDp!{x-fX%e0g3cYgpk>WZc8i(DuZ9V!_9}Rw7F3;zK-I4Ex z_QhQr{1~FnZ?Xg&3X9?UaFQ-*h*?<*#GKVFba|7d9b#4*8e&#*0Gu-Ah|!KW`Rl;S zjch1OL$#!1+T~LX<0{4R7v_E{WSfFzP29qX{)OGCN)k?KS1Q~n#B!e(`!np4FKfZ_zQ{w@tW+HW~r zely;)(DkJ(WeGxMM9Wm><^S`4%OSE)n?`D(KhDw=mN82KUus^u&$LiaGT&vhj<>&L z`!T<+Ep6#z&+#pg@FG6tV(N2pg?v5hq8+bT=vP%4xQ||yE@r8G3e1wh)oezJqSCf; zak(GbbePOgN2|!G9(#OO^OeW$--Q$1-^FgtY7m;KELleL&>FvTcjb{x7!f|mVYjh( z^$xVTgnHD9Od5Cv36j3EVQ|s7=na!Ab-FIm<=c(z%33$}xeYMpCH5AOuVc1BRgU08 zG;7~ThhR(v?`Wf!Xg&!s9l|3{G>avx z=XxxpMM*M%)B=}U8yd0NvvL-_u;EQg8XL|p((kluPMYD~z__oHmpgVFl=b-Bw-gK{ z^kMQKi4J*OpwO`rQy^bEK&^buON6H+nBTIBD=93Xx6KkAS_U5}OZ2_ZtpF@g{D|*+ z0ov)Jdzu11F69{c=-ffp9FAT8{I94^Q&FZodO;P!N_8@c&`LWQq9X!R&!QC>T-^il z7{{qy7sM0cn>Y?z1gu;7bfu&%Fl$>RNn=ZQ8cgZ9QZ{K(+2**$xi7~==Ah&lWD45t zg%e<|_3q+m9YPr<=(9A9i#xUBD*J*+QHb2_gd_D&8BQz)L;hog@?kqNAR}}tDx9T9Se%5SV~oSfz>O044wfz`#XuS*zr3 zi%R$T*MIvz{|kph{>Oj$um4v!AwRTah!*>xUJr`3+TPyK4XrTj`yI~GVd`N?#0*~W zeenCaI3|QH)O}Ia1OEH^XksvZSwqzlg})CqgMaT_%|nl)v5KpCJboX>|NEc+^M9RC zSfZ&6XY}8pmM*IozQWdUrWa-6T`RVZP1q$YL9SQ!Y0G^fy7Om%|>-qj%yy5=gji!XD@9J3~)snpLF~cvCG1ei_tk;x+w@L_apZU2J zH2Qp(f<{X|>$?iVOG;XQ`FRqA|K~sd?`T52{S(SevNIAf^8NHAhf+(qHG;Xdboee~ z5^$8WLd`)Qzu%Q~%+9|7jAy6IzxnG;%k{<4{(jR#J$}0>q@lj)c{ltp3DpSnNx%O; zZ~gUc79J$(RvVHZU-)7?Rkvk;-*0<08M|6AJk?d@u>bS2l>x&Q{prr<7v|3Yzm~1v z_wnal!5q%-3oy{{`?s6&s-WiAwhL`*qjK6TsAbA5xLtJau9!D6WnF)eowP~_5X#%A z#ow0Wx)1OEM^EPH^7HW6Mm4;{-u-;n!=gV)-{x*Zw#n_9?4fDk)9m_3C3H)3PLSYL z#$UT0_F7i}M$3`}X)hcVRaM^!{?)V{2Su+|(nOuv$>}?VE0KmW9d5uO?%sYL>xH4- z|H!6CFdCFOUL7sIoR{l`oSw{^){!0Wd(|LI9U*b3HEg+81&fR-ZSckqP3@VaU?y_x z6Reg1B~kh1tY{HJcf4>>fJ-2e=!r%de;fPFv5(`{5qHMk9AU@4aV{Nt$|8Mka)p&c z@eP|UynWruby!^(5gG2EymQI|BN#W5sGVoZ%$c~sJID-|G4o27z}MS^&8q}EEd*@m zVK@CU5noQkAIa#N2*E|zqt6DMcOekktpTu}?Q53hG!MfY&Vmvv6z#nhG(K7u)NBM^ z)-hAT#7G-l9D}jjEN%g2zm3T~d>@49EZDt=9aFt)JRw}l<1ItKFGn`-4NSVZ9u0T; z+S0gKnyNSZ)YtvG%g_T6C*&bJi( z9e!*tB^eL|WZSS~%W@k({3J$!DM5!!;&&^v3~p0A>M0$(qEo*Xe4E z%%O))(Yo1n_13=+@TqPdh4pQKy{`F&&+m0zOKBxvC22FZ;xE-&Li%=TSWCj-Ms6Kx za?mxnUZ5FWuTOTjJn-dT&}RY=Oh)jJqr0e&$6Z;bC;m$7r0eBt*GY~l2nqz{HxYSk z2QGH2K}T223hGcBwEKYh9~TW1Z4;#?*(RzLiML9ot`wPg)JUpBtx5fDAzv=!D4n%I zUC16O3%P4wef$}Nbn+ep7d?h7&i?xP`~CXLMa|b5ZnmB>%*q$Lz~P1UU7-UTxZ(C} z77y8IM;$+M?Da1m6p+QZWzRo-bmrjfw~&ke2fyW_&U^n6r8o1ZkmzWI&JLK%CN z^k{e9buo5_D-pxYgTmFjdEF_HlXoiIx*{hw=Tdy!EJ~(@26uL=llXav+U%(`+V%%S z9Dl{5e>Z={7fZtmKP`U$jAQu<>+9p*)FhO9!_~Mq*=p_HaJ9KN&d<0vj@RZN?ch4z zIyUGx2lw*a1?BUHgKIE%78HWHe-ae;-gT_RGWguCXVDDWX%=x!k?g`Ig8@{*UZQTb zU)zPlu2(25AW&5>gu;GzWmmn?HdFY;>FBc@*>36c+m3qg6E)nbbG&z+DE8?;P1Ku- z`pk|xPt-&L)pvX5h)r<~^y$z#owdF(v4X(L#0p%UVg)CrV+Ci;y2=vb*q5(umj21U zT(vJ#tgw9EpkBT5qF(#T8{gE1sQ9KfMBdpRR@*ywe>%S#wvWrsxut;B`&@)q?o$&U zls}w6sieZknDizoi!e1&#F^L`{WTLsG5XE?xU!SS{cdPmS7K;Sx)M7vj*^(wVNb%$T2;c3s%K1vwe3ja))cf+R$`fROC*2s&zszl-n?07Ba*^Kz zn;3>VkRJuR*HghhttW!rwl9KRwy&i;w$H2G_92^R+vgUsex|-Y^)t$@iREepk}V?k zFYwo?bX5tYwPTZmM5+m?A+1We-s5E>e_8B@AwX-uEv&_M4~?Z4JRT3Eegz zyL|j{BBk-ixfp+3_ow{v<^BvX@!JrM_O5^A*2@0&uEzeJb~R?jdq>;E2%i+Qu1xQH zjd1V#SmfUKnw@RmbEn?-`LK3wrc&@@kYC)ELPs^)ism zeSkae6w6x69IaBRU|&?(zXgTKnyc$;z_Xw#s9w-A-&s(Z4ruecXy`Z^+o7-jH#@H}6UZy*H$vnj1YyXfd3B8_?B&WGd>u zW5vCG*qwd-Fcz~qWPT(;e;GueS|E39JF;VGZAFqmZI*?LNybw%HnydlA6F?%1s8K; zDtN0b;0}%d(*j;uK)PMlc$)xs81}~km~I?PaN3a`x!l&#OxRe**uNJfWCwt0J+HX0zJWaNDfp*JiWwVR{2J(#|5JwMsdkhdm_6zqy}{GWxNy7X4BZ%sD&_jO|B)h!aZsoj%gg~qJu2xdw(<4I)-H*D9RsNH4FnSgWoNyiHpy|n zLPo!sL`X%cHzEr&SmYP%uGLLeu2)xlG29QVuP*pn54H{F7mPL#qB?;z#SAj1&2B?I zA-9hg7bJCBrc!L$G5@-2(3AC?OSP;+^YRx@9*=W;gPL7dmy!!wyJXSo;utbZ}jXyf52PBe{g!fs-ihj+*FbIAw?|HYR38s-?&G2cr=Uf;bd4J z$akeiZGu>HQ`{GrSYgj*Z-79-gz=+LC<>K-(@%Ic=F3;1xA8+V4ki;#WGQqP0+6fC zRX?z1rolMb?WCCBxp3j&bXalIEgyU)r2}Vi8G`! z=x5f(I-izsf4E6_zPU>{8$Y!^^_MrT6g99CjP02}Mbc=t?)x3BXCfin?Zb;N9SZ_) z+2RGF)TID@7Cu|+MjrGg$RI~9>fn=$SzXz4=S9Fj&@dMva@3p)248Dmu9NCeYb#PM z8Pkn61uZb24n@v>v2%-@)Z1ZKUYD>&uUz2&n`5}<;`D;Y$Zp@nkB20mEW7}bRbI>0 zX+l9Cp;|nJ50TNZ{X>RCyDbIQd`~!NxMlJmrJaGtr5o~xYS_#n3i-G=&!O#y>J{DW zp%H$CycR8X%@4a_st*D#v6e z2L)HsPLrFyJm$9uQ7`bOPTn5-SX%goRlR5~+o{hb0P!A|NDw&1pDcUq(zZyi;xbka zhknDPUyyfWjp?FwuYPxLDyHtmn19eM7$G57S!6mz2!T;X5g|_qBkwQ0nENn@}4AyNlJUhUE=O0j7908Tm+8t^12Rpm9L^45v%| zcoh%=1DTID!*55X-m843|rtO@z9yLtl-+5vZkE!1A#sP<#LTF)yD^jsZ-5RiJh`R;HQ3^oZ#6 zQC7l;O~MNd_WD!p`0f<*VjqvSe6w*bkI#n+v+Z;JFU+ON>Es)KII1IZVa3&#RKNu+ms1KTozbo?_ zXYggUY}aAZG0>bycPMw}5_cfuXcaja2(}x`a4#F@TfFDSnkBChcHefUh`f^V5HQ~L zoA#3&zozqQ#S=QaK7=4thqy4;iIaNcF_YO$IyS|9#+BkZdT<$SP2#%@l`^qok#=Z| za;bYi;nu`D5tTiQ#)rsQJ*%z9xdDeDS#a-g&LVv^7rpU`(k&FB+s4aE+vCY7)@z4} zb#XQdWIV_1F{TiQ@9;DK0-_>t0EZyV!Ugs!dhp#J?Kh^G|J<05H*!!u?AkEvO^x*6 z&}Y9>*Mb{t1;_1nDCPJ*sR$@xdeZ7FI}Bxlt95b}anyR#`*Df_+dJgf8`UdcFN2q_ z8CJ7+#48V@g1mA7=rwb^x5M zMFx}rks+`kP9iZ`uSO|NZ(L`yGx7D?JLJSa3 z-XY3AN}(4G(~$eNJ4)c6$C4B2QXs-LF3vF_6(SR^;j$zvUf4^xmp}`jJfxkHPzuZZ zfIy_#Rw;g~Q1b@(z$~ZhRWJBF=DptOp0MYv7oZW3Uf|1sLo}w zwr7FKX@+)fDO8W!Q3UM0K?=vnrbiLaX%(J_p2Ww;_LlPWF1tgI13+LeZ6vYp)=U}R103% z;K;g@VSbGyyC30t9)B$em(NIwWRiIGBP1Sme3%xLOnFMV3Z&mxXGq_^=sg69Pair= zGQ(V)I!wA+(<#W$o4nyw98Kef@7wv##_R3O$Jsg^E%Rw_9cU}*%h})@%?a0Q5ou5F zB9SE*hQJspwbz1$i7Vtyk#!y5z;ZfV3N!#k%O_TvFSM*Ov?)~VpyYKgl} zB_BB#1yr5qLNh&c@hDnb0^|o6VINvUta7Z`A!bxA@;(NcxwTO%UpPg`bi`cY4WDPC zqX+VFt4F|glQJUERe^RRw;i$?Og{cN>XaObzCBgN{E} zAI!8@>#AnnJ1L2F=J_*-%#5P^uJvhJs+A_>E7PFPQ3$aX&QYVl0`WS(jUwyuM-fns zLS?9Dhqlr%)F`SjeS0<72-r!+b#4vd=V_Q)J{_;#T>4CeDth~{n-TJ1V%C`#j zT;!K=-E)S*>a0HlPv(p=AkhMhO*U zBTki^Mlu}Dv~R?1QKQu%9;JUtd)f(S|9-D3H_N8LMPT%A6l=Bl_-*vJ9Axqy=I6In z?Oo=o)-J1sdUxiVF%r$UrlvoSyR5JsR7CHxl8AkWDiQmZb5VQCDI%vW$D;nzmV2ak zUUnM)t>&GAe%`l*RE&K4 zCg!LHk!I&Mo2YRN=*VPW+Oc&I9a-{F1OoZN^7&EEqYP1 z_?!gd=T4IJxVqB_GSpdLG)#j>E;vNT#&+wy-Ol~q%#d@&4}?UjXJNK2{UOt?gM=$O z!q5)zngfJb0Uq?%3USw_`89Vla3FmYnzIu9#Og-0M>^V9T3?fV>K z|G;`y=Xe%o4aeM`C>D`tY}$j1@|D=|T%1<>jrTU^-_Cve5a-y8Qp4NElTO_m`E)h;nXH=Tf9q?;v-9Z=uqJcAUz9vCsw#D9LtMuozkGtNUWUC_m?C~ zIWNh_JMiU)^&;!pIt(2)NoJXLpe#)`jMgY!*zBa7PPjAxDm%3fq~R*Iu;lx^c`VSX zK7i|ySr&ptnwRhAm|clKJLe|ukMs<_LS&pcqM(j!Ex-zz#FQ)T#W(S&B%R7&Zsp!X z*C0>KT~qIrrd~vG92>R1b8Ej@1N6ov;f8+a_PSE-n~_4z)}GIJ0fui7mH~4*@w&hD z|H@Us_MUrL4Ly*M*M~8ArxTZpu#vY5`2PTgCFN);89RkrCi38BsJwv5aiWR(C^k4B zozw{p`%l`@XS&t;2l31bEA;#2v`P!<-oE+qF&QW1l*B+UglU65nI!3n%B1*J4=_IN z!3kq;=@&wP=qfaenH>llluuOO>3ZQp{2?I#@wu!XM`q`@b zVhwo;(d6J6LNW25HR`;`$*%D0z$3UG_d33;_?O`~b~|xm|1+8;1+CF6-B~$41e+vv zi{qm)%@U8b>=Q%qS42thbukO*(jvj+8Nwsw>!)j*AG=oX~x3oqBS6SS3hOVg0pw!GL6AvyW8vwqqz|6Hkf^ zwhqy@rqMm^RKRBoJrvK9OpYoG#}9ZD*T!w~j{Pu1;^HhOx`CxPiUElqJJBTTrE)}B zJ-P{)+3R;9+yHCFVU2K0n)3jQN;<%to1Uja-g<0=p+2b*d64}$GnjKO&S$L?qmD=8 z=O>Sb$g@2fKXyinxoeEt4!!j3^yC&#BzjTf)f#zBQwbVDiD#bIy5#Dc_C@r2vq|BJ z+@d|q?Ur1rZKJ1lWxI!7hJC>qTMkuUG7{B0Xc~AEY*BUyKOyiv<#=Gxo$;w+Q))$0Q@wbOWW=0hoW1B|-`*V6rUN`EZPx*)ybi0fJoND*N88J@ zP77CQRlc#_3guS;Wl?6v|N&_8sl$z?l2t>Oqp{Kepgdm z15UM(lCj8wuurA!9F9HqoRPlAjZ}jF@%I6e9Vn)*8pvFFgS@~8F zgrkoDp;Cy81E{?s&g+~MYf>0+35bl(8t_MD(^w^`@@_%x28q3T7K9SGO#Gq{&qkuf zJK8E)DBz;*U|W_0@E5r}6y1v!rv4fYc|sTE-oQF26km~J+%=?<8^uG(lqHx+tvy^NBo)=3jPI^nMLCh zbbv|PW8!6C;I<&Z>f9kWE#5D)u2RKAx0^7}a+vgIvV)CAc&IKS030 z`-4Dyos%;vlxzso7ZMkHks(UY=(&-Ez}pRL;k4AOO}||}7P{Ox4Wm9^J?}ViI0$V| z^gyP5%d^MJdSvS4=7rW}oCc~Dt6udUoEmozn3Angbw{V2Vfn`yM`c0BHl+q*w@n^X zu~<^2U@Tq24+!niN3l{t{qQ6+pdc7bbW2`9%(R!Q$Ht1&77zb_mz7wQX^De_(F zDy=q3JM1zTu6-w0#n*F4W9=Kg+tg3DyLuY2vF~W3e9m5KWyQy{mvRn)>8KePo6cIK z(YKMq7Xaz~sb}+a|55gYz*Get%Vzp_LSAc`( zRmsz#{|hh@us_t*kjm{pAFKY=WsJ0lsR)x0VvMiVFdgj6{?H0Qp)C92?*Q^nC&7Q)Oy!fTHI31@Ck%KX+GKz4gsj!b1_+@C^^y%DtVu;`4f2aPQLg z+KX)I;dJa<+Oy`yhri{#xu9sCMh$dJAQ8j6VYk}dbCrzvmBALmM~Q1Rbbk)XFPk!- z--p>*l^&)|(F;7Rv+GuS`yc>`luLkyjDlQ9Zf+XXlbh0)tP=sXeP3Nm$zuq1@`@}e zJFHpvIV-{U;ex7A?aQqlzSH>{luXT@XT#>*Z?Wt#4Y>H1D(tB#w5VnUZsZrmK8F9* z#V$2gcXzuU>l-K70gF&6K~?^-0T$uNpw`dSiqspjy(FGVJlyw}^@k^I@4X{(D%`K& zi$y!;m7rf0k3%PKOH{IuQ1spRBr1I?-#k*80*dhB`cKO0NJE| z15Mwt((cI^Dvf7{$VIL3POfe1qBk7aikGt6;Fm`Y0}uf=mKKhVJIe$AXp4>@jmx9# zm>FWqVSI6PYwx0K9k=j3r5`>~h${brU^_vmGcajzSZC40E$tX93yfPjAfP2t=LTWu zG2e0QKj8LLwR(IU1|WC^>qJmUL2&!|fXcBVTVyn4J?I$e2IMCC(oMgJmU)Nz($CM3D z@}YH2BD0pDR~NZvnu;7T(?}4TYOf6`KeZ201)2v_TbNT(`#xhVQq5Brr_;T(9Q$#W z(WdC7A!qRXxE0whUmLC(Xp^DI;P%6YrsiFDdH*VKqmfe7X_R+|3QWg}D-aZ8{N|b< zD0?d|zaRX3wpa>x#Lo?V%M=8~kdjlwXE%2CC{tfg9w+bFfGGPZRFn-fHV=`~F3sMp z8kpow@@W@8W$UrispKlCLH|vrxOnQ&jmGmmP|OP@A}sa zybBrM45WcVLv~dy7bbm}OM+LEKKooI>Cj29A~KHosH-8`rHmnp(>@LX;YgJ!|Jw zmL+s08qTdP@hpSExTX0VO8;ezOUfZoiMXmVfcAk)`swLIZFP>r8|T36>c{A22%SU> z1UMEE|H{Q##7*+GUYuLSx;G1$Id6`nOVSyY6kFAN=GUAg8z~SNx_^@b(<=2iKh9a1 zl|13o-ccVGEKVy@N!(#9fdmuZ)kWsj&YNAP27xBGt*`{u)aozv8W)AECJXQ>Qqll3C+>53^=_?^vjQ?|EXt(S8TcTkQ0MUP@X-)^6qIt^L`MOIAG~ zVncbKCdh1(?SR)mL$XZf6L!IK^F$GKhaZ#YHW154$aJCx!=x1R67_mc{KB{^>k zyL-(9mcLn1LVOKm=Eu|+Hh}4mQzB$yAMMNx7jNr4!`!!LI0fe2CN3B*%C=&LV7S0} zWKiUI8soXOx(>0n_hDAu2}hW54Q{>aiR7Xhwwo#O7uy@bpBc+2K)Om$^5LS1)GBCQ z-6DpJ@DJF*U@Zx4%NH16&0cJq<0p3Bj^Db~5YbcK)eLr-L`G(9%t8NZV%Dz}pB0_q zXm~&M2jCa7mdF!|m7XyAMvB$h6TTF`JgBIC>2&#elt#W3%HfyCb0qQ#J*Yh%i^tg_ z*lys)Y+wupyI7_+xZ>fNh0;)VQpc<##xIPD`x|e`Y(ha9c?iPX&}?X!+B19|bn3~T zsq0S;0>5sH6k6~32cXk!SoyduQgYE`k!NeI80&6~)n#As`#Q#g?=ZP&7t0jD7HApT zoSHVgZJE=!is0yASV!Pn9?VOC9fdL`H(^f^#wzRqGn*neL$t=6)}urx4Kj#P3Pt*$ zEGF)Vp4t&I_0&LXFD$W(-MSM`tbVQ=S{;RmU5f;>_fpX(?OrPJNjHvINHEP2n|t+| z`C+g+E!{VKh`}^`L^f>Rs?`ahN-_y5g^g~jQkcY-#VH+lu+KZcvi3OuCNYhivmKa! zNm$py2~)Kj0Enp&&*UJ)`>H9#W9M@otiSBgRFR&a^XK!=H=r>hy)UDm?m60$NBY*b z#sN$U9!ye1m)8a#BP5-THtwo+A4w`5epzKhbsSGMuVW7SDcqsr9bE_IK@WsC+2uia zxcgU71ro#DfszS#ajp~q4?Un!<J1Pi;s&8b7OgsS`)X_2dx+Wea z{&6c3H={20MTw0*?L?kZGwHsA)p5tr#vuc-&vPoEuV%O%{_l?AkZfm|+&l}@_wK8> zcNQ2ql?c_|c1J{Dx?%CGZB6r}$xTTS+k-FRvb1&(Jz?rPGt8shX#-H!R04_3k5A!N z%~8kffvziCTI%j2|JQd@*=;>4SM-%(PS}DYnjmwBYRp3-q@r`R;7eJh+lXS`#2kaj z&Xp96EFwVZEem}T0mGYJ1iWwF@lugm2~Z-n)2>$i_O6x;rhDlI{i;M|&2lm)mFA7G zf8vmJ8YQF#*7cEp4-ZsNEfr)%GuKlqAAaqjlmYfSA$W0!TqcHP5CzUCJ~ucT$G`z#vufkD9FsSps!sG5K%)P{Ax$PcC- zNbl)Ry#YTm3q`w`;g%ZlpDvVG*duS$G>&8MQ zsa7nR2vmZO9|^crdcLWSriO5X>Gc!(_+-bLZhv^w=7y@*$WR$9ol@TwGIH(`VC>af zK~~0N>yF2VOL_5rVrE_N53OsQR{(ieN?4P zY`k!>q_fl5RrVLJdaj=jvk76LHiN*SnRbktUn=^=+{rBs?CLn7G3yVV#X z*~E`+3===@qtP2|w}T%fh23@mR>bIU%d6GN4NO<}mjb4DcZT@q+#ZEn1&ZP>@8&90 z@Pu7>GzRK#%ll2`Vg@cGw0o$5geHYZ_BIK3b_NsKd9cKRF=}JU?~t7<1#fj(r{K|g zZRUcSpA3~6ay8Zv%c?F`E=2h}?Cc9DAm%BY$pX>5=vH+gZ$dez*1u@e@lj}T4ZLkK z)T>jud{mRESDXM+&CY@mFKTJ^xMb<#y{HL@q#b9gtZ%{9r79p!Iz6yUhib`GaBpMl zt%*v0KCTpt6A|qQNj2kN5P!HK%^1Qt$V&?*b{%CI{ONZV_vm(&5i3aPJc~(*MNag8on@l`8X6A`eWI&(=Xfgvi9VA$qN}jSye@ zj%ro~P^dF02SHwEljyxwTC5fTWNVZzE|k29n@7IF)+i>S*bUtprPcXwBQ65KYmVnz zBgUVVoeJfW7LXOPD!&Fk^E ztzCkb7vU0;TKBMTzgzJ=9FH$!8aO|y7R4;uh zg^#B}H19S635?P(*lZ=sop$F#>c~KcshpFFPf)WPW=wWxWu50+eC5&)zhX zhXyPzf9PCkZ<~|~tg1X>PhzofC$G^TEV}NsEB&wNxW__nal?Y)_2k)^>;&W~V-4iV z-R%lvuQIS9A8m=IMw4zEGwIK%=GUu*1s!jv1XixOzSc8@>l6d<$)!@K&5+Q03X`Tn ztu3cuq?P0$EVCaAD%Mzj4lGxuWk0Q z3Zvs7Ua5(^WQbYvYqO5`C5Lr9o~2bLmFdlWtuir#Oo8*0QiaMOTPt$P9a1bZxkCc9 zF(6XM^_}X6d-~7c&0<^ss2$aJK?A~q7R>otly)_(OWJNP$~7}wX{YlHtEYR0<#{3l zg(>>sX@-%gq?%Dgwj6I)%+Eca#<&9v(X4-p{$(-rIbXrj;#{#V&xS>Bxj4O|g(Bzt zmrtqQ>(N+xQK0Q2{5wfN{Z%%3{W@q3Iax>o%5?>FJ3-7q=|m9o$DtRM*yK*-wXEC< zIr`%VHwdmwrIg-gwNc8EdBX&OMfHWdP?zu1B6@>Kv1Ia3wbuN$`U|gqgsw<*P%sge z>mHK9%uLxNTH zxg~usHe+9%<2>(u%8qciSg`U4vu>qRt*)9hO)B{&TP+8%&aJDP9j=r-6P;^r9D)0`0^I#dWzsIidsNw>P7qp10KV%cQYYHB^4Xc9}Fl3C@^N|9Rq56pqO+_$%Vor_fy#T(OnyyHGIhe9K zZAH%>@5i``v901(owUKxAwfKu%UEj=52bUe^wT70Kz}f-d-w@?%F8d%6 zqnPS$#0s;K10y_&i(}Zi0WUMlNOeqhuRSo=91ExPOr8+;ywlsd=jYwG@!zZ;?N(MG zI2b{!ig*r@rB)*0M6Xs~&21CxA0oVw0wyr}7#JD&@!w~nKZZ~gR*??Wp=(ro+zbGq zdK1H>(uMbU!M$MmFSM-9u-@hQlh%;<#pD1HSwzrfFiNO3mX-$U20C=`q#`G_MbbkI z527y45=xtk#YDq3s7D%DC&?r}ShoZ;#IcHi4UJ)A2h70ZH}pn!1hu0~R%Sbve+)-( zaZn^2C4&$)sDjB-gVF19@9Hh4-u$+H>Tc@MUu#8mBb57RJf-+vkKp|6d!>@ogl2^i zxo97lO&28#B$E}{d|(=~pB&6Ei5`!85o0_=qKNv%589icc)mkyUS*|tSe3xosA+;D zBPUj351oEk3D>l4|F*=c*+hpcUM2j=$#=oCfV;|7Uu)RL^|gD3=9#@!dxq$x=H`O&RivJL_eAO< zLB@ZTni6e0SvqbsjsC4yu5zId?_$cRv0m(bP22iyzt)?KQe3h@zHs&J`@yyY!m31E zpt}yRM5|81 zm_1;fG(?Gny$aU9dv&YC1BhH;(k=5ax$kK^pI&6;3fm99^D`sOC(k6uZB-62vFi#z z#tj>f|Lbgl{dK2IGg%4LQJEj2zk6wSbyElVCfAQ!6ta1$rdc_DL2Y@j zFVeo&IjV&?vrLS!<))JJ@u(}%+v$0q5V%g*>;>#?YG&Gvc=!{qSSSPJ@hx{ccflc7 z_*L0^V9T1=fs4trw8x9W(q-@O19nZkV@s^ldSL5jJ^1((@7Q{1B*gTQvW*1X>1Pm0 z&Xa)N6JFPvS(n+pD1lr8h22X?yFkoy83Y?kuV>-g-ACD-7v6xznuj=N6=6ZD`a)+! zQPyk8y2{`aE9oAX2%dIF`Ho>z9L%*T>eiayU< zKBYaV_qx~|Q=OfEJApY#2X!jUoD>?gdq8QKiT=CmO}<}!$h@1`p5QLWu&kD?lKL)zn94c_W+7k`0#5cezq3mray-K?;OF<@)8GEZ1FgN7Y@*v%9vvbG& zu7?MiG^CQnyI1(=WW;wv^Gx`7HGJ&kFl?|IzV+pOSR+YzypDBRqa@`xIBmKti>d%7 zrmG{E(ybvMQ=QXTHXZheaDrv;NeK@z?+$x73-P;z41T88l*y^*GGvvh)~C&7aH;m7XuVlE@OX7bEB()#xzLvUF{7H#eHmQ zV9bkTw7_LN%U9|eJ7ya>U2iE6$uxl-$v>++U2=&oE#9>W(0yr5fR;?G$Ba1+qm{Dx zMdx2-^X7!DGl~0FhlrKa@&9e!GK9&PI#lnbSb$-fHBMpz`_le1Le(N4%elDXo8b=)17Oz9Th8`EQWx0${K^3%Mnrt&)Wq0`@n3C?(V?&CX3oMRQPpTObvx=(+ zA`k$J=SCV==Wdhir_SB9#;VB{-HNIzF%FTeTr0m?3P{#r9}b#V0Bnp?XOj9cPMvv$ zOoMP_Ef(=X^1XWlY#RgC`-Iw94MTI$-nPFCzovv>PEcr`i^@SQ)ycNhj7%H=ZCmAQ zH34#_Kh+SLL>w*8#^9Om_v=8!UTh-L(DBWyq?*n{4$b6g*z4jO+rZ>P8Fqa3ydrZ& zmZcgfrNN_OU$Q7qvc-PJx6aT;e|G9I$lK%|4fpG+2X7x+O@fJncaV63e^WQ*M<#W1 zJ`5As;z9#?~81&;o{8afxBA2U$ zc@rW-ZG%5JI3ojmml-^Lv;EPLui!Q+if2PQEF(+Ym`?A}f=_701DPd$!&hO579Of$ z20Y;yJDQCMo~{hu)S|L11tXk8JmxH%p}2hqKa zSKQqD_%{&YA%=p%|N8L|v1?Iq?^c|If}5mGv2V*J;Zsp9Qpks?o6e^tuS2K--z*n} zrsUTYi z-48bwW)5)~whTW{t>t$Kz(^Ys0t1D#O?xh#Y21_aS_!-qN<+C%QRMi33iv9g(H+4{ z=02+>$M55FX>LRN9!9j|i?=B8vV^L!XU7+!XgxgUc}u8tBF3QU~dL?k-_$Lb68RNE%BITzn}XP|s1LMD$452BSfy@48$F%)Qy_}kp7 zTig)4S-1wu?BC5Velf3|#9%0wZ{0#|@~%{Ah*o0R^7+mwzsbDxc)U1`=Oyj+lnUp* zaq=|Fx9(zv_Ovn1i5>{Xx0w+#q~aL^$$T|GJg!zwjy0Z}J zth(Vm_!=gx`ONMYW;6Thaw3!+nq<4vh1xxXq_Mn@m4`l_bT865pe5F@Xa#+BzV~&T z%=E-43Sw@Jr`$$8Del5D-Y~r!JuVs--xi57tG@3OZ%WV62(maPa1Ri*p>^9itp%!* z8e4j1LwsK%zS4yLMclmzL!1&RBW^-SI!~eR#Ngg5_sQfTEkeZjVbUR~KW?8f zp8!R&?I^6lZz4QNt60-A$ELXKtwAlQgVdY3uNMfA)1C{Z!s%~<5(Hcg{HytYq8|da z#wF6_EHJp8#;OCS?{aNMHty=XOqZwdBTI$8k;rKPbGUpRkpz-m`D*DxI~l{Y_o;a$ zw+bqbrHdHrwde`<>tCXa8z`>k1=|~ghHr07eflYPtN$k)j~{{#X*~S~UAyZ!JuC%A zPbqiLO6f!{JssjVi50z8F*mMf>{)p(w%Rxqq`PoZ2m(|waSb1dVnsK!W+$*qELXAW zaD0GDawHZ&mUH}y6pElfx*Phu$^Bb5bOQj{1$gmDR``?ci;$u0&(b| zVw}LX5u#5&0YDP|hsQRSaY$Y7fsf9X&JVB$-ov%(H*;JKZG#*1;)j#NO)~*%DhoKU z!`!qPw!OefmspTmMd$h0>}5?mpRS{Dh(e;HX2*jDHEJ2f*GC3K@d>RVnp;}K)}3Yz z?fJ~b99_lt(q*A+9`WSDMR>wG%8kh8jET^sIj}pQGYQ$lv2sPdVV@G@OgnJulovMn zfTK*mcm|8RwfD2OhPji+jIqp+OREhwAk;GAm;+okt;EjeEyG_PH*9u1Q(K9;3l$SD6RV*sD5L?@lNGm9L z=65H>mU*yk!e~(nnQa?{TmVveWrCLICyC{R_)PwOG=ntn-Y=-Bn3vX~cCYcw=jd}B zYkKHA#)@tLveUh0KjKIY_pMR-ElLpgrk{O2_uuiz+p}_B3m2x6Y(vx z72iDZ`b|Ut=VvR<5B4VT`AS9ep(go&){S=DFh&q#?`9ro0rF3E^oJLnwQ&#%2!I0@ z=fg@E(#VIv<>`rF7kP-_HjvbzFZDjI+aV|^2j1`NL4do@=dCiE{D3()DR#-Xy-WSr zwJvG%)2)epIx2YPus8Prj#8HOI99N=k6bRC=vF^C+B%pxT7KWU5${muF=z0WNO=lv z`o`3FJRG+Gw8~$F?fI#09EVC=J=-itjc;|U&rc^(z@X{zpsBB0WwB zH4#MOW5P03NRx%mC+lFXd(gzR$v!p0VeP&i;c+WS)Y#x#0~`$M)NzNehq_kY4^2#) zGAPLi1+eHytmoAbSzIy6$CX$rQ+$!2^r(;V5!qb2;N0+-1h+K1hc$_l`2;WS3(OY1 z)suAaiV}0vELdUANQgc^MtC86odgov9dIY>h#z#QscP1PYT@eKoD8bcBzm(6hP00o%{`uok@5dNwFXFH11))|u@1_&fYL!=Qy=@pf3!@m35{}Fge5nX`nKeh( zqfV|D{Y}u7T<=Hh-K&87wZ%MOxDCC0&hEJ5b1R2m-Zs!t9~;A;Z934}M`v?2*|K>` zS*h~k&Q_F(I<+C>QQKblV28JMZV&{F3h;WU6Eqf4%U8_*aO-0XAZf?FsrBLxU&mcP zMI({()fl2Pl z#QNliS%lgzPbe%dex+voai4MSt!a2;2oP}QN#gRD9=N=}jPjOIe*R>fUU;QM4|pe9 zmS7)o@qM+jXHN>5Ry0PIonLmB-y?Ee`PdJ(@01uKrmaIu+U|cbGmS#ruNSl4IZ^~J}RA^<32mt^mluG)G<`1P zEA8nAZp%(1HL3Z?bzrk~|B}YfuRotqQ!RP2od4p*diY;P4%49K zRqZNU$jrUl3;;X`_`ZtF#UE15g2e*Ur^9C9i9w#XG`KfPmW?=$AVKngcdv4CcoQ}; z5E0)k4g`Ls$R+jmI>)=%7)v#hw2ekRP^pz5uVa--Ojn_NhH>bPq0v%R zm{hEa84>29Z3q=*^A~G#)@fN*qHZUC9ux3d#pSjfD^<1b)oCm{S2U~xtQi#E>;oD_ z_eL#D?nSbcU#x?F+M9062r2y8f$&6 zB&Xj!bKYTQ&~K#(cj#A|=g77JhDWgX#R!62QMP}L@mS=? za5R4#<2%i+9@E=vb@irCYF8_OD3|A5n^<}cg{7$PLugxF;{AD^)y|rPn6q+m0Qv$y z?xDMgRYURYCNzD3;4iext=pj_1S12v>MxU@uy`Jcg~J$lH=c$V$#L+ zZ_DnK+(w#LBG_f`L~xdUPXs3pt?X`8+n(5UAv>{a8GI#;TYZ1a9Q*xK=2(SO9#59{ z9~923lJ--KvJ{SE(JeOpaVgWW%cq*Ja@u)z=?pYxKiZLmxrq?IipIE^##+BNTnwuX zm%}>wI}WS0@h`)=rp5+>T@7pbJLF@M;J%<-*2SVilEoEqB%$=Hj*o$2+t8z&eF;Bx3E2s=%%c+mIjw~agZu^RAesOoQ zsANGi`uro_-Z5jns2@5q)}o3hMV5L4LlEp!3}yPIF06boepj6P_->sk625b%gNq$* zo?M>kqHZ@dn}C$6cEUS3!JSiB(r+Q9vp`_&e{(}*p`F`)hkZ> zxl`L~ZZzpVTc7AIUdSSK+PNd_H$C>NGxz*B_zS)`{tI60;C1hAO2rh1w|yl%_Mj;Flb!(y&(`=P|55%kR77_sf^94}&ux~PG%;*%uXHN9q>SGh4lT+hkbb-@9!!G*Z zFT?(1*pH6YE_zoEo8tPmt{Crc=G7!c7BtIEw9_TLc7~|XH4_mv*tp7P@jBPd()O00 z%TpDQOYY{f(1_e@BV2p4<;{=`=?FSgl5AMNhhy*|%n*&o0h7Kk_PHg=ykDA6tXqh_pR_m`=;CQ9W* zv6`BR2`sm%nS%&21MR;kz^Rcu4;qsKpL{b(7=e&m ztytp`sX;F^`{x%9n2jCJ3(wBJpsNQAO~=M~7vXv{4hNpoJc%gOoJ{C5l#8S zzX;7GW)A!FeGjQ#8tI98*^nO9OGA>S2{bOF3Cx|AB~lZda;N+}`BcO(_Zoa14HdV5UwUGe(LujOg$J8XX{-Ose7uTX{X^Gu0?}cQPwX`8aU)SB zrNYJ185Kuvbv1sqiQ);rcaFH>baTWBT0>~Lm(>v@GZgN@7WF*9QQH>7(_$+AHrRLB zmeCmBK$TkjfGWNEJ|l=gdvEq*G&T`qd9G+Rs~KKiCzoIWoLn4!zLAPLh6wqVc+m7p zd&@vHwfrr2M0rY~`8hCA{bo{kC$A4sSu87Rp_W=nmbq%Z+~P(+%Ja)8>$ zSb2#nO)_;Wq?@75V?66^=wo!ssJv-WoKV{zAQ{GWu896Z+Lc{|;}x;4nHP>1Z$oep z@?dQ}bh^C7>B`Tm+iT4uuy=zO+xN}ps}Ar#TqQHt-9g}yB&B}Tt3%EAQk=YXMT)6< zx}Oir{7`f-UiM6i!H!Uv+72leJrMlky#@;O5OqCu%>D@+$g)e?fv1?wMSx{Lhpl~`M) zW_`v`@OT5;%Jjs5y*2Ri%fws)UQns`ePYz@9XFJ2-vbAI(Fq(-#~D<#d1t8%BhW=# zhDzl;opd!6%dG?*4n3iQ3438R4>g}(u7}jvEGvp^yX%rGQ1>R$`8BuWL=Nh^f5@0T z3pyXecdikej7e&!_Kb-&R27^i-&&|efzLZ=k&>wmmZ%!580Gu`RbBg`(CTnbwCEvT zmOF9ChkC4ydnaUn(djW{@HT3QOQ(xp6!^*vE=XOFAl9{&nd&zr0^>-Uljz!&`V)w0 z*Dgqx+CyDJ0yT|)v~9vZCu4?6?PM%BnpF<9_+-aWXusRBL;&gO)MFlzHv*VIo(P}= zd0l$Fcrxp_^mnWobI!%FCq=BBbcpjsCHO_KuD1aGQ)RPd80o%0kO(>a7A$#EXTZZg z-z8X8N4yJ`EUXO;lUOyZ1;4+^mFUWFnfNpg73*xS{mnlD&5Df1>YQQS?RH(}6{ei*GCT7T^OhNuvedw7@8Bft=fQ^BZpk$CbC zf^my7ymzFvBRsd2UE+lCQ+A04B}t_d{c(R@xI#mdrR61PQ6~?j_phd}XfPYLL8#x} zQA>7jG;Ikl?>K=6@2I=*vZcx~kup~66^hhkfO7o2VBQPWA{~PKF1pUq=g%y1M7Ogw zi0(c~3S&=Ffp_e2@+5c^wTYEPpt?m?R_s~h5*EcC+Q}xjt>tp#s>4Xffgk^ zUnclb_{g6*#-yWKh&>=|7h*}&8KIs;cs`^*HTjce0y`2rXwJy1O4@;YaBI@0gs>*9 z)Q@Mww!y%s*qxBZ7@yyu42NG*S-xsH)@Fdwuy z32!Yp@A9BwL+Rz;WalgP^ws-olO2(`&T|SqRi0DuXtI;KOQ-DQ)MtM-$7`~a@t;Wb zKKtTS6c4^+VzhYj!QLt{S^$$}sBK;Zn2K!q%am7T`83U2Me(NTC!NXr?HdFlcPE~$ zsp`bDTVP8CMUQs|lK{U}N*RHqwwJ_DTK(r2F1p?G2Oc3pGQlis)4^_UHG>^uiRb>0K{mZ{_wkK48h^Z`dBo zK%*_>^M-A?VBoNjRTG3cvX`muW0^>LnU4EtTjVOmv)f6OQjym%va5MERQ52?L6czp z7BMwis8{`fYX>F%}+I}*JT-5-kl+xL)J*ePzQq|td!>a7CSanYW| zF8)1Wy~f7`LnxW~#+7OFY$RXiISdy|WKoZ3D=e`)0iuT49B8V>iYk5WY;YcJ!c=q! zXe$pUXYR|}&^FoIpdwdo6T0eNo{FZatGt33ygcV}ne*0)zwDUSCGBB{3FYV&uU6G) z+EexdCU2-J1p_@jF|Bj{rDEcg6=aou{hic6BZW(%ZXnNe+-rskKtFxNuvaQj*)^K7 z!?qW2b*$1(Z2>nh_(!|4fEx&$L%%EL_|Q}=F+xY#Pe_q&Tx*nzlFdOTygsynA&ye% zSv#|~y@Zg|yaAH|idhF?fXA>dI2m;(G)ano)x8nh0^%Xic8Sw5@l9T74QttlEDdPS z$1H|rLu5~B-QxVDa;S1amU#qvL~C#(usxeo+<+W#A&M^G%Wn$rMWca^^UOKPcr_SdRQZXEjET$?zp|%FT zV(~c{Y~8ECxqLiyU+=qjUh=-hgpA9kv)g6?m+kLVgt(Zn+iJv16LUoQXkuo1G%*!P zLl-Kg@Ku$TqQ(T})jPUHx8aBK189ramh?kLDf#kOUzBg{z1nYf#9!BP4pscmVyDnn zp3uY$FPjJRxmeQgDyuL{jxf+RwwYl+PfT+OC)Ym)^9wg~!sC|&uRSjZ2$$sIC4~)9 zEDTMjiFoM2MWQ}D4yVLUaY~jI@$P3N(>9EAC=2Fq*nu8VfTig9BC=~s!HuRM zjTcBY2H*&9L)N`#hzf$%t-oyPY7F&h1G+>9H)Pe;#G#4k;4CzN5YJ(nS}N)VAY3u! zfARSeUI&Tq=xBR9u~-A~M%T@)Hz@8T1wLN$4z%3qbHYYu^T4M1hw`v%X|>N!jF3I0 zE+gqwUj+0p&Q%?8@hHkB(dr3@=rnJBs_G>VWjaS{_~mh{HiKLy{MF~QB4S#Az+|fX z&V!~JGu<4_gZFn&I5)heN$~!-X9~5;B>ZdhF|YKfXde^Dc$`cw8t=sqBA>6ZvLiD~ zCJu+^MJ3$T;I!kV+we1gexHV<`+ZjLFHHMFq&--p5oud-HX_}Ka-q?ge)t?iPN^yq zJx?8{D(c+*@?W7sWGFdtT2_<@t=G;|p+-zgu7u^x{!ni%Iekg;6MK=9Rieh_YRfI=MgQ02Uc!^ zB>m=riT>GnZFV;4y@sNG9{nW|Ha&xD^xt?pRSzRi!LjnsZfIE^Y>=I*MQ>yWWvm83 zl<%V4?}!^&RY6tf-?m_X3hahDs#= z+_h-BI?ZDg|1v%pgE2nlC))UsV~Ei zf78vn740#ErD)yKYSVUFDVmS61@s`iHcV(74#U@fvGTDGvQp&I>IY{S#oVoa%zJq# zQi&e4fa&Xn;jwQFtvYNb0ELZ!$*&$da0=*?!^Heb*g}+)elQBtdC?(tae`vWSTF}& z6vur#I@!Ll`_X=-Y+GJT|GWVWpt(*X{IWr=MXvj}InL@qa&pHGAt&##1Fk?;30Ve! zk-6a{5OO)uWs;-PcOr5}75E96A}`*x(Lmm>l*Fa!cHR zn*P5)zl^`J(hekI&&K#So)F`|0}38q8P%MR@0HLUwXLG#RZ!cpEP6U}Wg$vz=*lw2 zHVP`VKR24H-!M+~gGXUp^_}TEyzaI4?(iGs3FPV5Qsert<`=3>SH3P=h_~x}z@yrA zu^Hzp>UUCCcBioAwimTx31xdmzCs-`$SDsFmPm`hy6bg6v&#pUZQCAvo#x+ZQOGQ7 zzX35-tz8&wC(^C};q&8B*JC?`+Rf##4eP@SymDEKohQ-6Wfrk*&*HvySpLrWdQ-_G=FGFP z(f#}OFyiZO#p`@o6s!|KIJ3QYzYM%l=FaIZV&6r-vWR^j67oB*?+EC(oGuk^c@eeI zGaHTHsR9v_G8slnd&2>5bRv|zU^z5J#AbdORWljbr&9^idcGShy*N&zN!J(f_vw6- zZt%*1IyPus8x&q_5I7?H{H(PDZ<(mPz{*Cn>>XG-%og*B*Gl1&b!qNP8qixS*L#3E zK*3a!yvGl=mbm>2HHP>oQ_Qnb7 zVAUB;nA<_gWrVPSc017!t&q*(4qpHkeQKzkXq2>)Lq44(I$I|*S956xhPcs$)WkgN z7-#7Mry^6oeyPoMv7JMCH1p{m*87!7Y_NrbX!)LBT}Trzmfm<>u6hbA{=3q18vth@ zweXh^o-a?)Yu?h6k?y>un+2(+I!mE=rb{==O&&yo(j*oZGW|}AHj>G;*OQ@8a{(Ew%3{Ec>+%A$=7G_DY zsJxAcl;P5iJg!S%8mb&Dlihq+>yzU^tJj1XlxFW7!D;0v;S^=mB!t`x@fqzPH+z8= zZ(*#EejyqE;-nh>|Iw{q?iPzQH!qh>%+g zx=!Z9yQR%}`CHztELJ_t!-mVlq7~m9Ves>mTtjqN8LFk;$u7(xsziZ-VM?@7WwLue zk(Wg5EDTPGeW4KoE-n}v_9a&#m6UE~chB)^Bqk$DY)i7P;)V6Qsjr#GrfKI}$pa{c&=mPEkcWR*>_@ z6!A(&O-l;=s+v&sYHI+uDVRBQzM5u(4fvY#@<}{b@HvT`3P4W5n z!*{zi&hT6ZsoEroR`47?F*3w2y{W-+ehFmHVS4t3Vu3SM7OJq4kMxj__ zXXqBNsd6(~Iq0jFp7!w-SSkLO0Q$6ta&zFlp8ApV1N~oSkFU6OCtqRb0bh_eaNef> zAhW(xnU0_CO|LmDASEyTa=ihMC2-LvPp8_E9us6l($W1%M^GgWRIcs&x^cos-U|mO zrmzg&8$n45(D^J89+Y3!(V`@|3fMrWn=i|4spYf4zm0>jXc~>=$rWyhEb(OQ<5pE? zFg%=pWz8m{gT{PToI1bHR&HV8J0BWDr+$ERUhW984h={;NNsbDwp@!#4V*zOpO#CyHL&l8j|_#dKsuy%lfz<*a@N{#@=~90;*(-ou6##9i#xwSh`J z*$NAGkrP$)p^{F#SlcuJQ9!Q0Xjm?bCi$~IEqd>DBB2$z6R~gH!q2IC=jkbXCC)k# zkqUFz#~qGs@D}p)%XXMa+|MWief9y=wC(Lsd_LVeup!H|L4~}6Cif5k+(&*_$H6(= z3rUEvqkEi`;a)7=z|}o8K#h0jqwwQ0A2QG|O#s6((59IoYD&AwGI7y%)2qWCMScHtAP@`rJQU||zRD9{<=QytW2^H$ z96*4A0Ba;-P9+d;)78{&7DmlV_nWM5gqt=hvCIZ)a=wzTp?%wwkzvG8jhv?*Nn~pt z_MzrRUmsWx!mNtg(}m2HkAh_>h!J_T2S@FJx(~|F`!8wUX^h-X1DuZz4F>DWSK=&cq73^5Rj@d<X)Zc6j?n1N;g7oNaXN|#lz=f&eW6OBnM4xtn%M$>x{Axg%zAv!j zbV+)1Pv3jc;wtDj*r#Wn>3e#Q@FJ=0g`&y)?LTu0nyppIQU(Ky?s{>8-ny84| zRka#2WNr>7WGCbhKg_7aH*)g56To5<#_(YFWaPWm zjyiGneRsGm>Cdmbn~;COHfP*!JQxVw@E|7;8IQFun*E<(Ahq+95@isJu(|GRa{yBu zevAro3dk#=4$B>-?0yb|j=Jg`hpS}F>39jY)mE&MaTU&2W%8qPiXk8gCe}+vLP6M* zd7v0B&{xxGP#lvk;0|_+V-DQ;V*a_J_~cN2P06VJ_yb32bJ(QETz@E7#bFy<28S)U zjJCh=6JJ?}BiJ!T!?blLJkHotf_p-Wb*2w3aVz?`{y-gg9(Rw;<$|&b z*RsODq_tKY!F}=DgT$R@NLvt@Zo%XXy50&qOF(;iO z{iDIHJvjQJ9C!2FJfNYaQ+_0{ZH)SXc}u0i?b1!e$^kFHD9@2WdI+*R2wj#9thfdV8m$ql`Qm>Za`yn^^-{ zZ5&J{KdxTGGkiP^K|O$38bYn)OC*cXv-u`lTwilvO?tAHLAXjQdIVsY*`03zyz4Id z#&Cjw%*$~R7{pH!KSnjd;(8S24q^S#ae0fZwy3_pwO^eMCJR}u8N^Wzx*hU7{|Gjg>xZ{e#7=#ID!qv?>eIY6`D<6TD|;X9CdH+Rucp>9L%Eug~H zVutlIeXOTo?M5eiy-F^q@O z5lFfu|4zzuzTcP7DUUiV-#U5q$`+(bwB@>_wY_i_!qZ*MGSrb#W#+q72>$EOjz~Dk z5HO-VS2^h+uj7b(UUd1A^n1@mp}`+O!TcL*Kv|Rc6%tQ`Ac#Z0{#~c!@z&1YDLB1- zy{fbm@Bc@KBrWp!US9OFw_^I*TQPl2bZTllP7x3R8LO&;bk?67cBrpjz_qRYJ8w^W z#pajS#ZFh2z7S-wJbm-#wULul-@$=HBA)-W7=e!&#<#_|LSAeZ9=_gve6BAK)iRx_ zUk+)wO1$GDcJD{7YTeuy{4Pt>L*nvL=Ow&KwyqY>)O3aGNpkNQ|ED3t9e3Uwc%YOQ zmA^hjRB*pj1GG6-z0S|!t19;PO_J~qnD)z}hFb}6nMoQ~|7OHqh|lo^)}b;qU-SWr z+o(ZqKhcrT$x*=Ps5#c@70&l zN1vJiAq)Q${uolfTPnYQmou?fB>=)_Ls&LshbFFcc;(S5`rLRA&3*_}4|Q z$jy=~Ypdwdbas>A^O`A|lrS#uhMlNl^4x7QjRZdlb^6gsg0-oDvHVZW4I{$KngsAIKT7qUXPD_O>Y_@*D_)5fY+ud@7428L|4@ODk)ty~kGs z%_wj1b%m_F7t?s4#U38`m1ghESB7}ZEl*3&dd~9YG|Rd8RN`j6Q*7BV|Fo?d)MW7U@W}Mk{0%pjSVVX1-O<2dbyL0%K`n?IaWE5W(DK4Mm zRJQ}pi^r?(W+ZW>wU_hZkWIPUsryGc%~iqndMDk?^y-V+32Ca_MV_PeE zc2Ab{>YnV!gJ}Duc>8OUMB4o+6Usm2xjZ$xbZe4Iv2not>hvWtr^ymbI*g!TbniITA zOrO+^A6`tot$@`DFy$M177c;Q2Amu#qLM4`{cMkP3}5*U4H=r$Uij4KduJ~q;OUF< zUc%u-#*@^Oz|b_IEtkGX*&`=;xF5ox)o*gmO86_8*n<7m zoSgV8&gv;&!|#)w$s#I)lYil5w!T?`vH$qJQR3J3h?TH+RZyeq7gt4?tbZ=cfX16U z)u1AY^EZ;hK&p>bg!VQv-G|)uA||@(HSCT4gATfM;}U2$S;YmW!7u4W{A9~bd%UL5 zT)BW`RumduqkUx?WAz60HzK@*$+jjv6BQpHIB|cYdferA{ZRA`_OXB_4A>sa1%5`3 zHC9Z~+4_geQB9@m3w>~XT{7_ZuoGCI9AS`;qjJ`#r$YzxcoJNbcflv>yam6X#whu| z5Y`R4=vv`PhzZk6Cg|^*G?7ZC4g-g64zXE|<+95i=@2b|H0iZ%}d#+;9~f8HUU4xUI^ivNMzc5-jMu5I$}E#-g|s`TGLWkz*mEH}92< z)w>tKCPdjX5go(v(`Owb0m8xTV3rmP#m)Lm%G}H_Zs)i(1hanatMGj-g7<2&*ye_W z^HGI+;9P7_$bak`b0Yf9ddaX!#%GqY0==|$!X5Z^z^@;7!pog7xx;aZ%^faFO75^N zX^G;qOnCo=B-vKvoFv&4>yExwnO=D4kVQex@sdOeB~Vs&uaw)52_rsL@8Q*<|`n z5K9HsSX!#j`_Lp`l%r4*v+xoytft^2LUGCpcEs@^vu4(t@8LeI+%dmO3T^x8q|kf~ z`aDMSHjo2rxJiJDKt5(CUCWDtr926cOGVPwOVn#gAPNtum*Ij$Xw{fYbCdFE_Ep&l zQT`zB(c+b31Gfs4v`*4Is<@hrhZv!8ln_$1uSe^ygQtjQBG_@2 z$Xv%L*D}Ya&?1aau`B|Y&bDFZrF@D>+nQRmD_Zk{EWIEkjL^W8ugW=>zPhRBX)x>()RepA=Jkd7wfIaxf?m= zli@nLdbK>sa*$WETTJ`E(h4_cia86rlCby}Ge14T9c|D??)NZxLnzN{?ZK zE*F6s&ZQWs;XDCKa)Ad>q8wk$LzOH|p(~~$d0$|X*laC0F$7qO^gatMt%vEv?yE@A zanOK#t!3yCgaSCi_Nv4FkaC_NC5>3DY+m2LCk;L{Wa!pLUcS6XK{fR-M?sM@r$6Mi zm^Ou4dJ@z)A~&(CW3o)DxoHobnt?;-L?d(C2aesPW#W@UieLc%%On^spRlaGDxo4# zHhOv8zDgc~f?GXi+oE*ZXpR*q_dN&Z}Wogjn_RRoJPts0*Kdo{gu+*&GDX0NAYD3w1>Njhbb z0I4ZiwO3?&r_{`B?}j;Cx7yyV}n9qw<=MU6{IKy}?Uev@>XWBm=4Jjm68;Re~&x+-egq z_cAmUW|m2-H8R~Eui6wI5?5>FGq06uI{vtPFMG=w#W)BA>}7lKibC;fN|*dRLHA@? zuRru{mVMp)0gD#{8cV-UZHHZayVYIAD3Yr~B`h*^7gW0PQmuE*d1$_CjM-J-+rTH? z3;lIUrwgn0*VFtWkmp-cVPVzCSKO+f$6E~mKXZQKN}*bB*5!fhpxve_-BAoLT~lmvXk}Xw5@$8M7jeRl;`rm{8Kw6@gt@kJBhs0vEw$`S4M&8h zwmo~>>fXAVne!V5P>=$~mnN8KvR695yY=G)+WclTie;M;a#{g$xYZuQ*!!vd{142H zR58r@94{a0RJAMUL!xpq#{rt9R!+(@FiTz4OX=w&d8SnsdAMP#{3xMy_R+I<AUg@a;mYufwJgvHCzllRawo7s-TF?1j^G##Q)A*9Kba*`F-kKP#^xW4n=$SoD|Fy)1(e%d?tjaQ*k1)ia@FGv|xlD z+7T8p+PF1HR#?Alj?xu$`X7W^)Na&Nsz~r~BuxeT)i70dc%AOMwgKMX%CFhMrb$~k zQS?+h=}!(I~LPnG@c`q}yc6!4U%U zh~$Ab-S(PKlBSbWae|s#-FvVx9) zuPd>9hvz=TJ%<*b%&TrzBf@t~bl{uOGNd>v+ZSmiXl-(kKmUgA9NHm7enYZj9P#5? zh8AF80fV(n>MPSVup;&(*hwGB6qi)Dk;w-cDs1)GCj)U>#|8MYA@qaO&NrG_$sMW= zY4EMrhF~Paf^sXlOq%Q;(!9#$V)u+(hQm4bumeTx8-nt5Q4ku+tqC)Ki=s1`Elu@Hwq`ZuAXx$SJd7AyI!ikhY3ab>UF)m2z!Ml`+2iWFlo-{pa zpXW_%BFN!0j?GX|i3G0>ArRvLl@&t7UX@E7wpZ~cex8w%ht~w9EA1~WyyYRZ@U4Bb zJeKY%m6fS|`X+C`Z_zNjE3ER&~hvB&51x3q?GSkGf*4g0^em$ ze!2dnlrE5d_J z1HlmNrdcKQ3w`3_8TA|hHHCN@?bOVh4Sw%>Vbp0?X*33fDqhTMyAVkH?5i*|`K`b; z>9DONX61tXv^vPm$IUK1Ch<^H$!WNd6n6U1$v9YTRLo!VBauf6KS`DV_mvNwT*;th zbV6|Wx&_z9LwSE!kZAY1<&~yU*peVzFZBm2)dzkxouq=8&`BI_%bJAG?HPtDZL0_@$h+(Lt1Gi58-Agy)k zLUPK+35~n~ik;nS?Y2s@&G{g_Q;0aI_G6zR(6=+xt-sls#J z*yBMJlgWWB+MNDcbvcZP1aBYZS<{!Lz^!bRQ&ZZP)*bQckQZY9gx89{Rq8^ z;$oFoQS%tDg4b?bORu6_)O=bbs^wL*6Z*p(eF*oS|4u;FxDU~7O%i45tGa_za(3Lu zLvpf3Ytz0g*(mfXe@V3k-~!pNd#84AHH`j2jSk%mO)YtB7T3s;!mH|`LP18C5p#8T z6*s$nMYu7g=Q8}`q2VJ&kI~;ZCq4KI9fp1YLE|$3wxfEghElZ-$#O{PYcN7A#Z<_? z;P%(@U5MEYr)st75g&!DN@3sOtEr#^d=>+JUyZ&kx!QFJ$i}{o#nEt=^V4 z2PY+LMBc|{BoicIu&wQ}mNRvkT8-#n36JFz%>pNBQ|dvtIWNx^LW6|^5RZ_%?d8%=&if+*9UFh+@Is3F-Bq!+%9|3cBTTRY8BM1JB5G*Gz>xD z4)d4jg`RVk(PH6+SXsE-$$kzqy>q8DoUVJn(F0BrX@oF8P$YC1;mRy1wT9508Xb^F z)#Vi-RUO*w(tXihWEk3o_J?)P4)xPU-J3&}<)X77=!5bQ>(lo~B?WAsp35qdD)w9$Ood1{kC{rFZv?l0na z^r?HI^lAImnaJ}rKz;6)b7>9NQPJ!<7RoJaaH7|$6GaND9v59CiY|o06+z>}8?iuv%j(MxbD(C{T*fy@e#kzq`u|A|K+_i(JBXvIt6B1rZK%GqTvl}CF4SlzWkJ#iVXSP0>Su5d zbXOkJifn(1nr!p{RrxVECH^=QTpb-{I+Ax*h5zAj0Glk9@FO#nR4$&*ov zuOd2=?eUBl`&Td;7(I!w=FZzf=EkLh*NwAUXeUC}o(n&=i%)kpv8RJ^<`d$%;Ra{y z+>>_=SppS#hP)8RI)Xq{50=`)*VN$9=A@ZfhRy(jQ9KGpxn z*qiRy(j?h+uhMV8JVT!0XNwddK%xkhB#1(z@S&kD0))gttm^kythLR|Ju>s&7K!@$ zMn;?%+|6y+w#}T$AE~x5KK6RKzG}l zmjmNcFbChk0xB4lB-iJWgOgwOvTast{hY?hAs5{T)>dO!`>>=x+Q27Hu0gJQfsIhBWKss{$$)+4;4B{a7;~?bxgUeV z25&hMS176X+Ac>&Zv^;g|CoWzSKaCDm-U?w0>Msqz^un7#YU|-9LqpZQq>-_KUmT^ zzC52a>706pAENHh-mDxv^5big^-i_ds^ep1PHYPR)HgJLKwlUWCCQhRkIUGpn99QS zRrJ-65ga+y3vJ#Kpx~r_BuCwhGRxLG!{@yd?BhnM&8plp1@FLI%nQH_%5K`nd~c2N zwwZg1up4z)97~qd%CftWa%b7y;K9_dj|Z>?VX0BSJ@3ERfqXr-I$2C>$i-8*;Oh?7 z0U0<$6$KH*y0TVj#L)|xGDq?LjJciHr;7o}u}<^kpu1{@&nMo%YmoA?0adC0U%r2O z{x=x8XobA~Bmw0zCkdF>H}P5zjrURCG9B6ScJ(H>)c?XrR+q@A1F)jjeVeb(FN>54lXzO=f4LCG$A^DY9 z1tnePy9)40Xw8qiwTlm`wCR%l$$tJkUsd`3XjaBj83Q5+tN-Ei%o`1b&7`^dM3Priq_y&Exs8_=}c&Yfn)#gs=-jBRh~t_R@ULiPkvTwi0_05eTrpN!^zlML+DeiW?l~};L{FvJd9U?tMLj} zD~B#&-d&1V&T=Wj4QP!{gO%nm>QnTF4Y}6p#@6Aw5v>z`@x|%Y^}{6d#fz#Iih35Q zFWu2%zjR^G#24-oTbZ@`0_yp9xdgjfe_nDRc zvaLvjDlNwXxMqNLr611 zz)~JvZa4NSs`W>f_zY_Bs07?I2LyIj*cMSBq$Z&mB3>zLe(0mn2RB#?haBwp5bRp4w@#p}UddmyH}WQM_xlTgdgO)FN-9>{Mhy3i4T(X}CvbD0-ZDKg&M z`@J4yvR#a8FjjBP+)AA0o?o8{!luEic-)-XiTL)kKY=%Y;^z>RR_+cDsHND0(-gg+ zEuW`I#zvR1``o@dXz~K zJG}R)oW)yPF4+^rs(VUVZ!g2$cb#ye7!zIKcW6RRyRoQ!B|0{~3L!(~Gnd?%256lm zmU+8NbJtEEijUhcovg^vp^nc=XB(Hv2N8CKRfi9eUizDAHQYXFlDuLylut(8z@a~o zbYAm0jn7m34XAUk!Y^D^cWS)d9`;`FNow`pcL8)om4J(4=PSFQiD0pR^)mPVZu-13 z1wC3dyZ5f{1QDXFL@23jKY>`=e!-aVQsuxGG^?jr;V9*75MnuX zk_-@#B^j3!w>>AjsCR@|%y3Y4Yqn2?5n%XX#c4QD$_x%Et!II#C)IV>2nPHZWPp|M z$1B|xlM#8op(MCtL#Kq?)ZSIP!>Rx&tfUju5C?B;{nXir&rAf=ab6Q^F@U_9$dq`^mvd~RTOKKN%jqGaPFICPBqji zorcE{no#>Z*W7LSJbu~9=i%lpkICA4G5(xc+tZry6X$AsT&nc=Jlc=si1mir85T~| zPNR#iM;qSlHsvtp`@KCcx;Iy^))yQmgf6AyZ}%a}T&kU0HOk{RbuFrc!_HI#)KKNA zX)gDq>_K}^mI*tf%O}7&ifb05Z*QLZv1Yz>G5C8?wn}R>@oeddUh0TP zUcEmL?b@IBmT=K~R*ELb7JjEdA$gp8-Znl+Er&0DChOr#ezRsYpasKpczB*!V-eoc3YIzgdl=VQj?h+L(4?gmF(Pir8U`k-uWn= zNWVq9A_)~?X!HpG5Gso3hzHyUB3u9`h|VBp_T?$W42Y&7_JA<=%@-E0*{Xno+3Zz7 zUeT33bIE|XBAtgEC6;WYv(4BKpJBv%Gve)330tqscd+0);(g#@^jg}@$gzFsH#iFo}j=4@@&BR$eweYyEB8pqDAi7Cu8mg5^k zrDkMG*a`2yYVXPmH4o**LdYW+2;hT=kc;UW9~#1St)AsWqoe(P@{xUi%yTz}h=Z1e ziq%o2AAc&$P=&qjXFdU8`8y@!6yU6n3AlI}5`)-KSv$tFyCoQ6I+Pn1H? z6E!zmjg+09Qu9vC-~hyvVO;3Ig&l&d1)_to_~8~)BMg{9Q76xJMj;70l&9H^Z+Lz5X@2U+>k@fTfh5zD_oYO8l@6>BXV`hK!Ueez_OM24L$f6T<-=vpyFo&Z&Pzi-IEVR^4g*{&-lwZq!Sa1E1;TbIKHAM6bGDTu0*n!+cj{4_Cu z)|c%p)&G}t79=czHkVA)F$xawWTJ*b-7}Xl-`AN>X~m^`o_L2}Q%icovW^Yf>S@+1 zb`Rpr+Hr9tQL*nY==H%JEYmB+P|eLkA4hDZXelAjl2B3+U5jDiI%r3f}Op^@|Z=4%#jDNIa$2#+EN#cbY2t$T4Wybkn$ZC1S%hmzAps z_<^{Xe1C#gK%8m7pYb5>FGKBiAP#KY9`DOowxib zmj;X+qo7&?AvN7DoTg~9O!i)if-u*Vis_~&dSAj*awt2GItZ-mCy$34Sv@IJGi~8k zz1aDSl%?L?f{-U~_dtN*p@jnKK3kzj5t1HWcURGe(PR2$XY_y_AOzetbKb77STo)?xfc+$Pxx3}%d64*aFV&9;Ir-z^QBTs8LsjuUYBbtUcnbvUMUm?bI89w z71NanvF*amQXr_>0AnA??!vBmF{-{HWIQ2RP{MLV!;&-!PVySht^m{!YhA}I5-gH+ zde;g71#9c|WxG}>q8w>My+gqa&c(A0I0D{U^j&_sPl*>odPHzILFDr zhEXNSvEKp?gX5S??yI_JrBVlYPLspjLv2pmStI;(_UFjHvIm~XXQ~>LpxXzrN ziR-+@D%!<_gwX5Vo)r!2?c1WIZbLe`1Zmx7{SbnGpqh@iU^u0i-8khMW%ZQmBk)E& zwwOvTCj%_aq%S}7x545Tf7|m?Un-aps?&ihC6edM!Y{3Synd$`Zn1vdJT!X4%G~w_ z!0xIt_qc}elLB{shs$N|t6dLTyQOzPt;Usw5%ptoAQYts;!e8<_3LjcQ1CK!Rlx+O zh>-XzjF$#QP#TE`n0fb}@`wfH(}DI#mn0dpsoVtn6Q2{{EYgATuatYvCBbo7C1c7{ z<9P<&Nu~6#L+l8M>^w57{K%Ci7Q;IVA&7gcZ+K%u$Y^-ZNidi?A9JUtIv;$4(`pOp ztGr*n80Z737nz}fQB?r*7LAZrWGKuX`X$d!RnfkNtbrWa^E|#Xu}YsF*>gcfxpe6K zL6~0%z4jx?fpNZxKlSR2*bs-@F6^8d#hG0+wh>Wb2TDL_GZjRvhgMZ zel0~=t9lkDg;&{ewTBDU9@D9sWx}T?zlE`*s;r~8C}X_Ub5RCpWPj7#dnV^XzBEL6 zmJT%~t6W~8*!J@Z>7>d0L6Wt~Jt?Ws-5lk$xL6=r;XMwxAo+{s2U_k!l>nG0V5}N4 z>no~;EJ@dr+9X|1bb0cs9ld71yY9LtT3zPJz%@b8qf;wrg#tPBKTcIMOxekmeahp^ zR@dcM1o$(jO=JZxw(^KkPhR##>`fM&X2%v zlBx)hA(8$n^}Sa7ryn4yN`A#&OCg7Nha<9CQ8Vz3bA&}pqsycyyd$@hJ-MZEdbr=D z>7qD0?$J}PLI(rjEJ_Cp`0DuYqf~|PRjGG5Dybthr(7|aIXG^MKm?s6u6b?fqy!?R z891IfI2A!M39W+PR1n4sN31mA#lgx`;ClyG@3=!6O}e`KR;laSKQ_4@?kBmYHZ}2R zb@udB1$H^bbU}Iu?`NMbX0hKm)Sb#u&)F%;n1wY95v(?priRnpEup$>$c2rQkMahs zktN!?8y45@o%rKah>rtAY>s0A<1`IDWF%?M#pSY$IO-}=T_NoB$y}k$#^w94b%^#{ z(Uc&t*>f*G<>z=cwOu3F$2(y~OXV3%x5`VRzf@il{pU*A+p3gZ_saA9b(i;nLGkOY z^*~qxgCgPBI|McUjMP#mR<&Kyd*8UK3$tVkbzxR;5Ix{K8J?R-BG0NcA%+4obt`n4BQ}m80nGGQ6=liWB&`_93n7hn)eGlj=rL zbCQgTO5MrS@Ts)~cgi{;TqoC3(1c`|P}E$zC>YwNrgda-}lh=oKhfv+Hhi97yHuu22C z;~hyQ4c&(%O}9ON$xg)fk|o8SCHoHqMc@qOx1GrA&ovso8R-zRS5=TjTT|9X!gjAB zkY$x%Q|-Y#zSgcv?!vgGkU|)MM#!~Qe_--n7u=%eq?ay5u}4FZHqQ==zoXHAhE$%I z|9jfJN##kK3rVz4Av&6M&we@=BodIpq`?bB<3C-O(!X7!y{_*{0n_Go>ojB11wz#( z;umw#dwzb~ef)#2A&p@iru&{SqSW#cW!4C?9MCaR_R6)SQgGTBak6&^4Sb96IVq8s zV)VmM_|-dR>&BMl$?W@%)1QfVymWsio@!ToOtuF%_8@-Ok>66Q=|Y>l=_>m3D-=uO zvkTd#tjH>`AxW73Es_L^A`CQ7#39%HXKG$11XA+yi)VJFMgvUSCXP*?(6iRR&Obs9YjX(QT(eeh~S0Z}3eWdfw-HZu#3fg;4s^N+rwIN_lZl zUXh45M4trskh(yc2uDq_=&O}!2sN-sVpz~lgswX$Rp`f4R#G(sZ(7a_fuUT9ONAcj zb8e34+{;9H^UedwRkP-`-Xq~!xdL3ePzQG+)_E#(6%Dnl2$4s2ut`o3YD*#BW&s!R zg$D^-GmNfaLb2Z}dX?!N!Kzt#%(PtSv!nhbS4M|ALjo zfl+uqO8+;l_1<}K*0Ovk(*q(N2G=L93hKC1vjREC2%?5L$xHcTqjq1H_8clQ!K339 zqQ`L%;79qJP?ni6hxK&ye z-qifN10uYmBcNo1g$hk;WE#4fysaNS3>@&+2K_Vk+7CV32fjsFH9O>t;M9_ ze5Sr$*VDbAf_mvU@>-&x=9Jf%-p|>9msyA|dooyzIfz~`@li>l#GNj$K6hST4&^K_ zccJsQ6SMM_g}>$65|nwhGY1=2IjIe>IEH7c;>AkMsUm-kkqtoP&S0HzD|RTTgVJ1XA6^JYm57%G(U+59_XBs# z=G)3pz(!+`%sSC7k~9=EL{~#BjM7Cxys5Wpjgv*{q^kFYsCM~s;f_HgnUHJ_s^6~D zR)cU~x8`Dr<0bhNRYwEG*RQEM-+Je?sQG5!DIA-Sg-IMAlbFQ!I|1%6hh;)nzu?Lc z?hFFL-Bs4WEtP_?E|b8hTlvaeGAE&TH=A7El%h8!3H|l*i^AV(Kg>d*>{vC`K<Jv@CX4HG_XghrmD`gc&gdnr2t8o}nKK}^g(d1He1lg0Gc84%02 zt0Ql!eqQCu7rxV!-NJWvmV`BBrz(GY_;hD7{{oVv`}5I6i*P$2RXxh=)E5KNF4Pl{ z2aC2ptD`Xg>TvX9F5t`NMsVYfVaQr6px9Mg%TS=0NE(#DE1l^}uJn-`q&!}Sr2A7r zk)4qgRx!QqK3E4^#U%5wO++(7a2T?{J0kuNR1}25Ye)Xe@V#ju8B13fXfNi#Q)dm0 zvi#y8)!U_+6roeST}Cexd84P6cW~aTyR;B^1y}xi;9~C-ZfE;x&)&J~nzM0rTRJ2L z$wn!g`6L7%CQ)(`yJrRtKFeCnTod_G*Iv4Bhert-*Y8T_B;TRhL=alm2a)@{G6 z9Z|x?uiCs)f( zU-Xf|@!HBm?U@Ge{)NrKn$`G5^Cd2P^I9L3>#2Nt$8IZoz7)!5Ay*6vz+#Nhy{In& zpe(J{FQ#;+evJIRcq|$x9UI5fT?6t=qL_8I*ZhE%no8rc}>eghK#CJ2&Wmcd(G%Jdp)i| zC#Ccjbkb*kf#uwT<=lh{cl^jcm5t@RAnDYqd?61}mSS6s$%Sg^#;jPPg&+M045atc z+6iRAM|Y}dRE7!F(IH33kB{^Lco?+q6AAiU?UYH$HEi>SELzZO;aQlmUvCfk?q+82 z8S_4u1vRoD2WljY+@Z!#+QeMKynS%`QIr4}C`3^lP}|L7=mS;8CvD=(^8`IOk-NQZ zXnl7sudwEVv-GNlIt|ju`WCY#lk+HQi>4ABqdxnkHko=m)J2s=nzB!Jl@-ExQK|6z z-Zb~L+PVF(lM$w^QF0qh6-aJoIHb1HUha3Phw!MBvglJc9pa5U?uo#W9wDX%sEv~; zgj*bdCT5t_KJ8e@of`J{Io)N}+otn2ss!0}Bg}u{+q3cK6s0Z5mv3)$-ES{+-EU9J zJCe%$W#1NEz&bBj191T=9>-GjC`h>gagde%+z;}JRRfk$w9P_AB93A^TERFAq;TZQ z+0PoD#4&fVro0i@%U)Fe!?3(tB#6GgEa{8e*iSFA&*??>w)Rf{f^-AwL&uxIQX5sE zc;HYo3B96)9;>G77g{Yl{vJxc-e+kwCSz%}&N!jfAee}lU2@=6mSQOyl!g5kg??oW z?z3s`g5q(;8ijt74=xbeZptRJP~UW83KLMDxysNwWYqiaaz=d(r!Q7Um-_5)>#yME znHIzsYb(x;I_i6~Ah)3Jg?o9rk&DTwpRuQjL)*olhvDNN?y^t2jT5CAJr1BUB!b+I z>xJlU>hxIQud;{Q()DsQhfiovt0i$AsL!#Zl@Ie{NVSGO-ONIl{ zptm7UZ=RLMZaX;SLBmFZ|J(^>!iy6DD-~e&=Dl+IetxP&T>Vr}fBiMe2iZB^Io$c} zi{;Mu7km24P>u<~2?h<*!+-Ee9jx~!VL>K3h5p)8fW#(E-?NB97N$W&ysepOrwaoX zBCiMMLUte0Lwu}_!e9$c3ax(LhrLfzDV^19ksVSO<*zktMuyiqylcvj&E$%c$ed+7)*s_;y22N2ou~Lt-2mKM;H1z z4MP-c^CY(3tQWmGDznTLf0(1{7Wip+D0a%ciHHIZl=4YL001=I6FHu>VlH6Y zq}61=DsxD{f?AND2&#B^0)ti&Ehc(JO`)`6W0ndd%)zo%W8Pvislr^}+&`sto47}# zbyR_7OlORHrIKt3vlCJFmM|*6X}r%2wR6flRicgiT3%&OBC_sLUW z0F(?_t5n?2|dxbEvk#C5WSbMKVlElFtpCCZ0vYcV1` zI4d*dx|JDps=PQ0{0g_FNw2^L5=k0sPrSm$fYL6G`%aOq1*%F^9ejD@V|yg0_tqIX z+h9;OAwt|x)beu!5=7@0FDcWE&hIpF%Ad5$t@2OS<5k{k=Rh?GwLn{N83J5}9%ni+ zq+126h9)TETxTz0{cf`|aB{=~L}`evWP2j@)?L?jvN<}hHp@LudWq*|?Yfd*t>yYW zV2hJh5~5~1X)23cy6yW1kw@+jl)hMT_-x8scJ-{Bp5iupBceu|Qd#-IVf33mve0Ww zJWi92`H~p5Ru9z8W@V4F3JnJ^gwi!#fJ&8OIcMgDsE2 zWMx+D{hsjfW`2NC10J`w{Oru#3kKx9BLpx6sC&vYE~~id$=|0AuGWz?iP4f2GJKX@ zegH#2yuY{S$)&xhteMs=9>awqYC4CCt!w^JsHH+p=A*b)t)MCt^n+Th)|n?C+*{kV zEm<%;XG9TW!fR9$winFjRfq9RTB7@}q*ArLVNz4^xOd&_^#CS}3^G~W9Arbbu$I@25E;~REHfKz>)Ut||d z)YR1Ld=N=6_05Wo&;X1~3&Zr$K1S93&^&)zc!MKIXC_wr2bm;zV>)&_bBMl4;%6gHUSMbP7yI90uh9s5$6=`%wDTzZf5vwCB%Ii=m zDVlH00t#O1kJA>Zz8YJkez-IBAQpj*3bCl2mO-6gbo|)2NY!}8;n$Nc%&)*%_opl9 zZe5;QtcoS%5wsbaOM)!4s+GKIjER`p)ue(-!GHbKi8i4 zW4+0`U8qx41Qd_5t!c~_k9w8!;^vh5?E7m$&T-8K<*Y+xtJoJ(#42yQe&0ZGJlN34?_ZfqWLkKCo{}0A%m_@EJgt4*Jw!-@q;@?nP{x9R-s`B_5p&d(gSgO->UUTk zp9HY(&!2+Mjee6Nrf%&6lpo1GR=p)Eij4;;xWxhU;0PxUjnfI`;Q!4U#FLv6Qdm_* znUcg?)DxE!{wDcDQdgwDPWeM@e3jnCrRz%j2jQ^tSxlTh8k!kaS!*rZK$&D8GEr&6G17yOrYfdM-nK%X zxz{+tK2PdTHvpDJbj(|0L7@$mgs;o>H=xyE#{F$05P~$*+nH=@5dU&C$Za>0lyvrS zO_$D?&hFMO#%1b(P?GDQk}T6p&WCq&tFTcYJ=T1m>B8kGYpu{W(mLQ?XuWu?>It&aEu^X3|nR^t=}d@bd%DxFGw!`qu2dOj9kg)u`_6K@tv#49X=Q&6O}p7u z#uH~f*}~z77fsKj&W%gjF8=cTF4eNFGajo()}IETLz$DnR`}OTzN#N%C{-t5imX}q zU*w?M(rX(jyWj~ejJtgDnbitw-*{Fq17%YgGCeaUwUsfpahaf)m*`Y#@xf4Q4>{e z`>M}as_5t7;9_vlC4unWK8mh_<2kwQH;mmxQoZWa;=wF7u|X*2OG7qjkKd!uMU0}c z7SgjgRGa_IAe3CIZBAq{ZZ&LmA^ZSO96=R{-N)+UUWIIq#t~R_(}r^0aJIg1%enO` zk459&=+ohw=rUJ#{4jNUZbaU9M!kuUSh7*6%R;P6`svaGnm)W%?=irqnvByhxV+th zr*hdY?^<`ky$zgt1}OCq+2pgVy6p@Pu0*Q^I;(X*R3pu>%i4=TcjciqJ*hychoZak z6|L*h?v4YVtc!MYeAJwASw8R{$kgpF3*H0Er;0n`JTy5(%IoH%=sOG+h%;F8*rQ!j zWCrONiu3HqJ3Y_NYZZWNPYtq5f5n~#9C%Y{iz75_QR_pyI4bprdi;mNra8)#T-$PA z!_hi?Hyo6a#w3-IdNOHQ=-#$S79CuZ(@P7oYn>gJoAS&KLEdhEU?tYBp69-3HP`NAG(KNUBBwaH6=4Tgg~>Dl?N-hWmOTO zGZ=tRM1pc?qN7210d!3v8Ez!d9i^&ovo*nvO*uwV2%+pszfy`Ls;^)!$CPY!ZZRbd zFmaif{$^*U(;8Z_>1!Ddu!hrCeEExRE|PVuw)Rp3I22QUzU0j7HmNZk=2ln9Td?EM zD}mKO0qmt%p+GlQ%okD@pgS1rwV`A-SqJmkqJ~+KT-st3PfkVAuri)pdyVt9!CrT- zd`d8EIh8;W>y=K!?mYEqYY5Hf5^ET5tZd%d8v_>aMTPIXM+W?4)EA&l+s?pS@lux! z!vRYcEs11{9Gsh~4hvh8O~XMjYgvmpEz|fpS=wMa5!Hz)4=+#g>YNe4NN2z1ic_&y zYST8?&r42d^zoKWp1?V`(q7(OMZ5YO-R4B7XQhJ!y;R55hF&_CDDA#b%ZufJdYw)9P{(1-6YDl9N^*{invz$O)!Nb+J%HA2OFM1QoBUg|xtcH=%NKbvJ$>aXh8=(u*{aHkMS7h5MV}-qBj$bEY!?p`0iFfhRz&(b(=zZQ4 zSY_}Bp{0@l)6V_a08=sGMmcQEj0@c%!jGwqYc(MFmfDavwixZ#LVv<&zkW1*gYe-F zaS2lk2zVuNNgnWtUGHjmz=K!SwTd~14GSt<#MB=Hm@5IyqiLzhzfAnn>~`aq6CIP$ z5&(oMq4Gc0T0#%x4>}Yl zMZh-3y(kTFr_LcQnAwKv6<#zx(C4D{jx}y?(4h;icii@`OM2QDRITk%hj zrmWNo$*2w>J`<6}f-T64(#*Eydho4K;2cH%kI&Wfmt$UVoRNj*`@wxX$zH3+s82{Q+r-GVpqy$N#<|{K^6SkO(wgLC}OxZPDpO906ETfK5#uM6_cH` z&=ap<(MJ_F7&N)zEF}an8}g`2x@FD!S@Q=x^%!UU=SS-WLeA>Xlh{zc9qCR5Ds53V zfvRcvS)lF+)aP`NS;=Vxs*}?SR3)d^mv>hV9g`_3i<-NI6a%sx4@zEFH)%ZJe+r`YBO`5Hcs#Q_yk=_0JV73rj^66Ftn_8Sm+3~^aFjK~!*(9C|g1r7* z^^rm@$TOM6)%wcDL=DwlY)n*jD0vs#rK#^wai)r372V@I;CXh%6|Tc-2@DTjm<0C) zBs9txaRme|Wtl_zu95 zcv#kxS5m~zkJbr_({hScdVF4mEzjedHcHW}3&_ykCM{DyR`LjOL%i}46FvLDgf(aY z4s-Y;VM2usK4ZFsA&|K(qN?{**=@-}^}DQRV5$D$FN7gJ*(Gr8@xR$;+7h5CQiCbG zi-L5StVO~G_p>hwZB+$P4pSk#RGO|Wvw+AL1y3F{yu8=EvILo0iNAQ|F0AXSrL8sI zCcZChLf6%$78BjtSjB~S7VxH0h)BbTe@+?PI1B>!7I zo$M}G{G~UUtnimwuiwiTAY7C6XC<0isQ?~$J=K2c)^G$E-Xz}aJ7;jJvOh-H_C0-Y zY80d;35~E_z|W%5q6*XB4(FC-tRHO}?RVdP33ux`>SZzkAE@0FD(T5T1ttBcA>S{}7sEA!1CSx&;%>kjV06Xvi8VckQlF?p8^CAqI&3+{{ zB0nGwnQBbUZyO1wOc!jwK6mQbt~W+)X;Wz3yEetIN+`j4#arquWO>_@NS5AekMKex z#8>OJ${DW-_tugEbb*ywZnn2dvxIIjqq+N)UQ(iGX%XBqlHX2eFBJ5l?FOj%)sR?L z_?X^`D;LC&bX{SFM5kfF8BG$!z~CyXDSAXw@KXR6AF;NW(`PiDthQz_t4mUXvQyis zCq2QML6pI$G^LMe*L3^djvRmd-YO2ij>MlUeW#96?X!KZJ72%8u+m)Npa4y(u!@9* z99U{<+p{tVDb~#^z0lJG0Yx`!%$l;{ul1b^cuvu9;neoKcEnjPTx#JoBi5>Jx;y^( z@m)jOWzNfhnBSgn2e!<&hZR{hq*8?&9Gr9GfD z0`PRmATwNgkDV62Cdj+fMx??_s}<29g;p_i%_ERGpI(^&dV*|f6vw7F7V{%XB!qIq zH1jUqf+k0&b}MG^$Kk8?98Zl1yslkj} zLG3vRb4@WMVpz9xQ$cZu5Q2aM?iBjL!)3IAE!P)vbpFD_89GB24(66#+F%BZJcHneM@vlH*30t(#8*{Ye`)Q7rzt@h^sotOoD!H43?L&E&ZLD+i(`0h1XdtKVFkMO9Fjwxb ztH2|~sGFGZnhdo~2O2^tDw}KIm#uK*slVV0u+F|J#b~J&nLi1Qbwi36cS@{--S3$h zFQ13yPq@0RCv%5gP39hphWD5qB2sj#s()0zh}>8CA!>wrw3`Mb@%-eVn~d}~r1wGj znbHt^A0}Sgc6uM0%J%!bWCmBeOCTS+KsxIufuuFfSs*FGE^4r0 zZ|*B<2hn}|-7ZJ|F5&WLSTO_e{2q0g*C=QYV$g86KJUZ=ElKf)RBj!aV7}n(D_;)tu_^wMe*WWKs2tjC~3vlYo z#;;qJrM5aJ4$(FDohyOUlhSeDg+bY1EAV;tFBCR#AsehqAAhs0!3LNS!8NsU(sQVLw6RHG5^5fT~1JI*MB8x5a@VJ9n8=2ylH&V*t~*Q>0aG=-`5>jFJLZMSR$0xL95)Wg zdBoSFy#Z?wUvFCgI(M}ILP)nz-Fq}LKQHqEz|c3sCvUnG?N0L5lqDeT80=lvyW!~Z ze@e3PHCbbTer69r7~Z@=se~WmI|FqQw!r-SZ)Kg_470ONM7n#{$vt>4>9l=K1Pv## zLNx;K;e8U<_tq(;edeu!Dk*=~cfRJ!6?F<27}YQpeSzDT#tj7bjni0WUDdn#>B-rB z(yy+ezZ(b7#gS(=lN`deAnX^o{4F4P53X_jzOzYsuTAajaqnQy`Uwe)+mc-Y%*!eX zhX;ozv={}y1VMMwcUY+tpFe-aGhgxQeN8U@NAQAZy}trlN`HoL zBHJZFkEkk{r)q;@l4^U&B&nv@9)3$Mo#>9Yv)x{0wb*fKmBWysFq?ZyUH$s2gepFT zDkgPxU$T7Ikfr%yZLU^c!cP|&GK6t9b{4Rg6=+z?1TbudnG7PjAKK_Q^!R8Qao@^-=@kU-Du1X)MLN2 zYUolMsdvYk%eVDln8mTA`WA<|*{-^V-6G{h*ZUm6!+ccJcO1WXrYVTFI3a>w!Z~r9 z6nDWpJ{r7hlhg|ha^NBM(P@-*k9(9~6iy_U(yEa9g82M6dn_?CF&#GX$yE>SH>C*- zPAYD+D!y$Bu4mxg@{`w|M)pCo64|ir%PT%{V&@e(ovMQE?4<0FqO93=v4nyAfEvR6 z1Jb8!S`XnMGJ(Vu5ex$PmQc~>(~Iex){AXFD3V5gFuj#H0_7^~J3sE_>q*Ic6Hmj? zbl%D(9_EfmTWfTt>Ij+WC*h4z^kaQE-G2F;-Fi3`qjPBk$XQ4!8W58glnd1g91;)* zCG3&FK1Y^hJ5ZQvn_r!z45rj+qb^Teq;6^oioporI8f^iY%?U)b%srp9 zTPl?{*|FQBY%&xv6>&o?0~Hh_H2ww7ceL&dJxAvTKSZJ}0NbstVnP|he3G5Fze-8s zx;`aFdaVug38Vr^VE8KLu-8hnkVph4HfZfHF|uHksHPNG#-y^&Hqo^M*37Y6U;?~|j)a+1QtxUWWCD%*wrii~ zx+q-ec#0IFFaHeeJ0KEj!$x3A2k~Yaf$h=((ACnBvF*r;BYsok!)h;vuGBDYwJX8g z=`>zUL*VMxKu(N~A>ba4PdkRjOJx(YUWhk>X$e`hs{*Lg4#d3>$uBKF_fMKzMFd`9 zX*K4W!hh%}01W)t%D$@3vwineZPuM$tN*O+tJFqyaJFQ&uc|6dYYK&VDB=-0NO)v0 z1I)9Sz4@UDQ&Ey$NNZS~*z9l-d?1dgTJ4aftd7cT*|74<>HsQ(2~ui)eBAVAftXaj zC}hAPGTS(M3RbAaY3_J}0t27c4ljjA7a1Wk%Q!xAQjp~z*U6LogD5YlG-u#9mG+=4Gsd2I$@kqgGu=7^!fxkw|a8Yz#q;2?LYMJ7UX#=gWDYQ+f;Bm)vK zVA{8(vuwFw=|X!FT)WV=;HJ2$iq3=BNV*oRv`7Z}WrA=>?Jc-JgsUPiayu?VY?&ZD z6p2Z!>#+kL*O!63B!Vd5#aQJ8i%dUD(@v(l@m`5lT*J$~z_`3<>oq?fgp$jHfcn`* zi}*b|sTq%c3;$dEG-1B!%il@5T}nEYpQI_iJensN)ZPQhmSC1J79K5`1$>?v;%t10 zXR7Xl{IuWULk^K?*x27rm8j*aaDn@Cja`~9`6FZd4P*P>U{I*r&LEphg6haOKis|s zN3$xep3*LTq#|_n4TrcOaaPhMgpDryF++}H57LuG`k<1xd?U^#hcsa)(`{Lr92xEo z2iIm?5NfR@G-z+TSobBJI;BZ-t3;aQ^vFq73%)n$@9dX`8hJNhNAl*Qbb0qu<#o@= z0dAWm#A?^mmnBRfz_a63`!upNlwJ2vfksbsf9s{kQKTYIj%h_@QSy2}AJJumb2#g1 zo!0)OO~pl3(0ljpWl?ME2FhJHfIxKssj((3OjEx|5V_JMaCLzoAsnAt#`SHHW49`h zI%+$#dXQz~t$ro&6E#l?1*UtT8s3r!0>gwHVRTX%jXq=sq}z2O0spxm({-nOudXIl zMzBa~`jRukPJDFcqFxZ5d^53LZWC5rOC(4Sg6mEwj)7VFz?jBKSWq1~@Fdde1U<6u zjlHnECNHGLk_c&9?2qg4VdX>vf1hi+X*YRovKi}eLo;254kNKDqa5eLV0x7S^tI*l z^YX4lIU~G08oMpV4EvLR7b-(G4M!vxHqausw*ihd+3;6)=)uK z^^RtFj<5>Zwr50jZg4%MFJ1%m2=57~wDX1C7LbHji5z5lz9&hO7IX_OYsZ#WOI4P~ zQAiE_&aC>O6LqXJegfnVgv|EjhCp8!X{)C8d6+6U(iL5pX`(eYYc0Ib zGWfmLP(8((U6({vVa??60#E84vBV5DdKFkkREEw~a3TVwAjmhbua2gv9x~rvGzzOSE;|Q@qa4$KeTanrzsA*PdVe2`SaL9AY3R$6quLJ&Zq+?U+nGv2~ zg_F~3L969kKraGH#-FI=g?(G}Qpe17&jee7uFV-OaHaJQel%|YAls##_T;p^)~tD& zr)?U+0Ew(aB)!zGs>Ds|nYI!2-xke174@d4esRIt-&E8Chi$XkqztWQprBV)aI^$8 z5MF*Ov#$lb_tDas>o%}mV@W7}2*Dmhvt!*bpZH@!~Ntr{BJCbKvv z3c^#colugcf)4`XqRJ(9Lt7Qcb+pxFL-3a4X5r35Ufo-(DLlz4Z;NiLnCx4Zm&gU_ zi5-D&rg1O9;Sl_|1V3GZ69t_Mp%cw3bogDyahtNuw>!Q#@Ys$NkI**Yq-}kjX`I!L5G8j!2 zgQ(x#n%)tGGri#-hYAfXd-N^9d8tsq> zqjjKQ-=tOQ+d71^+kByS5&tp;7WwBvBrs;o;#OmU-rZiI9S|17$vT#uRbl!58m>-H z^-O5}5n*0GOQis*EER6MI|io?6q<%6ZYrj`%GXtB@}svFZ`@5^!>^Yr*8H!3eEjhr z|8s2Kt;3zQcO@QrmjHqlzNRAM!_6WxVE)6TmEs`kVS*VSj3a0;e3->@yb91rf7u~j zfBO4>`>+4EKmAYt>VN&8|Nejc@BcWC{?(uU-M{_&fBL8Y_Lsl@^&f73{^LLX@%sGZ zKmS1$%^_jzvLT2R=wl#?|T8t_#KFLRWw-D92vqc9P2b4t%xmIw3J4RZd6rn zo3i6eeZ~Ft-n`!DCGU49tyWsA-|wbZT^qz5q6jWnT#<{-;XOeAkZJCgvOfII?(^L& z{MQe^e$>N1+H0ATnj0{C`{ziZbM>NsEJv*&5Ba*tG%M|RIo9SQ<=8!Ecd92^;s54c zF8wae1zPWdf42rA5wyWV9+$KOuj#|5sxgQC*6UpwNc+{h9IGtvLVEM7&;Tvi5huyZ zRWzo%?|iGf3g*?l_!RrZm1mjbwz#n&8WsM*q5fPMNDYnXnp5}77d%{#9;{w^Q;T?| z@;L2ESwWN@CkpVOdeiYfEGM!X9cljZX@{rZGTPOzOGc@+Z-Dq1aP(#^^ovM;c^5ef zm$W{Wh;4%4Uq1W@m})Lw-}?zYNuQKeC*$^-y33>|^&Qdg&njyo!T83j?AjTi^EhmP zy`Qx&b!eauSc|X!KjYK0F$nt1hU3%J#f(pb%{3wjPp?`=DV*N;&dttc%O zCU<~h%k{~R*Y%%8Pcokh#nSu+19h0JV%2BZSk~4t9~WRbmh;Y?pBsD9qv@@Y!|JOM znWq+tAHPj+hfzqA7DNK_IMeN)`%0h;<2)ojfOQ`TBFp@s=?(gS@~~R zMHOZJ`n~SYUJmW$ZhP*cnv4S^2R~xQuaa}C^9q?-J31O5!}dkyan1TYBJ%}={rWww z*VaJ8*PVd(M%2(b2Pz%cn`GuKFVZ?GSGZ9IDdpC^K}?b_arTvnX;{YYf|O%+%R0i?*PhmHuY6P3EgJX0%xf-%#hTW%jf$YItPbkC)XHM)|8udPd3JY zG^E%s41_2`>q>GCKLlaziDwL^eb=wX;LwGwZ+hbQjo_Ed!JCfHk=ITLC$dsS$nh*H zeMcyTn-M4?FQuE&e)KP2>a#5kiO9UvZCM)mTw{D2z(XTPyMsdt{1tfR8g0f`NGb); z%`^nAi;rty@(J=%K*J?O7>`O(u%Y&To+OC~66M|jpJ^rtM{f~eB z%fFW<@bTwANOjzX_%LfOAxFP}A;-jCn3yEDbg^WufKBJGNy0ZnSF$QYB=B%mt32|U3;jIHvOE{J$^ksRh=4hRB0I<%wW!q`x-s_I5H z|1dVR_&LVr*`lz#Zs(>WL+3^Z%NWba@zruvyHuLqv4HC*X>reo@p*X}fG9qle;s67< zBV?qVs|*x;GY!CJaa|k8@SrlCc{ND=EpES@j6;~)RyYxV_tk(+kf;GO`6a!YBMqji zS2OJE;}eqqkdy&}kD(A(sNglLyK-i~e6Pc&XrqV$xoL@ zK`JCdOuGg4`2Pb||MFc9V_0MK9*BBPBSFQ;tBGVoHK*}iC1r-W$C62uRudHMUjEuI zAAa1VS4&6nYl2uT%gJ&luW_;v@VE*Ph)`9Xh_K*Av3Zn87kzDs^uGvzy*kjM-|5#e zQPYgWi>4WeS4Csam)YVSD}Pz@!RZ3tfBo!BE0A}~#u}1CzKR_!5DAH(vUUo?0^hW@ z`a#S88&0K@CXyMNG7cg8g2^f`$6&IZ3ifgk4jd! z)6^?_$nurMQ2}<6z}1^V9~DY-uZ9$>wV|*W(z>>BMZ4G5?W^xIt|*`RIlUMC&k9RM zOB0rimL@Do-B$VA=2rtWAyjy1-ROW|dEeseMW%B3cqCYdWJMNoQHlic4-t5oujgwB z0rp;ce$7Aqd$f4p`9u6$XMbO9K%m=DiN|64R|A! zJ;t?uQ7MtQ=#{##%0IjyFK4RCBwfrHbpP1v>5a{y6#@@noEIJo{qcEB=De^4wh|Mr zIf!=U-|f5A%DOxWUn}4~Kl1v)Bg;_&8q6bCuf^k86NyU2s6f=ZpY*@%^@IN~@csEI zm(x>Pvep77W!di7OG6WHOzK&ZyTqiNt>mr)tN&O|h~qj@%vlor$V-8J%-EN&yj-b2 zQ5g^}Ji7*heaRVJ~`tDDYS9me2;j6T%|657HZfPZjWsc+#K_YrIK#-?X%Nu^z zw0^)Z)ulP)?3ionWGD@MWa4@8P`-QKi*fbSyw`}lC!$-T=DfG4G4CU49JHt*1OsI| z|CQJNGBz&7#yj5M1Uutj^Y8dq^yv6^8NG|p#6-^q`eG2-lM9b>)$A(aRL%k=3r_rxiQ$@h#K#0V3TiCiVJRF|Ny0D(wod;kz#%_T1zG z4gU2h5S}|EdC3AuMDil3DoW7Ghq-AJ^3%dBY!&kK*N3Q8Tg9=kTg9=SdUjk&wJ+b7 z`+uHh=ZBAAAC^LJvU&=@i4NU!lCGhjS8Uc$>zBtjf!3Oh4mE(t8qzw2Nn2scg-E<} zh39p1z3!?KNA`=XT_}p!*3Gg0X@pGxZR3NqYV%ap&l^VfGMXv+>8UkG&yW7NMe3wo z*C=R2tHiC`CQ1_3(z+9LOqd!A+~$zWQCk9mAC);R?fTv}_wlt2=}L!2;}<#U%Np^m zdyQPb>riK;*9bGxYs4b4dZ#Ryyv|xLle_xii50@XKgSW>JmEw4kE~g*TnmQiIS$yz z?%xz~UiV2oRTSwbBH21`UEf>C`vt2wOYi7ZoQ03Mvt|9YpI@jd%=lqZa@eWh)k#@ zu22F>Opg3b6EFS@fS|Kel3=;~zX#Y(>wm zRWPOhm`naGoxN?v&u&90qOwR7c6@nCJe4(klN8Q?L9N`!Ye2D|CaIm1*F;)tmehA$ zuMtyPp#Lbmka@~Sl8;tR3sh)bJ-Dto$z~iox)bq6| zb(vJL?@!*yc%xVF4%M8^<81obd z>^F|vc!!-DJBPzFU^;F!Ur3lkbpq z_F&_<1{2mL@hM}uyrdnNCwtyC^}ervRcoOmo@Q^BOIH_pv{e_SWTNx->&Jw#^Q<n3W7|#k#R;!jupVx zb#c9*AdYMZ&Q!zRpX|?s5kD*}zpA9s#vUb&`V#xXGFJKdAqwDVzi-w%I-K~NhdgCK8s|xna}Qt_`^b~2!Ui(4Zy0+(S9~o zfE=Gd&j+U6b_@+kWAnP-)GVi_GB`U)8iOw!w~@C~KE9fFI)>dX?ntjFJ}EVErG_df z3t@SU(y3;>xATS%)>kxPCxevIAf+^y!ev~N*~LshNM@%+U*9}|3qIBqGs&|LwEw0O zF1qwpYr&#`!P$o!aGbfHH{`UT>8WB|O;{y$t^_q<-B5C5RSpN@lU5!U z@Rkg}sGJcXH0^?NXoQfX@}8=y_DzqP5k`C1B--i(65!-sx$O_8C!+Vu4|p@Fdfnt& zK18tFz8Pp`GWqp%mf5O0;|Ey=o#=-#KNh2xdXM2{%^RGS4B>3Ya-(c&ZO8HfQHr^# zT>;NRkl?HX>!E#YaOmgeb)uuf5Hz~@Y>BWTIXFZ+gUrpK#gFZQ$tRt#_v<(R=0fr5 zD0>LHZKB2xBR=8Ww?He-T^j_EocegQN_rZ!J;k9LdgV`20%~?aO1>i| zq3{<-LoyfTo?TlbvzBgB!g=ST09`_QWRA(MW^J+3h+nmAi|6rIh9 z+nXYK?^I}WZzgY5t%mHG73I(IjOOQxRC;~zP&F)r&w}$q%-)}R-6@iSTnM4(QDZ_s z9xWoC;%thl#OOw6$H}mL7o(2UZr|fU3L!^~XmZnH+Q9L@Su5SumCYx;9y%;-HHV}h_e8bRW=U!hn@!&|86dx(HYkh#c) zZk)bLmy;$LS$k{ruWw0Y_K#nyLOY7wYV?yLuQo3~P6HO2PG9$i%&w!VV(-gZvT_Fd z&dPAxiE0HG&8-BKJ_RW~!QEb;k_40i3$eQ`#8FXd!(S*jruYl<>y!ZP%Up6!C^hZ@ zXlUO@$7MGZ>h?m_Pd5uaeS8_JJid1nOli!ibs(=Z+e<Agy(Bzd0ep+`O(nR8YA*cdYX7*GS>=WJ0*ha=YZ!B{#FiN&I*{DDgD3)hn&2K=;9g_%Iss7L0S#! ze$ZqFYVH3#en=i2pWCH{FKb__&h15wdpM0$lrhd$m$%O)pw@Zh@9Jl;?FJXVsGnhB zJjVa4obHBhMg0`I^)0wjVRkxTBC60Xmd`azQ_Ss&EzVL&&1lUDO1509>a^-^Asrot z_lbGAkCk2JUu7nr^a(1#F%#|&I;vs04P@?ejNzz3Ri*!I`gT|dKZAnK{pBuG^PG%JV)bO5X&#MKH6~7F-Z$7tzTartuIv42xZj1 z!7}F|zlNp8!>L+M|2mH#ony5pGXAnbTJB}-nO@+na1a9T57tv}XcXUxd2aK9+qF7~ zSPSg6>(13m$Fw8wUi zJwCp8^4c@jdsPxo*u7+po=KuOrwu$b7|<|M+pN8lyMSA@N?CWyt74Ym&CYfl7xpV2 zP$NdD?_DNqzxP79PBYn#b8K-t&7&XAjx!2kInLVa?p#>3_jo^fN zjspHKo#zN{nLNj`Zo`-S#XC$8@{P@yAf(iyK}e}ZgOF?zP{4K$boM`zv#1y%ukgEt z%8WjVNXEfm)fC#-afPw3Cs$be+RkaX@5?b&!Hi=X1+zP*D420f=XT4p<`=tX?e!TUhG4rOc8AP|^s)r~*j{QreKp_^uh!JuQ-4+vVL6)W+v zc~M<<_ibGTiJx`V0m6pF;}3Rlk1N%|z4)X{NuacNlzx6Y7~Qn?n_OI%J^_;}xfrpq zp4rrY-A#3?q#%}VlHG7EHRe(hq)u{NjvCIk9cu>$eiOh z6|g%w_WdbjTRpkb8ih5yd^_eY_tsmo8tkJBBml~vIA+B;>j!|L>G^5xPNHV_W5 z33s)&>$l1K-!%SIS?y0dRypm#CfMbC(ncjW@JdF9e4D_}KL*r3RLi#sOiP%30_}v8 zq5pXn`)-4Wt_BImGTa*^yjh~BW*a0>C;#^W!M1lw%ZEj2L;{GA>154kIw*(B@g%7* zgEC6vcoN<`u_jIciXuP>Yg7kX^}&(C!hK!8>CjR4t?%D%{B1NJ+j5wGOe=DGu%>sg z>+lb{&CU0lF`9j|N$RhuvFX(bIiq6jS2tsxJ4^lgmMn3er)`5JIE67re7CMu3VT5s zOCmZ^`Qvl@BZhmueZ+8sTE3{kYx(+mGlsXtYj`tjc!|8v!;9$uYD7pP_M4?u#OY?Z z5T`dYo%Vw}!8G+t4L^EYLD%kp+0%ps^-FzSL44up!AIY1ee{eAON#3xQ(3NTg>F*PZrv!^1+Zg9(Q@$4?J@}h5U zgi9SIdHS?TE$wcC}lT78Qy*9sq65)8Dt;4Ai9^3z`&&HvBj}G6vpWHWxmd`#p zw0w2oQC|H<;h9utzB=tfUPl1U5uX*im+@l^c1y${oG4D-JH3AVJ$(f%G>zX@1-6uK z#*3StMBF=NBsD9cQrnrx!1w8s!uc-~!1JLLwkM0Z~C5s+(im;E;2o6`7uMaKc z_@P-tr-{{RLujYz{&5wwoUye0*q}it2ETA7e71V;c_4MtdgFPA=~gMGUw)&a*h+PU zR$?|4+vO80xT}w9H`hSf2{hI z(g`P61_{ny4-qWNiMz`jVis7Xb%F|5a4DGqNvcVEC3c$L%F+QF~O z2dRI2PdvGPmekXbvZcNxyPNCf*}$X6>Zt+CQgSbmWL&pSzQ)cA2cihRa}P^piY8;a$$#OHbJ3b~YsPVD69rvOI%erLR zIB|;s^!$M!|43ijknhq5s6W!@`P_b@gvVCD{dE#w%SzMWrzUac&^Y{yuf$aUT0dZ+ zC%3VL0sWAd#8ROjn!p$IDCN}GmsXfI#FWC+e{BGt~{^(6YUHF?p?qKR%_wj3a}#A z*LEH2w)`Q=`rNGWYENCdiG0|m8xFaaZ#lZDNVRqKu_haOa6Bg~_H{fFj;>A}+~K;$ z)9yy?v(~G&&dt-h?MHprSoSPKu!oJ1!Cv3D$<;olX;W_W#j|OGeum^)yH1RnTh#{j zWS~<_-CELQdMz-_s_jf~mh@y|a&k{4Fwv7rnA`C-#eB^bGrioQyOKv6MTq)aOuAj4 zuu}cCDemc5Dx#)1^wY!%?Mvc$aKhK@P5B0?Zkk(=LCJpIrsufv{nr3$FCgH=6^0G_ zvRtCJ@+_dX^0R=JrN_2Qp;Rx~DhJD>Bcqnm-)x9U|5UD(RVDwdBn2($Xr(TD~))>C&-0 zlftZ3bIvMrS>!xng&n$Kbx#*mm!*0?S64M~(U@Zvhb5?vY$Gv`R%;r5I}Yyqw?=J; zn)QHAP_wT-h9+k9n924reAg}PD}I@Pl@5tPUS(&riXG)0K~F~gYd@vW>*J3PHUzxi z$AjJ=H1@I|JmEqk-_D)o$hYy|yaOA<>AKSnB!?zR;_cSqyS6!~Be#L0S;`F`9DvHs zDK(i7{QBN(%XWVkD?O8PsD6J{4%}V+G%6n?=|5@YSIO!gfWQAY|K?Bs-T(0?{?9+$ z`RvJnZ85AaMxoaXZR(6w+>CRA?KXvIiGc7=fs$>U=HCv zUfV#FLx;38#8)gfJFsVAQNp9s$?$SGo$Fw=ln$-e19Ud-h%Fx9XIaRyp999DfKnoxDQXFUbb(_>qoPZ7sW)Y zlSzw8{X2x65H?4LZhz3Y*&83f9=6`Pm#d|U$TJ!#v|U$Z@cV^LGr6anRgWzEri!R! zwms!i$#tp;NkwK5uGBW+^ws0-a#rq1)khtMk&LedP*ZF*kX+hzbV+ME<*k@w23@NM&cCu@1eZjnlm$ zl;oacoy$f!)>61V?I3jl;$Q#vkN@p&|NDRar+@t0Uyhj>+S#pLpA;arnhF_aBjKe0 zQ95XZ!0N)LgQmLha7@5KS=WX5P&H`bB1k26$F6b5ARO;Wnu(R>IX)7G^KtHoMx;ZL zKoPUNQ_4%*ga_K>SSy#>p_jZYTfXXSUPu7a?X)`GyXlgg9azi}8JgIrY%gi6hlQJF z5OfclnH0$jzDg{jgWzieL2$F;HhBd@#1{nGW$9qd!s8(U&x*?kkjc^U0Hxve-H%&e z6$FurGZW&MjHn)W1TFQRElOD}4&1z~gL<5U3Rg#bE?=WIggQSNn`5?aq}Hn0mJO1r z{84_`NNZ|0ezJ5|E!~7m8@&&*$5h_D07ug=C|-Unb6dI)nY7&Te?F?QAOm!zgGF^c zo7DgG)NuF@@Q~HJ9tQVS`2#gD(Y!Fc)vcA63`Bk z=c_$@{PCeKUT-g-50On~_>-*=-4V%mF}+98;Fg77-KJR(hUf+}Z3%xn`Zq^^;J}q4 zaP%?g&LpE>4wZxN8-n5Z&U8UcAk+3ZY2KzWy_QxCz8%_He=^2C&ZNGB37d+^bNH|Y z^7`N^8!SgaArF{$tw@idW52`IFW=1)Pu=wa%fcJ)skzNU&n8=0)0Wl~J#?cLbTkqu@)?NZo`g}?s0|L`9!AAkIpfBxH{L!TX9>`7wo zK4=nAV$cI_Ys^?)O1=mBg}hHgECMB)7ToQAN6}ZdH&63B(ADFma?lM}vcH7{-Hdix zxq}62s$glTMIlgxe5Mn|+nE)jO1sv5TBiOAd3tX!TGCPo-^d=xq%Zl)W>sS4zuoME z8=-=7qY1#>_op#c_x&lpm?QS2Jz|hfzr8q)nejuBE#_33l-I5}9@rm^amJZ<6Oisq z_0uA|xX31eJgd04CXAsfE;SUT;+(T~&sio6@pWKb^7Y%Ek5Pit5nJ=PpjVeAoTySA zuF46zSxG6nQ0+Xs1S8%&64%?ZDg4%b*g(yrmY}?bal~M3r9Th1SbfW(&Rmxgv9j*R zwUII62v(LAvbC~kfv7*~%2Mb{ztze*d=os0!x^kdvUV8zdtLsdp-%ouVR2h3&@Vh? zJ1QrqA91BqeeY!&+Nd;Jw6R2|Lp64&0<-DlU3xDe2vH@TkuYJV?a?&Uwrw#_d}LT) zJDw)Te5kp)(f2O&f_fB&PWgc^`~{uaf?9^w#B5!%BN+z!%6ENKf1S7qhyKM)7!k2) zx_ZAqOy#1C@~ZR{ZtLT-c*B*;72nc}cdSw9!kfDlSP*AfffK|@GpM+%>lcpvRzI`Y z{MrWFqB@RT>+|~8l&{S5oW=9=oX38i=QB3q1x4VR<|#j|`lqXY2o>iLewkK6_%^LX z@W~P{(;6uEF_m|#KJh#XZ1h!&-Z-em1wf)a>ssap{T{K*n;!OIj~ccm@k*LQ$C$P# z=@xx~2UtQ0$sdF)?lQd@%tQYg*69{~g$JI%2ffOT71S5KtY5fu`ZYf(e2HV<3QZ50 zP3)$^KZL9mzMamy+Ff@&<;HIU`H!Rv%GO+|aTv(=r-^+yu^Q{MI(K5nV-*W6k2N#( z&1=>3!S&vSJf45gmMEUiZPwj!zd=1VH%So02xN1**5VTyatkHq4r3jWVQz$lJVG3S zg-&}|Mx6^jGeVj7Lkrt1+C#^9SLdJ9lqt}{LcntJ+%oTv_s4RjQ$V<@^A}rU`Tb1| z*HOYpYTDHDkz|rBAL$OFiim11IO>d*yT_!`SXU9dVT*zON|aTqaoy>n^1u+uW*;8d za!>jUA8)^NR0zusCAj!7$a3Dm_PuoG~2V4jlA6baUGhQ1cfqk&#j*JzSvaA+unf*_T5UoBtqcHsdWSKiQccFHe}vHsHd8LuY2?gL^43Q7o7V5azR40 z3b?(bVEz{%kjQLP0^II2OHcIbmb=&MlHO}3^h-#KB{{XkvVJuBV z`^o9|L1|z1UVr4EtGAG?=Fq=wmtlqlxk43D0yFJ)Mm`q!vfOAet@A4s22<%LF_?^4 z;D88?CpLU8M**cuNDpTWD6_g}{G<;*)rZ4rJR7>@-l`AVy+t9#_g=bip-@qM*^6!W zcBizCATnveaiYL*O=|8@=Oi`Jk7mcvIeyY7oip|Rga@3Ewz@HOZ2!`Fsu%-48oA>?e_Q4K>wi~h^^o^gSoi>gYL(}% z>Jt`vG-07Th_8^4zT6!WUM!5sQ6XAS;lJ7(^011JD9EQyA56KZIJ?Fh{?y{MX?#UL zDzcDEh^AfztG6gs)A-(mphf9Vek&X9xdg->jrSN3TQv6MC=0@$pJW@S zev%1s-<%Q{AldqLw9)BFCj8cufGMb?0_AL?H#`! zcpMI7|Jn_VI|t;i;mqXMOqGev{nzY&Fu&&JNl+dG_hReRc89zb0K|Dj@W?wwa;!bv zHIn0uA|FSl2RHKulpy<(emBO_&U&qOw@)92-cP#JRX=@fwp_Ct$W&E3>XW~xW3sPY z>Ju%bY`zOKcI9`z?7jyPjp@&?6U?|Ia3)LdqDH%8b@Xn$C>?i{L-|Z3BLJC+26@BF zXN7w`KT)cnIupy^ZumkAWi@5ADb?eRinXUwHMU$z^~$@AQWb(YDb+(vA66tc`D)vp z;`Zl1Zlz({ZY^v;9B15kx4yu$HV8Hu+NHrCWDQiJgc~?O(YB~ef>ISB%`rZ^u)GxE z#Z5xGvb+s@b`A?>F}oXp;P#8jC+Yn`#4XBDlgi=;`H>GZ$0V zf+0u?2Z~Uwjep`_s!|M9yURv?5e#GeywPuu*WjcO{HG zNp+~ne1c$x2;~}j6Qx}k%QZ{`QGEdHW&ann26a&hCPp$I<=F=S!_cA^)fxfMruKtF zG3rJ@F(GFFmBtXrvFMXi!U{j$o`^8lfV{8D)Wef`lh?28##|wSw_kFGuDry~5n@+$ zNl)Kgl0amCP}6u*e^gDEJT0)RYDPmL=Yly<&+;X1@I_X&0i7EaM%Ftr4^H%YrP^P0 z_Mbv3dOM)?^PnjFJasnNA}W&bKm+uWR;aA4=&=Ujb=+Elm8@zGo!2pCxt%pp%Vb@^ zF(Ong!}vD)v|I?`d-=~5DJ0!4Im;@SoTkM~dJN{0)3k6&x&(8AR<v=?E)s^)j z^j%yvk?^WBh&b#XNqwu&I%e%&Xs|y|apaVTR9zkb(sp{@Mit^>MdMu9hdCULk%y%2AB8V z|2aM38}|!-)!7iDnDn#YbzP=-G?gkVK5Mt9#Jnt}MNNf8kSB6|b=~oL4d-x5{TKr- z)F=K04(iyulllJlns3T-%Y1*V3OM}1?#07YGqQV=5%g1>(h|0}P~`4J)hd44J~68* zcz=Hhx!mA@Dt=5043gymP(+()?ux5pIgEohm!zy_U9mCd&3f?O+d?0j;(CU0e=~e} z#>o-!l67*_aH{vTDEs^MDs|!e#XV}S5YeP<1)OTHyuwjzO{pCy)!-5eyi;qQ(?bED zX$TfRe|gqlG~&b{>B4Awh&0L}OjLELx5?bJuX6nS9ec(v9SXwGFe_VjLrBqN9cDMC zWOIBL(c2_p?_*py8poc@p=`Rk>#Cf@z}!Pn2C7oSMti;;1MH!XRojKfbTH!-;{eooG&Z0+TqZXd<@ z@M~y27p_cPrc2R`>f_{!4@a6l197m-e=zc<%1UTuu1u;-dm^UTBPVNiqkO*Ooa{C{ z#MC41Y2DGV2T#+GDz=6R_{F^o}!H6-M$YgiSi&d(uBt8Fg2 zF}(YJd$z|*ZB5$%;JfCoXabrvcwvbIB4V(}mdUc;a%mgO{#J>^c}KKab_i9&Flw6E zy+B~pHzsAWjwQn#hcYMxWve?=XC)i0GbwqB9QgGqZ|P#2F~;@Jm#rV9cz^rBJ}EBz zLugWL0Xxof!|*}CRy99wySoP;;A)evBah`-ZiVGBJ^7>M`9I|hKi0mI_mw3{B@cO# z6*!tYs#ntfS!KG1g(s)h*iuNSWmALB$aZ9afS*Dlu1H6s?UGfACQIBHd8`7yRC9wq zSgi&sLK+NY&_9m|l{OlI;o5MFh>jBe^sCTTRX=NLZ}c?Gz4W}|aR+QHZKYG*tmC<) zp@z9cJWTZVxT1=n>IZhnNR!2K7je_Wm10q)ivYWsZm0MAG4D1j?0K+_lM&E*r}sj} zqlDSR6P=ir8w*CSmK&|3E||KIgSi;Zlo8pT>eGnm?4oc6cMqnxjfj`D->*MBBNBJ@ z^trgJ>tguVJ6`K}XJs)Q#}bH--)iLn$@N?4wPt4dv&_uqR@B_KOLB2mOh;(JkKq{f zti%T&<;f{NgYe*R0E@!f=-i0hIx}7sO&jS z>CcWuZM>H*pX#a4tCu@%C^WBbTvdCaUaYl>SYVlO(Z#ZtnRt-m!TIJq(iEO&4Wro4 zNnNHk1P^UeYXrK1-MEK+nimMCR|>J| zzTOy4$6`E2ca1f&o^WdP3BiFsD7B#*cnW-@BT3r&5_QSwV-;XitoT7fda=Yk9%)W% zbR;Q43YC_|Os_eE6#YS3G43GnDtl-?3hI>`r@U|%L$5#>4A7cPl}@)V=P-J>u% zTzv>&*lAyQU;QP$h8UP@CtUuY|M=Ix{pm0N;jjO2`*V`l_X*c0^=Mn6NnRh*2nLYk z^-OzMkSKj-`55BcUHHSpbc@(Pq7%iz-$!ZS?6MlRfnZ)2r?hV0n?WBD5evcU|AvXk zc~wa*Piqn{7}2pExBl&+EiX?Lvxi-|<$$8qj`u#)Hfe|N zBYLk9ef>0|WaQ;`Vi>cEcP|vu?2s`q*p!rANC3g6BB?J$ zuo=MUIKnhlw1X{>LWpx)Zr0&%-`F04CPK&U4-pUnxuh1(!)-(_cnzx-?ywop5z<_| zYT-OQkLVE!93oo9a6gWygtOPMpUxv1UI{{!5#19)jOc8q?ucs9+i$_?sm@0k(AbSy zz!^}}R;Ae-&~Y9eP|Oa4=71*0{OM6OHf;0$X7^ty70P4{Xi+LWT$G9)(56&)xA4-L zx(sMjDl(uurJ@G3C>6iR{-v;#$fE}JoN3)ze57GJ{b$~F(tnuRPXGCpPc|4&AX}7j z^FJ}Yp_G@M4#iB%M{SBw!W$M%9mVk}yfF;(ZY=V{2Yvqek87nfn?!Nhx25>pIC9vA z#gXGk--KV7cN1I$qhc?nQZg2>hCju@XI0-F{tT+Q^6*rJJgMt^r}GvsPTSIP^S%J3 zl%9|~sP}!&3kN72u?|qWx2H0ZV`23eVH~b5tXAF#yztR0DnZtlkIqUsK}|-3R+1d! zmcM{W1S(^0YL+IRV_#TW!ib?en7<2iUlPg@DiYad+pCfZ6JCU3vlgzJJhk-c;Zx-pQH=;uUCFt*yPt{cQH=M7N03-2$q z?!-Otjqu#|!hmxm$t6i|@eZ)EPCzUb-e(}zAK;@;UXR*(UAhkJZK*LKu+1GTf?-(F z+~M`O`ciQQ767-Yc>b5nk8hgBsS9eF-*%;gI==a z(y(UMw*VxcivF8~FrI%{mF@YXsdd9PNPpJDT(S0o!scuZ&^Sz7vSkg<(ycAnn+AHx z2`J-|Af%&#kEtAl)Ic-aqv?wi>_C=d^TfXVz8Gi~rgnLI!c@JAEyNw2m(!KoU8j5L znB&tM$8Wj7fz5Ua+A)4g7J1^Ntzcq(nM{KK44}N!s_DM5iqA&X)X_1j&0is&JWU2y z;7HWcGh6xX79lchsKprOb;+KP_Aw`{+)H#Awg)Y&TxdiHZ#z98ph6*nl?q%OP07y7 z2<(Js^vI$TS^BP{hqzni!GM%>nJ-z-VK5{+w)$sbPwGa!K$sj7R;h(8Nt8YRLY=4* zt2R8Yl!UxYJK|Ul39$=-bG((dV(h?n%EADw4ywi=ocW<)h#@*-hj9T)1qP%Ol_}r# zZtJI-qwita?awLeyia%Mwd*F9bwLMWTN`wcd^9(XZx|t7&WLL%Z3a6M`#7AK=*LNN zC&5;jKdPXcjajE$i^b61ODOq^a1VR4{OC7A>n0ocPhl_^USOHy;#Ua@BAcpdz ze+ya7I*VWhl?m9ff+Iq}b^mb)E&jhx5Vj&)y9IX;mLc3qsgm?p&y+>EP9ugp{=FzeAh6}#4O!nM2qLL#l^zkhG#m*MP^Lx)rRVjE2agFSIe{wM5?S zckSUS9hw1sVZ~cMk(#;q80=$Zi`qD#)qUYKpf75=ei+buE%DdTu~&#nSC)lk(I@hKK!afDeg%DY4&+WN)@M={{Hd zzph>??N4-z_XSl}AzX$fBDyFyp#GO+QFE_bE$^j}JEO9x*U395urVbZIfqqdP~vNF z)6$RlmZ#eyc)YA{?%}GEZSg%!XsAetZ}eCzfa~oEh}Y`jR3#hnePSAquwi;Ebn*Lt z`RBj=*MI(-qyT!hWq`Lv_nk$;BZz|S0(gfrr4oH4u4({?Wu$TYw}uD%vax&FYg#*h z0W60Chbiv;=rXMUmTOu?80}KGBf4kCCP!cfmWS#5{LR=@)Amsaq ze*QV&UR;d7qvEhfG#%)tkwNNg_eKWzmBA>Ve}{WMMPEmBN8nub3dXmdDLMXR@`D{i zwdDZhqp1O`>MXfKqLKCEYi@7WjYs%96|6oE)piK^p}-GGI>NZiy})rG7k+q0_Z;7y zAKKk!`{kkHJ{`9w4HLSH$#HP60$y10#1U1^`-JPg4$ugFz~Bf`6Mbhl;U_NZvABV& z@5OZT^XT-E3P5ndCt1M5hnA-#nRfd=yw}fT$Yq&7*>JIeKQBFm_v7&9>ge=+{_=3# z-eJPW$G1}<(tpT*b;Uzk*+$@$M|`106n(Aq6FXT`ki+$kC^QsJ%>g&NW)c#u@!Ot- z`fa^tulH>pzKiFv;O%v=n!+zN?t*XTQ5O7^C$b!q&n=+}P&oPAEZvz`qw4X7G%X*4=xiI_ zQ{O+Y==OFsy!MkBptpu8f`YO(%xt$KsMXV>N|80bR0$y3M=;xfHC=**xd)^@>qO zQI-o5ha#wUE8i!Dj6gjGF)q;~hLc#FLtL7PL0F>KD`}IzgNqRARirgb^iH{2ul7vz z_TwYa0^VW}o{%(~+a@&Cd}m{A4r~cWK$R+ys`~eg2!KlP0uc6m(h6@Gg(3x&*01l$ z(R@y8{rVhrw~-D*7_DC)6Rp3#rL}&2i;Pa# zn=bNJfo7?3P`5wu23lcnUlH97FY@@tCvHVNAsC#|?Z=lLf*;*pU!f3Q;2<2z{rw42 z^rH|O{9b-&3*~My?fWW(C*N0oer-Y?&O_kKg0Q4n3>}COPmxc}>Y?LMA#M`r^?c2O z|Bp*bJ`QV3Xx_r|(xTPDlip6b?IXrm2OFC5arA}7r_?lj(PH!Q00;S%nScG;D*PSX*GCuq6VbG8UX1&M?h`g8w#;WnmO;I;1baFl?#^_8NX~RTS&(d= zcy+N=A$(IrodCU6c+!r4AwC)ZiT8T^3-HPK+iC<0mGKYUQ{%q{do}*QM0@Tv{%fa! z4KTaFN^dX0XnOB$mt?M$F(Sg0W5}*+v!39se13z3z1Spfg(JR&1zQCOcC5G7NcgPV zRS;(^mr8;22?V+yWCDSONOT}j{UEhm;ZQ8g)%_qV5ZDok1On9%^7|Nm7Q1Hk%sVTM z)l~`^E{G>8-~*~bs{llB(e5I_1oefaMuPVYr9>_3hBuj=q=PaWkdbEt;5MLqsF3&2 z2wo4+vpRUv`7bH8Yt($+XqQGb^hr@+JNY)h zPex&T#GrC>Nm4j9XR>8asV^=``NTV<5Bt?xJxdF>+b>F{0k)1gl0^;13Cym-a5Q&iR;1=4NWL8!FPSuk*`py- zuHXCkvWEVC9`E-kF|{;&`6i*w7@8nyH#@xpujvSpzd$E0KhV3RSbNVhamA{D@kNJ? z!3DZ%aj*3UDdj{3Cfd8D#Zqg)ur)q9pKwgK&S2AKk9)mV+o}8oC916W3(?0;_XT}4 z=h@z7v*k~5Ljua3;n8218y1#5r#B24wv-#bs*Xu+`*stz?0g8}yqyOlK(Q0*y*})+ zejNG5op~C_d#`MewQ?!uX;AIc3v*gCzR&5TSxT0Oj^e74f5Mhgnj1A0R>xE^S?p=? z3$X#zk9Zg@R@!RYPbae5YWC$RpM>7@-xM>zNQnAwi3BAhhgp+jX+$$t=7Ip!H5`^l zoT}BlMCZ4XEUAtudgWXq3w66mkbb1}N>Y%eGZYHjy;+jZYx9kvGV{e!Uh6(&2B$*w zk}{Qj3Chw|-s=PX!6^gFCHbD3P_vD-8DpL%XuI8Ds?9_GlH1sCZnqVyG;z{w9zkZY zrX$5jomqsiqCx7+u6+HIH9%uT>$3Xo5Xy3p&CL0mHv+*nsZG;|bZD3x;1Ua^#NZQY zVgj2?M%$U8Qvma%PLqrEH~&A%-fl;hZO6`gmGcJ5zpBc-lmu8e76dfxE5SIBAwdvq zNk+Hf=XZSHAUS7Lt=*jkp^tW~ip-3dKjh%xAm?xyCBZJO;nm{)(`Ga~buu2p<83b` zH+@!ddrRAw5M5(mysU<3>*F){K`$FgQRs!R6d-vsw6=|$}=jiwPHuqQ6phZ&c4pPV|AD_cePLlm-QZgav~D`ko>8#&{A?3cVVR> z&FbYrT7J%-ys8p0JIGUNndVGq2`X`QJ+I|ljVVpwCrw4r1r3RGxXr*{r8~fEtm{kQ z@#>o9ImX>P=h{rzEKnXhtA>%W)-y>>M#lb0hGfR$e#5rYPr!^Xiu#8&&P$lMW7GJK zRDX5iZVi}~SFai{%fmJMbfofcM5I@PJ4=vp8Mvslv)DB@lV#o(m@C+Fbe{>EVyc2c?DGAA*+AS{ry*n%{jNiC3*} zH>3aJieTSYY3%I#a}k$8iz2lee1n!Lcs6J;bti~7Xt~}v6s3X|E?BPyEx9mg={6`{ zOa~Nx(yWL)<`5mF1@LM~0VZgP6d+jt1KZEiG&EuP5x}U$tfBkX58kOASS{kzTgrLGg)!0wv}Hm*oW& zL*P;%ApO^6fpMcd9z(nh%Vu?xF(L_`co&1?+l2YOl*4uFpT0iX&kfr4FsF zLQ=dqu&=79=w?0ssx0$K;kN;o%BvtV-~lJ7;h+>-QKPea20`<&IM z+I_IC)##`5_|yZo?k=tJrRwlW>5Rf8bbvr^;A9o}xTd8s0ZdVc>u|oKfBzIpK<0(6TF6tn~jcuaT<)3x9(89`Ywp%7>&}L23#C+iJ#o9D^H=?qD z#rnyYA(JgH4dN|CY%RpSMy2o9`UI*sCLv_WHg&^qMa*3UiXuh5UKZhU!#La*iA$vWVI4 zTN+w8xtZ95;tp5LNhNQfs7|7rU@#di8 z9FWLW(Zg=4kg`24gu$dwzvzkNu@&KIZH69mfVuvHHN8;2(c?kBacahpt8{a!^tKMV zxYe;Ua3jk1Nf90&S&GeXJS@pt+K$v4-d1IsJZz`G!^56BY6Vsz{w_Z#5qsJZ+)~r; zA5VT;epGOvSADeks`*R2Rx5CQKy~=CGLJgQwb6tYl|9w*Zn~3oP-z1w+YU1cP$cIf ze1KadO}ra<$5kO8VhFCa$yAA<2JV=;wEO6%Lj=5A_jJ@am4&oOV~SBEg6{h{PW2wF~Q@)uWalr*ZKjeU0_OlU}mNdb}DAq zZUsKQGqAc97>QE3xd~2|E}Qs&O$bjm6G9;T?L|?1t`{xZcV6`Pz)CvD@3{W@hjB|o zfLZ72n(jRb?s4gquSmJj-eN`YBx7CHBK>7gl_!8F*^NesZhBJf1^eCUClJ{1>e|Ie zW>un$3%3d^_9tNoGJ?_s{14r_w*`Is-9>dXu7IfKw4+6DJ+Qvk#Ny9Bp^O5|*R~1gx z;G~6^T?Zc@Wcjz2hPgwzIi4*{VDDRh zGufOy|2VZs{uybUR{)hTnAtA39|X|72%yKG1i?@~BlD)-_#}0~x|v-}{9sJc*+nk{ za5me!2t@vzRzM4_MzlXEjC9#pNuTN$p{ZqKL_Sv!qcp$OTv2Bqi{w*R>E)&eE@k(} z1EKZb(y;>*rkbl%;m5yfg`?~~>46P@(trO?iokodgm_F#2$gIzpu?y+pnKs=rAo+m zZZ2(>M*Nke%<@;Xh69w2L#k62%U^9OxGVJjNdXr%e3bb;W(+M8?B&+=p&OHYy&~+e zn8TQy^&U0+>#$`Yos8M3>qExu#la_klAqj5L;aYB1Lx|PwFnVK?29nD!dZlR=(PyB zG>UKc$Tn`0J~vP4!3^lCU(R^$5fgrI{21KTZhaijHYPowrKQT{5>xenmYAvrw8|WM z5tf{)26Wd`)qwt`p6Xtz--svwc2@ne2&df0E&{9E$TcYR>!whSa6+Gs?HI*o6dgtV z+zOl3d(M#8-W$lZv_cje$r@QOot&%XJ%F)lwt+RV}p_QA8tcNfm*g z`Y6KYT5q;d*n^fN@7MZv;jZ-!l;4f4+Nnv#3f#@Cvvp|uvqaS?JzApbQc@NB+DfWV zlx&QVmuvM_>CqRgdVgEcL*EQrc{OtvCDm#5ru0ZJs?_g~*&@VLbgRt}FC&@)&Qbwu z5Yj&dv&2;ZTCGg~WI`*Zq0SwzOCPH2dJ1PcTKh)a6XkxaIDR#xxnDf1vf7~>lf{qh z?NBTi-%isG({W0La7*@6A;oY>$FOl^dBqh*V|n4QxjU!R*zXDnl-0Gqsx+Lt+G5%S z@nxZq#_4{i$9cMZ0AtP>(m6<>|Ni*sq>HHb)&<_&ZSt6;XQ#T4#~P7S?lp7QpwUb; z*1hUwn8lCR)>>e0C=@C~Hu+>e(7R{;e2$ov=VIVD4(&V}BEoe*K>fxdcKHo`^adDD z@GaaH-4_(5!+qtn>-oDvJTnUY;)Xb$49Os>Fq);B>Ss1r1Q3aCR(E#OykzKyIH2D| zG7zk7@)1e*6eLZ$VkdU_K$`xpe|Z$OK>%T==`DF}66MsCWP-yaAU9@D=61W62pAnZ zsZ^fag0_rc zPR|L^UkF`Ht;u4lUup=FR@?P@-Y@0LvZc}4L?YL2J6`qh;lIy1yX5ow%b?oR<&;q) z!xwvy^?-rKq|~#R(CJ0Y-&sAcD!4A1ekd&4Qg;M1>(y7=E!3(1f!T~b10&PgF~{5z zW4kfBt5>pQtkG`&DiOzYMc`lTDU|vaT&KZLS*3I)Z>F2Sq_KLtF1iaD;6gI$RHDYi zikMGtHQtPLAN!JwNvrXoEHmmF$KzKeSK8Eq;3h+Tz`(fE^+v}pykxBpU)Rp>@r~jIn#gEK@t!J1iE}c#wG`93dN;`2l6sF?T4@`b;`1BB$^Gd-WjcVBvN})|qq4ES7&bdR@*l1t_D6R) zis<%OVvCr)R<3Dv#DKd~kk^ka4-57yR#m3E8A!wY)(OhEYIp3j>ktyF0OeEpFXUT=`tTKtIVrHSy08)Xpq$yc z-#OIAV`TP}EZzN5ATOqKMu}NA5@g5qnA|a6e;0CeSFp z$26Ja!&02Svt>+y2Rhlc_UUg^PE$t}m&Cit^&)(oS_1e?m7u33$oi2sj zHg;C>&i_j1Ecy{T2i+D^lTtXhIqWS2Od9F4w$n2CI!*7ZVjh?U2h(M8*U#0ZcgY`{ z+&LhbH6&KdOP=9Dirk^uH4hbXXIDUWncVFGWC$liK{#B~$ zGA^AHoEcmLos{eDd2)8us#vU;V|zyLn8aImQne>$7S*?R^8RrES5!Nb3JhBt;m1nD zJR*(TQrsu#9L61pu%~aQTcnJVam)4v^F-HlsXpnZKqD;`$Sy(iQ1o&7%2vQ|rPF^j z61gIgjB2I~Qw(=^3QGizrGHIPQYHex8DkB4RT zZ($CTIjq%|D7;hR1nD6J%7^pk<-<)ap9FCPEJMinFVaX;FJK6>>IEXhhAbb>2y<~d z|4OC^`zMlR_>8a6py>b_7)0YFB_q|j;7={%nEN`Fyz7oU0V`>4JM_rsuk>|c z5VxF46};tiLb!hCT(0m$d~Ngt4z*Z&ML%3x9ME6**pJKlDx^Nbf4fc(%qlN=S}!`; zZY2~ad+$@8y-c=wKK-rM_1=`I&-zq^a0D;gpsiX{e6r?Y`?~M-TbBS~DP@+CP=g`h z@1C){sh*1OSZL*5Wqj-80-DkHAwGpiu%xvPD$+dc`idQoZ~3BQM7|$W)`w#G_tkj2 z{(A44T(M(|N!PbqRj|dPi;&~%_9VD}9caa1Cc`1^bIF-v##L8Vf23)>Ga(iiG|Zbc z-~+Xud^^_wMTfz@5AxT+Yqy5>uqs zFE?cZoomypo-Bz{b#fTBE8;kJ-qA0SQ7NpI9OieudrZmpEoW=PE#^O(mce;WiEC(} zjfeBkZS27l-)e^3B&X18UqbwKrIEqE^pk^$Cd23f5d84Oa9~2l zi^Mtir?>RZ_i;x^gYA~nga?R1k3MPK@i> zi5b<)w>ZlWn1i16as9@^bIW%)`?*ST-PNwJS%Z7FUL<=8^~m)10UrD{Frin1C6j$_ zd_FBMs)dw;OZGi)QD&bq;=gcGlw(>Cl+%d!%31D+QEMz5pjf6lWrUsGK)o<$Q}}Vw z?*3FkyY*B-y9Ot$!_;M>N}X`?#Hkl;xki^4ik0-ss>-y-PhdaN8xKBa?U~lGMTQJG ze+#gF4h4Sub8*wP4(S@n=IX#iO?Na|203>Mq^y{(tHcOiRLiN(<9;E+3h@DL&LSrj zk~Q{3(aM$rh;cnG?g#wIl_~{13AIh^&~8}rlm7iI zrQf~ZGXJrZUw7zE&G)0AobNRm>zbSwJmDmSZA?AMVD-myilTQTbu`a*JY^{zyL)WZ zifo&LfKfV5_gLH3%hrGOXQz8?KD(OS=EKuGmX-z|)f@?Q`=lm8_MkQyCp@H6hz1OV zGo5#Hd3vx9SmbKGyFPETA~`iaFb#O?^QVX73#J3_l_CJwcht8KiS{?ubl4OENt^|hnE_cqY2~G6-vHS9r7mFQ^-^B0mpbgou_4w=U9i{lLf*VyD4*SX_1;yc z^c($LZ675azjoBRHDiFLT5Tt3lyTDHllE9`Cy#$h+_WW(2D#1sBP*?}$S^Z@of+A5 zQYZ`f<*)QMWSf0;3&hvo$kMKh3$Covc29~HPgy0~mliYgB>ow>zE?}F-_00Gt^Y;; z5X_?OVEkZf^}RYt^{;O3zn1EM)$aZp8@5`K90R>}w_lV%&&3v)BcixcPAU3iu^m5u z&)=+^LqizQ*a0WRen;@wbQW9p_s^x7%-R4K7F+lCzmmWUXUs<7=GEWd%Tvq84;0rQ z)8GI4{pD%2>)T&O#XK6@8*{r>8^-Ij+Q>+bhquup!MFXe3V%ePI)h6uMq&1szT*Sc@~9TnN?5-z$s9vuSGuRVROY5cXkw z`C#|)&k9n#zJ2nuyZC2crHPokj3fnDM4X<_9K+J?9@LoWtf+?!^+I8smIX91h(!FkWvBE+? z9%8c5lJu~?8^~X@xoW!y&D#02N~zOX?dfCOn!1XLrVoHRM?{mdqa1!XGS(}7Q*{3B zGm{7Y4hH`d0fQL7{q3=BD&NV@$v*3RrX22+QD|HAn9 zOf5E+7$G6HMjfWsa)ACac;6for^9(*d#^k6f>{fp#ySda^yEBsCgRA@MI;GSz2T1YDWCYU{oDHL_ zmMa)_0aK#oHp}!lPtJ<5ttRJHk>q9kNyfICoL5Csnw*!CqCPtnNojIkH68QeDM+eJ zgXF;RDhT|OODpc=2>reiS`oEU;}NH}C08>H(^fpCEom!$hp`|Z_=Q!e!j`lZ-|Ject+-l} zKjDpM4hx_Ft4zU)nLddorb94|b;FZq-xopI>?%cK$@1mqTM2w>qi036^cwlv^ffW8 zoV{7AjYt8{(-@bmYB`>S>BE6vU{gcgvKWC?+tnSAR2>YC#Rwump3%#i#i+wx>!}al z@p9kiS&M!6j%zUocg#AT!*{Y4_kG@Hjn&~h9l!qf|MK4nUjKgm`+xiU^Y53`y=Dr| zbHV8W?rs4JOl`b&4PSb(?RGNn**jnF*u?AoyS_8|G^$?sJ~@Ove+h?>1fj;_j$DJ? zeqbG1ljP!yop7IvCk2P8s&J7)anXU1k~m61Tq#BM)Zrud zjb8gK?5kbM_BYF9 zKTc$UM@nPQ;1O0&b)QxVQpIyg8BG`SDn~9tY;?3;MdZZtW%jS@ijNmlm=X~*;!r=5 zS&#SxyNd)z$0bx=>qR@2sNyJg9uACxrmy-v=x>Zevj9)1o6rU1t;mb9I+t2m4wHtERb z6Ruqlnd{8?8`0n(`s^7Dff%0Ofxz4_JeNC$2c>Kn{`%Dz-c52jVR$Z2!~a0b`!5f_ zChKz~1xrzIMGY|ygXpClhA$$t5WzVJ;C2@u&qAT(gpE`Qeq*N`1kLpfLfh+HE`wcz z&;~n$5R1JAp-grSLfP!~;WpWc#iG35U*jyGylySRoazwPV}tN!3xy%*vSAU;|&pg-u| z3jyZVvACG^2i<$2{K3|Hp$-W9%ias0)d1u^9bi{iQjYviVgMh99;_sSHR{Q_Hs4{I z(FSAuw4tC%AqR)6r4-^anUJy?B!thwEZ=4|5VoRRkK&Qtx33hL(+;@YCVHe4X1t=j ziveJmb4g4JUs8#`3Y@}pyIGD87Sp}>gV#wfT}=1l4-l0&YI1zC+>1Y8b)5Nw!*TsH z{K01tJ@*Mpb=oThL5P;7c5IW=`GV$*U3ML8n}@JclK091nOn~>TUdz0Z-Q1?f1 z2I?p%zAXu@=!7fJki(#A22PKXJVOqHN=)se$je#24S*)6C8v@r2mIK8-ifl|LWPgr zp}q44JLwBm%qs$!7pgo%zfe*K^Fp0x=odP^tstPVwDJt~Ldi4i$6Ixt;jfOj`l>De z^{1xKK-LxeeC0Hkab=ZvKK_AUwhOuxQ*#**rzeVO{9bM9S9K(luTY}VNFs!lJ=F_7 zN?1+aK}BxGHCHn}xiVGtXh7!`^RiR1p##T@hGQBp%H{4w!!eB)g$`U^G#t}-Q4RJU z`Gj_#$mh>c;*z40FoBH!#@&8Ybz1REUn5QwKVQ@6_fq4jQXzSJW0GUfq`zyxIh%y> z++xeE`~HL0lGLd^Y5MrNnrZ`_L)X{ue3B1nEX!8~f>(n0_`xo|J&eck$GZ5)sh%NX zD5xVx^+$0ASbU_b$zH%0@FIjDHIJP7s-PF?K;IN zK-(i?94DqXK)Vic`t$T$157@L8W5o@pxunEK>Nhlf|M6yD}-7O3%K-C@);k=S}I`O zTFKxk#C`=uMAj{a@y{uQ<4R=hl4p@Z940ftatB$T4%u^pJEm!5-KXbzxp&J7sQjqY zbFXL*dnq)i?9+1tD)$szA#0p8M(c+u`uIQo<4X`Yl-QzNan2hhhE}O5F=7=Ns>U7` zg;jH)SR!>-UH*P2|L8g_Fmbrws?ub}@Q<_lm00!ByB8YU*?GYnRMp0HI*;^P{cL9= zJF53;1@)bTs-WIXgJYQ#`6`q%y?wjXSw8Hbd1uHyX z$D;jl8g%ZLpVlkWFguEAs2w%K7>g3uQ>~~vPhseE5-6s}dNb3mmsGp+c~;h*S9INV zBQOU(b!edkm%sHfE*^EMc@hdT0s9_o|v za;RHB@K?@41NJ#g=IRB;qc2|$EpRF{Af8U%gAu`5oK7QQFjgI`zQirkqi-<)5{|Mk z`iEKC`iB5eMiJfmz}PEMQhK8JH#lNba_yHS9XwyT9l|zz(xobGS4{?+VALAsJLuQOu3e_>*9kQGSgDy~F5Dx_K^-6NvAvaJf z59^TQK8I;ggJ6x91_GCCN~{o-L%upZGpu|(%`*-!9HqpK|Mq|W-~aO;|Kq<-!isZhGGW)jsXkoSlRXt_ zZB|~YAYY9p;1g?gqxi~E|FU+eX{xzDQQk4=Pt+H|p9M6Ek)l^oCCJg%o%s6PLQ!AH z1n=mcF;Aj_MjPv|RT=C9YP7ln_mgt8DAwxRj(;3h*MQy~E9Xamg^Z)U(4R)~--OSi z4}3;)R{jNy+N!fBO7xhL{7OwvE?V=y{bWJfKmFvti$VKJmh1SxVbC&nhV!5dj8HYO zawGzGj#`M`X70LWO&a9>BfARAmGTI&H=O@{Q^;&Q2X<7BgN`LIL=nqzM z@qlf_cy;z$W1j&tiymLYq$b{3W@r@vjeFDVB6SzO;o z^(iWIBB5JrZhgMcV*5N1ewljd+$p0qlWU)jsV^va6u@{GBDpq$Ura%+*ct$jJ`pbl zqMI6#K*UZ;=GGa}Uhd#rHgL{}4wVSGFzoF*BRW*#4$hm*EqdY4i^0aGqdPdS#ZG!; zEha{CDTZXCnwg7c31U!e&BLT+Gf?`Ff%?+}{6p=(V5rmnBON-M!8Xyo88Ab$8Psh$ zH_TKox~|&&x#&J3dx7MrMYkdnWBirumw!)SXpkRxT%>$;j7Pb-1^H`HC`=X}^pdp+ zJv%eLCqn44m9hdWe?x$gbTN#;t-4sEE=9E87hlxBhfTSZxmAdIwaaO70F}uJ2QZ18 z<^U>@lf$r;I<*G6(>TQeY+0OZq|ASr#d%hrn#*9eSyx7VE172Sy(iO*o*f0oL?%P? z;?1w_G?b_p)fIW;1ZIzQUZmdyJT>GK!6pXI346IbwLVD?N4&6kb*e$tiPj`FoL-(i#S~23lLH=-lmV`Z_9Qj0PDM)D7)Oobe0Q zZ!{iQYJ#kmcQ9W!qSKBH{cekIPf zH~VI7P`TdHM%iCUa9;jZNm5-L8|d+YKgk0qif6-&>+RS4Gt|Ar<;2slGB=lVE5oeT z1mAIzEpUw8hzC;fw4FXiK5ePo6lRU6*|UyZ5k(rPg)ReS^6I=Xp32Mb)^_4M+bAc z9Zg==_hhbPcchCRbs!klmve;1`hx4n`bxo;_5Gq2Bnju90Qgw5z(dF4TAp=M=dNul zQ+#+*0>{v?jDORyhm|{w$gNEAN!wPYn5J8Qa(AC)ij$}P8zp}|{)z2cMQm($8h^VV zSY~2uM?N6=9{z)XZ9;)mINlLs3_W-KekhYQ7;KOxDLp;2l(sR1IqhgOgsT|WjJl`_ z+i!<}!C1JI2%JwC%WMVUpwhJ+0(!ZHfR(Q82u+N|y8r?TV@(Js#@dy}N!NDxmN@tI z`napL{-itB^noRI(eT4_nHx#m+KbtgY{#vglC6i%< zOM@#V+Y#oU7L6F`J{W1G1R+zF3PTV(Dh$QFCly98^=De37LM)~s3FsJtnA**UMHLc z)#U`rzc z8(YGfIp|HFBj;$=Pzx=gQq zxes>WH7zPxc}X!zQ8CSd*N3etQQ?I8HQ1H+IIuk-@?XL_Ir-sKHk6@UdTM3Frt%8B zGFM$E9UFY7xySL)+F&&ctf)3Z(JXX<7^9jB(;LTDe$++Tz5|mqQKJ0!V?VaAGz;b4 z)GQ>|2i7D1TVi@O3$YxfSqO<=8#oxR_^^^G)RNEDOhW5{YsClS=}$^(KkGdhZ=Zpx zB>Btb{#j}B+hjymxQDw~JWkxj&f_R@8y-fhF9k(Mqg-8&sSifeUPx|lwkE1jmX)iy zDWTatiitM|u>x+sF7@U8Ffx1U_nCcVK_0MJh|vbq^K;}ArfUHQgJ6zso^hvfN>f?3 z1I{CPgR-&_^tmtF+-xZ=oyV5aD(7)LfhjEneF;w6u5Br;IFBu*rKwsetv}73@{;=m zM0=jR17GGy4$io@@NF7TxA4Kq3cXPnP>)SsZ*q(w-L&YuNlpym(mI7uWprC0VoxUy z+L_dBzQ|7`X~L?AeP2nFSGqGy(j>%LCr#+hAB97E>pf}0pjFajK0G;-;`f}*9oDRq zKI|M`N4CvENx$K#Ld8}YX z53>gT*mvK3)ZcCKHCCAw9oJHUQ!aOTT&a;0Ifc22cU`WfVyAK~d+^k13p5 z1za|p3`Agd)pX5PD+S!%6d?+@e zO81qlvF>hnQWj>W^Y>~?Eu=s8OSPr$-WzExy&8&CTk7t;QEjPNctG5=rN*`?KlZcL zdt-fgnu*+QB<{%?V+W6oQHgtEr<--(F_P>Sa>;X?%=I}6tA595OOJO@T9M51YKl_P zK)pldCi@+#)SNx7MTL0S8lv#odWZa!na&{n{wE!0I0Szn+12?0(=U9-WB>b%L;MwM zX}Xg9XcU0}Jy35NMeN0Ttf6*Tf{k`qg$_6_@r13J_>XDJMABz(=xX>%cuSLwK^$hq zvdQZRM$;pFAiWp24~QA&15p|~nT!O`G$rzQB|wAPA|bWj5ZS9476vyFCvVp%;l7oo zzeo*irRn;=DNVuHSw5Gh0~&Zx0pDNkN@JYQX-2dPz&^wx)d+`Z2cm$Mg(zJNN^xrrumr1>pYiJNCb~EPme&CH=pqJ2`v= zTisRKr9X#JXpLe)k{p=m%eS>!FCTlfUcL`ZtY^Dn0$Te>_(1xJzfhLZP1h3IDy^BGSx$ zhyR)ViiUdjC;U&+_!N;U(CB#R&jWA?uAao^-e}`S&Zm`lBpOClPvYR3Q($XVe|-{` zV>--qGbTy$ZkdB#7cWL7I$}Kk2hO-^yZI`nt{NSXzroogXAUy>sB(u_FzhjMpCfF2 z;cY@>^1(}A;NMLyjKID+i6KysB1Nt^sY#1hjd~^_Q9(}<5}Q7`5)z4dQtVy%xK2nU z;;Dqh7VwBDR>1S;$+-q$e-5TwGD$S`GdWi^^}9?mfL1{->k3{iK4dg_-+hRmK>t#N zT6!&4_bLB5Fpl-l*E#4yWLwURwmOAsS7h1yAve$N2}RFb&cPBn9d|n}GL35I zxX4@OEi+7awD)Pb608u4k`cA_8MwjmRX){q3A#)B{LE$vPF^aU$9c1q0<%>(s_JI5 zlmc^9IQaRq3Wp5cY?eRGou1-r!u@YziRCE}w(N65E@k6-0W7)UXQ%sCrv9+E~oB__L!JW8`I(wYe;F-8ZouOqIHFzd&QD;otnL0z} zFrMTOf`)&SZTB^qmrXVSFT*4WgUj5rQM6%uApd z91+U=`33jk|34?c4>JK(pQk&17}_sOpjK)L8`7hza74vHUac^waYThd zlHT2*t8hdGLBSDw3=|wOG0-1o|KHW@5AD6=ENa(Ugo}2qwr!+qt-tj`m+*{^O+iWj zzB0D5oIkC`XXz?NOY<#7+se5LgG)NMZCon@h(-}tuXA5aKygJsj($=7m+J%_7suZ<2LI8mp`;qKIFVV>g?rv1wZVH`}#+W3(`0zY@^ z!dwkv&jItQvjynxb+!h~tIig(`CGuuh)y!`Tb->b+P~~D^i`DZ*B_Ox6_`eLgkp9F zLuzl$(eYcFVOs{*PPHcCWYvE*NDTSh%6c5~$X={&6Jl~DxisZ_#0}|qhPU(4w^yY1Ky$E^YklmLA7UZ`i2Jbf?GlucpVBe_iQu&Gacf&K_@UbeP+W z{r~r0|I^?9>)-#wzxm()7umz(wQA_E!{0{RRzt7qECw>tvJ@xax_zkY<7)SOu7WT* zAQ_t@9zo%EtGD_h)huSeamWO_A0C6$6w02%?dCq>K8aAdaBo9jU8kcR?{*k1hXS<) zFuZtLNd_Om0}>oKWa@$6qa zDKmfVb0iVnlwxna;=PpD*+(q>d4=s3b4>Mv+;S@5@|MtpS6&SdYCdHDiY&Dm3xr`8 z&uQ=jDWMOz_OIQNz`thL%H@BWL@jA_-tn^<+BRY9Exz@`5vywd=XU=|aOeH0i7NNb zEnO4k7laR8_qY6g{^fY}`Fha&c2tOe%nxq2%vzX^qU=t#X!0ffVKVRp0CghTm!GGB?5nNv)dZW=9TlRAJ zF`MSsgH^e3Aix)TsE!A{Kk}4X^8B??|ErYqD0a#neOkF5+gH!?oH~mas8BMc_ZWZe z5abCM3_ZTajMQN2XXn??8;)C)Yi@U(+M9Yu%wXHMGzhsui`9(h#FjZF(mPU0nAgrN zEs|QdSm~Z|^OjS!p||YV$6NaGaRPsnb;PC5U#pbKZ#moG58UM8%L*7+fOaZO_l`VL zz_GXVBR$Dn6&UH|f)(zhaUJr) zK@HW`gTFSlsr)*6z+Ovo@U~nUV}XI+`nr2dD~;xs(@{U(vgI;!N1)37JHBd-8@D9v z)+n)M6tIjHKL+UKQM*>i^gPy6YNxv}dhRbKw*Lvs&YCNwiyu0hO77UJf4yY{Mf_?% zLeFLz%a-KZvmZ`JaORHt>Zs-|qcxjbbmT=U>dIw#ZWX#^=~IjYfLC^U?7yo}FRf5NIRT^HG5wiYvN9RhzPP zsK$q0o$%rDR4E2FI{3recNLH!l#6?HlA`8CFzX0@MAZ@W9sQmFND{ zB&~lbG0R774l(Iz|8R@{()I{V+R3SNM-X`t(cB(_q-WJuW^QTNR;O@Lg4KW4nttlq%7~QUiK)hz1{aTsSzSd_v1S5uJx<53 zo5L8Jv)#hJ-Q3Pe-tH~2D(#SY$h3p3;Wwwz!T)v(^HLr*-Uhe1-;#~Hy9P5<f922U@cN^IY$@XVhzalBbo_3^MOBAU_0nKQAM0IeoAA{`9@v(%&nn-0u<owu4T|D(7e%opB@RWvoMEv#r zK>#ZVd7e*E^^SdSiR$Y}Kr#QR7gmt-rYsC{KD{=#fK2Nf^A<2wp9P##7ut`=?MYNn zISZvI*S!aHr%mJ&*uo+26nJ0#K>hyxtjauJ<6_L*2PKg7K4d zM{XNT3W14x1BF_@e(Y94)X;3y}6)D>F2T7&t| zfI71(Q#(#XTkm@%bnU!K*vzV(37c8oGHhmH@UWSld`KUUMWl`MZu?Cyq5XXIE z?Vv~qw#R+nDyQCYjO<~jE#{VdZ?71D-06F{<2obSXnkkSP4x$PNLCGqi)6(+qjb{^S89`;+@Svc%ghJ+$i< z&HMH!17^V^r#BbS)SIu_;JJvGd$_)m;V_UF2ABH9E1JF3Z#}SwC!nECXXoAaXm~Hg z&DSde9;{pVg(vnG%+M~MQ_gQzDIf_nSj$ZmRck_@m94h`LKP4`YYc@kC)^jLvkEf< zJWhUuTO^})pwliN;BxBD9n@9^t3r>?3&>gSc>yM8f~7E^^iHVJ$<$Tg0E?U>*E%?1 zbW}|Ytx|OAF*>>Ze$03GOA-ut``|#pK-B=u9RVNvEvL23E$1C01m#2JC<9V=|K%O} zyNwAwdvjCdTjVK5Jw=|fscNX3brf2Wx#KTDD~a$OS)GXRMpi}t23Vb*(>nwuJGFXx zPHxe+Zp7%B8266jO^Ue;KCCw>Vs%Nh^Vj0Ui&))yX@)lCi5jD^-p^0OEyZih9g~+4 z#hFW7YX%fYUS{H{qR}_QlZ`%RXEk*a<|UrLRBURiqs{y_mJnX1;-TpHygqqC|zjp|{F8tfS-p492x2*q=%!i9e)uh*90NldYW64$kA|^ZPhqUUn&)=A|c7 z^P(1ESXhvp_OZV~gt_Ay;ok|@NO|pDDs(1of|A5L=ZQlc&Q~VqxqqeDXQv61^xPQ^ zUHu6#>E&cyHXk;|C&|I;O;*pHV7lCNTv*XQdMMy|+gaUL$JTh*Fmk7N+W3}Wll}Y^ zZ`nx~Z)wtH-7?38`!5(HmnKD9d`SQH4S7b8@!Z&}rcCsJp}+b`gI`GG=NpR|+VfFn zb#;R~eRWDX3Yx%4+n1czy1#>^C{``MQ)@j42K-Q-IS8gYQm(;h%B%n7dg8srl|!5Y z6gKs4KxgfS%$UiJ;e#nNN(`o@5u`>-uJ#tFOf%9&RCCCJvfgCL1m_ zxo1&BO%{y=YB(<`wpG8sF#PoDDnm{i!ky~G4zX6EST;cFr$h51ejk zEGD$^DYl76tYYUKjQs>(I&w4Aq`QefO7LseFgJ0_$KJps#A z#zoxH@ZI`>oGrKfz*l+QJ!(GD35)5RXSyw&>$+vfj@;6Pokq<$dUScK*LhY%! z8W#0{dqV+MN%g}5fWJaLYJ!%gnm5S2>n1kE%X!hjJD6ikp0UU^`IM{ z>>H?uf{upafSy*Q1r=L7BEIR2=~}+4txhei@4>94W#72d*K}`{GY&rXU-S}2?<@vV5t!tA zb8=%G#kLkh~50l?sc1zDS$Z=aG-+ZX8%M-O&P z`bKN?HuB5%=gVJrN~(`m2tPGlJk~sDy^6o^92vu?o|VZ0<9$2TEYQ#wUMxRVIuLV@mHvFE^aPgRti>af==AAEQJ27$Mr#0)y zwD(C)*p%J5olY8x=nTrB^IX9TfUHojKd&@ldC%Dz}_P{LcSLaEs7%#~H>EyBx<` zPD-Ez33%%5mTqX7q9WVWuCHu9VndPVf#sHy{nkJ9_$c#bm2IEztk`Mu-0U zk-PSmFAs80=8iDoS*T-d(J)Tx5WB>-tco~w899e_qx5x zz==U{&u-=30@8H5s63~B3KXyxsexO=yN~@T5D9&^>G%XcCnb^)r9~)#C>0QUB^usR z$LEFWhxfS+F~2WMZ_yxb_^ac@{9vNp4&a!w-Kt2vwdWfF&ugY6Db zTbB)ow5m+Iq?N(QaBwtZE6+B!r(9x-E6&!}pkbE>7@eFRlv_>;fF!P?0GN;nPCNG# zCj?UO^x=uKj6g2kdghih1@)EIyFr`^Fy*mfnOIAIddB*ka#Q}7lF+^t3LjdS^qRCci zGJ?HA%-RARNTkRS`mICNU%o}BM&Wk?&H7=Z@Kc~^6fR9&M#X^W1f^4jgPM7Z<;PQk zr&Dv=zZzzeySB*X?3v1rq#2@{T;o^^PHy2u1o)LuI-5-ybBaw#EP5%3POhhe(c2&D z;QyfxG@1(AZ->aVKFunF)~DH#!;)XJZysnw6QNt6Y@k+W1U*Hl9n>H2a_W0K6}bA$ z1TR-1eDHGB!TTejv@!a0OOhBtoPpd0$N_o)FE{uVFZXTY<+(tb-WPWgzJ1|%+nha( zY3VpXNqwg0=5ZQT1K~xlCZ^?jd~}sSOnDW64^){|LJo^^3*0TS0ikva@Vq(+@Q!84 zeEf?7JUR=|wkZSp{6;c1Sm*f$tV=Q$SP#iqU_B&bf%U9ENX8iAmE_#J*L9G(L#xEG zx;jJ12YoobSA_FJeCd|ig4Iz^7_8dhz{r)IW4o>OLlLnw-zj)Jv-JF+9BGl~Cwi1X z|0F3Fv1$T1vO_wv(*9m$Z?E6_`QfvQ0E`*&$c9wMegtFgMUrAn4^Y_vYf% zC9?$K$(o)d6dLR*WM9U_@#*}4R6^%@1n@u7DA^vDn7b2&s7d`a@K*EJ5Z*4>xq|QsU+GC68A<&#m@oze*UoZ6O-ubSbeB z22dQfB!}C*UR0DMQZ)~_F<0%tL&uqC+^GiR?}^Zvgs<>?57-u4curtNT&)k1iJt8$0BDA0mFVFDDN94A)cU~(!Twn5 zSF}-T{faiC)^BJd8W_#R;e!^3bO{WRL!!Lzz=y{eJnCxp_$u~O`Sj;LOaD-vcCLTw zw9}fdD^q#vcK%Ll!Y$o>Am#@_iU6bPc{hO3G0q=I$ms8ei45rL1jGBxh_>;yf(*pJae^s2~^@31kTzf8e-+lnwYZIdXtZ%2+ z*kLAmAeXit->#uWThG!RZ9Tp{h1@S%(R47-2}Dte)q!{mV9rUq#O5iJ=tvzc>}j|!}9S|@=p7+;_y%MU+y?PD|dVw0(K;av@ZWwz1(256^yeAyI_E4 znw*Rb$Pcl73tr2AZ*Ld>{XN(?35ktITHJ%PJ=dn{YxfKuzIMVp^9QFynf$;?l*QAY z)(m&lwwOC6V}cv?Vhj7LM4f;eVP1!lL=EzhBZgAjTsFb1t6Us0UWxc)9Tz)mbX z&5e**1hK~0oz%>4w8kIoqX~=TX3lQN0IXX58h}-&Uu|&w#_jlKGJa{W#P1NA!HEzh zAam;z)*c*RE`4XNWIyTY>T(X4<+E*{m=&@ynn$DDLb84 zJv-cJ9N9+xPGkyh$dE+&8HRIpy*Lf$|GB%H@#^bmO5A2 zw3Q8;n3StiOj_Bn_^m&UMxy(lN6Tc$OY!26M`@A~Pj7|Y2E9Gvy+V@@{9irj_|zD$0TO+ zUjhj-df~)7qu2UtGJ2}44-@cO*b{9Ce07Vl&FIxrcI)3Wfo0gRDKzGUyt!rg z6KnV2Z8o{znTGcp?K3inLUQ;s@dsGubU#?e5OSjZEdrW5x{p9fI}a$w0|xu3r%@dWh#)OcxgC*Eej>EvdZV=WMPIj-lcEf?ywSVpxRo6+ux)Xt|%*0<2uAq{`3)E1SL%k$jjyD1b8*og(b5(Nt)PLNI}P zsv|Sa$&T#xsMXM^;}|gSPQAUW z@4aMcKkLH{^LXXHp%H8RB4O8T3cPt6?F>q!P*-S*7KbN zn3A|Hx3&{YI)`8CoG1ncGFMf`|W%;Z#kQD$?v@kTeo}q z5Vy#BO|JxQY4IJw%h|3$ODhUjs9=ZU_aKGGez=l4e< zafSQii1wG~8{4Z7DdQ^&Ivo)@9vgVng}@WWiYGER*-!M=7(FsZV5B?{YrG$c;*XAp zUOr0VA?NS#c%<__%Ah`3`KQJ--ryhuc?QeLRQvJpPPHMw*mr?{5^#^WqzB8AaTE|6Yp_~r)Cd80jY_%GPhWgT^^bX} z#<6q*hWnZRFVmRjn1`rgn#%upEIpGd-24hkJk}ZM`yLE-P+_?JZ8(mnlAd3V9M**L zyL2M2vt~R^=9HIEs8}SI=iR4&&rBpJSPQ|kV78LRUv~mGi5lLAzn>@}qVl1H{Bvh)j$x)Uas*^gy6W`L`DCYZtmC(D z?&)qKM6f=o8QS}}`pWb_Ay-b;JBwRWi~l2kZdlN)8(ML(YPobC>s%AW9f>7-n905i zc9{U;;ufoEbT$`utd!*`UMOtSF`|+pe$ig(^!(ToSKfchGWpjI%aG`HLxDV~w3RBU zmR&r$1{F-f`=f4@eFO~(e`GmV^76^9#4R*I_64A60ScQv!`I7zfzKMeAy}R?_*ac4OjQO zd@AaDxsmsd9mm2WFjrZlLg%j8JvT1h&H$loaoE;N2=mAuYtqLzi^S^`7gzHoTZ-rmIH&0ky{_JS<1f z;|g1siS_(wy$Ez4O)-5vEX_wB8$(9W^>qN*0+3(kvQmnm*ftTu@ot0ApNFG7u=fX?8Ld#ap+N8BZKpdeEz|0 z3@l$r=GaB|!o5{^_nwjZs8xqw%c@%kK)FxHMtRW6*eKvo2vpt4tF#7sosTH9NUYRT z-KMYJdr$TC(N8srh{=23SR4(!fxZiQ_c_JKoXt8Qw@{trUPOD{NkC(nD76(a7|WhM zsKX!>03n%iPj`8hbrhX55Bk6ziO*LTD7<`;ZGu|%38f}EiuknYGQAo!SVlHa!R>EUa?GBtGq1r(8qoc0auul1`f@oyeP>| zSFhs*IS~sdrev8p?o5Qk7c#x4^7wyKw(#bw_g=sCvRe*@!%yeU&9D_&;Y9+Gn$|Cn zm%!{JW-AUsTi$%%KCk_zNjB{h_bYnfqZi_(E!7~3V?m_uI+p4f?9Ea^pJy7KwHJsF zhlm{|ABbS;>TpNOdTkGmNU)j6_qKHijAL8(R{1u*jd?t0ESSepNdRKzx#a@o8FQfv zLqT5J0o-KxYT!GK#zIx^dpv{w-gDQ;@^yLcC1bwQO+m0>W}FB$U0K_u@u0*x;sJ~j zdle8q7)zYZrZ0>oiv*XBSzxDJIjx6AH}Uf7`*$yYYPZcV=3iKw?=)6G2VaJ4|bOp;U0|vS`P|;fNEnytNWuwGv(p+s~}va!e-RwDrzs z$~%}`n4Tgc#RZu8wWWZgzcSZfHDDYa@om5$MYlbb8rbY9R*f7#9b%ZI;^*VZ^|F_E z@@^NudGaDz-x{!6)2OeNjGpJ?Wc989ICk@EDgcg)XBqvbWb7Jekir9gktQLAHn#mL zQIUAQ)GE#GiKQ#CmpVrG9Mmid4Am=`=?@k%<^z!X=(95cI=E}PAP;kEbwrixyf;Z7 ziT3WC^OjMVLAar8)hEC{9RLmLHlfRf+_fp_+D~^Pw9Y}l_sgFZV{4(ywN-UfpBA|hUa&e_ z^mq)FpY2@~yS5*H=lKpRl6}b9%k%BQT#*KbWe_Co$S>MwkGv*o_bQ?pMvBYg!0v3@ zK}2so(KGw=jvLDptw;wv-1_C$r88Q1b>{W;d00I55gAOZQ6K#)a~_M*{3D*dQKqDP zow`)>j0W{LIPNy#+0U-$S8DX;sYwvlQ|H;Rvz5PxO+pMOPBTi=3cc>Kd(MJXOnFfG z_@X}f;jRbOKnO?_K;Np7lh}#9X`yAoz&QppSK8U;FInv^Gk9+l%BrBS%P2h7D1bLs z;CC5qWd(i`d#FOdj4`PyItmEZ1+T5zw!j{*J;g+j=7}=OMIMl&YK?%IwthVVl&^#q zW*k@&JMmdG`TDe$LAs7lk7a=PO}nfLTh=);jdS^QALTSZaF)VwixCa8VaIfvFE?`r z)gGS9VJJaB^(c{h8#W7uD!pq~!sSsCh^FWfCYO9vN?Wt;&egXOh%vHTmZNzgVPdi? z0*a3k+|@TT2`##EoH~!zPx7kQC}{9(uA(C-C$mkO*#j7B)@x7e2>wk3iI4yPl|j>CUN z10Cx(k3{uA9%;q4o}=7DMlDGX8UAe~0tbYT`kzn{V#GEX7)34)OnWReX5K&1?k5?2 z|8z0J%d^uzp`}>wjb`T}UX4F?uid$Fz4k|2bMrsx$xBRl?ReeEzSl}F=JQ&@qby1^IowS z%F6Fg#EBmBL;%rwBKe6r)>mtYj^c?|b|c#?a^J{pq23#%kFqqdo_VIthdvi+^&|z_ z&-Anp1IfqUuVlq%QN1%3w~mXRe_(A*CJm*d08b>C90Z{z>V6p~qaYpDvw~2A|E8bH zwnRHn7su#5J|tN*+wi50K{PwRLEMK?$$MZ`e!R!=Kii}pdvr*@xGHALia>niZOM_8 zIAqs0@~{G-^%BRsPV(?D07;u;webEyRm8F&V#R-rs@{5|k6NYoFW=^GJ0d2-)AfWe zkAiOoNRg<@HN5gMJvk};!Uc=OI1?C6{T=oK20(%5G235Xl1a>feG2PT)AyeDQ7h^8 z_0#af4Z3!Kri3>;7M7{Y*udu>Lmok486?F+4M_;U6Vdra$3>r*QCA1Jn$7Uw$dpI= z8ojp}DgdQ@K`kQxCu4poXhi@@FQaZK=0#VoO8!M*g^U8V$zlI*NG5Egne9O10rYyN z3k8;6lF6$XS4^`9(6zPgd!v@KmW}?M2n^Yj#N!nf+{w|_E54A z5G}SZe8cA0{ca0$-g?;k1oIQ+Ez@#Xf<>F$(2o{vf`1CXc@N4D{me$ZFCB1na@N2Y z3_Ocm!s(H2*OHp7yGlx#$?R1ye)z4oC%l+Dq$2PB({ddNPIsx|>0~M`{<>wkN~h1oG2|ag3d~5^45j&Q zth9@VQ0AT0aqnzAxwG#J7F0EO5)O6nBo#K1%mOaERR>lTcfflfUX9KFM0XS^=zEXU zS`8Xae0!uTIr5F-oAB!R??%$cgkIsp8)GT2uz_Ox`@K)ZTaWa(lxe52Ode_c(2Z?9 zppk7okwD6UgZr?K6m~~~(=+lTKPa zXJ05mn{uO6v71eN0YbD*gm%!R)w3_dN2kqSrE?xl*duAkQ|w(Qo(yb9q*$FD^HIH< zBE$=SZIV;w`*^bMte%kc7O?~F{YjZg?zRCM zG%>Y{ie*I6!LMpNKAOKC^SdyCExa1C!vOY?UpJ}wr7{Jha4)YL2B11wrpDuSRMTQ)+n`FFP{Zr+fpcEFM>>FuVYdsxZ0N zhD|F6Iq-PD$*14TzdbV-e>>%iDEMdxY)g`Iz?5{P@i;33%G!n;a8Hz%^v;6m8QOwb zKlR}WSSU*Uy=SeVM4SA5C@BdU$A>_4wpoWM3}r8SkBDGhe3;pOJ}az^#=aOnmMC->UYfViTpK9YX)|W^-G2zW%9_9Tcm;Hy z!zge2&!yO*3rn#>7nKvmd&UUE5{#k?hnQ@k`?f%D6?h+>A757* zwRX>NxxaJIEx9`UbUo;}XHz{Jv%e_UHb^%zHBUE}qWI=H?t zuT32siytc*q7>IWDB%lc!bAc}8W;!bJW;t!xK;!i;nT#dCM$r$NzWt5-j z=Ut)Io#J(j>SzlO9Cl40pn~Lh@CPPrKsilydy;VJ->7iEqjYjdZ8qKMj524pefKM0+jI&JDN0+4?KgMc{_>L^BCXkV- z*~H=n3qTq&ie2nzm7xcXRYVor4{?L)fP({?-QpD{Gy2{UN|CwMY8Qtl)i7CLg%Nf#*2zVVX0F`0HPOsK*BkK zfKkzeYKLNl{fb>$^edV1y%s1j=Xb-2UmL?|BiuHeRHZPd>Go$%3Q~R{# z%3F`r!fe(>9%+YVwOg|bpsg1ytGy`+&7e&$eEU?Vp7fQWKC!9^>$`sAokzTvyP>-C z+aq3?+rjg8RV=Urb(((B$A$eJ(=~u#67OhDE#vu-RNiS)yDaXl$E)@YH_E>AczZqt z+%WkR(qf%Y0bVQTMLX8;Dvz8N5G0AXXxU|6fZRq;2QSbl z@2wZ;Iwy={USKm)_pJce=(MtfM5jSdWyeqZTzFp9#BV)A=~1n-ttGK)t=Ltac+IXV z>2G#bMyYf8R!2?5L)ngh#zfEnP$iM8__-;GASa4>F^SXZf2ynIBkBIrA2B4+a1O%) zv+|oH=yPN!KLD6*OlIZKxujPPz$6&J__H0po4JZppa_&sUwxd_V7tr!M6X)^xDbghj4wGIJ8lB)f|)xzY(7`3S0E7j~> zxQyZLmKrEQvybbdfo(m>ttuf`{CeTpAXoBbXq$R#LfEDHiV;0_bLu1<-fIkC^%5gN zyLua}-?I5d<9~juo9y}BaJm!_`VF)%Y$~ zF4;nEy>cFQ+D=7!+}v?6t<^VBPMV@h7uGA`v5)bj+8W5qjE*wgRyKP=@y0@&gyLK4 zszKzdE%eHiF-|K|phd-Gn^Ur5J;tpn(hQ_t1-%IpWLdkFNr<3(>FJiiDx&*sgVmAE zV=`0Ap>Pb=f@$~bIhJ{PZplD3Sl&)5N?d2A%ZDVL%fv#i06EHk>qoke&+!`{^{$e+ zlMM2Tq*ke2^(rSNp8rpm8uDcHRZ9Hcp|2_sFE4;#<6~a|(fXb%eSOAGn zxRB$WcwjI3{ME<-{FY1moa@$M;GN-ob}{i!pK}3_Pog#b^yQwPXaR)+$eE)_u!7?& zYrB)1+uE)sI`2JFS7$$eN;WTa*O=-eh=8sx%7}9~qOn96YuYW5lVLT}35#AYQpP;x z)|%=7%CEgpnwA<&YTL9EJ_&u5 zT!3)2+O^sNqq3we3XGHgO}O$orLI3?&=-|SoqeF&jA${?@v*8ng^sa;rB)-VsRdB5 z;}_zSuO{9fe~9m18xHaS_r^gU>Qgw#CIRM-3z<#-!S$yTO#6+}Y)UOh%Dkn!9@~H+ zMVs1S2pk);I6}{r8*A>^H(X@GF`D>OG{^-x z^LNqvXE^a&L0c=>`b;SoSxTmg5203Sl}x=iAGQ6dgRc2l=~^oBCof1P{;3@Bc>mQd zkYFN2d%ZTn#JM>v_ooX)Zuug$+YQkz?oXQhvVT7r#*&tEdxz}XH{zb{<8XYz_4e`1 zTIH(DzHIM+aU!b+ZTGhmNDi3)w?2Wyh^kK@F=7eSx+JDgtH4j@t`170mA;FD zc&NE5jOn|b!)k6aHK6iL4Gm3tZp=irz@1D~(=5IZ3b@qTidR|e))I6CMav^!@1vSI z(&xGp-nKc^>vB>v=M@?eeWHE75Dgzc6^@L>&&xY3IFSR&RB4h6;M};Ehl-+RVzG}N zzGj5zL{?m8?T@M#pxy)#8l$I(<_@O66%}g)Rvlw;2?@tI+pAP*XdPNdu0{Y;!FEx= zA3~C#hP=NF!zN7fq4q;TQ00s}M)e~<8kVT{Bkglf2ORTe znccRcpZDs{M7=Q5^{fq>52rTSbK{PsB-~43Y6#Rd;x$dcot@>eN8e zuGCJo{iqi_8<8)&B<)7TRbL3mzcx)2`(v?w`(K36eOydLRLYwB!!+9I)oVjU*=gVG zwY9RMk&P&T+nyx#Qe_?|N2`~S?Y;*puN5n6o$eV;-XCl-!Xq za=9iQ<>D(JApP*6#n5(f6sRRhjD{3;wE2yM;Mo=O(MF%51Ll0jn*8uk;J}}NUDzXb z31j#qfx*_QR5<+z8lN+y_)WBcdN=1mr<}^L!Sqd6b(D~n%~Ia_fqE!_jfD%DmLrf-Dr4*n@B&UVgjNO ztW;_=g5l4=T-Zzh0vI|T>a5!4p(a88-b0PtwI6Cb?3zB5EpK_QGTB`e&0!^@9?XeASYVs*2L1$)W|2_41@}^4;>9JjQg18zI7?&YtMR7=xsis}c8Set6PQtiwR? z!ia9J(ZZ0(d^bH-i?bu3HdohmP=lwl^&^qY88(B9eWB*u0Oe$EnvYu31)bIj4PF8G zD;X4XQ0`W=w0eUa1~N{u0tL^0F?b*2K%4{(_txlFX}?tX_eFrHcyy!>2>CY6@Dx#9 z5tJS;9Jad6!RLi@t%|LF>oG=pdmD4G)4Q9zoP@;Au$YiYgE7Jx=0Jjl(fTs7IXntxq z{#ay7Qh7nK+HT%+qfd*>NFaxN1|Kz9_C!DhkhLd@D?tioKb^x*w;qFa7-wH`%P}3V zATjKO$DXv#i&>OxtcBoYwnmB7ox~VOlDNWrWJTZpWlzjdV@zI5zOQ6O=|PcK>8O^) zAI9;kmqnQ+@+W)KizzSh!T{fWRtB<_K6kR*Q`PX+Og$Q%KN^s2da38u4#opdM@r&J zC$Qfvb=WW)q8 zuoI)0A#c*WvrmHohNli|isP>IiKsfPw+5x#e&8p642o*QN~)AWdu&NEsfiJ;=D=*wJjut z7|lDrIVfE^`m-b<8QLk&iaP9I5AP5$mI~ z+FR+)b+t2ifkh_~=0*3FSE1l=>U=&c6(SsJMMH%=+N%}h+~&pJd)RK*LL2^$3a9+qCC>%~bzXb*jPLs0hYi1v`&_OL^sRAVc3|tMCNNl@<33~i zf`mFX{GVGr4`Q<&7$`OyHZLoCOZ6Ur{%cG1A|pF7uuoK`u~SuTg`quBl-?3nk_Bd( z86&9v$f^XxYaWi3m@msX+Lxe5Dk<$ij6JA|69D|=^J;bK>G}NdkWQ=JSc(&IT31UJ z=wp7owWB70_Gya5p=Z?6oc4e(EZ&=?IW=ds$GCkuCucW;RsK0=V;0rraT4p0dE_*?()nY$W!dC znzoKJG)L`@Gk}jQA_Xbety%T852s+ra00gOd-ersPRxQtn7NI9bcwKEC4?Sby^y2j zPBTpFjKx=g_L{GFwHOwkb8C^pCF(S@%n|c~2RNU&Cpa`|RpP$IN!I*gn)7&@D8oXK zW@{+~>CnWB6zG*XWe*buxUoI)v0|1ND(ESB1opM&YJ#cW$|Cvr4~QAE#@$=lyg%!j zq=C~y@8U;iW}KGpUHsOxOn;qeQMJ~!eyv}d>WO)6F6yql=}s?1Z{m$I$3Cg=Lp(s}=lN)< z^sQQ1!dUE#u3ykjC?7*@K#&eMZJX&-*%tm^8iY(m0hq^xgAtEA6%>uE)?D``NpuWI zn6Lg^Hu=yO-Pp)7$m^K*W08~$9)UT@y#WuV)-$>-;)Ro8Wt8G|Ze zTl8rk^R73QKtilzPkJ(eZPQU}KdZj3vvM+W2->r~VM#3ll+I!xx(HAL)t(y7 zrXI#2zCExCr?tsKS|5;YtlqD3P1(l3())EELfQv@-^S`go6w6Sv`*vZqI`T*pvSNi zsrwKSf&L}}>PGFyul{%lDs{K>w?Bp~vM#QAkU12IR~D_(HSO=xDs@KU9lMmL*aFAL zLUT#Sj8j$ zogb&~M2nHr1FRWX8H?&KV}zMm!qciPvMek&L6*xdi(SZ5PF_`r6J&p=gEyY zO7iPPqd0X#$_HypnZ~hK+d>-b*>@kQn`Xi5lsEBz2IQ`UJRo-x@`}4VA+H+EVw*O0 zsL{*@GTc?-lD?f@v5#7OhPrG_p9E+hkFF)+OAxumS$=>QE2a3BpXdX6o}(XKUCI$t zoQey-u&bTb^`&fLW`?^EM=WH8DBj|x@0W6tJg=y;Iwh5iQO8cohcVj6`l@y#%Cg_7BPYh>e%|1 z=U<{1Gyn0#Cmi<(%LvKD^u1gKp1;EM-S`VK_xpU>h@|DfX+*N?fuAOg69M7#k~e#P ze3@4`uG&%R=c8^WC-Y2OpjIknxt7Y)1}E7Da7|b61|Y{wZ)*evA%$-gPqVB&MS~}p zW&Il@dMvn%1K>B~u;_X^S+om#a+Jd~OQmN>mbWhDS?#=uXFz-(6hf6J59DZ5N8WS} zEO_Suuiaz-TKd}qT2eEKCrWB+Q&>`SP1O-*gLsDAB;CKDQ>1m78~!JnpYnW%C*C^R zyk!{LiCiWLw8<)9z?x1WS<^&r?b<5)LzAR66I2y`Olcuozk~1EB@FRMea-r4Ldvrw z7}sLpQR}G3e95V>^e`8z^XfZq+GywTn5Ljxu(ol1c~k5yVU-oV`=N5Yc2cp&?n%3i zW2U|^U99M2NEne6hk%lKQD0y&Y_V$1urUlF$-MPZ_70cA=iDTfxb?+uWuz^^s z?UH9hGyz~OMCG(7@krS60_|2=WTWJY6$;^g!a@q;N8`Iyj}lwtB&3cwDIb8QedmnG zyh1C}2;9DJBMaxseOW8F91Vd=?J26`bYsLUc9f76W8BkGVn2X}(f*ZKaZ0Vm9)EKw ztI;8wI8D%T5lOez^Qi)%u+M(1qBMXnTdI@hc9pdVt9FGd2UB{zI9lfL*2rGFvJP1P z?a9*>XBcn{_mHi>+dS_xb}B;F3Z*!mrpx6EMcb&Y7KmW@cRsya zP2#Ka8Y?d<$yHRFBv(;wA-T+v;k~FCF|Q#F@K_yCE#vRHi7r~6qFE$zO^&_ytldV3 z22FX^#itT~kI5yo(NxY+t}L|VrYA0L`~b@Vp-Din&es*5Khh(DqET6m9TzH$6JqdnRB;(nrAqvl_YO> zT#|#{e==B6{uvuH+V?L6J};$-B5Tw8jMA}y?dl>Aal|D+g@vSlW%cG%(#yzd{GTiv z)T$G_f8cqKX;K#T5wTDs(l2_?MdIfiSGR9P2m}Kb)@)J5lqMLm>NeXLUeJ$NyUzzL zM`(6ezxk_}@Kr(6ZD`J|Tpz}J77LtfFBWX zI`hrRzqig3jZ&UzQ^0+2^ks7{hX-o52nIQ$j1kRKEF8yE z436_Dih0SMYG_wN7cG6JJLrWPB zw6;p&n((ZH76ROXXYW|pu83m$e;+RrOq=NaV;8-D4DPkuaSeGs z_UQD{dT*FAp?7+D<^gvB#p*E-+N@?YWL=@JtFB87O}+gBWx01+dZ>z|81=*RPz)w%>zIbMgRZMS%#iZi!c$XAFh zSjm&&^-6Fuf9kx3r67bkmAmi^`x3VV(%JI06QH!d7Qo=T32~w)nTOgwEI5=rsc}3$ zTo(1S2t$XUD?fb_YL$Tp^G5L1bZ^OHkDeXWP-}FdjaFCNKT|HZeuu$o7S_%Ft&8{Z zVSS88qe3j%K1?76qNeVk-q2KH)g7!4C(Hh18cQ_GW0-Gqb65S6=M;A(It|s5zvOkU z)usj4>$^i<5I#Oh>&S0a_~>I;wcKYU2Fu&U3{M4IG*Q(Tlc>to70L33BY$N@x-}~_ zd3MnTff@EbO^S{iqq==ne&s>_HEn`YW1`Hn6ESHg*XQ-w<=yO@fyS!l%A~u0rCD%@F7X%nxH4={nZ3nKO%!^KbA|k zYbZngMfq`r0p`khID=jZnw;MPL|*ey!hbDB9VM4~9>!^UoH;2K+`Kg@_sbj>_OnjU zW9(aD1Qpf_BRk$1Y)gf;xK9Xr`Ci!y(EQDY=w*V<`u;>{o<@`fr`Wg&us!KK!jtJP z;c*;jY}XiV*~YgXsC#Y=g!-0Xo{Gwcn#iiClx%B8mXPct6_?Avz1R0E&(MvvX78Mp zYU>#cV*44OZv^>15c}bXl%lHW#8f?_XcTlls|ItR}PCAB*kGd6E$HX@~#y%bu;;wT^=9kxLHrsf3=L; z5yM=aG*G|VdADiT`qeILO{u+-dc-8sYs749R9$b=_-RYjdfOHKUetuR<1BM;MAH@SvQiId+hdezQ2aX7md|RSix+64lkiD$!+p z^rr7T`5bIL6@klwn9N*U-T&9BQnT2DgiNvbs~tE>1uL{}tGeKR&zhlq1C#fjsOu^JU_lg*w(nLv>O}?m z;=Bs&Ejlg~9t)-`OOJy&0z;9`?85gRF9zg*s6SHcSBmFH9p(`jUGAA~bV9sO)VJ)p zE?NvBSXZgKsidLLc;^)P$*#Y%vRX&P2Yx&v+kHv_o>Y=lDF6bl7qtrj9-2JaRkZ_| zFvwEp&&=>CtohXpSD*MhyZ-yi*X<8I4vE~_wqwk?`CZiN)-jLT3dQ}wz)odKQzN#$+ z-J#I*2)wo~l*^Bb@vSvbsDX2dzVGW}dKW`R^067kFQN@>n5Te|k{=nN3h&x4i zdA=nDql9#AY&`pHY&c?E+nhx}H@DWqzW2+ovcZ%Pe;b+0VH8%F|M{TjN-znMvn|s# zv^Zgd9r_4lYUXmX);@J3DSzt$TLlPN^!)+l6o-fSssiOo&2;a}R3sl!?Tgc@ytu>e zV5u!nme=YLv^=>ArH(pIP|LNw`@$& z#d{??nYukQYsWtShyia1C8zRs;ut?QdAWkJiWj0b8?F1{H89`z&C#2a zu|9j!mPInDXMSAP^I8Nd$XSbQA_BZ{M0+7z+&1$8zjOM$|3w^@2+~lFY zZ+lY56H{X6I;KR=9DVjP0bHsCo%pI8C;#FTsp|62Z%*l?FfKp%mQ;|GFTv|Bo-q=L zG)>D@bunfpQxpUddhhW(zAlk+<;%Ww@E?UM`r#qLG9ihh3nz&%%+Gqf}oa(tw* zrZUPaMi!TXzAAK}AYXF#`TukFFFVeBOO_w_D)|N-yp!XW0BIsL(8ENb(2%l)f}k2y zb-%y-w=T9fBaSl3GdP)l|AKV7?~B>8Wy@?gpEn?%!Tsj>hMxTSW98i~G27XLJ6Yxk zw<*KWvZIGmYJHW0Q*rW0Dj2kHa`QdZ3jMkt%DNhl8t|cx8&+|zy?e;A$+9MEOKD<5 z-}R9>R^j5PeYxjoamo}vuJTCu;b1F5REJd1x5q$Reai(+fECHgf?T2z zy*eu^JEN?r9`^isPk}sa73#AKw*>{CF*Qh90;I)<{>(9gS?Ub2`A@=jpH~tQfMl*p zLFrEhaLH&ZmAu+-Jo}5eFqxSjtD#T28fOIpWtFJOjJP`eqL2YK? zO0}@vl_m>9o-O^o?b)a{Y&(!^50=CLAwlPv;1@JCI3LJ#UeY47!WgFJ%XL&8&cyU9 z&Fj!L9^1olkxWCD(Z#@u-_5hTY61IB7I-S@86Wd0k^kw4gV2o%h|Api!pyA;vee~G z1;tUgc?|E-vwXJ*Ctvy(n!xGR)IJF%V`-nL8cd_9TR<)(x861CW;DxkTiWTk_q z5T;t#j~=nq%$N^;uPU zo}!JRo+EGHT-SB=Gmz5f{qz7D#yP3^qCvz@dOM)6`e_e&P~sF^)+8Sz*m_HehQtyK zEJB**2Cxq{>QXLhr)ngk0MvP_?Fj5TJX(%}(O|JP9oj!9&$uFf5y}|folu@+z<;m^ zGicqo_4eDCQ7k@Y=1ODtG7(a#XzFTirGzQ~R0g>eBp-J87uh6I$ie@Nv0FPs0mV+PEvN_xxDI?lDX6uL}W6 z22KA*)~?Z@P6HzuHy1d?OV`!`su>Z{$?u&ZhYqvVLuN_(m3%yY5~L6}@;_(WE*7 z^7Z2k>Q~go971WBdi-zYY-s&EOe89=VIsLG6Y29k)3F|y(Zq}Ji6cPyCqk$;AQp5< zKhTcyb)`o>dGe_Yz5OkiKA&heTqi0EfK8liA);NcxCadLDd#|ztHh2t#JuS>OAW{| zB|CB4Ls!kJ4Gd*iDv`&b=Igx&H*`z{BcKtFKCH7Ai{fjHU4ClSiMf5T_cZ4Ktqb!(}^Y zyVbrbTvQx^Npm1X0CE5&>7;~s*iWiJK(BC(-BSJTz@HY_eDbVq-iPJ*ZDK&_<9L)- zjpI?zwmKe-Sbc}%e^F%6lrOE8)nP;1_M5a(vWK@_1QgH{xzhDTd(y&jh#1&gCX zpeouHu}5Gq$yg%Gg>Ev3)2g)V(!?CMN;`L^oGfkWsy@0?Z`V8k;A#4Zs|&XR$_b_~ zP2qj&G^fV9=6r29cZ$n~1L=0dDJ)Z>;S!BXD~ojops-2p!CMP1Ezh>#`snfI;F~`Y zn~rx`WICH9Of3?6b8DjXuZj3GpQDgg()qm3<%t*njEOMjYkPs~pM2BL;z{{QkX}ex zwf-}XrwbvEMd=1bIcgFwdI`hABT=eay6-a>I;ro8ad#B7rB-RPwTR+&@?-oIdZn}P zMBPdRoANpy6E?|z60w-4B_J;m^5N5F`CZ4LE{XuH#nR9cQcq1RYB%i}nfRaB`#ciK z$MrZlX5h2=>}f)iyV+PocEgCER4i8%!bMO>gB98XxK70WGIb*ImqYF<29o)nf-kB> zY`OF*`W_-lRh)1~!E4rxiq}FH3f69J4#Ev}U_vVeK~unW{OHuVDeifza}#+u^aWAS zr@gZiIZpr0`V$)#?FoqBrRJiP$5FpW4IpU#Nwr!(>nug`0NMqV$kW!qkOP$!Izy>Q z7%|9l3HVF0(hec|{gl?zdaFxZxp6!Qsxck25;hv}IW|j_L;KDD2dl_7t4ehPe_C-3z)D*%F*n*fMnoCZLO7)cv63NzaLBA|V=D(O}Gy&eM1 z?yTQaJEs#*49jXsx7|D(yNkK=%}-;+ZuxH>ix{uQ5dQG8A;Xj1_q zLzi=)&nF96<3a&hOF=(-q$y*$H)L4hDXhd~{uKgeLVRSg+Ft4^*=-kFRyU!I-^evK z$3&XK*oS)wI76Rcr)j~@9{tq<@aG>jsiU1rzX)(D{ZgL*67AR|CDCmy_NGHz?d}j*-v&V>- z=fWuXF~-xK2{cv?g+2hIouHjY+dc~HImT|Fs%nf*yM(=1{{l-nCIlH%*n9`RL9XC85F8Y#TH@=$n}iqnM< zyOH#&|5a%YrG}8iL_Zp77Va^ou4(F8pt5Q@+OQVBT9W6XduPjRc^ahUCC(0C^)Ex5 zDNijHn>~Yws_ZQyD%a=MJx~VIKY#R0r#W$H_?DS(sU-(mtNl@-wNIOMdQZ=!+?&>{ z@(P?HM8cgbLH{mDNXAclW90!06+79B1`|`U;cS(OuHIO5CK&0y_0?(VDr}}x$`dI9 z2pb2B=CBhADgIE0B%rT53j+I)&F0I7eQl+6gH0t*mESQHwUV&UkK06NLrz|0v=90} z8UOwQR0p1{46|j`(L21Tpq2zfg`U!M;N`TH$1(N;fZk64{^$1>G!{intFUBGT`51wI5pi!WL&Ih!inQV#gk1 zWRFX%4wS6NRdPr1Qdc*sS_Q)5-Qz`k5owK%6+2#{ z<@qPhi}|z>R7^)@LnB~V112;MtRsIf@x01rb72j7u(@>wv3jm9=|wxP=yMwX`$FnJ zs~7A?k6W1~c+HQy{Mw$^dTnVfrHOsI1i3aO)qrKX2=6-bZ%3hnd{pk3n2qyW9#CwtztWZ-Tmk@fef&`yK=w%&R z5*e|8G?*s|@XpAxTrSHMjo)tD`G7RivCqugf>OSH+ZL`||H>L6rzenrn=V-faa&?l zB&LMMM+Eld080}qCqG}0M)$+&5QJ_5J*;-f$LZ3bCGd|Qb_X5w)EUqr!D&H91v7XK zfRK_#Ov*Lv`{?h%sNazn6+9z#`DGo9;L3&b(A7AD+T1fmkT}I9f}&ougo|IqgXcNo z{#hHfA{Yu}md5ANF1FWQNBa}I_yFD_DMK6y4IN1rx(h@TFk8JDxrwfa;v-KstnZ7ZaUwiWU;MJC~{ zP)eI z+RG*)Z))%DG?*U=rj)bI$JM6J(9P3cTAf1TXn}uM6gnHM*v8KS?<* zngz!)E7+IL9Tx2Fbk4bbEi(72L?W93eiR9*AbaPK{fOI$upfBLL)};Go82O9z=OH z6(Y*LnrgwK?M80#Y>&7q^3(` zjd{(_`mTDOpv03mk~%;Gr>8i(Ke@7@j2WofKZ>Z&L z-$qdLQfJfzHM(d}qmec(AlnLrF_|y8Alq701zPyZww~L}9Ch>WU5Uw>NI>earuMiP z;-64O>nxlmdsosEo`?|RJ3G-zF^bK8jTpM~wI|hVvwITkZBM2>87+_;KZyyoHzWW# zzSDEcYWnLyJ{+<+(C>LGudwY;>QP4p3VH8To-_&5Moyi#gi=C5xiT!zr!-qps2=ab zW1X|&<{ZsF-j<}07QVfUkys?$A!e`|_r)g2SOOK?QStZy=2-bwn&<$aRod(BHDT;p z9=E36Q0PAvU1Tx#$%lN`rtzb*wUc=A7Kf&@`NLh2ahY%kucpintMR<5rj+dz%;$Z* z`|nc+qMIan)StMl6IaZ@kSWDb0G5=;(eDxPxZLlBoK_buAUkEf6)f_-3Yz9O+<-O7 zA}7uatPX${-Hq~~{rt(rYji}Rn5faR&RJ*T>Je=66vlN8RWO z0cb$iSVkJnaD|p5h;AuTk{sciNMVlgfmr$V6z3nLqAXy%5n8^6e>fE7OtB6RbQ*jL z=dH0or!m^!kDlV$Hc-QQy^CljC8>qdl%y7lxF_*p#|707Hp(QbaOK#N1e?zwz}b;4l8U- z{|N@_5p#zd|NY?ZkUz{ky#UH}C=gIlNQjzofF`7RMzb1vE3Lp^V70}w{g|e)!Rw=o zr}>H#sc4oHQvK{pj6mP!wH~R5sCbEsYGqy=Y#?^;!Q$k(sFFH+rE1r{E!6mv&mOOx zhEVAE@%H@E(pHI{&32Iq^O@}x!QnHI8T%?7{nzKz(I59=3FA9olHL8$LTD?gF)>?? zMxx8+57AjRf5;Ep-t2D8*hpxA9%ev;Ot}Pfdr?^Qb8>M`REcUyn=We_UV)TaYYD_h zghwR)VXO2^OIh>B-|PY_e)4Fn_~y}A@MSSQ+B#db?oOkP68lrdZIAs^6OP|cf!esC z#}n|>s6!WxIz;}wAJc9^>Z=5M`iTC_8eNH42)iM8k=xZ&0sGtjRd&muAaKN)*bh5} zW+QhjX6fnw*uB9luR6yc;|wC)6Jt)$75X^cDb(vd%T4Ko7BjHiD)$%Xub#SDd-h>@BO+OFqtH;hmBf^Sc}nHU_?|bxxBjFY&3o47c91G(#`kByn0sNXJ{Y{NbagNq4IY|-Fs+ANdt}lZoFL-$ z7{=jPP<9*&K`!a45ON%o^=dSIOmBr)`*(@J<;qSGv?n4Y^44F}@cr0|;ZzjnHKboR z8mNtPa&eMaW@J@F@-_ znB3^&5;8gJhSfbo)ma##k7ABCj-ag!p9@k!!M8w=x;*cFk9er+bX@o^XC?Z0`K!fqe+=flO)H3{;XZ_ zL!@F%)F_t&%zNPaXOCL7-(|96o7fbtrGhN&WIw4kL8Z`MojON2lhQux_VMrM2$KZ# zc@LkO{nT%$3-51NB=z%9-IPwZM1YHy2#7infB_vd{NmPr>cVC+4#`p>2&p@pc5*_&c3Kh6;le5EfGI6QnWw`L+%J~{ zWBVo!K|B1|&hLi58bXRn{YK+@RfRu(OQOKwDAnJ~^!|VfI5C`B(?Ao=81_dO!&2}$ zE6m}P;QroL^Ranv->&ACXiQ#dt!6dA9}-Z2#WE}G;8YvC;04Vv{|Mnqcvo8`wDAqi zb*^%bxB4}jKP0qfd7L-3xtGTen_N2mV|kq5zYimdz=7oHoQ}kPB%t7uLs_)p0NZ-W z6G4l7p(p1B8W@?^@z$60h``L~>iWY0jtl~KV^tDi_{E;bLimcQJGYM`=eqx1^=eNt zV40Lj!7{|V4gdhHlay4)jHg$<1H|HYmi;tL`Q(ufbkZeL7riZe+)4t(CuQ>OTqt#1 zdJB3BkZH2*K9=${Ig{3zT;#YP@%GcE5^T+GBtDijJrRqc~to(p09YGMj=wE{HrX#LxpQj)kl7JHJY~BlJg?}Y~z2uD93q2!# zJ;eoa@2cQ?Q9afQJ_o1AV9mL*E&}D8Tw6EHE|Ba z4+A*hl)t{v6;TbR6XX>3HYTC-^H)i^OAn}6<&K-_y{*<9PxY^9(4;cO${g=#GKiVQ zBXEIEqZ7?a{i?9$(j(8fhaYM69$+OFI%p*ZId~=Fh2q*zIQ!X(A1$!*tb@fDrLG<- zQ_6swugT}4CcoK?zPs1dhh1C!4Ac6)nEJZ<_~^)ssUp9&DMH8y7JxMer=yS z4#D-Zbjnn|@@LIim(m@)w~g<4jnPRoBHTkiZ{eFI;yCoGOYG4 zAM!_Z|D+H#(UM(*Aq2fUqRhI~RU`ky$SLs}@O~m)aqPJ8>8`6^+l95F~A0Z8vqB3?gP3W8_YepH*Iu+s{8JC`7 z@%V#jt$e75$FSAIzg%3Mb`Wa*so3R|m8Ed%XJ1*x*&jVpyHt;<-%{|;?O0flb(6*# z7x_SCS)(Uo<)1KWAu;@TI=-o)l^1@FZ~Ex*rjtI+B9a`dDSPMw!h8#!ltUpukwAhaDuFFVux?Y%mn_az352~wj~A%_jh4Ee>I#8%f?6Euug6uAp&t1@vLP0 zgpIe-BTtKJoVrTxT3ye}U=ydwkUtAM)lpt|dSS=zQRQ=cx&NxHSr<+y4t^uY?;R)= z$5gJMHq`rp^XZ~tu+LoGbQ3d=-g8%6QdEbZ%*btyfSXutV_~mbiKULVDHldZ;_1f< zR&c&Pu8$CA7Ra>D`0R07@i|pVTj&V-t0$olrNO-~%wI|{ppl)PSr<5+F4K?PSsNGq zgQK|Bbhq&RD80Rlj}xKT!j$wbcwJD zetuLn0901x&i)iY&{NC=6H|<`J~1uT&>1Jr1n3ihr>NPm44yCs} z?kA??nfJnzH*k;#H01zk_n<`zznKN#mnuMmL4&Qjq62c%SuZ*RG z62mwhaFFh9@CPANlH~D5VCi z!q!iW*mVD&^ye}kGGeu)*sWr6mW8AEcr5RqI~Q814=JW3cUM=BH}lq3lOGLnWX=~_ zzx+0`^g9{7yZW8f@sL1vEs4IN$c~?O6{2}NV)wK3wNuKezexN3F2JywK1d) zn7+x=-6~)~73tsIl(v!z2JnZ7IiE+p2uvEv_$97L_9E1lutOA0(ix8Yg^S8xxQQJ& z$PU9Z4xDT&P2t5c7LtB*#LR7unECm?;UYS&KyB>~wf z34pBsM)iGbpXFo0cKkQrg{Y&lZzAf9S`B&N4!#Rfi#v^olz60&tVIHD&NZ9eo>^$S zN9)N-M`Cvku^}*iO=#(A0)}SHz&B}$TN@BV%>CYH(zj1gMY!9b>Xd`v&^@ZxCi?AU zT#q??%o4l&2M4knDrA$*8BV*#d7}qd<^$?<5l{yS5G7Ih0R~Jl3Q>bv(&sOy18xRW z@UX5+pf$p$kT{a;19<(l354{cb0$b@5CxzL0NC(U1uGvJ>=q)7_+X6^$&7+4OhC>?@}7X zX(LIZ@cB0xvpzE21L@8v!*)yRM>sSxF*^vA$u>QpG7t43y#++X@8=V>06#a?me1WBhGNBETx=@xO<8Hva5;iQ?}$R22BS9yq5FX-I{SHTiS z|G;g}WWLC}O^#F8MATD-al$6w2;*+m``j;o3jj@$>SatMA_zuSMbR{d??y~}*o}}#PcqaU%XME@K><=YoXyEuxgAERNpYNzEb1*b zh!VQD4vo5(2`u3+#MsI}=r+JGQBjN}k)wMg$hx(6*wt{&-S zCl-^HhZHccsDp=W1O(Bx*eLZ}Rk#7FezvkoVK+H}y$`+o{8vzQxanhT&$Y zhT%rmQX&=ja`M^mcaPDWbqaUp4zY!_LM63`0@g((pFC}=gdqBVJ*|(6(N=~3(sFj& zPEk*G^vaHfF(gqAt>j0_#T-4YtC2zSu!Mut^!=0FeQ)tJw1}T})4Z`rR}_sARTQn? zHSMMJ-IgO!ZP1wxn`|u2Hl;z|NHZ!Wq#1{wXgL%d$&zq078M$(iI^e}mAqU7R&_CH zn~-!qm~CQV#w@MzK6=2^h@MxS&`P*Km~61=xx=OuM8MamNRPf;YJjE1nF8CoksL?! z=@#jUh*)4h(`hyDzg|Q3Vc$rs`T`gH414P!%Gxdj&)5PqJ(_WHYa|lande+Jw7nEO%<*?MdHvP71(-Biw zn-0QOK28_sX+xxoKb1kNv;RjAc+26U7tTul{eYAv6&}4POcm5&oK&USCW6X9%d7I} z)`*_>%cT@EH#!3#@Dr%JTpDb z^q4$5ep1M_$~Ejqy9n!0U;<&cJmS#3)$m8Xq1Kt*2MT+AsT^sK3dAwLcB@y&Dl41U z)1p{qWn^py?`4{F@gi#yzbIVVyYQb^wExp zA3bA)Cx>b3Grmi=Con>~-M|RxwtK!Ot1IpHSEn1?dTI|1(EP*0>=}UchXEk{VKpLY z?XOUVD#w~z#z}tF4&~NrS{nZTTI3SbuliJ~*CNOxr(ka8Wn#%^q5|LuRcRc+%xTdm zQjMwmXo1f$Rdp4M@WgG8A&|N=ol#i1?Tq4;tA;}FEB6~p=#d%&irIfZg^t_pHq(g) zDculdCE`r+yel%NIFym7HT~=%+I*Tk=I`x8`PA@Ih+w8?oocBhyVVXsML}W%qX^KM zpi@^$Xl1S}S^fqCRz$Lzf-DRuQ%HT>Ob0^bOfdqgx~r{`i`f$kU<7Ur zNHmMzl-J*LT)^cYL?q{95pANfGsS}=DudZwZ2-;^Wgk9tH1EVBihLb#y-@NlO*kgs z#8uWGEcc4$KN{FJtC6bg{sBKHvvA-l% z-d^aNX!YL1GXHKarR&E0k`}1>C0*yIAQ)sP8xcW>QA!rah_@|^_^<=X{r$`|TRaq&H? zJ&|#{|HyeT^ON#as9qC%`*)x2KmWIX```cd!V{j(Y2R_4)XVA+s1{ag*WS1o-N%Qp z^B6wA{JQhXJ)99|t-S>5*_G%|x}J{};%Lk$TA>7v4bXN3suQJag1}0IUeec$ME6I_ z9+xi=V4+9SM8|(9p3|-P4{l`((kZ9E+gHo*S-~2_<*!|%x9-;UTW9b3F)cgO6^djf z7f4+(A|kHij2VEDDXTw~LxkY4%X3(<5A#IN6g;2N0sY=P$WE5bM%#O2HaA~X`M0%o zU?`RSu8P4!`Azow*&Pkp;iuZPe}q$&F%Yl4RWj(bp4MId&|VDvoLXcdDrV3t-aPLu zr5)^0SA~fpvB`1Xqf$p`|5c;AM-T9$9?!3^{0T;B4J^#Y3@lWTT2tua94511i}Cm> z_0%RzFBaJ%y8{~hijIOv*t*u@pAlE)q zkZUWog544s--o#g{>>4ps8=x8>2mw?VMeT`BdI5HUBV8U#UD9y}|56}lck9ZC-dO-c3*h`$_IeK%Q zbpuwZQF7ZWgX{o`9!fdY{x*^l@O3@tPatZdxUU8j5z5Y$U#l91Wiz}w={l* z)kCPlAl|V8P`XwZv#TLVqb~NIC+=Ome|$kKgbolWg0{#dnuk>AFU^DExHn;vPal9K zqHh!6(ozi+MpT7IjHaVxni6tVIQ04hsePW0MCf&N7~IyZu2_Zv0FayfuzAm98T|2x&``W8O7tN>Oa1)*4;S!%zpgRrp{KHMN*N#R9scR+Tij zhV8Q0U|AV=lJ1>2oqkS@VazRvCDHR*%?Dh_sZy%sgMrDN4Xq7#mFu3QR@8eoUyimO z<%y`Yla8Fpt*XveLdec<)!urT5RF#34Xpy z=ssFn{45^Ywm!giQlyV`pA?1qOavjZunRYy6y6g~NcTjxp2zFCE*g|$3^2GWw9u)n zpIWIle+BIMQ5UgChr;FEDF|HNow+kv7Sp7qqb+jt7I{IX%od@?UIxAB=(-uG4<9yu z`}|kV<>I3zdMQ2{4owRdJ&VZSXy&DbDnyi0s;6pOHYNQ*V_d|~6I^_>0H*8oAGXiT zaY-`?RYIDHIc^Gx1{GFsh>ZL(vQWDZMtlNt)jRHm=0587M(4nN)54y+}G;-xRq>&5HVVRo> zh~#R2OymZ$d1hNh@Nq2OHiIkPS+O`0p7&UsdW-P#pS@>~g`n={*XofHcC>(3=-njN zbWtro#}<1jb#hJ!SGFd@82lQTCul>dl5EWrJ>1*b%e&zal7R&X~t+C<YuP>1lJUY@jMR%fkLARYkJ4-i9kSM5XDY0r0BBYEX z#^8n>wI@ahoQ#x$948zSN9wOptGm2Gira^Z&SRr0~_NLJe1 zs(NRVh1b*#H%3aWy62DQ?j9iw67}E9L(1Z}^-Ztf@yhZE{QsZl?FP+T) zT&gvwRhc#GZdzGsTC+(8YNh#Hj4W5f;4%tN0?NYRkH=F}#>yX)WMSKyGoHu%J}tu} zF4Ut(bnP<}P8MAY5@(_7R6zAAVTjRy3-dn{`Ku&pugBNtonm-csclN~8rk-oYfG?y zJpwJZmoARMdjS!n=*D4W{Y%ju($7lp_Icsk)s5<6T3!1}-Z)xri>ygYHnGC1i#NeP zf*t(aV*#3$K|UeEtxC)F_c|#3f`!-)cl3n_*XEBy8%UT94+d<%XfGv&;|eU5@AIvu`hx4)QrT17%`eudPv_>PArFCKd_ zq?Q*SuVZ$AoWj;{#;Z@E70N){+ zy=T@KYWoj(PayBHu5Ni;%~42E-^$(FYsIm!ZNm8ZtjNjJLF+O(g+;*QCvD( zt+aKOlUFZ^YY3-f0{VA1f(Zw4Vqf?9y(FP^j=gvG+CSWbw<*Py+#rM1K860gnPdKg z4dHX@z#v>bDA!EFkAmZ>>3uV|G2_{^h{O>x)~29gV(0s&(H7YNw; z)1%9w@XH-e$_?14$hg8ofGC7_U&}wy5Lv504ytuy^jmSeqlPhp^Cb=ewyjeO)hLJ;j{nauyg*zwUmZ*tSoK-MAtU+B`AEqPK30cPGKX{i zGk0>4(UTQGsQI`lRm4!g=*so=)|7u?B`)p6Y)$zWabD5{%fC1TUuM{kE+31l+)N3& znrY(ezvduUj{+4*?c6Gjxm1AJuquw*RDjPMRaM{w+&MlUrq))T4@k*;>(N+sz1WU_ z68FJR{e!-58k#1+JT!ZLC)Ij~<&@%E)q1Uci5C(lnQA?TsTw&4%I3LfBq8wGzltx-ji52Bo#QR8;<0WGwNI>CWwNB;) z)KT0M!j;K{)4@) z_4kr9feuv!t<*~2frc*5-sg7|2gP$MN^RD^-8*`k>8YXeLj&Gd$!rMt=hUvgQc7xG+Mcj9NYVZm)iw*}q(D!f3TC5&6}qRl**P(RBXU z`Y33I9t64a>%3LkKl$5uCs(=zC-4%}30(MH8aJMQdGs($mruvv{1OKQWb|k7(fYkp zwCvb#`K5D3KP=^6KXC@c|Dv#N{|tp?0C-u4F)RwpHX#8+Y(gUjEn;u@AO*!&M#wC3 z<;2?@f9)1`zVMEJ_?+Bait=+L;2potnnJ82#k$rTB`R8Pb>TV*Sf{Ytn(#UxyFIW= zhROzEMOq>)7G9893tng#mSVlH=zHxF?H4ood}AiTgC`EQu$z6ekXowy z8Ee-pTy4K|j-6dw#^dK3mB+6&fIs;9JsmDXMNH!^Q?ZLbP(rrha@wEkMa>^5`kQE9 z6Nke@=fu7Zkbde2qoi=t#&wnOrj2W0_1x*C1{aOR_XZc~r|NNgqJ^`@s2OcH1I581 zgHY=uL?HYl{Ut~XSIdOgOK2zhybb$$qqkO849e=h`U2s@pTOHf#>#7I2Mea9VY31X z;fiM~rWAdkC`-lZVo;9nOarygmjv)% z49YNY?@5j-R0RK1`R<1m{8u!YB!Q0@+3@;skMns~(uDvr5ki)qOqBSJJrfn>xj}nm zgc&CENSjD42{#`DXV5O*8cFoA$gEgXWO8%h-}H$eCr{v5x0ziI@cMd(*^f#Z3hA9|JFCH6#AHs0m?mwyL!T!K+jvU-*yw!~guQ8Z6ogg!|J^vTnM_>on<+8yt^&d0 zA8zIP;oVhGkIi~bbhNThgt4_W$J{>O4UJZpv~0k2nGBkMX`pRD(3}Pu^R3ZU@K<4w z`cdgB3?W%xWTc6Ls70pQ*lE^)^2NB3K9I-)^L62ZJrfA^;=meKX?swdVa;Zhel@A7mObLP>@S zxiLX?3O?2ZY>L~LgjQ61xdM6{H39$eYrGK760kqV+^m@f$R9tq7Cf4{ zIW}Y2GqtmQ0|%V8_wAO_$S}s!Ut-aQ8k$LoYG{%Y)w{-#G{;FRiJE*JjN>ySwy|K8 zX4vKkDL!gc*p)^U+lIkn=-sZ4905E?&u(yFL)eJNCL@Cj4v(*&s7@K{8}}8!OF%e6 zj3-Az*kyAkq-x34!V;CG@RjS!tXg!0hremTKb8d!MLI%QOL!i| z8OQjMfil1-8_X;@t8v9S;niDlpk6lAc`#`Dk;{^t`Ln@xIWDZ)DP8f!>6A!I*?#lm zaaSuEXKy<0?}ghd;US&MTf&1B=we?WDvVgMxym%Bv-3#>1y-H&H<*9nzeHYpNvdnY z9rTtqy`EX}l!1v6L+Pi!@Laj>njE{53Vhj|ZxAmnVurW-!SXog0QA&zh{2nP@Awx7 zY87k(&HOJ?IJ2lcQM8C^3f_s5#Fo783Cd}!uR733Vm>Eb55M_#P^f>{-81xgDa?{Y zPE>e7GabXJuxgadSCH z5ZN-Y#xn|PFds};+%n8pr%UvQ#@cm&cs%+e>(!Y*1iU4XD07;QR3Fa^&-l-{%VT_$f0mH=hXO4fFet?sp^z$ z-$Bn)?PlfBpw53P;LU6s&a_JD_&-V`Yt1t{1jN&G{L}cwd=SGU^}B{=)4Y<)Sh5g& z+M6uonHoqXyUA22z!nP)IVG0SSBV#s57Q3Z)Cf>t5jvSyvUe8yC@N}qq@qyTMMZZr zxs=uDpWeN@_PQhVcvtM3oV61nQK27T6#SDhjgVx!BL{;mB5ksI6)L69Q1 zT?prTKUr)fA2f#2LOjOk#iJzmq{B5v4M`xT#X@^&Ea=kz?*ZYcH&vQ1%L~Vd3sP!j zTWN1H6@NJ-+w1tuGTTIQ`Q0!0XMzR`+PrMHPpCuR=oHS=pwHo{ zGU~*&AZT^(63zWs-MfH+mRU^rCo`D+@##FudXU@ju6WxXwjLFqC~(@vCum_~L1oQg z`iTay3E&Vppadq)L@O4FP61v1lqCX4`HovAG?XG5Dy6JY?M*j=m9aOz+9EZ@ZrdiP zrQf)u4tEcl=8!t9jH;p=RLIJdCHZs|br^$qj44#uI&*9i9*S?5hDVjSJySeu0}1dE zP4!i#_Pj<|b!1T?Tv*h^_w&V936lqzO%V41pIK7K9XJCOtPT7#>N?}iB0 z0KO5y;5#Q;jg~N>&a_7RkyNXZlz6Pr-$r!Ri2%y1bjEyfHR}ERQ9dNQ0Ga-*n$KS} zwJdBVzvx~__46JSo>0Pn!5BaIg;TqE93kcJpHSiMyPQFXsDG|C@K59jqs!{Xx7Z@O zMXt<;Rs=Ie?Db8gC9^Nydj@KWEM@is0&Vtpz;D71uR!srD7w{k@|;!?&9=)TzMWyi zx1l#4lgJ}rNIWGgTrE^SzU!gn$a|75AKsPey47kof|TyPJqZMt+yV5U9FfWhHb>N? z;QD+UfaoLSM~4fOTjST@=E0e!d~>lK69xO0lMuWpsJ0n}_NOzZ*w{(>&e(#7V)!~^ zij6a4ij6y>3TBP>5^*Slyq$X~pV-;hKC#0>wSn-tA>j+f0gY3=t{xFksm6@bIfqP2 zUel3u3dQA}-{a+T;zP=TUNC#Iy>i0L7MLmt=+|wKk$x1*q%$7oiID`TNyo`Cvl!jR z{veF58c1Uqa+qKhbX)h9-HhtB^0QATPCkW1=4Nr`^GF!WZtr3~317kzuN}yn2^s`9>&@P_GG8}U*7q?EADebbI|>;V z0HHbmHL_z@G&E_OCo7{NCNfYzHGKYNk5=i523XCz`c7ixQVpdab-4rXNh3~9ZJ(y;Qaj@YL<(#pfcbb)%3o?x{)4=* z{AB}MbIE&P3k9R?6moenwdy=7{n(x!3m(^JyPF@|C;}BN7I3a;qzzk248+!p9d7siCNg&8o zD4js~3>TI(fjBglE&WvB5FObEe@K61erit}jY16rM`2-KRhgoU*ypbrI88poueuPs z^ipq`BKFxAwog6{TI!|Y;6nzVWl8@b--s`$y4{dlm@VIDt;4u2tZtVjZppqzOwKQ=T#iSDKEaQ6JfZ%W zO9%6CG4u&P-_%a*MSnhjEN;{7w990X03R{&r!%3DhT!NjlFSvm6Vgob$V`@#M;U@+ z5qCw)!;4Gc9pvr@%Y*qHeMQ+pL@;AJw@Tc>PaV%-oJ80U)gzQX(b02cQR~|dNuS}q zQVk*gL+O$*+maAPhp@iug!_XL=8zovS;)_qfh!Nj7EO(mF#q(M5QWnUy1A`c%zz7p zSv#k$j~HPzNIR*!9@-yCi?j$J{E=bAcvlLD zD{bW7MwndjG!ju25?;?Mv^JQ3gM>o!0|`m*nrk-c_!IETD=QCTf8`BEFWf-|AAs?& zY(10I8iV71tMr6=)nf4%6jY$Ny_g!*BPt_-D=z!aMUrxiBdZK0%r{J}p6cq&e{7jq zWy8yzXpuE655exRJct+HvgM4V|AiIlf4R|#=6KE%`U#>kWslmAzJ8R7$7zf@|6-SiFQ&}^kM+vRrp;|ET3YkNnQpy|&F3N{)T zWvg)vci)l`$Mm-^9l@*OsMVbF@X-~qbUvZ6RxnM_s7(~EUX4*=69rUGZb<+HBB3Mi zz8ffMy`ob94i)v;B-w*t7x*D_;w5KThm#fH*wo2i|4?LZ7LdOo)wf=xK;y-{sR2;2 z?QIX~#WNCf3{8cnlS7`yN+@vSqpAB^U+plDbdKo&I^3^Yp`m!DUmrdU2Ur0UL3%XgL zD1%1i-p)%e8awYH((yR<;P^{`wD2&1pHI54Y-gLp+$s_J?y(ie{cVEb=S~ht&z<5I z(+13etTk^TLBGVLk#IB-FZ`uSge{(Um+0_a0sp3I^ww{61AN4WAK*zMcd1~lvTZD= zaZ!SVh1+qdDE{Md$+^Bq=^f9wZ_LmCq#)vhHL~1?ngNMmzfs@^7$^0;KYQ8^N{c3USP;Z>*zL4ZJ#IE>#C@Fvh%jS+by4p zfV|quoNasDP;v}8XsyOgtg-dFdD(=mJQl$NKB{pg%DDHn3nS>wSoo}%l#w{f$`gC1Zkx0~NsM9Q{e8ziP>!z+ z`=)c(hE>gyf~H6qg2Rr<-h^B1Shp1E#dIR#%9+;$>fX$Gu+PV?&0D8DZEa>X%Y1`R z&zs=W2VDp3#bYRLe_8^_hyOAZBr5-@G`+a$1`xYgggiZLo>*+*vj}{Rd9uBMZE9Mi zMCZa+;_}<#Kj18F7mcW3XBBCQ{ci$3mxKjNF#-nqfs;I)jmlH;kFB19mZw4grrSK(ya<0?AUEt7M!>anX`{fI(ASO*~WSlovc z2^lUEnW6;}-x>RJA;@#A90h$^lz{VZ$5E@2w8+nllMviDB4ploM*rBr-G zYN8Z;&|`8Og4M%=*$5p&OyP#Ur{+er>8i$PBdEgea$wXF)G+vGG|Xo3(n*>1b&SX9 zb?lz$EBV!Uz(?GNkJwH!s7Hg0WIW!<$O~#4=ew09a_b?fu7Awjt$qQQh`l^^WKA}v z53e^hF_7oVLClv?D!SMu^(yLkbE7Utlv)d>xC-RDK^L}o<-rN0x+7n?5%}@rhWf`x zFGDkGvfL^k_bIHz86r5ydsXVXpudMT=6ykW#R9!GH}S-jy$Te zSB2O~ZU_9zM22a*L%zy=hg$;yk5&nIY`aOci0RUgDs)`iLL8YYC^{_^_Iynz3Y{{+ z)23uEs3H_A$q72$^#($x!*r^&Np|-fT0c#wOykqtYul@uv$2bUx$1(o!_=&5j}I_4 zGIs}xO8;+voo-l)wbgKP2K(M(9v%(g+74ZYx2r=};B81q6@}t3pc&^v$5e6U!1pJE zPrNh-t9&~6+7#H%M`L)`=FX;=!DmzKgRf=nwaWCv@j3hwRv@cz0Egqjq`f%1IOPd1 zs$|>!azTHYQn3>tQv)fX+nXv%dr!UvtAv~c#+Xu5by&~-knwh>zHGZYdSr97TEyXk zX2w|Wb0QVhK@Uo0E?zp)+=wd zeg@UiJyxddy}fsY(%ue0eyLGvnk_ z{$qhEmDLyFH#{T&9^ONqfe-|Xr^Vmk8H<-nC^c#FQqONV1}o77+wqO8bS+YV<8#Vt zHnJ1CqePP|cZeo_{JmO2hIkWO*$@YA==0MjR%EPrlCDDx`~b@%Oj^KF8$lPspZ5`E zW!15}%T9@Ba9aAMJXo!=hSg5JbiWP|3Z(cVs>mTIcgwbp|1JF@12`M{#i2Aw86g2M zFoKb%QX=mzDD2(JJ|N}h-5UG~OPuZc@pLK<5FIi6*kXzCd?SKz9I%TZhy%=HP6|Lf z^#&@}A_JsK^DB`;`#~C_N9QQ8-=j606l=>8{|H&7WIG);?8{xdC`zyG^CB=`0r`@; zXU?64ig;XEs9oZwER@nB&wO5Ks1PEl!QI_!S=!GRMACIU_wV6$n3?0#9A@Tl9!Hte z)RES!R`xX_gH>~_VR&3+T%7oD(8!Zd@dTIdv7)zhI%dko_^U*oDK2*V&bGcFxudig zJVk!$X79Y`Yxa&vrYAIQ`$uA>wvR~Dvr!l;S*)m6l~Y;m$hP1@2CTYI$RWWXXt6CE_9sA$70F1G5IcN#x;+Mjl$Xn)}(? z#HKwX?bEkaEXEFAT`u2a(eU zs4B3g=@ZsjATUuD;&z;P;+nU%H;Rc|`6e70-ik%5E6?2}e>xnAv;+x(vHRgfiGllw z{*@%&`YWeI)P$kOKkw(IClEBabl^!WB=NCnr=_*~c%GgqLZTo!^EA^W<>MQ(#Yvc- zFvVDFK?%XK<_FTBC6bw1t{bVG)^fRX*+_QjTxJ9pC#>$kp8M=-A(N?Al@?p$ z$l#zh7CQ5uC`F-+y%~%fw#R;KRfK&0n&)uCSg&7$_`|8uzhYK|ZJ+bE{)uaT;v#PG z*G~X--{$E@XWD;p_w-fynb2#^(+>=N=jn+qzti+Y-aXZJTEHiY$YXKT@?*=qKct@v zW3Tqzt<6(r*ID}~Ujuf~gRC0etZ?<|7~=l(mrKrbuHqG#LQ-jV5E#OsQ%! z_w?N6ImDEPdLfpa!cmTIEir4VOZc-k4VuhZwYDP}iy@;HN??pyeZBvx7RbTT8j_KM zd^{xDm#R-6+1`Qz?8~JO)4qJuhh17PjUxei87aJs9`|N!Pr7xk*4%9B5p+2;QPkEO zv#GU?^>*xG7EQY4bE&{>+whZSn6FEZp(cgun~^dri?WO>QXwD(*XWG7`Kkc$$-mO# z2n;<_#i(96_WykR^PZbohi&}4_>CF!>j+Q!8;Tphwi50FbS(9$om~x**vFk$@8f-1 z4+ynp#|?V+$vMCQ*bCg2U3p*C&8$(^G+`8|xRwG_d%2lePn&E&*SG9PTVMK+Y%Dme zD*?7|QgOfyf2Xpp5F|RAv_eptcSx{pzk0WCZ&8MtO?^`Vvl$MJ=2*oEp=3A)!(cde zwY&_61B;ZOH!N5$CKFG-zkN+fBGrb31$l2-pU+NI6VM7;F*5dNg`6+7kknm<7}zlo0lAx+JF{1dWe z{KSv~>nBv={`M0r#DDH5h!JjD1iJm(Z>*Xzo6Egs40GvUd_sjOg(khZJ`TwfeUr@) z(KnSj%f@Z(zjd?K)I%LzxrzdoPn{rjmWeBcCgZzG*U$pFiL-+K?)+PA_}{Tpc)~r;zKGN3EDbcDx0m-@PHSr&-&pi=keyWE3x0GKx2>$y4xQZmobrokP&E zdSJ2%cO}=*RJ^-sn@p65Uz0aqyCp5|@fnLKl3&Vu96*|y2fv7y;}5)vDpd^?;0B<6 z$A2Ho{rNJEz<*0?wk__Zq2@4|sYX+gofo!#_EiaGsx2SP%hIATT35t2BQv> z`(D#+HsV+ds)1v%w?t+w_J6{)yFbjUC6MTi6X`)1j+l-rm^Grvp z&CNh3I~Xw*}=oSJH_Lshf497^5%=T1WGb{9AALl?>;}T z)S(kRV3$pdcv~f=-;Dvg`DcnK-|f{9!i>RNdW|vgSscxv@H{z+!(8@GsOj@P&Bv{6 zm9d74M71ZpQR{ZE8##u$srBW(dxvJO$DA^A zP0qO^4?bK}1Y=B+rX>SkjB$<|CH0swo;qH~(JfRp{@x2!g}+4)3#&oEl4Qt^Vw@7Y z7;e9%E%n`qRzz*}Gzw>`t|(Z9s@>Wd1=$#cf;yq`lX-K&@ocnvu=%?{Lr0u^aq#Ch zKG?}cK`%tD@o76hjZfS8@nl|Mg$1O)+PP6gnU))ohrX@IA^HpBzYSWyn^4_J885-! z5>2~#Qfhk-b&AcIm~P^l-&IZ^+oyfB31FtzIM&^X$jmC%ed|%m*-6yD&J^EBA+lb@ zm%MTYLte5;OIvjXt8FR>L<+y|NtJ(5p!UeQivh=;)UU&&QvkS&k>3FL4@XA50q_%* zSziNiVH6tZj6&|R9S%@sF4U_q%5J=bQQD*4|00@O`W{R>hn1_}p3+8AKhxEW44FfJ zvBWLm09s^(+&|QeGQXTMGV~yZ<3%m}a}I^!uezd2%Q-&XS0*s&(Dm5Efcjs^Hf(JQ zRvOYbtZ>g)+c8ag3k}Kk7Gr0Bpg=kRI^f;g0p{zG1&hpti&dzos}w5AxKePlPPqZj z)o!0uLukEG-%gU=0vE%*fR-Rd8Jma@jTCbxkwcg2l_Yvpw`+U?4#nXEE{94M5#+_k zBq=Ks|9tJud0m4Y-s8&!j~ic3gR75!qfNBv>Hd2Qp}7CW?n;9E`Q5d9V0Z5miVXp3 zgr88%yJssC&?+<>?t1sE0j1eEo`{*XEj{X4D=g50Fb6NG(@z2grE9ed9VhsT&5Zn0 z7bCN0c1`O944SP^f0!?Zqy7IMe~Xh$>$EsYe6Wj?Os)`_DV}_$OaLkkTW!nSG>%Sb zRS);TUNPTE<(d{p>p4lRoN2QVZdvj#UMkgnWR8BVAP1vwDG1~d-;;~e)bwxHMlGX) z=md%ziuO8^$i#on2`t&rNhxa+xzRA}e;E<0>ULXDrHxjVrAJz0LSjqrBPXv@Jj~@ zQ7;e~X~&2^oAnhH)hF^t0rY6`zeuyIdp))0Zvs2FOyv2uM<2;5ik zl1|DHx`*kve7>sI^`UkDL5duKn>m3;i;PQ+~6oJmB{o zC{eojHBh>@AHyoiUX6tCpHq@8_xaW;Q3SliU1$)F=Wy?!#%5r`w-PQsKcY+Ufcq@w z^WcF7P}}`?Ii$;@b?S-8ipI)lmx)wldG`(?mFYnSreGf^LWhF3!9!QWg3gg*DzY9K zC4ENbSm->{Jv^u!3`_`1_~Ecs%bipQcRI}a3d#|{`8YaQB$^EfbF-4fA{~%?I+J}o zc@gNG>9qRW4g?02MY=^t+EAC$GaK})yJFbbHs62|kxyZ~R1k%oz!j@js;zshLRDnB zsZd+@xNaqYe7H1;B0VMy{Vfg9i24P^q`W{Al)mc(M3&xzN=_#XMofwLn>oe?10~htqbfMndAi4k z3s2*`TmVQwx4+DjE)Z71YJ(+elhUcX%o`G#yR)kdmrlL;@dhy6f61DTy2~@i$bKK@ zrg_$x=pi$=6itZsMy*I;$h%rmEnv&~qo~b7ROYhbjG&|wKq{_~L}`+9%~{m?a?57n zrJM4;P?>zV5g@dvMTK5mAgW$HkHy@L7V>&-W%v)?p;A_KH~<0X!^3i_-|5L*5i%N_ zvTS9Gd0(qrr_8GL4^rHm(=Hsukh;?T+HQ6*>GYW0M^fp0kcycE>ulB4sQG zG@XRK{24z|tv#w*t&LlL70vBx^Ly@rFm)J|epwJKF`~pA_mx18Bna+LyzAPL9Hl_G zs76=`g-8vm$ptNqciZ&M;geY%4QVfv!`t#3XW9{G1E}u4+ESH%W$jCYWk{a4z3DjG zoUr?(FlCx=pz$#AsSwsN#B=CrS}8=Bai-%hnIpMaD(6Hlk!7ItUS&%%C3sY3p|aY~ z-#w@rz!|&z=1|`wU$w9OHu7!pH1SD>cVi4rw4^U%a0{#36(eA#>VKt!5;18_UnRAt z(#wnZCzBzq8N&3OJfIa*RjARDnjwX9a;oC%v~L>roTO3p)i`2Jcqut-y+;oe=}-w-9($pRI-$3)JL?k{YSog@)F-mzjNjzv zgTgg1RiAw^Fg;{s(L<}qM0+VY9Pg#K91eWYDkHrnQ*8~9z!09#J4U;Hgv)KV3DRU! z690m1kqA3J7redXc(dYq%GLZYcvHNvfLP3;3J!v!<&}Ky@iRF&a9`JuoKiL3pAVH~ zqcmpwvoE~&s_~Fnv&KW&e07p2h9~q;Szcp&z-stjAz9m~GbfDShd6w44$|bgblfXT&knvgPwvO1H$z{V$J(oBgGS#+QB@qifVu+%jloEiguHlF^LXTm31x+#xi} z@2ODtx{q46+WNGjKGL=Tcl>$S4`Kd4pY}m7e7Z$WS6n7C6_qk6LZ?MGg(7j8xc=2G zjmcFg@iO*jm5Jrka2=TCn?rLmUTs`9N!5+oL~QUjB@mI{*g;`Ow!1Tt{O=cI((Ud7 z>Ae|&&TUT%BbED4_aX%wqOpUBO^2lTNBvIKT6m&rkh5A*Hch)nqQ;u376YR?%tli{ zy_U*bg+fz$4k2?qJ)&Oo5h!w|G~n~0Y3I<_pMgLBkO_Vl@BMsN8=$*u;Q!Xc==M(4 zzz_aJC(v7KSe5K2vQdeO5%2!MP+f{#F7IU? z&&;a=osHTp>~a>wV;~!ua*DRXV)S+`9+s(PJ;#DbFO_h!(@i1f^}^D4PM<8DU-?c! z!)J0ZBc=X&OeK4QsCmwjOX|s_cNPtB++j}c7I&>IZjmD=OMI^ayeAU5&f;ABzDi`? z_jnPj$s zI=$EnND2)7Z!eH|e&w265?H+s6q}|Ck3^2jDTh#%QyHxe0!knf4FS}X2M#(x*YkDa zNQjYHU;NfPX*^P6A;wLiYYeF229PmV!_q?fhF>uzC-oD8PneupkBlgoys~Q}&kMlx zMRvJS$qT;7F*qzVRUF$Eci)0zaATDjx=K~|~1~mNn8~aNw^5$1j#(%xz z1XGBU&A0Ktt&aX4u@Q?3n36cz2Ohl@vOZAej;o~Wt9VXUDVx!_jpVbPznk}f{jRG^ zaM2Ho&c&%O$=$@P2`D8}&m7Z`r3UkbGOV?*y0CzZbQ*!GG*8H8&N22~vNTw&wf}tB z6h#w9trNgzW(cBxf%aprzMq*g7$YX!+K4gxL&zJs?}WTq-xOsCQJbraW?JvRYo_-) zv*bN}g13E#O8K%;1oX=w;?&$hfib5=)D@k>I5^1{012AB9^jS`4b9;?z>QR?%+?E( zThyhnp9!#IYG!Py5LoEVK5C)w*+=1VCr-1@rbh_t-0_LH@Kb~@p&+a+ z^V=%DT9Mb>wavtW%3ZLcJtF#|$zko0$Ckk2yRJ3}@L#9maFx8;Y?5hFKVL43upAg3TzlE3C77abYA`XNnYYQ6wvUzJdOGJt*75IiHzW zu=3Y+DngC4RhY9zmiG{9T*aQo%=<2WNN8KPxMFjr$r%=vkQ zMAc0-meCLywgL*3?rENrEpV^Pt>Hj%lD|wVE_+hALe_=OGhhS|uc-ULCSF-Z2qZ0} zT9!GenXk&?j6Q;O)DXx60)U&c8h% zp2Lz#l69;^M{>fuIdoLq(Md|J10C3WN$l}^&3fEUIHs~1F_-Y!uF0+ z;~mxW0$0#R&OwAAW*g4L*kl)L*evIl0+9W7OuD8T?pQ7@;X15H>l<@AsSL4*+-NafD6KaSge z)49oS@JRj%mQ0N zfUCSM`%|;Em$&KjzCj+}T-rX@wg#X~EUhdj?v8@et^)lKwm8ik^g{z;#TaO8tL&j~ zmpT`81g}?&M=sFXuA>3^gsUD*N z%FmuwvuF=myYgMb27%>RobPN9X7cL@_No((Kyp8mQCeMX*#GTu|I$H2ZE>%qdN(8O zHusW|ml;=1M7o5=<*!8TSV};t<*D43ts}o3DqLMRYf+r?xFa6_B78fh*v^sgBNk=7 z6cFw`3wPD|eV^X_CfA~w?e1AKOPXO7WJ)O9f=t}I+M9?|ekOZHY>QSX<(GDSIFaQV znAb0J@&~}EP3;J*d^w$N#-wuQPF9}{V&fVuH^E~r5VbI$Ww_*&zbzxWwc9vY`q#*v zFRZ31NrZc?l2_omU|*xh1v(53?dWK$Zi&YU@1Q zZjN_n{-69X&{K>uI<>x`rax-ukKfS9@$j>VVe*EnbB&PWYVILf`QF^)o?H*Y4q?6s z6ih=LYm+_Be{V-lg9e4vg2liKZ#lc%_92M~+aVDVTm+?WMk!o?Uilmb8$Ggups=h6 zN~bPCK-c1*IFMu4tRU&*(Xs;(Q#PZuC{P?o53#cYc{{@n2VzhxHcNG{3gAUx$<)O6 zsWd{8Y*3(bkHoB5EaHzV+QPfEjZ}UHkgM1}{>HhtaZQU;GI_GMol>h-%OM`ldA}h{ zn#zrC9}A!tRNmG*KP4~U*dOOa0eXvkoCT<)=yr5BkNI|V_X`0jtzL*{>G-I&6G@ER zQMQN0(z9E~j0h7Yt5%NkcF##d`L;-M)fFYQhZv(d(SQy?%4R2^wv#T)%Nx4jx*W>_}r1;uINDieOJHxiN938A9EYFNY!;5DDV#W( zz4axI#tVf&2d=a!93+^x?KC8K=J%ux-z}b#liC?*JE@j3+DVa(Af88>C`z`Zzq;Ef zLllM)$27;>>Tm^>X9ZA*)F&l{`%yVx;~Biq*96@8?3Hw^nv#rLkHce{*8#pc+1lX8 zeNL3PmF%s$0?dqXB?*SCaW!oC+6I`wRg5~N3JXIBjn^^4;O?B-ovgAGT12hK%$}yB z?EKw5wv+BxXPs7I@C8}!aAW>!EVusZ6;8c9JF8kc-+IRj)L9K)VD^d^#*7v&s6B)p z85&LQQD>EWn}f6Elvr?>$d!YWK4FRTg0p zt1QxbN7jWOGv`VrEOC%t1Z3L2uKs)Rg#XS;jMTTxyXqChVwtX!w2+Fu$uy_`OM zD7|xxjFbaEDO$^VDh6G(;vYY-O_Rc#QRc16Fl8I6$5e7@*JH}`sPW-$dXjLEaT(R6 z(lBTvBoaBNi2+;#fYpgQx!feJhwW7ylC;g2FGa9Bo?vNAZEoNCR7igH<=y3|hjiI{ zSlN{)pBM_TH%e&3C+iAus#B6_?UKXH}RTvU`5@AAgV#?iR2gc^D%MR2^Rc)%& z7uI_u$`BlHY8+ms&0FTn2Vgl?S!1Bn=@_>hp#a08=-VdWAyGoMh9c-RmKmZj#r3bE zIm3oP=_&dEs5gpG^pScmq7RFGfD^3SihUeXP&ZtbM)rvQQX{<^i8aIwJCw8u7r zDlVQ_UbuKK88EiJ+;Hq6UV5BXRFksQuvNgMGO_4ZamPOPpX8zsI@qV zGwRr{o&4pJ_>9o`?-c*Z-dw)Q-gt5$uzvZggNIF>U{F9I73GGrM5thdV*Q7 zQe%dE(vbwSR_UxPBXT#~Hs6XVVmoPG`;a=GMD!DUZspZKo3?`WW25q4>K zF&#fIOM?V5Rm;}k4@fj|01u`xn8()$pLBj;KAI|UX>pEwdn<#@kiKqEPeWvbgl$y2 zI7#J_KWSaP$&Z}%F^@BC-PWy8wjsBsCdFZYDOKGS?>)`g};e$Tz%uRXBugS_1 z@8~&Eo=!FQ$3rn~Z2umU0yg}GHID%ptoi9ryizYtO~?Z}En>LYdjI4nDyoiS$ao`7| z>37dK_-K<~Pu}Fup&16mpG3+|%p$5QG0P+Ec2IG!JKOs`TQz5L`cI1G^0}3Q(iLpe zC7&C%$=)AQO+7Nl`=_1Q$1|D)h9W4x+E6}6u(6~ePZBIE>6ug$4j2C_Zq@1DQ~dJT z#E?S}o|no@nyyq)K?j{Oe4nfi)d>8}haP5fK~j#T9Y`uUe3yrcErb!YA^?UML!RlJ z#&%7Wd3nS;f3!T#@d4LAHs~-gr?oniAI|Dvg#05co7Qbgo{uw@pbH;~c_b|_kY&L9 zqpQFcWgBlboUzZ9v`j&BLbP!Y&gTWgMD)=C^G>j!B6}yLC0KL=eiHF81R|wg8N) zq(GF(yB^ER8?jDI_%oZ&J}jb(kEZG5X(FQgWhPEoph;#tA!riS=xhZ|5TO@IY&BZE z82N>fI&tM=Krd<|@JzBmjf@$_|2rz;Jxn~mhl!72n>ON=jNHVD&jZs0!*+V%}$u`O+pML}YI^QK@&|*PR`pS+19ie>4swbdA0zF+_FzeR! z7C~dzD^dSobZ);!=T2aZ=>;Pfvak&%8xx@6EzZdGza^YSep{y4Ru;#$PFaW03)TpOR1VmCfwc|~7WD>!`I$1}PMbsfxO zNq5n%ga0mPaSCU#wvVB-J;iL#r;&McVv2gQvq`-VXKQ<95Sq zi#Q{y=BaAXnbX$PkRk^eYf{;gZ|%MGT?HISd9^OoxHHF~ND8FX3VTWyO|9r^Ppv#f zqb5Yvt#0(HGeJYCt2-@AKqHopZ2}LWsqhngs2Gn|_FWk_pkz9yiG?*&;X7fX zRz-qLXZk>I>rUR}2K_+p?TjVzcki^6yGtx0ZlXt7sDg0Lso-ud*Y9sKCgFD1&k%q7 z2rOTPtYX91uUcPA7b=ldkm} z$1otjEZ#WGnalo`h~O(IH-VHiA+4W`FJ`eq|kXIUpHg;Y|SpWuRHM;aF_ zedN+cQJGW!_~aO}B9#-d^e*r@EO>-DS{F)avmXA-G#f~E)g8t9fJV@B8e(&FP*^j#Hk+f|J8!`TeJ05ww z(hTv~=H4aUd=V)~1;lAvkR3W`W?~x}pt%8ycdQSYiCkFBwrNHtA4t4oGlJO(b5ZlD zCjk+;3aQkr?m}>o#gA1|Rn_H0Nl4bMS}=u9TKBCst^cCFHXKrxwN8<2lkU_LrelD> z)3iol7|im-YbgZ*>@BiLE+$cyLiEra{xd}f?kaw^j7z5DAz+3rJzvC)=;pu&yZd{T zwOU#MadnoiFm%TRi`W^$TJf(r zC$-1vTn<<(wmJvuwBgUXx2-UCg1x8Y^_`X6lS1;SME&o17{2VphrXPUiAmDPvkmGP zPEjF%N)*B>L((LZSU*)vC59})Ea@o_Abs^7;>@Dea;9EI7f*_N+Rs?|gLE;xCflwY z*vkB*F+kz2R$TM%ioWOUPLv$30EjFQeel#AD*)g1bqc^IMf>mVchZXN;Zb&6RRmm^UkzJZ53=Z)yl@PlX?To1{W8&E=2$=OHiJ+~5@G@G`pa(A z0MoUtpRu^CF4fV|uOg{8mU!0Eu_=e7{yYlx0hGsB|A|6>Bo!H!n#zK*)aOdjaBq-> zQUKo#&7`H7$5Ipbgbkl_=O~$^l=xdnPEKxhu|MNa-b!2v>9C=&lh$AO>D)}moybkjC`M*#6d-gne_n*-)8E6g% z(-gCmEW3p4K@pJ&C0X5cTWP}}oy%J}xb}G^b_iuGk=S8|dv|2h;}74@#1ZPjT^hOE zA{UG;)Q@5Q3hxZzDl(Dd)iBEkA?vW@On0*6VSDU)ZFydcQniJEJ)=A4i&9N?-{8sq z-41T=Yvtz`H#tQ}3JC<#A+~+;8j4T9_h9S3uxs;AP7dQr>=7e>TXxVSzq)KtCUC zyM9}7etW6PPMfNXz(I%av09}=6~n9Ldbf}lo34xMczt!;4$qxOU4qFE*5mzsJ<_Qw z>v1*OKz)8ahV4MgJQbV9n27n~XwF*qAKGDiOhML^W4ZWSA3P;>g#t;cIrZ>iJ!2WB zbtx|dC0O!bFZ8)Fe*W5E&WP+&aHdLa_7@L^w9p%jE8uM3M_P`=can2!wFu%8386cQMI>Ajid%@xSYIacCqV-&l*DtutViPS z&_hYF5MULVrpKUk0K#e!v*|Y^z?jlD^+$J5ttX6@NdRN?@rTTEZGCmeD0&R%GYK7udCYn`^ydGXmu%g2R znu7ZPgQ9EU{ar-wChO9g^??*~``1JaOnFV)%19Ee{syMZ6)YtZ$nPvkw6^zE`bM2n z$wg(oYl7Qi-C{jr7n9!5T@$>28=~mkoQ;D(tE` z;o1}8uJ3vw#@)MU=*H-0wBeqZm>^$I1(E8W`_PR>aiZ!gNqPr6Zb6&?wvzc*7oFJc z5@-QQ#ks&Uq9~y>9cIeW0qKcP0^nB(ugMY)Qv0FIuPLf0DT859b@9faLff_X$J3&a z;|d+1E`-t$QsQFLM&3zIG7CWp7p3+cl!@Il2rbjeqzwrDkKT!({xJnHmjypDc`i$v zlo7F&gYvcBlqLd&>0bb)UN(`3ELgG+d$^wpC9bbs{#+-?SZDDC`Ued_cHc zrQ2GNj!Sa<6oSUV-&hiEB+f|Y;v9K>a4nnSUL+14-V-+ZZdENW_KfotqEnoe5L>7X zzuD4hB_QvR1AcqQ%XZ2yAa*m5-rjqYbUyAOQ8@GpAt&o!yq2fe1`ZRt{nnl%XQGR0 z*GHU3-ZO|vBWsP9;4S{~ z9=1~!sr$8mMtY%rxp73p1VbPVo1||3lG(-lia#rY*Q|Ff@zJ)>>ypK1r-!X=nq(SD zsHrt?5*G!C24WgEMu`}8^n z>GG-Bpv{M90eiVI3nB$`!h=jalf~mnp8m$gGx)!__y#vVq=Xfb0MUP+wxhX&Tuf1d zsM3Ux^Vc#U>;C4uL{K(p*;lINLo;tkPu_Q{MP^kG=o6h;*0SfO_7VQj$(djkpY5D4 zuU0(zYb+TYzR!^%QBuy2y7733^)Bsty^W4o=WTd~b!K=>+&@xmF2);pHDw9R9XsN% z7e6)J?AlsSrbPap@l&O@Z2ve5QWkh{K?(rjbh}dXCN?P7*ql2wH3W`l}_1FrD&ES@lNzH9M#i z_TQ{{Uz>fiWw{LAO8g<%_P` z4KK-!81?<|-{%P~#V<9sbPW?&PBPKJgu3a7aHq$!at4uim7hq*$@42D2>P>{=`*tRa&k@>nUII?ygENf z!P)96DL8kw6}@omhm5+*{X}}0yu%f3_P?5x?aSodl|W-_YU>mD!e5AB)oQ|iklI`r z8Vn5n*0Q{(8%Fg;5Pda>N^}XC#)vzg785U@)XwNi>$L|YIb5);U6h_-ywlnnyzNF8 z&adi+;=ZX!CPMeAFu0D+SVDKJnFA#5fKn%MUXf|Y+u>pfg5?;K zpOYRhj!_>i%yl@U=HTP4arL6ZS<5#Fbs|4j`IEc{YN+HaB^YE6z(e5$-CkbbYZN0; zij74&A)lU%z0)oJDDha{U94Lj9ke0C z+7{bhx>drl-gMx@w%H~=;8%xP+I|TlY~KjKBmqlYCb$+Gu3saY!|YTXpj2~u%zNz< zmG1;+L$|}s{+*!z@n3)b6E8kXeO2iYg}!lAcbdb5qjH$Iw5k|bSz9P6V5;KmyAiY& zKKfu$88Wo^F)mK5#{!ZmC6vnK$+|Fm;<&d((Mn6I<2wjSS~d()BVzQE-fDT4`9)p4 z{UZBdNN}C*kRBu=*?bpX!r;+&(M9j#VFuCnHvcd}wu$+F{XhTb|K(p#|A}sWQ`)s3 z!~0qcGA(-FwZWh&Srfb@ki5moNF`dFY(IF7aA{&7zHEW&|3%rKn?WaE(rIqZvSsG@$QTU8SbT&-XWDzl zVMbGh)v4boHsZRYU?X>!<(j6LqPGfI+Zq%%P99vRm;`>g#_GAJ-{$9t)v(GyeLR{R zGT8$r^+lZ7?7=USde@{*Y5giuW>O2KXj1LPZu4TW3BiVrt(?@0w|{3RU38*mm_p$= za?J3#w;l!s zZ=H%={kS3dniHrYAyXnts#gJlkz?i*07`y+NoFgWN*8+01sT>r$p|*`2cN6EV0{hE(wdQFOQ?@75cYknw@dn z_KeZ^SdlC+`FgeTxY?(wb?b`F7kSGy?j9q|8v#UDsPshx2&oq4O$&xqpoOgunEX&# z-AK&TxLd6GOzWw6-?v(M&xfAy2Qe_{9|4&v8oseoU&{2!t$s#P9It=>@7xT3lK<;} zJ>2R4^MCvo+JNb(Hdre5jZUkI6$wV416|RVVHS5g(g8o5wc~ zOq%wc?WgJ-YWFwXh=$I7`1?zHDJ;V*STrx{TP8wqTJq)y(;@kVgXi?;P2?U@6gP1D zk$rATe)`MYT$!8igq#~y^p2mCy#o%_@q;=BW})wjjlt=;nge?`#c_J$^JNYHgHy=G zI#wN4(Q|W5Adfn8vq3OTgM59k_AueI3?4C#&^`mB_Wv*g7iQoy(y23WE(?{ug}8URG^u@ggwz$y3lUa$n^fa5z_3}4k| z5SQd>pFz>zOh=rC-<0r6R&Z)U7yba^J64dCy!vR0r!<-Xt|)tnNYP&}i^DvBQO`Bw5PdVZMaZs39v#C9=3z&+pCt`;EZX~fg0 z?NSDBxlF6)|6io&i4NM7*?MXC7FvdjzBf>xitrDT`@~GR{>$c5DR*$gd2Jusd0&$|c6nmsc#%FJBda zYSW@S^#~_68j6_+nOer<1wl1A0{9Egm_Mj!+BfeF;DS#iS2jeIk_`H%?2piO(wV=Kp*-S`T5{=KmMC008;q%x{;rF-To|bPPLHLgp|i4 zVs{3v@BtsJ^#<}qTVEqhtiTrr1b1<~8Wd8Lk%X&ok*2WV<1&BcNa;1fr&Lxm0Hx5E z;+Ma@`&$vm%CDc^eF9y*`xfX*xDDh=tz{{Lbt(K%X;s28t&HwWp^1}JY8^Dmh*AL< zm&?wVzUKgCt}mU|2zGnWFnna7>e-U!^54AZKXMHJpZ`UEFk~RV@qN>pUh;=;gC~O8 zGXgGa>Wzb%dVRrsH}v*9kdDV|hOdc(lS($&hi$|8lDP|6E|TqD8b)8)G6V~^Vc zMoJFlj$D*-t@sjIpX!hqF0;`v@wpfF9AcwnUvmsP8)JCPe|2e`pz2cJnaI2SL1l4g zO9ve(rDE-RT(gjIpHzahz5sojy6FBOQJ0Y@_D{A%xg76Rf-bxlTt*Xg6G*{uR`JFX zsRzj-AwDPo_zDK)A?DvvkNDO}SUgb!T@oL*{}!5*2;!|Bv*YaI39lbp$tDDBjJ`gA1X zaehb(yB$$E>rWd2y^?J2w>^aFHvxV8FaO{F{r~@eA2LdWONeP1eQn4RIphb9fV3WM zBIP6rt$7VdF6hQuXfPn$K>jiWEOsrF=DDfFI+;xrqNhi5&T5WkfJ&|~!eIJT1%{SP{IcMOE zA%33z<;F{tbs~}h=iu@`I7vzqYs?_LQWzsTt_fv+mo@&qiec>?8>wEibMa{T4Q(nCgnfTEzV5|_#S`~zp@vufsdNpJH4WrVaq zs)r=cy5%O%y`|*YcPq3EA*;9hgEF{C8T`*y2C!zEkY;Hjh} z2FoQSF$Ev0NsXc3vu(;Q$bd{7FKS{HvXXI4;&?cHba1?r{df}?w42Nt zQQ}x6y-ZVYiU689qI{2(@e1b-EsU6)x~lz<4X7d5kXaxS zZCP$2EV`)(=!$-!n@aHuJw@`e>cY_IZ9L9{TLKq_Q9fd7-Z|Lz z!cfy5C@=nbdQ;R*pbW-_60Zs=Lso->PnxkJKU%ru7W-p=Ub!*jhrOs7Z#mPfT$^#%g2jw$ z;ns|6<+|>UipXcE$A|Nwm1{>aj)xu0Sy7+lI^QfAb{wjDU+3K~GT71di!_q-6!lt? zB7N`AWmhVVndj_@TckV79#ztAtNMM7AoGkd_Fry7miY^f74Wg5ICTe%*m*rOe%HKm z5(i9WEyqsxC%Hh2DUZ$gQ#px7?3UcO?6&dT(v>xNE8SpkUEmo_|HaHGd+JeZrz2K5 z?K(2q8?p37c7`<+59{rIG`q{o2=h}^1@K+`6dmP}xo#sJ8==ZY(uv*fhMC{4w*uZJP!U*dlIxjV^+%7G6#s|BPkbgy} zZQ}NDg+qZrRidg>`R|)ZRi|H2%m;BVZ-)5*qEi8=<`$uNvhh?@!KxLIVmJCOXNPc% z`bpwe0-yxUu7nJI$Qpy%N9SO{Jbu*}4@IV+J6vdWaa+h1UjGq^z8_anDOv3*G69Iv zPi`&Owa4wbga-TL23tZfZ(H{Ozys?E&)l@mVfq=$r!JBF3gLT_A7~bMlq005WP>BB zFLffS8WyE`a<@FZz5kg``w{76Q!a|zhyWLZ8meo28mFBhhD#ujYq4RcHf2{ow&d( zD=COX++tCu)J!JPT&Lc3c76j!P|Kk6#RB)twB(VjnluOHhyY+IBBn&G?=OU}Kqfnk zOb>|P-GST5k$<-|d`0zc>RCr#rNZOLtE*=md3E))f+s_QH%Y`q9Hn;$2{lwnyuu=t zP3@YYm20aLfM=$P2L#GaFbYK=C?ASN4nEinrs6`Sz$QMT)F;6%%>)gqOnmeri-^(G zZ{UMOaJKWp>vKmEWavOwSo^r>I)Yn5tfwP9)@TzYQdY-;p&iIjNBv8I z3NUM?S*&dB*C*gYp;$VobL8d&)c|)CN&|x5jfPVhB(B(;CFXsjekzr5ziTT>r!wL? ze8^awQW;OGNgg!EfUJ2*ITk)A+S|5+7XZ2tD>P0taQ=jG9}J~xkBd5Kk4z!7(s-;7 zd?e`Qa&X|76UpM44&wW!*m!38165X65uj?eeO2Tn35Q+^vftjoLiT07k`xoN-)@(G zm|!FO@ENX??tj!O2id<}=_Z)g8^^+WvS;G4o_X9I+5eKHjgoz<4W%%18f;N>lsZgf zSrYAn=D^K@3yGP6>A2O! z^i}%}BlMQ?DNM_c2CzIub4&g%hmu)$VB65Xp~4lTGFjSq?pu!Y9$g_E>3yoz)DyS3 z>`k?{+i=A9Q!2t2Ljz>u5PRzgF*;jDqwK?J+FsO9_-+*~g@XVO$k*X9XIr13s_zL$*M_fR&5<9qYXk80QjDKYvlo7w1xiC%EY?l6H&ww-kJIzU4jT_fEIO zK>uNqZ<=IyIb_VEHC8uqyfQXFgpp#eZ26tk@oeQ-Pr2tJ2e6ijUr-H|X!7SL1F!M6 z$kh+Dr6~dLgB!1$OC}tC@JNL?&`2O`35GiFe-KB$*aD)8nmT?#|vO}%MRFgZ4Qbd)5Px5cSbA_idvSCCk+ zUdjCmPe@kEE@vZ?!)2%^qjv2>$^}Jn z?>XDmvS!PV5@r3?#pusI)RdONRRDKoCSH`>w# z+PM#I$%NG;;yQK`Wr8Aj^`^yi_Vn#|IZI7N0poKeh!AfWJY;%dapWh zIAI_s3rBvn^^7V{I*I&_{Nj9Z4d1g<9>L>>OQ0b?A3vU6?4h4%k3BO4fH}aL;QVOt zydaIo(V7?4U$1u3f3RG34`jKfg{moWn|lz;<@7=ZmDAE+W^BOl$UX$%gy(VhP?IaWH6G9#Tl?rh?uP0N_ zaOWFqb#B0GX)SIqTY1J>8K!aHUfh{WP=uS+47q;K!B&-KuQ#s18@JTKpk9!*@Veqv z2+s2A**#fFWAB73d-}8cg2Q4@&pRP4SuSyb%nnR1^iLFp}t;rR7?PFQYzopxHIJ98s| zwu$+#qYQO7sWXbrEuTyQwH}Y)@JhCTdrVNsD@<=pf@07aSO`Y_1a0} z6l4_DS?_(}3FBvVxzo{NmWn9E0irqy>3tNeK%4U|0Fih1r1bGy9kowSeS7B3Qr7oJ znKiTn9yOV~KdxQ|A{_~6%?E`YsX_n&tx@ip$-#jbsi9Gfhn2a1LJY-o|S|x}m z-lX?J042Q}0#Kz*lqUiHtOT7Ex4CAKvrQ@^-VGB4fy`bR`c>f@>PnXUi|~y=quUU< zH5&v{=+?N7c13yRpkZZ?9L&mq0Y8ob`yF|3UbJlIOJUCEkIfEClQIGqnv~L_(-OqH z9e!_QHT_o7Dyfaj5+>}=YSMc`%sMj?7-9-cCx-^imXUh3PaNN{p(xra$6epa`m#il z+seQTc9Tje`0#>=suc###hnDO*1zUwP8%FC+~S)d1aAXC;9;5c5Ft@$7r)lhC@OX} zG16#v>BDIe^d^I+faNqXf}j|&i{L*;$e={fG~{GZ1cC_@k5nI$M?D=ejgAndZSLd} zAmg0Xw;W|ev}K@&({dH3dtT zpn_ac9aVB>C!UP1g}~SmKO=gL5IUcwD9>e2v-uw7s;NvI&t>;D;)>dH5nlz1&t>}1 z2ovNQl_zu-xULxpd=vxWqz!-%#eXG#jD?0VG%6lf#2J4aY}V{#{N=u2*xKbqUS6R* zjg@a26|bV_|3H$itA@{oRwqev*xrh(Aj_$^`a%IOvdmH~vaDBvOdso^E7(Pr3 zQXNO&t1^H}weIa4UM84T9%=#MDf`mYFFl+@D0ZL2HS4300y-@KUA}v^U&YD^TcbbM>liM&$j2g)m15OH~^tW~I9 zxPkps@G7FIFx(S|%Bm-AWcLg-d^i=qyJz>4d-iGoVFJrC1eg2aNJ#H!)jGd}f;}68 zH@lSA5TvG_W>Fjv1+DzQ+l}oiS=V+?l>FsbAlB(oe>Hf%1E@~46fW7njGET_8E$G4 z3MkgIJEIY}Gfe_$$nfQ`<#P458~pQ$jRI6Z!92ZF1`%z}GybSQVuXCCMBEzEALS)Y zM%!+%ngZNtT;UcyYlcqd!-emq_L@9$G7_1@#7AbrcM^GJ_kfAbN@zdsDaMLxgXD{h zRCTI`CSrRG0)qNen^B$}^Ry*-RdPL@gXJd3w#{z|>QP<-T;ti)tBf13v(fQLSjUap zF5YCto8vVx!#g`($EgO7(t+TU%m(R6B$Vm0Nd#}t4gR3H9t*a+P3x7d?E-dakZcur z7Rd&QIU!5%q-|=b$cKL^F!GoWwT%?%<&}f2QB|rbYWXPGKW!+X`?~osQ+gPHZr$pgH|;R-x>QUD&fVLTkk!E`P4e10fR$X)(0pSNv3 z>tZ-Xuq;96(;;N$Qy53))8IkydFUl$O7L4nqnwD=lxGL=+|XewRoEh9s9{1FlnCDBqI}Bu?VS@K&3ncfAm z4)ju!_ne-8UBWm=nkcPO8ZaD7y<8apo25MvCOv~xNkE&YP`rkLQ6l|toRbSzwFV#;d)?iXqjfB;Y6Jd18kc}Q7Du4hi6O14&#Zp-4|e2ZfH){jyq>+($8Jx_ z_+*j#Ipn)Iw@`y2_)IQmDQFnHrUir_5HObbsIz%ev6d2707i<9j$W#|69TraS|ecj z_JX-YYfUCWC|CEw`?DJrehs?K!r_kTyBl?we_A_;{u>-oZd8LHHA-jFhwq{!K7T36 zR+T;_1gi^_;!G8~GQX4qa4UIOYVcod|Gsj^U#cFaoeS5w%B0>CVOmRX`j@N!(n9XN z?H5VT0aHLrYy$b8*{ z$bKe1&)3`OzVgzfzRrXob!!qvSfA-wbp_m|Xx%dLYyEAXhBL=4m16{T)OGiir%i08 z8l`+0{{?lr;S3+OyWbbKMx1gO3>la2A)K3>*JV3^7`Lv56(7_=x8ExWG{A(SyscV% zwoHIKx_Tq;B(Vtmu-?gA(Jaq8UAqXJai+ZBqd)9w#RHX(t|SX0hdtPP)?*K*)Jz@u zkMIJV!M*XN0TILM7$)fSw`mmgVz7vB5$k@%q| zRyO}g>Sj8D{BBQN5Gdf}QV4EQQ|%=VaH7bjz{v+2d`jK$h+YwQTRN*&mJ$e*ma?4M zcwzt;dZYV-g4Ue|f!9v*vK8DBOccYVJF!BA?4_58Onn7W+uHo&w#CsSnnd4|tfhCo2*F9km9sI5p08Wv_|e<1Ku2F8 z)A6A0l$P2_{e#3CDpbbZ2;oWM0~LnSW`gj)+R(lh8m|I zH1m)n0iCS0tmr>13kMeBaD9j6v+ZfF9DX=l3M|UuQg|y}(y#Btx;joO;!S(pQYeWN zPPz0uo1NFPD>tscG!5o0I`6=~#y8WWMLxDuC;@+si#7Ks0;>YfaD%Y_!ss!Ae{tA+!5r4$yD%07) zs!bI8zk}5_=E|pC%GSMaWFi^!1cx%_6C4sn{m7@ay`q3P?6LAi*bd+n862tb)L)SU z)P>kSr3h;{F@BY0SIx`#jcH*mCIN}5x3AW_O&18ldjAEcqyB;|DhWylqN`%ebr7;` zgG$x*2jp}G+g?Y878)`F7S2#We^eSvRxUQpzoUbPyx+~2sYtC$wI3R5hM(e@&q+px z>^w$Bl;ou8b{{O%A$nLDOYDm*ksA43L`>Bbe()&hjLS(Zwc|bU_hSS>nE-E^F7?#A&83r|$-$ zVafxarHXgtMMZo2r#6S%pLz`utASVRkcQsk5E>yvLZN)h85NYExZk2_DXYuw^U|k z60pdD4=fz02Evbx(Hw*L@Q5OBkM6GdQ-nWh9%+<)FRM2V2(ch&#V<~ogUKsnVeSGE z55@pG+D=)<7*ax|BbI619{$*Q)Jfy^*aomfBwVoqYee-0#RCw5PLP`ArKd=r_G7q0 z%Jz6Od5lnL^~I4LVnRPHfB;ZKQ~sCW9)%h-LZ-S+EZ>C50zDE?3Z5wF0m2{zxOnM( zA;9Z~ezzyXlK^XHdfk1a@aXQ79@n~(jqPytC0r(1oeSq-4%2=D<8-#{Np+L(_9m0< zQEvxgTOI3ZkcLJBp(kf*J0ol1rh0K@>AA|o|VT<)oeJ>#B=*t2=jBKC|ItxZs~U9=j* z8O^K2(ICt7a8Ext9pEdohM3VXoYZM%&Mvsbgs18Y< z(;xCr?yTuyYF70a?!^y!*s*jTA6Q<>7YSHMzUUm1C}GrI^5R0RIvF#sc7}_FTbPJ; zp!D-v%pz`6`&Js)I=l_V*1R{#+pbq-mq_a}eZDK{HPQB1`l4O6_)tfZ=klYN%lLIV z+YE6u!|@o3e2U-vL>4;YMzyS|Y3lBc(K`e-zL;rgjmt&DI;KtT_o89NJO8t*&>Y0O zOxryN@uHYVED@z^`A)6zb-CFB6yMr(PvLs;I-CLfzvuw{xsVn|qP7lRx2i#PQqu#{ zq&-1&0BneTj7>GZE{4E{uP-b@shI#@a>Y-;m%zjm*`F0~qAO`gu`&OJolbWoM#=4| zIwkdOE^EYsv!~!exAc?*mz*it&dVs~2Za4J9^(SH@Tqy?nMc~P99qMwX+VdZw# zt&;O&QtUX+I+&e8Ig-e{Hn(Uj z(2;(&svY}285~f3*%J=%tykL8;s8~00o~DPf0$M~S5)Ot7vpLD33RtAC!E++<*1hR zq={9_dLqVx@Vf8@k5@DHrh8}v@;XXn4R_w~X8d=Oh&}?)-=X3?8E_DpQEIVNRb`JfYiPmggq3RBqfBDak-)U zBf!(wvNuV0L>&L+O(q!pgKy&gBc+*Izx<0L+tZ3zdmbqDlN{uiXsY4HSN%t%M*0D! zPGl?ttv(!_;sjz=_PsX=J|^1+7sjwh$ubg4>ziC4u{h zrEtAd?YiL8{y)!1mFn`5A~=rS*B!tB1iZ3MkJYXP{b=LpyfFGh_h%75@Oe^D^aq<} zSHYF0UBQnZK;+moy9%{wuv&MUX7=gZJL?E#--+15;C~b<<5)diF6zG=3u)DiQgy30 zNz(rfRJ>B%Pm{x^bB~;pcE)z|0Ff8w;zedU*1$%N^@?f- zV&#mwy@y>cx@0%A{Ri=M(`IoCrp$D*D{b05jow%q)94L5v2hF3v$>pWr8v6DmRhyg zoevaFE8^nN+r>LELG6l-ZUU{plbw(7uw>752@Ib;W9t5?rI5^no;=@0-HnIxpo>LD z+S~1jv~%*7)8PTIW=5P57~KRQdVX_tMOh(5+tTssvUEm&8L_A1J*3@qs8Tp(<8QQbu7&L%FE=U4G zchXYBXb$etf)IS!%h`Fj1h&I~AGAZQ*Dz@lSt#ItvO#qoeQVdC2w&^II!4XCo@{un z(SY$Y8pOeM21QDc+XMsa=Z)7Z(k^`<2H}kskrK*#J1x9>CyW3ad6PAG;rSIY_+mjt zlpv@U)%Nh9ziK?MQ{qSx{&T8!Uq`!DC+|3hOTShdK>7slVFO1 zn7kyx)->>eTiT(1c7;h8@2+J96*wD@;H#~_Q^s3)gxB%eGGKyXL|o&k^{YksILhP- z^*Fh1T&KCH{4it;4wrf^1irk_Ee!aO){si!90$g(HE%e<-8zt?6Jn+&Np{Fmn zP=~eE@_F16=aoB?NbhO99PHx0#_Zw`5>H9Pe4D?b3aVUdDo6hnU_`XMx4)o^N@cmT zx15elpyL~cAdncL^C{Y{xC=+sW64kCi$Z-s;?wI_Ie$W0J{wwNCNvU3pY z5(l{u$Bb`(FB27V0N9hX26i3VOLD%V8`%dhym)-A!H$$y5R4tw<8NO(fE}`uY_0%H zR3(XavPV}~8|`#h(J#z@6A_pN+;TO1*lWSOTS6WKeKgpP?nJUzOQrVWlKnoFZ$MRR z4KN?#_nI7GJ9Dm|d#swXKlsLmBbCj&Pp9dhaAdchkuY}a8Rm8T8L+UkKLa}0yJ1V{QIKYB}@xpLXc*FBPk_0$% zo-G12wx4UYKZY~PV`@K<<=8hq@eh(HjINy~Xb}pxOmm%mi@zZ9PKhq)1Bif{c|AFn z7z|#?GTIB!6F;)5a*UG~1BQj~_=P^>E#%3kK1m6@)108xZH&-_79d*5y>?oJN{Oc# zn-B0bMh)D>ncJC{xA#S8U+g!KXI+*xq)ip%;yO@oq##!L15Mu$48z(QF4KTkZI+mB z=h8GrPYELKjV=u@z#2FgtJJOYl})A6d~ zHR5&8b@)0;++_CgK9*DWqS zmV7?@%Lhoy1+({+AEq#aNJLKA+E>*6<%)%G>qS-hqw3w^;^7{r4o-O822csiTfUlf zGOx~z@rC7)y-in`^r;HCPg{rB~l)w==`b*q+)^P!5^&GrVrON-rGkr>>+ zmH!Qip;X3@7@Tbni9uY(NQ)SI?GY3fBj3F66-XW5QJH{H0h?eJ&+xt)%G>WdHI(P_ z?os2cMTlFyuZs&BD+A%8&#U#7 zZ;NU={&D%XBGN9RI(+0n&MoF{t#_-V!fc%O%od9e)snPOcl!gifPex3)nGzzAiN@y% z?<2$nXWYs>Q$9{!?RK`pEUJ&aqpC+x2s>RW>wj|x^&xhKM@1BTC|-Qajk_>+-|$nM zA$ej+yiu_7oV1$L_?vq(wM$CUr3FB5b;)0ZctEtoH>!N0S7HzC+34HxJE%BiRD@eh z9>jWyEZvm5{_arE9?>||K!aXCuk#+!gU7i&d!nPaApJPhZ#h8e%>JfHUHhQ>Z9&cb zfnh$7<`6j&l}&ndD{q2?H#Dr{J#(W;jNaW5MK{upw=#0E8%*06A(wwK!y^YWtuyCz z=Xe>_fh$_8(-PTyqxoj_yvUl78?H?z-kKaRPhbHdC{H+AXTr!?bib7a?-P@*^;JMna-@ zT^R|XbgpVx*G=tIiqHbnzNuhCtq4Lr$QmhNS9X zhD>HpEj?Pxpt>M<)AtE?RB+K=TUzzgLPX7Mw~7kLLA*RV9S~9#RW+s56ixXDzHRff za=IMVG_^vw*T_=QfMS^X-b7W_IFIBHM&l^+kPW?kFvUnpL+n`=r6KBHE6g}0AZ2U+ z({f9MVq z-!|ZARti|;&^PD-JCDK29iL544Lw4OplR&eirYjQbz>OJ-Y~@sMl-=zN~fa=w*6ex z3SGZR2H}`4GX@)NF~?RLSwqZoVSu*o zw-%o--oyWdp~5e?w}CuKKWBy*bo%mO-(bF*+1u zGSTHqiTRs~P<~QQ-;*s!kKd&b4nQ?Z>`gV8i?M><5*eR4WgY^ZvFnNq>@e%n1-m; z4lOfL{ML`P`HXK?O)Ly78j33yhpIHoAJY3UZde4W{eW%4!4zz8bgKOjj#7?_mQhQa zI!|TG7bwJOZqE%GVPB57<7|(lsY@c~e<`C8fQTX#{Uklj(xRqrxkSsi|wWc6HTj<8B@nk&|a(U7q?fQVxbdsK`;6r2oQ+odQ|Lwp2xBuyX{MU`>_j(xNI+Nwz8Zmob z4$m7OR*0EcSaiMtzqfhw<2}j4ns^1APDqz6WZvvB=vGK~A58v+*LblHXi3v}aS41Q zbx-yWFk7@&Hz_w)p8zdg;P}@rjej66jqXsomgea~SkrIt@8{{Id1|Wu=QL47{?aol zA+5SHO_L?LFQ;~4c@^V50X+R6(f$AaLB4treJuWWZfo>BUQgoVCrM$x+8Wt^a91N| z7HMyDfoFg^j-)*RF#Vjw^XO)MJ+2piwSPSl%O}dat_B^;?27<4n@(abl(h;A=Ynfo z594n-uC2AFjpub$wxQ#uwYGJfZ;r-!!Lr@#@rUfQ0T{szIK@U_aFub{<;q?;AlO*I z;)e|?P9%NZYi#==o}blY`|=<%l^tMh;U z!kEQg*7CqP?H!(wI|otw&`tc@41fWq5CTgOgeey`!N!)>hwR;c%Dz}dx!PWpDV1xV z{(K}m$ub|xDIxjsbyvJaP$NV!&!sIL3&3JqY=zcazxTHg_u<46VSuRwSQHT;60(@( zu+#6kdMM|d-JxooT~PHkb%X;2k`j~FR+^zKp*s+sz@@1yP+I#e7}|PBQ?CJ{-qeRu z$rBPv=8}Bh)j?f8lj%o^+Qc@Rpv~N)iKt9n8b*(l+4BM)N4z9MlMeX7J4yW&2j+Zvi8x5^>UKEeNG1NZdk@4N@1m+Sa9ljI2c^?*CAn#Rp_?yI*;qjV#2Rhd(JU_(U3}_n z^U=jZQ0n^Wkth1v9$D{AEFev3E%9_qtSi+unh7C9EH4=n$`N+wt}HC5Q~5ak)jBc;(zd|heL1QmDE1NQ6xWFuaCH97NPNqKHC8IsaXixO9;dem}rU2(+) zzLGn>3DZKg38pBph2yNXf;_M(maFR`5G;aoZMYgzOF66n>n^Yr=7y#b*7K=juXblq z$h{4j&Oq{;l9KK>yPk^tKCHYx#+R*<_v$;H9ZJ7gwXE2ZN*!FHDz?vjuG!XzEbJN5 zb-jj2XfrX4IMrZi#Hl;vTU*J9^QT~e)G*0GX%c|qt2SKp?H<|CMwH9OAop?>;X*_2g0&hd+QJCx5gOG+fLudr^G4%ln%%gsQ3w&R> zVXE%Wqsma$APcnhPAWoBFXqBGbD*sU%Y|p1wq#j*4|IQClAm9qvH6KjH!+ti3B-amX!A~LVbu6xJ(dm_NtRH@;HRLZ_~ygj;ADp0kC(cm)I z@x&a^HoHV9ecoXMM8JY64RDDi3?LHa74*nJ&HIQajrUH5xxTMIE9@j-L$M&1W+2CTgW( zMt)_>pj2K$c1`|QJ7Js7(m5hmE^KI{xSl_fM(pfz z4cYachS;-v@(djxSCr4t@uJk8cbRA3* zZm#y$QqB4E{A)_$!X>HC$#Zp`(}f_+Q&s>mN;WRJLA~N+<(U9Mh*v?pkvQ;6Pudmr*hlz+U3 z^4^nco2w^JuD?8~M5FA@pZ$vlIF)p0gcWjbt09v?{J@q`ne)UqSBLW{xN^oj1R-a< zok!Ud;w4+q%_F{Z!u=2XkJn#<`}K^sEpGs#2rlHj@zU(;>OD&R5(u6c6#fDEhVwqP z$(m9lU`#hW5)tCSB>e1YzN_>r>?0Jt7!rr1JZ7s`2s*z@%4ea1*WskEl1nA>@iJ5{ zphW%@Oo>%w)^tj6mrf%i^U z!h8zJFaL3eKai}ukBZ1TsGCLt3I_#{`%!@b$#MR@Eul$C%5i!ljDL~RKhIui=b63m z&iQd-`aQ=fxFE0brIy)zaS+f?ko+gGw1Dx_7Uoo;DR@Mc{O!Jc2q!GXutBYBvS2Z3 zX+%~{a@xZxQ6CUEqrA-L&X+V#N+t>E<%V0jUWZgk0&4dDiwmODRm~higTciv@CJv& zU3?T^2xtPsjne!XqB4A|JT(1i^ne&dDLq`LM-RXtDf8dAgAj~NOJIc^WZlhKBN_-S z@z#kB!iU(ogKzfkSzz{+AVVxiA4O9NG)KF|C$N zx#?fMnCH|C@i7a2{Rd8>$v^4e3;1KHe@)esq<;GMTI#2PFNco6rM+kyws=vZ0?CKB zWTsxZ4*%P|4G*_I?#-8M<+=BZhVg!3orf|?{aqtaJ{bk}wrV$!WTIvuGO z;3Rz?Ke!B{_z~HIgbD$mY%n;<>sUYaF4pjn2f5dI8aXL9a4n6;Vv+DL3eNF8=j`Bd z_n-iDS!O-kRa@&f(=Sg%rIW|w-2~wHP+4>_EL~W61v$(b`C*84w{AV$@zYO}Lz5LM zO{CdQJV&nAcWUmK7KnDUknqGy2_r@E)oR&@ zzldYK{Wd?ra_HlU3f1H~SWc-QJz|SUNtO?fdKjKgytvuka&-dU=8F zLpM~)o4giw9`wd3Z)z;hd1|FSCr_sB{gufEUg?8@$oxPvezFv9nVTW9cU^H`l_?|eydhaehWsdXS${f4KmxLc{A^YTR zlc2_pkkxq8Q{Tp%XIX_LaQN-V;4p3Uo&~^sdLsaP7LudW*;L|fiR5&V{ACgznuLF( zk5eI3DBJ1tq;&Q1lRnqS_kV*S@sGl`HkD?nsmp`!r7E~UVGJ3f2zPMDCzcSl6Y=S4|7GT$nz?di z|1op7`{C`D0)gL)z*9IP?eZW#AOsSM2cud-eA=s>%Ou^7qn2Xfk9ZqKQk_x?EI8X~AEn7o+rNKk&M93b z8zL-wC)Fqixp<76Kk5Gu?eJ_c%I&7Ot>*P2953d<7pT$?s|3nS&5rNK7r<)(Eg{-c zcOu10Onxn6>@!*1bBL;L<+VIlrON49r#;?e9PD4_tz~NJiwW~^s$6J_X_)b-Y_m3U zaQYvOdBjAOiabvuAC4Cg3tE-i{bgA%0i(yduqf8+;iv}Or_P=Ax%8AnppDVw5Oj5^ ziN3mOLq{S>#1M1gnUc%B>-zgI$GcinFe@yzwZl9|evo)X6!y%6XsZc*#x6_wi0UBt zaDAGbt+xF@GN;Fb7PvlD1WXs}gJf0G!)h|>sx{=z_OpF6z4xg@ml{TF)>Qo>hJvnk zPh0ygon8KiIt-<|Ri%icD0)&U)m3PVO{s zHA)+)>!&5?TEWtds-;6J?TQA~Q^-}6t%1@n>Rg02I{~{l@VSDnK8cdmUl`BUwKg{n zirnX0k%qJuL}qxe02N>Cr2Kz~EmEd-BP30lkvm>X#EDNF`>oq}W+ItV+fq5r??_Qc z<>Yo(b&8j2R>eg;!9PPF+8z2n8%q9!KngV`7#ygPIOzm6n$ED-Wf7=4?KNYNJCsV^ z{|jk<)i{A#(p-ZIz#Y=^h%^k=AuHBJ9YCIVe(2$B&>ef%=lCcxmu~yLWtj1FET08RL zXC352kl6_V9l*h`8By_SW@M14c^F0N<=qg)2Y}QOXsNl%G5uJw>BaWTB719*HEH{_jy5V4SLt%Z%`V2`ITJDd=CM^7}VE0YgJ!Lr>FNm(I>KSkI#3&=t2 zzQ#nJ*j8A7hU*>PFdF55aXwTV&n6Vebqoz@uJ?Bd)S)4JhY$_1TEm?s(73w7ke?u8`6zI*5t~63! zP-o=`V!J4?DaPizkw5Wyu2%mmaN)e(;aLTH;NeM;n8ARmYmTJL^WTFVcYz%(UozWo z1^u@#`P6_Nrhp`BYrLx#vW<7un7SeE1@KIK%cp}jhq&a{U}@?M1zu<%@r{Z}tMl<> zGmlR;b=zv3Y$P5B(sA47C-D2@Cm_zg@%YPgHK%QEx2v@Y$Xt#8B}15?n;evkR-?N@ z<$s9ohA5|c(o6NdGne)vM94`)ziTL!ZO>`y($tf_i#F=7d=Rz!;yUVGY!d5KsxSu< z&Q^%Ck#NMK0bB1JiHa}6Z37gHtvNkZ;(_DQjwAM5``orqq6ko8E#3vi#=iDZsAiqk za!_COPGn1br_HyX@tgG*$8Umn7=W9>_Q~1@41*(%^%8eOk9B24{wwq-_L#Ub#a!^< z{VD`)-w;77W@CPIZK9hJiQj|`h9R2cd5lwtuxo!h=MClCKW4N#+U*T;K5Skv(dOJs zp%p(ae%|7geYz9Hu(&@XraIeVK}EI3K6qBvbD#|Wh)HqVmZv7g#iLgCK4{0BoegnF zY&y}yf+fqT@=d}{I5o;m)>%|r+U_?GByIrsZCbSxM4=$58RV|fb+L`k*Ok*_b9Cg! zh0M23wRJg1r`F|&*+_!O)FKYAUrG82JYS9FC>ur{s)wo`9`B0E0#g1-P^j$SR0hC} zreA_mCqntE_4uw5vhCXy($Kfl-OKxYtRe$R(22fve5mw?LwTtm2SgGG>Z;kq3`M@k z@D06O6ifI@F(ZfYy+-=}pymu>C3D8CSj|0W!v=XRD{~gFrJ1uUwzOW$m2wd;Xgf5H zJlKX$@Awf?qu8Mqn=t6a7$i_Svl19$H4rpQLmDN_kO{|xmJLRzM#1jS*eC+D%a5!T z4!$o9g&X93;ip{Ti_toRRK0qh=JKPUK_gE4=Bl+@mx0hU6RIby!3QVW6@=>^`$;I| za4#GeBvCSpPP_j^<4y`nPGFWMkcA~w?Sb>tm9>3@ZFy;iR}HMM*q(sXi!#9JGK%v) z$6uVTROm}gJNdFTKoqn-H0A#*Smuhb_U7~n>e3y=KkTFsb#_u_#G{Z%c%ydn$i#qT-PnYWuw<$ z!Y`EzUgh>_mHr67o;T6V4D)1HJB43G?Hzxq96L%~fzk93aFCTA0*XP63*svyqGP;t zswz#VcI^F4YuPiSl%JVK<6IyG84OXwcc9<2Z9d<`Vt=Oum^WHVz_YXUT)m*e8+}Q! z2_qi8CgSKGjF~s8l8t=m7rv_NPT<47()>D0=+NYw&o<*vt%zua@y{V{#|7Yy>!n&D z9XR3Nxgt+ly!E3R;j}TDJD+eZ%Uldn?us5;&-MR7$FVqSok0D}c6^K})inDrKl^zbxCEKB7{03)FeY&7#!EfW5|n&5_RiSuAF&wPjT(>xczQhqwR06LG-R1^a3`_xX>?q(dDm5K#q$Y_pH9vtnJC zgpOX#4h|bTiuyv}S|zRX)U(BkY2xznYhEZ8I-3{9@0$Hf7nL85%a`rS4-o?(P|&xs z=Q?!KBG`tmPcXFoL;~j-G9suuIPu$~pJtuwPiFmgGV8ixH|w|EtRuJ1uF+Kl-K*YO z^^C!}wLBs_R8XI0$RqgqBK!=QpPwNPZ{{x)sS5aqPb5b>o{*2=6M<^_evehS#aUOl zP~T04G>EiN=BXW=MFPXV0h{E$r6mfK;w{bDQ{`T3HX~Sw3aPCo6BVqVsfw?2PZfqN z-$TKIlBAJUm-HyTh@_ag-)*ccnp^)w%jRD8tLtrPHu2ruEB;lnG-5!CiKKJ=t3Sh> zG$w&p;bnTCLZ!AW`vSNnU|2t{t^|8UhFX@nQy}Msi*4`^jrot4#B3A)| zvB+5}qB8FcP62qen7sX&j0hW56H0oem6 z-(d0x=urPPS|tA&`*zAr)oU(0{?`UgHs#hnc1gKSA3JErySpWNuR|ur;f;HaxK==! z(~uGbq37taW}FUfvc5h1*>hvT8$duC3#x>V#aSbcQ$ET-KBo#K!9_kqe*RKlzP9a2 z-2uoGXq9|AC$pATVR6HI6BffkXm2^XF4o%(SnTh)PL~ZT*LjK* zko>p_hkP}qX2zh#GRR1BhYp+a!wH^s*m+4ha0NlLEL16H$gko5PE?2RqZ{;v@z|AlDh6e6mLROJSE zb}w#n^)Q<5MR*CRIV~?E+wr(-mQ^(wv+Sx#QKk4?0*l0W`?ILMw{9)NIUGRTiht@d zr1%H)R(k7Hmm$X2Dv+SwrKJVY*n&4%JW$JrZck-4;6f+-2iU6nw%!9pL!|<=6$BcR zc3@n7(+zPhL#vklB>qBRlthc3;ls-?ys2L09z2*C9DHbXuMDNA+LQLrjzs?8{0^7Q zFkIL1>zq8CD#uK2%b$e8ja3y4cRa;0@p#6X6s(N@)GZmrSeO+I7 z?rEAPtx~}|-0&)qBhk>%<#?OE^ftsx2j3hIT=j^J#-70Y&AFPyX`{ju)YV$Zm>46nbG_YSBQSD|Pf5=;HXOq$ovTk2x zVOxUCqANrpI9J=Nj#;askRU@6;eWJ?Pdn5KI`enWs(tYlB;*17)GQ!PHq}bNkC|$F zKZs_FXPhVb!))1oR10%Y67J)<1KaF_822$7A-ZqS$$b=cF1X7Pr+il1MAah8l>|<} zZBn?F#F@YDF9{AG*f01b-x z8ZpA*yz;M-LM31Jpm|xuW^M%j;gYGr zdE?WiV1CI|$x7YEO8qELB7UQJt;@0!fvGYlL_t03gYLx6E8wm3oLj=c4J%`PRmo$8 zlrN$RC3VAiw*;x(FkHr^6cfKHAMbe~DqlD5g7eQNI@K(=J}KepzcQuuyG{-Cv4!u3T zm?L{Xcf?rF?QleMaZ5UltmB7{!yLeFnM4~2E()4*pxrV}N7DgxWZ&S+Hd00)5>5f_ zq^vWiCrzazb8Y1Z;{$HhIUhC;Y(HC%<-5Rw@on;5y!a5n`a-`fKD7b9P8;AKa(C!@ zy_ct6=0V~m)|^~KW`116mljF9LTK|t6I2J3(gd~Zcw9tn1K8>FB(a3;>BR>;yNGK3 zySs>zg7E{zsEKa=gCQ|YO&jvbix za;{a*c#4-428jAPxP$tWXhCft@i}=UR9spR(;-w`^R}VlLu{Q3{1YY685Li>j07g1 zQWF|scqwK;xcMpMWyn?*?h)gMgf=|o2BGL)mE|_v?Ma{#Z2p*1I@QA%agq2O*H3w-o)JCJ#QjtUwM?fx+21T)7iGRPWY!QIn5QYC0Wv@j!yubUUE1P81aYt4C zR;jA&*Uuu&c%9-*ZS2v54_)u)KufzdoLbu1z|NJF0Kd$_EY!a@y7xlG;AYHvb;hVhmVVeCcux3U(L zUzzNT1Wvo344eG9yhU^Jk=LP;!O3qURlqUcWTF}+WMrUoH%b584feSyj-xSNskSiL zp1@iLO47!o0oZIgtMir(Ts`z#i!%7kjK`cdW2r?yZY{E_6_fh%fA0^dR(smgn8dz$ zgl{&Hlt)qt3T_dP@GaazQ8|D=xP>S+brw9|9~ognncr2uLA$* zN;$ngU}`1g&GrMzn-!7jVQ*HhPZLb`W>qLBuaNl<_H38+Mv5~2>$%y03g>Hi=3cHa zNvxnb2)ve?qr7*owqWcZ)RqHP?ayItg+OoR0nT_P4X!|S*%A_-r!=@Sp5mz@jRiwxZf)^_-2RuCrtZBBxU)JFb^uG`)c%#8jU_HGK+Z3X>3f3{2wmBiL%ATBqq` z10Fw;U_-rLf4W5^?fNtmLaPEsfY<3OqNVYxD*jwe$s<#d0brp z=uzej$vPK66YJkg&_uNngo@9kEB|mrjM= z{MIo1nOsSuk~4^tQ@i!9!JL|#;3Zz>2gLu>9$#Zq!Knnv;j3BjiB@8wb+O5cooA+O ztAf{z{a9yA>Q)NxNj+1Ouv{nZ1b11>P44-LX~R2hjVkq+=iCn?gyn`gsUhd!Mnl9r z%+Km&w<7&K)hx^x`IjHJe3)pQv6z$6M z4_{BNv4Ig~YCbdudb$U{t~3B%CL^!DmwEWrg+m{*KzpGCNMrLzZ2%j_)__v=UB4`m zjeV|@i#q*f&zoZ&8vVc7!^oc$!Wgx76z}@2K6Hg@N=Xl5cNYHxy0%KKdn3qu3Jc=s zvmGx65bUb;m2B+rk_5y5|f zGLh%5z^`*Dmgg_Qmt<`?<^)fEKqiW+^~jT430IBB(ZDC1S0`MWJx6pW;eBKh_*{Uk zTj`Z{tu9UB%Z9G{u+YB_MQ(xTLvoqEu z5D%YvVe&j@vfZ?SYjmOye|jE<_!k4puV3WIT?i5?sa-^OeiDF>P2FxirO%4{t2aj; z-3^?yCT~Xagc@qUW=mB$a?$Sgo}(TltHSdu9GVYg2k)9ivSs$QMnn!JAaBG&~ZM4;~}MBuc59(Y5BBmoY{N(F1wY4?appM16Da~CJXpSY(7!tFkEOtvJHPOZK25_Jk{5Np{<=fxt@c018a65n~)$bbY%IfxDqY zu}Q*=xKlcYn%RqZ^MIAE9 zQ~TD(oIPM7O_mN|S-xwun%>8>{F7UlC~plBwB!ZiA*m?TD?9hFCfzwua%eM)%|B?4 zSx}1Y>}VF`QpoY!s=?7D^B70-2Zmoq)2k+qrhw4Xs(EUc&a4{$g<|DYd%gzO9_k#yJ<7 zd)na6??UA6;V(IvO_2-yO$P*;V}?)GuYIBDnrjn+T^}n&r{JKRrz z@Foc)28oki_=WW~z^GaoiwX?Ep9F{Vi< zoZ+AwC-yhui$FZ&RyYN<8M**(?vT(cS<1Tde~?hR$pI8wLhn;Jn<)D5VQ5*cD7td5 zAUb(d1+ON9O+-!fcPh)HL7|^D4QI;LR$z=sdXE}plvjYOwfN}KOnP)X~T z2MZV8%lC&ykX2v5p&_mH;`0k)8*71PGsDsTgf&}I_lf#3ZF|rg-%j}_GYI?P&`0|9 zlUCnDEe~0pU_4?^`$q2(?rk@j)))$h1*gsmH*H;AKbD{fvmnqw^Ntz1BsqK?Jx&Ao z79A_+nWlL%jxJ(*+c+jFv*1DgtLguP;E`fLkwowYQZIaSxqyLM@QPxqzCB>7B+vdg zPsLclEuDBiXy4m^QIjSic{`e7MHbQE<-3K2UjBq&8Vpe*^hmO6#Arr=TMg2# z@1zC~`?8dmqJ`=$#VflDuTZEiJo_4XW#@y*O_u5<&LpmIP_hLUB^)TQuYe0+p_$xm!)z|kXCZZOl{opT=g>atbukf=0zZ4mRu(5+M%1D5Sz!^QgqL)*5 z1g@=FR%+JyZ5<#lZA>b!M5{ljf{efx0ak}?w@u^@9qnJiarHT_!*g=nsh7zUDwqtK zx^8s}-Jx|1S;6}DfrEp>;sAuH?@Q?35E4c}g{hy~Ejvizf7Pe6Z8TVprJ{+4vnBdJ zl&VY@O0XwmHNC5_0M%h{f&Pi}tRW{%XENls#`H>xBZheujc7CAM9z}lIomw#mpIT+ z&icyy9_0&O=K@FyArV-u4^7~T3J?xCfolxzZz6s1%06^TSN4zY=Uv%y!TT{pkju&z zK~CZUrU6&4G&sG<^M!97E}W<|BT4|6hpoNwI#RS6mf0h^s#7gGjxQViPrRiy4+<-q zT^dKQF7z?mZbKk`U0y7O>r&E>UGZd5a+E=5`L~(7swdu+?-*rpM#+uc@MO}7` zJEgo81`If?X5mV4vCfcD=Ua-IZ{?i^rKhdjp_9#Q7zHb|w7uJep{Sgv0{bF>U9myX zT}!>7UzdEpIJo~eaIKS5{$9pwo0}J2>$tl4Z%&`6^?o|#b~6(KChVi2en?nqCMaDK zpkMZ_?g*fNF7Z;^M!7HX4HB>C35Baqxho)iCMS~m0Z<}%hK{$cm=vjIABk*Vaa{mp z1o^(>ClEBSk19_JL1*KXd_lwgtP3x9;Ebx|YY4BS5SBedEOOS-F52R(cgo*Tk8S7j%Ezm;dK@W# zgO_;85{I5b01frBs2_Ixn^bXBq#me!TNGvL*0oABfs~I31V-FBlwuvpI_BqzLQgza zUEGILjGBk@rM%%6Hx&mYP1*&{$c=2V!SUBL2E4|Z<{OrXBS^wLjQoyrB)t1gWmr5X zRrh^=)c&b)kG8aQmrB|Q90Sd1-9qbg+$XoHy?IdOdR*c2AR_y-0Ex`As0iycx57Kr zNjl!U0{XbvhEPsG9y75ed-ST)LWhYsVRD+Lq_aMdO#PC5Z6FS7hWt3vGeDcfYM%$r zb{7 zVFkX+@_&YT;E8r-z8~+o*iR&!^4a@P7%4OI$N^nl#6-RLajp9l|HG!mBbLEyX&nZv zpHRu=HJ7>0aYL|p8NbHO(kA+pB&M-|-Sg0=#Gt`NaQwGk=eNapaU%Ktou2PMw+c!k z*>*98&z$qbf8v~LAz`ib*n&z`PC{>w*9KXmCIx`jS(Tbg!csY%ll3q(TMwDMp^Aiv z|FX-wYJlV+qvvXdt^mSD^1s+x(kOh zOSYD^Vpr^-{z8d3vniebwEdKQ8xV+Kar0Gmt-|d}w9J^Kq-i%n^#!moW4hyp@$=jW!J|0SGZ`j*-4(x@ykXF@;6=_vC8>IDnx#nQtMIbzX3KfdI-8L=n{yt=W65YzJozOyc z{F70P7@#IkjA#upTpwJtjA&(uH&pFSQll_|%GZoTdTB$%t3v(D6e^GR8F=s~SWOPA zxY%La*z5u1>()BeOktWPX9{_4p+Ko{x}^N(#DRUWVN{@9FPHEA@Q8SqK-*`r&>*KNOB{n|ncy{!wQ6 z1RE)&rZni789>uhLI!0%x?8A%sl>wPNeJW-k#>S?|-wC!&3G4e@wJ?;T6ZpQMqQFoR5O~Jz9Ip z(j_iE?9U|yasUpRcN}A+0lHTlB7}Y4YVA$zeX_K=Yazl9f!?Wv{za|A4za!I*)kS_ zWoV?M!gDWw)j2rIg(Mg(P&87~ZR}()AA}nJ0pW6&{Av@_4{G}6SC8*tJ(0K4*`hr1 z8TO#|^&lmwx$5^;Kq8rD+IdCnZL|WZoN->9`z4qO3rZ?~yzK?5i-UfOe@U}VUD+|= zt>dU!f5tzUb;WqC<0L-bOz%pp@=u~4&86vh;|3fSaVM@rlzgrlAJsaUO$AK7n)ih{{9 z+k;09mx6h~eF0^N;|>bbJ>-z32}ab#vfAGgC)s{-M79V z@R-E3tf^Je*uVw!@CB!(L1gcqB;bwNd2fBlF$y9CgT+Cf(You8DnZ>|sr==zBe93! zTpSV&!s1_671YURdV_knGQ-k+=*ex@OPYx}$$as_ik~@hIc^h)&~5S(N^hI~5HZ(- zIawZ*ng@dlB-Shsme{~zs4aE=$dGtM45zEcedVMnpc-5IrQup_?cr6PZS9+fa3W4! zE?T_=fd{XsoldDmRHvBCFtv!4?R6tNdQFlj3nHRSGAv}~N|aecd>iF5pAr8jfPfh0CA7BN?8Zs4Vx}A)6 z!xyNw^+SFWq^G&Nlu=rcT=ybnkbzJyYZzZi6Hx?qTwQVBkWp+4DnyN3_8@Kce ziJBB72PIMK$lz#A5{o~d`2*Ni3ay-(Q`;B$GPrN?(eY&3_C=nIl~o)y^Bws~d^($t z#LKOj|3kd|OuP&i!_#$}br&D^(C`#)TK%Vcv3%SCa^$D(cH4fcsJ6>j%w$t}!OK*$ z$Oqn)ihSUTrJdY8vt}O_sJG-Wd8xHa@?pi(%?Hrg^_2@S)%eCIRj(6<%O#Bqv5mm` zJSOEp)1IBtrXf+WGh`k~j&R>ruf8Rz<&d^7<8QKkPhrP>I=^iEMFLWoTKLAtvut5B zA@E7IU+e^8`-J~+#bmmv=m+>rP*Vd%0=dH2bFB$d6Pz8o)*%;*0}5S6Ah^4L7($HDrd_?^W?>K>W^i;0ccSG>ssmF8p3oFdSA4w zNa*XO6R6d>!3h3*8Ma#881KSt&tB^@DeycoBMP`_*AgBCqEC<&@uL6iv`WdlOU%Fa z3MWTqEznS8Rx{?vEDJ%=M)kWNm}tW|2oS{+^u-{Doc0Y_Y2tT+2~vhT#L1m>ZxA^B zMcjWO`ow8sH60-m%{f#}SaO*Uv4{(|>t0u{gT4Wq6evs}*|Xt%dX(3Ri7XZ%sw#0aQT;Y!3GO{JBb&O1f?aiipP z*7$38i&Om)PN)05sYv;{l`QFLwSMFH>S=e?Y?oC%-!@-*>K(Yj1}pjGz)G0feYO>R z7Q$8V+2SLI1H4po;0g!wQVvCf+X)4Aia?NRM-m;8voJ@Veb+YfH%`wyS^Gm}R2|4w2x-;(jPKA_1Un(T-z7#p%QHuNn z;1n+8Z(?{4?atDNM>DVyZoqQOCxOvboRlH8)R$mfpN=3dSj8_oHl3cMf_An=CKD42H;l|8xFZx;TdP0~> zwzNK5cNO=glmNe`wi4$x+YjaiRq2#S#+(=0T0_h&(gS`@u%LdiT@NG~1)f*3OJE8bg>GlC`c!f^P6$QgRukp%7agk&if}oZzdodFGZ&vs^$h25jO_7T);= ztF5%0QV~41le5sp%a;E>PnSG6OL9FzTmgT3Ih~uvuhY3+xQliPz%I>}fRi*cWEW8n zIfSwm)G=JYUd&CE8UWy zSQ>SGqg#5Q?q~av?%Y~VP?nsGiH+56O{SY3)`TFjEMlEakhmtT5wWp7_#n%;;b*9v z7*iqds=K7Ho~>UhpwMaJ)HBrDsK1O6jW#;o<7OnZTw%S3A?xi)3tD+4VIooVT1hf7 zXiyfB!p>JkkAz;51HYkNkD^`EtI;J;o7a0dF4V;uWlodVue&2}D6^f#^re-vC=96q z%@<9a4Jq*z&p0x3tXxzL7hUe7M9w1wBWq8l!pK5pfoD2xdpVT+f7NOhIDL^$j`sX* zT>=FPIGsL0zm2 zlRV@h&Q}U0g=ER6YoVkp+FmHhOE2CE4$ropJtcdmBu&YH#=MYmXdNVkn(0%K(tRUO z0|Tu*=a=TB8+n?uwLl+AR0_NHm+^gpyKqDASnaDf%iv`fB*XQbhU*QaeT(1xW~M-)h5dx;Q_SJn%}Y1AhZuY7e#XYSaYs5Ndoo6S66# zB635Vwt`m!F0G$Du3Xv?{HG@Q$<$RZ{xXHn5FMTqg^dTBon?mTfWJRP2cG`q!2(G% z?^%fiC2^&LmBBgp{h%Uw%Y9=8synohgn0((x4sDGi9$8ub1+XULHeTAo!KpseycCr^I- zpT8q5+jQ}U_UYm(xHY~TMK0YDtXG=F+q7w}9?7XfFb&eV%G3ljB0M24<7s26231Q2zC-5~iwiG`7$^J?l+BxW*XTw*54 z0Fg0C{Zxk;@s)qE1Gp#7kq98lHlm?GVpY7Yc9!cdW z0&38cxC4-EB97!lQ{_9^Ry>oB%v@ang7>_c1qDQ;Gx3|CJYvrmBDJBaGovoE-t0L5 z!w*yys4hn23%R#;K;S-rcQIjjO=rR{!%loKZzwAc!_!14zj}&M5Yf6gVsRyUG?`u7 zZDynyu*&~D{SbvRMLqEbKkFe*jlNZd(`r8d<$Gx6y+ZLr_;r34hU}aJ4{76h#kwgn z)c7~gzm=Y-I&7d6DEp>&HOEFNPTg=)vk@M-K=cH2N;3MYQ&m}P88Lx2le)7Rbh66_ zho~&n<5#}^@EEI)$xH_kG5;rs$eZ>AiJshuvh;R!$_>5Uk1vMy9%RF7I;E6N zd`cMQ8Hm5jn~p~db;d1vYdgTcg`~t<5P5BG>oZ7iLZT}hhSZ_|ZDQz}tjyFnfQv_# z?l6UlR7jMG`M^VOSowzekg!tiDcykq58>4;&!EGK|4bNm{HM*)Uc{K$oj9`g!9M*! zhUph7zDAbfUGbv-N|VhX_v5Ulet}d2 z*^4eKumzhl>BdB551IQcIC)X1QOYrkvIzmkMXqL}^s89LALd8Ri|_wzUYvaAp>njn zx4`dqVf!d!8RCjqBRiV<;&yi7i8^I6+(y!=&dp3SLA8)1nu09q05^eV#JwvMgyS;E zbYuHRJR)5x$AFlHtiR3_p{C)pK({}_pFaXCwhYv4#vICq2R1_GA+h6ZU<6cN6cfZz2rH zT#qn1o;U(MB74UZL&kGY^&Rmgpm0RGl3gq+wglXK**0WSCaP-PV875*Wi{!rLrko6 z^WS}U6bJBPZKx!_<=pYU4cctEV1qVYeuP0=S~C#MMz7aH znpb;=Zl-!TW65wGt>h=6$daXE8Dfmtb?riKF5p`mNLeSb`clozNmHQeRj6uZ>ym3S z-p&Zs5HUh`Br7Vs)V?nXrFAww_dbCw~KYfne!zs&7<4Byd+XXKh$rd=_tc^a40l+6E`- zL1bFq)Gu}tzg^4ULe2kv`t65*2^Cz@?KFA(_OX+8++!!@xbOWFb?A>&EZnSWDZ!EXEd&+5VcTaqLd!Jk)r~R_n_P@;v)Bie8rB zn}{%+tn4ObpWBiGGd?eENL+$0{Y? zQ%lQhAu@zQ)49r7R?W#FIuBS6CrA-aW}9oMYWb?Jfy$Y4o{fv!HBcK@58?W4AT=nR zOL$LJxLj)B8Yua*q|2ut)bZZQay8peGGLP9&$KWY=$W%k|9uG5+h6dwH)VCGtnq-k zx4?#AG+aG}^{UX-CaTeSs^5*rv8JU|&kx49iaDo+j#Ge#*aGi~Bmv+61b*7zfi!ee zHfm>XmMPUwe zhke*8-1!t)>~u=n{(hO_oUFbtRpDQAPHs3_hrd!qbO`xU=+F(CTkyA*)hCjGKcbQY zf(3}faF(eWP&)uJDXQgS^$HJ4D&@D;3-L+8LcN9i*3yNP{_FupuuyIfj`&&EzfsS_ zn#EbSzNyD1AbZ2#HNMPpPm`i=)B}?sr&(NcVm~HJKdrQ1Oj}Ve!OIgfy3`#HdWG$V zW$;4`Kg+oqU-Yy3^NI{Em$0fBP+cxeu?}$a!I2EAPO+iD|8ik8N63x~ zRyf*dFv$y?>Q(N`Bq=u9>(iWNX;U@b3=tgpRwm=VvlU5GoT~Sf$q;+C9AAbM`~$K$ z*XVt)z9$whk=?LQ_)1bf1;c>(t9||;m9i-VhCRdDn3aX~^rhajZ>-WB z_N=ZB>-V;NitB&Q2nm;cKS+hLW&p!lH>Q)^pXVzs)*8+Fi~`n2T1E87C$!VYtzL^+ zyr$P+Q(2W{jz-L1=WdridusHpUPh-Zo4#0gS+#~&hvZn$21aUhbmqGdLj@esPt#ph z`_1k*KD0qWDre~;I=X;BlAucm?YERP9Ti*=ORdHej-%Julloaj$D`wLdlj*fs~M5y zi){(CMVckOMx

    TS#6>y+9WYg&6z*Piy6-wbeowq-aavU=@Ch6Vnz2aBUjI&aJt~ho#^6^ z@g+E{EXL)ZKz`7ZGyzKnE=|A$#5Mz`?%@jH5k$U`s4vPa!Z`u%$f_tpR!K`;U)WX# zS)(UqCrnmYxB>{;@knvq1D`elf{}r;t6YNM(_@h42>4VT9VUP}eyFJXZ|du5EdSxH zk@?>$ofm6v5f4#jB_3)=_8ozPmnFaA4Nn6NuV7EStRMVTbrU$J&Va&2sUy@P0JsAO zZ>hG`SI~KMWsCGV3=4s85?GO*4iM=U0&#VIn}$caWKGPyP~>o`ldBb{dUCaD-LVJV z>bPO|4!m5>2wMEY!Rw^OKdznIw7A=KC`WsxgsqjQVWolaCT~hDG&W?DfKm^qJc>lsVI3)Y7*L{u2i!RkmL`p2$DpvCSo=s zB&Rc(CB#u#5nMjLx4ffCR$hM?1McKJPtBxu6;^bFSJVU1tDt2`Mc1Xe0rQ+xG^>1( zG2YsIp=1o6Hr5iP8e`Wm&wDB2r?*C5n?g9%eO$__G4&~0ik#5d71UM~8WI5!K|35H z?;;_eKITZlP^RKTpV@ZR_>!VMQJ>yKM_xXiRHW-$toFWfgb9@#8sRm9KVTP*SFp6a z03iNYuX&5kyS8WUM$8b|dUaO;2AIAZ6tFaZc?Fa?K|Ms|-EUPPtDhEih(iid8ed8q zBxym3WS54^b!4e^|9=>Jw;k!0BsuR@_8TzIEAx`z@IrtH5|ji{n2W|1yCF0<*cx>A zK+@YQJ~MNVh|2n_s)613SLHfJc(|LJAEI;j<$hNwKhe+f^IytjQQ!KzZ(?**Gp1^I z+{z#>C0kb@4sN*muDsNXqUz@1^qhrJqe^N>M?Jq8)oXU5F$4D-0DD>zCH7UasRD78 z(4&;a)siS0CJl;j|MaXEU*b+4>%veTV1n$9|0c-paJX19aEa(lZ3?IxDZ_8`v~AKH zY0qCy9VE}gXN-KFp~dg8G^2U^m-ttDp2#}VJ&_*(9A>0WhsEZwV;p>Xw9ctTxTIA95en zO8?S+^Yq$4Q?SK-$O*L3`EkvgP?)#4A|ae?(_}DYmpgH0`#l}zt;Rq<$QXgzlBaU{ zWH&S8&qTt6KPwXUf_2ycj4)p@(|DQ*5>`gWF=tB9u9jaeX5{1tCi*@7K!G)~)D|Sy zwwWI-YEp-ZxWfB}PWibNIlF}(8|``^Zf%=`o`rXc~_t zt3?i4ZCar<4`Ios>+1ceROw1Mcm=tjY0!+Nc1jUJ`wp+Y6EtymSI$wV*c-&;!xIf_ zSNn3v3r$pVIei$L)8c$CerlK^l2(je)nV;t{^LC)uDRRa#QJ7-t9I~mA#Fk|NZfzr z5bW;1YX>o+4aBG&EJ&IqOX`LKu#H8pGeqUWTVP*2J^fm+56EPNPOvf}n9_%>?X*#CdL$AWKC_qzDO2VjGPLGhr3RG{t54SZO zh4yiF+`+=23nx?>T4A1IH@Afj6-n$Uq^g6^Rr^DT8beY-s2?kL zK@F_q>ef5CWaW~zqdwj(4pj81`1S4^Zw)!QbBi~w`PS}Dcdo8sDk*djAX0b!(6-Aj zvb5FGn^e|@-b9(RXI6va!M4eoKSPf|EyYuR3dJwsJWt)j#1uIqn=EDT?BLv)k`pz} z-g0NC4y_g^`O7WTIIfX${jRexH?6RvWmJzTO1%b8yTgh~S3=V>6)sF6k%l3JjG!2C z``(rGw=$DbMAaP96+mPN^aPRZs?wf}xC$(j7wDxRJgcRE{tpkU9^!(3m@I6KRCUFY z@EZ933m>M+SVxTyw*IMrafXPlo|S~jauedA(3}UZO+;OF8bXb<%FZ6tfhz|*{rF5j zO)b3!X?xZ;q+NVXdZwExf>~x=kjJ`lrXp-)spZC>?TxR5O}z1Wx)XLvL8*E&Gnr+M zW4nOO5GQNIQ(4jSRYD?YbGsMX_;pRZ*RNA`6T4LOzoL~4V~Lw>?BZyIcS*^esTS0C z3~mKrOe8J)qIx)LM+{M~@|=2thDqI;v5EIu$sw=KdSg8&2(GjGU}d6P4aevv`qQ-V zd(y)1WlZmHU0fM4$-1-E+s3YNann;m zAxmQ^qmT^ZJl}9uQLj81eohTf6zR}G!YgA%80{&atpx(Kmo#n!VXqPg!BpX=?qqqX zpS7P7SGR;fC$Ars9hc@F5|5caHqR(C&gEMtVg0!65kUkbKIJ@RcAVh+wUAw)a;y8| zd`ofhRMpzwM~b-@3gKy`3i-8mq^Mh=)xAZemPcnDPUIFxVpLqQ@^IzJIvd2Mgf1Y zs8mYewZwn>qPnr-HKtlrRgc1=+L#rId3r%PSgYW3)SdE8tKv&rwZ-u-`F(7$Bn5*j z+@uxS+<*eQZdOp}hKO!CN zS9bGSV4*WsE=exlTMDz2JeO0pR=PT+lR}Xsv)PeS)f#r|7GeWKw4}+6qJ_=uVSXoP zw{-Dt80AcgNobdxiO_C2Q=5WK&eWzr9+J5K2pxd{466etp@Q6YcwugJv7l}12Pp}-X!mNE>7bTB|VLRUYE35Fr>8~|7%16h&jd?@vE z7ynXilfo_2F}5O<7{!T_3UACzhP)gOp1P02w20C}P&3cLEkl^e^_TY~}pDB&0vBgf77k zi|_okzDmDV@@Sa3B#$Z!CKhh;bmbv@x7f}p_B?g5=d#!o9jG)N<%(CDj=}>QPX}#o zkG7l;(k?AKP|J9BWQ9jO#dUX9;Z=V43;Ni^x0Q-G@51tM-sVd>@nLar4kbQ_lc^O< zftC2rm5vW|6Vv>Q<6QZou~?FK4}#q?AZOYH_aT&jKpl`#T;U-{5;mFS7)$~ETnrbwV^vHz$F4I~3PMnWyKzuaq z+%4;_5E5R0RBfCITerSCDKfu$I)tlckC>sT#Zq_2tnr!8RtEEeJ$Ud$pqGg7ix$f5 zyPp7GR3C)@*RPx5)-5Z8*?&=!Er*>MA9`1;w;&4Mw-~N;hW^Ss-3)OVk?sq@E%vZWxc02GYAloj<7(7V@wPebKt5NzZY4VcqrT zWbDon*s^b*s6gE^pG=5o-V&hUTdqIXEg>AIo!11gQrlD4%^f4kG;?{!h;(;5{?WBJ z;%7hIgX$SZ`_-*fn;yKNPn*$Z=B`a?bHw8oXd^MGP_0)Vde?anikMZ-B(4U<_LVb9 z4`3%rNAIy1=J=e|#RYr|i;j;3PNePScs_biPpGM29}`W5_4R;NPOoZQsWXf#ms1*7 z4geW8K17OtuHs(H?^-4z`Bh{$46_8d9eT;5ZB$wRVHU69-LnSI&?T|{%PERhgZ1>) z<|-Kjwg&L^MrSYV?8e*sdTiVBgoZ5R|1g)-XvJk4gYxWT8;^musK89VuJ%sjo{xp~ zoV5RVmuwDKDD~j2)Mc8)K`sWbgw4{$ZDaY-oN@Fj5shZtzcWOLt{QMW-!}xi$d*^$ z&P#b$$=i|f-J`Ym=*4Z0pB1`J@A@aWQY{K`XvR%_0P>V`#Da~`iez4DB6Zs$-E^ZW zs2JBqgD?u%kWL4B(hx#Z8yf}Mt*IS1fqFKps<$a3@kLU<)np`SAaD&T62wO%Kx#Zl zf-r!Vajz-|g?&TY=@qt49oKQjoRx1B%3)S-tmi@pAFN%an#bBD*L+w9G8q;M;>kW% zMM0n?V-*ybfV!3u;!;)iI}I)hmM!(R_~Ns>x=o1gfduiskviTq{cVe0O=G z%F^FPbKNOf%yk)IO?DA_bF!I$%J3}6^Wv8t6svlL;c9fFoiVZp ziC$d}0-vMSeI?vz=IUFBArWe!ZC_*7!6)_%QW*D)JhePqEo6yl&_V`#V~nl?!{1l zpWAad64&Oz9S8_E#i6!ObT69hc(;NzxlG zvQmXqNausb)bfp5?bd0mE;-t@AV}>i=nDe0e$+i~sdSF^q$NoOgc$YH-WucyiqWhP zZqn!WAVnQtBebQUsd$_nDW3G=DhyB4g+w|kpO9Z`hZA@99wXiN;v(#3-Ha`4X6mfu zZ-yuIYul0&3els<0vZG9ZgErf7lWI7GZF3CWQ3{rw@!y!1ELjf^riqt<99*=O8`R`D@21Ms!E8&xrNNElggtFD#x4cDLBzg+O}LT zXVmHJScLd!Hp4?iEmrxg-wn|t5!|C9Ycr)Xm}(eo%$zWlW9-3H+p+{xnfsM;F4q`f zLA}+Kou~ZfRRE30q>QgXu+WuEKVEw|ipFD-lmx|u$JSg%-D#@_6~=NMyj-D7RrI_7 zP;KpDOqF`5f@5j-DL5v9uQej$*#RTEB}imTk)pyKl9iiqHdG`WnPvX!M&()NkLukU z-ct`~2@o8-P`Mk*NpP^27|Fv*8E0uaSI11!=bO~{K=R86cH;woE)XLYz~!>R z@5(UYsm>k@ki+ZFQxP~uX$7J2!8H1v;bDBNo|febP>#~cOFtl=grS}t1=)Rp?%!r# zptAEJpUOKoo3nc7n7{JQg)9~x-Aqtf(QB7>rTw589*^p6X+ zvtU)l)X}-)lP~|bfBp0C|M<)J*FXLKkKg~NKh%l)N1H*aCi6r|1ayP>uqOF2iE8uy8a%zjP$%mH3^;In1lj zE6{Jh@+txXDPa{5=>1ZJ1U5GgTj>cwPiu~v^%&D@%P$$=gQ&kXSO%l-6TLT=0B8GsCl}^5G z|H=5-PQSws^PP|u(pZuL?Vppy;-3W!kS>b>Z2VGjBLaT2ermh2GM*y%*JL)G;^K2H zL+UWGVvz$yA1aIrr;nqNQP8~#VM?eve%TXW#8JUltgQn3!j>A~DHRSB%^{_c;r#VI z2+|v9C=gS<9UNC&n>#q{n4B#?x~Tp+F?@9`7Y2TbsIV3Y(rNKXM9)?C^gXe3*deE> zmqm1fAh7^#K$5=~GYTj6qN8wUinca~{Dp#?m3LG$MIiVy!9~y^@9)TN9O%BZLtAHW zMzzJQ$Odv`2i-;ZS!;HUKKGhUa5~JmE+o{FH7K-8L@d5vtAjAd0m2@@z)toM{xkixYj z<-`&6H+?JFcSS+gr~~vJ&_hO~Y^%l&Yu-sh-%0W#mEXtvt^uZ&hBncz0il+XL<$^} zYcVDHz}_~sB&pJD-tpp4`4pvC+I-gQtL}hBH+;Ksr zb~0^u!cxlJVj6A_r)Lhy(NQpS$9LGGt3dM)hDxcrOETxM;`~Kei6R!M zwG0&X;k~^Vpx7CHcr3-tP$2;PEqY$8)w=j~lNxP@Bt=6<{)6XNE*d;v#=lRIK3IW! z;a(L{q{bn$aCO2bNm>?CT#yw(2qtu9iaelzfc|;H59GrS&>UXL#fNrmL!-HZxL6&i zM0?`jkiwq&E&DgMmBN8rr#I=3Wq8w6T#*;&Dgqi20X?Yr1bmQ{ZuG^GDV@Ki0?Ml_ z&rU&|8$A!AW)2v!wG)Qi*^a0);i=J&i?iV-T%2CY-?%ui!~#wYlxHY60(xcR@&u)< zL5A>3Df2)KNGjzsz+>AJj^f$^&uB;MAOX>** z$Q#X|itjHGVfLznU9khR%KHaGucU>^vVWxW#=NR8j&gD&^JJd`gSMO;F==_IIXU!; zEdk7!qAcx4q1@#;uePC*HmuxJ`qw|VX;MZ`nlFo!sn~M_RI{fHLPr7&QZIrb03uUJ zmIze$7B}Z=t9r38sl!mLh?TQu`q*BYQJWW65t;vj_B1dJpCw{*H5|1$gvrXMY(W3o zJ6MQ1)0?zSO8B;T`k1YxCM!5wTc6mlY<+OTo&5~>JX1{ZsuZEy?6TqgLxAhbuTjon z1w`zSZ{bHdOxM6(^73SI7*Bq$0bg=d!;8sbF$`p4NVwRW_E;`Y7V6LTV`2u2bdzyL`g4<3axcXZAa#3?!Tn0SJLvC<3 z7f@14q=6k_>90ii$C{WzRuuzNf*#5FXx9@>T6bibD`{+%IQdPU45*k$7jUe0?9Q?o7l5+Y5O*sQnyK^zllglmyb>io41xyUNRUfSjX)zV#nM}(fHI} zY7G5&^*1jy5VzWRXi7H-&GS|Wt=IaHo(*}9Os=O)GK240_v!V|<&#J&evrEq{dZ>6 zsmi6b!V>)M0ChDI=~Yi?NDBp!5kG(phw4fYqYAbt!@Ow$_q@vyyKG4Z{iduPp!xnWV=r2ynw>^{jcmd;#y8L!^$Uf-bBy4=Jd4`?m<&;TN!c?uT{v*5%*SSaHNDU1ChtZHb3myr)l!&VUw$2N1*T4n~mywAwx|x}Z`Y^OtM7 z2ie9tn=OuyS=DVZa=ufhm(-6L$q@;rYGm1Nj;b6O9q5>BdxmBR84$`lH zY&qaRmHua-->aeDj69_1FSf%G@vu5s@zPR=QK_C+RhLI=Mg4%|)#VbxI=2Cf&LYng77aa7xdX~uEF#m% zAq%6Y%#qca=yZqh84V$t07eh(BqTg3wSN0zXT%Eoz0woZp%#WksVEgWNv>wnX91I8 zP*sW{n^Xg)v4|%{*~f>N6g1Ob`>~juK4mSA^(mW2uVJBo{wQAksVv_ z|FdMMtCFG0buZ67$xv6cJY6S9hAKqxpmTs9!oQ)UVM3oA;EljWChe&>W4+vIt^S;cxCYs$Qi)NI_h;rd**URUIebv6nwEDOB6Z>`Ah;$I|XsBr&L zYH~I@NTL{lEv2S1(ao)rUbxqndfB)NUlM1ES*+sK!WpyhZ2pa}RWc?@e`**wzw9Uy z1}*JY>~+c&X+wKVWUiQivn2~}p<H^oEx9_RdC`>|uf@M*o3{Q69jn^8h|@ zyj_oD6VvrLLdbg_06FE1mwjP^8Fa>sBQ4;uFsUmXD6gZ@)`K-wVFU)xs;S65DQ9wE z6fH`xFC7^c#MA?H*0@jl->4uRcvSlu&5+?wOPUcmB?3J@Nf@`tWiKm<-1v+4vXabg zxrvBer!;p(C*-vF+@bo*R7l{~q$*wOX51+otY+N)Jm>KlyCDd0>K#|9pJ5>(%#-tH z1C_$I$d+9FiXTX}ex75ZtoqKDT4f97>N;$ac5)MVcf3}xoq=1D#-9jV?*?)rXjP>= z;J;K<>r>>ttx2jS}Em?v5pBOzw;Ie8V0vm4FZ;0;aF#o;h-NPYoeMGXs$7cqjrI zQ4{KmB@o~%bNStf9d>u1u{~n5;LFu<9dU7fSyS|{k6S3a{fA;3{GxEHI)WSiJ>$TY z*Q}c*r>-wo#S0Lxm-pF~ZCcV864^YP&V@a=Mn z+UOiHTxz!tDMSgDkxI=6sd#0wJ9h^#!p^4bB&EzIF)HUucO%7XA0PO^c5sEB&%otQk^sZ5odN{A(> z_0B(9;VNBI#BXU5)z+-Rebv^~`1L9F<14MXAis``iOYNA>dB&}-CHGrt1_qQvu`vl z$t}v?e{}x-WB`)f@}fuFZ;rSmiCxJpW!#r}rTU#o{g4qA;ZsG_+=5&IK!iIwUo_s{)Z#Nkd-OTZ#_rdiDEs!IoBR!BeFh zPfywfFIEX1)m2M!>QistB@&MVpVEqs}aeQ@-<6B&_YAv9#itGm$tcF@)%`R{fyJBycF+)F9iLt^2oo}J) zwl%d*k;Q#@aT~I+SS{gG(*|~dlsuZ-O&{{;diPD+0|tEhv}1f(34(@fvPX<*2494XRoQs5h*Kinqb z+NR~Qm=^nuZn-Z#S}pgjM>o$$dMye0Qagr?IdHaXC8o(iS)zx{s`Z`P7qaIQKS+b^ zc34Z2MyC^_kgRN{*BFB%zS}AuTE7s*WwP+|g6z<*Zs>b>nUvUFi9fZkC zUUcf1nb(#0yN;xW9?O-F6Rsr~iVb}0kz#oIkvhsFz1~y_NnZvm__q@WO#^TA`NR!H zqn&L%abCqWab;yZZ&f3$EopQRwpG(1HA2e*6par6yidFk&V_O%eG9Cig2%BgbwH?% zPL!r<$hE|AP}DU@|3=oR0zHHcJstP^jXoJ3RYH+AA)byKEj{^_nYDgD)pTGQSr)pG zT$S+AzH$ieOFj6IIV~)b1~{JU{`+ae zi-(hW(~=65;ffL{$h39Z+eNL|GHppKxx3zh&NA*rOaqVy5!T@?5U1B9V&uyfJfZbG zRYY}OnKxAs;UHL>cAv$}w*b0JA#K)*~}Hd8Cj0$YpY9WW#uVJ2}vIsP0V8 zQpO`?IBs|reaFpGB#UunQ56%VMdhS@GIpU?r2PPG43Q23nI5kyZ)S{9GLF{3`9dIZ zK;MNJg_xx)vH>4PyJjs)E_#;{!ytBVQr3X}FB?OKyF5G+btN1?Ts$+@%F zE$7D9rUoB+8Fj`C%c$M9tfShn&g%$fh1MBbN8|0+H~@=K2FA2g^Y4r{>5SAgofsRFe_HvM8Do$n8KO4CBBB9t*5(^=Krbh8=ugK}>k%;+VGvB*VX3R@t+ z5n)i-rrHlH0K@w~71~g5fE>sIIjfEG2;iE^2V(B5@s!t5n1a%Oh1NLInp-vAeRz}< zA4ucj)X`Wvb2H3quRl4Ap(AwVn9=J)$%ImJ+U4}f+|(l-((@x%i1!#GL4f7ExglYJ zh83UA%`hVa9VnQfxsfB;*u0gTF&>4X@I}IS=r}+#i$P?6ycRDUS$A34$#Xt|XgweO z3kUR;>dASI*C~8q9VfDW!wQg_fWs`D=e=RHIlgV-40`~^)55tD6K->Kxt=K!^5lp< zU9YsDp0aTC$U+D8NFVu;8&W_hGK66|Dr;L%KO7~fH*{yfVt_X7f4|Mo@KfMaPKOV#c~>B`OJKX zBU(rG&W2_W5L3h&veF`dqJNwEZGI!C(!rZFqJ{RT=Ng2#-1~Tg?Z=<8U z&AN_;5a3CDJ5^L?(Ln9fsag_$w2o%t4;h^emEf+jfTeE!%WZyxddTT9hvDsJyQJnX5`})T?Kae6CD}vUOG*?XYsKsuc8; z${QxA(4m^yrrss9INpLSZM~}W$XZqPNUJz!_O^oZ=)(0R{Pvwex!c%};jPh?Wnpy1j*X>9KnYHT2xsWngT{U__2b`@IW~zQ z+BpvW9V;>H#|6s*mzK^uQ8(IO%eML9y{Xzz>S(de2koKSHfy&n+h$Tf9*b?((Q2D@ zW!vV17^h!iNTq5U(k9>-;5qk%Q6fOm_vEPVsZkz6-$}V+l&@cn@--PWr)Qgn9_9$~ z_)V=rCB&XQ1QkZPECU?wZ?(%xNi9KIz?Y>Krw_7HQp*8BX3~#y-jlVfMT%PD;7nP& zH;N1)-5Ax9R0K5kF%H}|aS zw?&|@W5uD57J)v<^}=EQa;Wba>Tz<0dS;GTqHCxn(KXTs-f-Sx{QK7Z$c~|yyA|0u zV1HPb;~%Q{*I41O534Hc2Qsqg9N0#j5=3Vm0346saGlR{^WQ6-*oBH$VaGIR(Ie5^ zB832!X#7+~3m17j20r?h)i?*K%$V=2nV|l9B9*i2cp9l_8|OkQ?CMoW#fZPs>P6~y ze_#;imJ)x^gGy#y!HCyt*45Z=f{fv_!yE@>=vI#Fi#dKwkfEaj8DkY)fdLe`70S?K z9O92j^4TS1LVC~PrIYsj_4wTl9|&swO2%9=CK;=WG09kg2MJjf>WqU>kfSNEtR z$Kw|9qu(1s=EjTYGwUZC=jbOJ=T*pvuaJb?LS}2WX;hp3s7-FM{#^EbQ+h*AaQVMn ziMaeydiUm|Kj7iZ`TFu^CdR``;^GjL)lw#qrGY}q1hVAwV`ubn<&i7M8uM)z=c~D5FDu6mI!*C|M(DYUMyRa0yYGFKp)o-3G~Vr%d}P9G*qL4y7=tAzS8j#krSm5{*{gKEE{RYC^SDj|b8RYH$u zR6_KyRf3f43R8cmQZIq2$NR3U`N&SC(tD_QXXiG4`M(P(@n=e5^cUqB;nrqc!gJR4HR(P-EDu(saI9 z7+u_u2LZ;u6-Udh4)Uuyg!V+qS8oQL+95O@H4K*OAqLYiv~MRv6xPvjt9z1aGMd8D zWE6vS5cDWiI~*Xhkhs~GE2#pseh#YjT&N>L=AxARa|}#})?{5OuWjI=03DEopk@dn z4}arcj#f^}!ykUg!>^))8h!#BhR_-C1wx;jYg&fCAT&Fw81ClO1wu3Y8{B318$*9R z{MQ6cUcCoFH<}FEy^`)}Ck-?2D283O(k1cO3hl~c3#2_ewX^^(eM<`94z!7W9fxQj z4G$L7>8`q{u(Z*rrtc}CUEdZ@(xoBfVkjarYb_(RGjbH5D%3yyvWS7KLpB-+P zZmktSVyadE38@~~`8D0@jx@CvKwC-6R%fC+ewNGXTJa_5=%X3u^vbI^rxc2-WLxni z&gqr6#5sLs#W}|--V&gFk^o!>xFftoA6%=>UXIGOW1~^^^_n_bVxtClKZ}iC<3&8n zTyxjUoSlP< zm{~mvFZ0Lw>gfL%P%5d5Nf&xxZ>z<}{2!sMci;HUWcuIh=Pd z^Rs;k{+nBP+o`w?IVDIhry|j(rxM7s$e(<@{8KzvrGBNugIdoxcnPhDAHgCmbJL5| zenb}O>PO_8rypVQT^xl{0rn$wi}mMP=8Ks9Y%SlN)^au?)sTD`m!Z)PEC=J_FfZ;A zG`H8XhNUQ~r%GN!QH?u1ld*WQO|A|5bYLPhh^X^PJVwbUS;t7JqWP1?h?J1kWAAJg zj}f=Htv^>v$~s0v_y$7#W{j>e5nW~UMv_K$yc9chX_B-|giWqW#&|oKT-8Rxbt^4_ z-<0Bx!tl{OHa91V+H`GWB{aY(RcT_D=79g2298!+;-z_^vKaH!h5zB7E(2t}*oetX za~0EAV3qG6gW{Ey!@5{tD$wP<%%usgJ-%C-=Y$I$y)<|4Xzek91@0abYQWVNSl&^E z3+x>wToCUl@dA5CYrG)dQONZWCLz~3TKN2UM{(+Hk4wGT9)~ipJq~5C5+-r@V~;~1 z*dFWb(rxyRiVOI10Ivb$bCZCNrXn=6<+Ia%&)QHr7FnIk-Dv`W)NViuDvOIIjV4=c0kAUtuI#e&AhvcM&mYI`07G7RV#q)Y5 z!(8&{+>S*aub@dWA1#l^iBNnyMmQ>$oz8ypGq5A+O^~uQ9H!^cs$qjGnCHWb}9)tH)KAd}s7{9Veq_ zuH#PW$vVCgUOHtRb9RS|jH~I?ar@5B+&pGO|NlzxYRn{fJ!Zp1o)$ZEA!D~(k@Jlh zM_dtV&+gMPQR!qaYotp>J$E0>)J*-v=r~n-$#=5gWpq}-%Xmz|v){BxR*$^|FGJQp z_xP@Y_oG3)#G3T3b064=wkCE?VoVNL#%7OUg{rdXi5?KVITvP=W1=umIVNQ;ObJYL zQIvqq#k|@?#T8fO9vA4$fr%!8P1>RRfYZh_Hy7o?QVLaP1o1sf3x2*uB-8%U@1bJ=!b-OMn5FQ%}*f2&2^67OGDz+ zkoH{q@|=eL(VnU*5ZjFPAw5Vm5|CNONyTw+%F%c;GQ!d3$4E#kGIFLkMx1g^oskjA z?;07o!wt^Jh#u3C5iJ;<4d33f{j|*xThWb2EE0b#Bnum5|3c2gmOE-o`9PfOVUU(0o|=xVms5kqY@rT^B#vGbx{d4 zbL@a99R(WF1E^l}h*3~IP(yx9;hyLvO`!BnP%KNd^Q1Z2h)dz5PPh@$kb(_tceEk-fdwsWpmekts6dMtsChMceka_c=lvR6NItfD9mf_r zJQ6clpdnA;Xw5HP4a< zTkWt!cD4r#**QH}#hQh)5Nv{j)jwri;L;lkuU;yBQ+N*sUkRjago-)TphB>y&|2;; z{_Jos@T$zOyqblMKYJaR5_2HOUnSV(2;YV>eO3xjvCuJy_Ge!Y3X{#RaO1@F65+Vg z10XVNe&unO(fxLC<%)IJ=eNPVGa?l*desgQ8r;dRXmpFdaz<29RLY2|17$>ouks*7 z%_buX`bpNL1~)(;gL^%Twbaq-uqAx-yigGC`;B=4_-dpytICs3YK7I<`MzquD{SSTHp1=`Q8vOp==mRv`V0?86Rig=<2yM9he zvFhhIXeVvawpB!DZCgcjQkt{AFWNSYX|!!J%&cv3yHUitFnsJ9SgHa)Yum81*0!Qv zcL(@KMC&~c@VV5$N0PoI)g*lc_0X0Rh18(p#LFvCb4!N{(48{M_!H<(Bz<;L3jrOU z)&%q_Kp~)4pH>94^RXgDO7@9QYXVw6?eg>P(}sZlkxxs8qgHUYBKk=19YZSvi?o6( zD~IQ@R>Mdk>QS92IZ@7u_=Iw<_>WjUrR<(eIh(nvn6C;Pi1|*&`2d^eXcprX<9tC5 zYSC_ax#NFScze-q1eO==jZ$_@;WIa0^K!?sf(zdk?RYJg)Ys#gJ#*;zmhqfK3w1Wj zqGj)ixoE}1)uMf|yN${<!zWzFTj5QhxNrMv)s&Cf zybWdk-6-Az>(8zF-a=|lL~t%>Ra3%;1{yhZ#0pai@s;IEl_g+(fJ-;EN$AL#EFDn` z7W86WlLfL^gZ}1XHB-1O)NZG~3QOk=c0S~EuBB<2{I=2{h6;HAeXw8i|n zz}+%NKO-`(v6`=6W^5)(xKH7bgGvraphk!5O)wb)aux~x*Cx1=3$R9)7nFU%5MRbAGkRGmVb zD?T|7Jt!uBQFU3Lsh4LphIBBpX*I$HLqt@R zVBiw)m&1wv0$hUrxLL}w)MeVhCHODEB>->*F2QpJT!IG;ToSEZfeS9+w~}{>^?7!E z;)iVFf`2B2gZ@MaR|2c}V3k21i4ch#ewpCe!bRb9)^JfdWhPCg5eXj~r)*x`;i4N` zbXB;BPWc@!8fVOK5h}34MMJ}0X1K`A&l)c3cM(ch8o-EjuCz)qKOe$HaS;6xWzZ(` zQx4+SbCLal80}95d`Z6)6z}v)p!g&wz~ZLa(HCIxY6)R1K93~eD$qp=CE)61cU=VB zq2NWrolp}Yocwu7xHD=Z;o1s~Ct1RiQxgea6EsW0og-5co*WxX_?n?v56`!P;mJ%t>GtDZuNa5j2XgcBTk0oW4ZRA8P(qzLB{v>&#sTfDk| ztHydv9GyV5IUfl~LIz44JeT=T-mA_3#yHyi-^bM6qiSUS7uqp_k7U)_{JU@8@^hum zu8?Xdd`A82K|H6VNSSG|LNajbAcaO@hV2nr2O2&zr$h#~8O6MhCz zF9lGKwrRcM9jGXPnm|SH=|SE@xt&UT2z91Wxw9iR6pMB{(qEkWaIpn)fFgxNIubNk zjae625?piy{Z$5}N;qXemZ~gW*}zh^Hc<`bl*Ydx4Gls?hHj=pxM0k-tzV6!yxR0t zXZ6;1f~wfW(H_*tOr~1x#;M&w3~_Co>O`h=5UWHcw-8Gr)6zoBiA>2Uk2F@lEgi8) zqa!7ay-1bFq|D5g#!>;2#;Aam$ISwcG)5t$K)|bgmBt^fZOVj2fwWQoNP&c}bW(BX z%JD0PCFNQJnI~!{+T+o?4~fTh)a>8@RpG9jCUvXg$s9;B1aK8QzjBh@^jYtev4bYa#amlXojh=vPa+@DXwo0J>QLASD=~?UX91I#89A+wa*pCp9;YXT2szYv;RENnL-^ z`R*Pl?$87OeT*0;aX^-)@&-hfRYkX~7PbqT9aZHP%g;Nh zTT#_u<}U{c%&ZmKWj!D3n8U5Ps65o#q0*l6KlCB^Y}Fv@z>D_*sk$u6K2SuOw(`i9 z_&G7-M7>xY@;<6w`I=^f9>qzjB^NO13I}$0yvT6|Ou^CM%F{wrnnLCR(>FDRaxt2R zs)uO#&xcfAV1SQPg)KS7{RCD?QxvRPxgUd~uO}co?3~@XVmCS_Hyc@t`zd67hNGHU zKWg<^VOWHE23|$pD!0d+I({^z%%GvG-Pje4XMcsx9s77gUH5J5Q7!SoL$Nd>K4Wl;G#@W)(8phOw`*6wm%P_)8ky+u` zwn%qo&%>Cq@C@Uc_LpHynRkY<%EUd4Yu;ZCnov%T4s#Njr z#4v68B8CfB z7BRdNZu)`QQCB`QWbebc1Rk}vEAV*M9`9W)sTM{tJHth+Dhie_hNkm(p)6I7jKhH;HddKjzjF~eAOlOD!3H0fddS(ndCa?76@ z!r$KDQ(KxajxjU}qm`X84pjIIS;gk)v+v5Hb}UT?g`yQpV+CJ|eyntI9WACM7^aeZ z9KT`(H~m;CM8Ysj+J1REjt+=^EK}%h7<2$oJ5w}K2k?^GFi8hV?!Txr&D;Yc;I7`8 zqqQY_Tpz~b+tBbcVtnf^9L@Lwo0h8Iv$lJvs^1fPa+DZL9NBNV@@SmPTSb8s{z_0G zG?12A%*)XlJ%18&oHe)P2bWi6>!y+ccMW~8L(@}Rsb@iqg;ISg&)TZ9!}LDf7Q_&s zj?=?x9nvc}qCUAJ^*-D>?c69N{b8pa_Q_;~uSG4*7Hc=w+Zuc)m8_q{Hk9l?@ODsck1PrO1K%=tZMGkrq#R zEs2h1`EWDST2Aq?$GvMGie5kM!)G~_6W`0}hke7cAN=qi-V8=2rrKy|V!DlnC#EsA zCWZOh&cJ;Ajc#dopH63x;34-J}nN&dIwp zYmTwkmR_1D^0u>uBNm1Ol+?z_Z? zrA*p;q$g?bI`ZpG7}e?NUr)r5RdMZ9jorws2{6S+IspdCdnUk8$`wwQZ<;*pI2tcr zHK^nktm!bXM-T||CyFSxgLViGW-6DvD-!sK&OFuq<2j}$z(s+<2l`qSO-+LU8;d=OdI3q0Uw8o!KgSzsk2`bjf-J;Hi zTW^QZ5--xX5Ka5j)m2gc^xF11{&ej=^QG95>g!f)9m)Q{InFM@9>?wy%rfb5R0=>* zw*v`=tc3())h!w4+IzklVR;kyZB*eL_faiD_TeUN8r3wcHxl?Ts@1YSqZ(;jSqkzM zhzMiKeg!{F< zw#K9JwI|^Y3~Ivt&bA^wPvhGc7zCj)VbBa)bMzplQJ|=8US|eR=#kiZSF zZ!7BPdZ0(b>uuj0UoZQ1ssOt$p7o(Jj+JBLIc|@J1QlhTJN+a$7{}A*w;Eo_{4P;- z=Pvv#s-CTd2RM3LG?|RakTMyQA-&@L6hnGtyxmN79Np?jAoKxQ=MJidq~=n~X(HRb z8PqeK7q?Bv#EaCXW0{#IjC42&qneo_jD(g<81a^#nIeolGjn$nU%d35FsZJN0vIc&lYn57P2H8UfpayaPBPFeL*b|+J2g>1v>7l|vKiNQ<7R6W&D3Huq_?Nd z;JeypRFQ#`z{$F)HWC<=@Jys1Yc7OCE0NBtM5u%{=ea0UqQhw?YAypy)#y-;xDD2}I->?r@^M}~ynGp7^y|*5J zo0o1}VG=e8DC3o$T}}GKB@>OJL5@6&EXmvmouKsmRKldrBC3Q%$ZoI zN}X9aj3mB%J@}8BgaQD`u6$qwS1`zsha69KX7vLL*5 z0Mm2!tv6sob_?aSZS>)0#|=YW+hD*{omC1jrMe-2DRz8=p{`a4V5({d1E%B#t}v9q z__qx$j-dH#1E$@vUD3QgtkFD{xHXClaidTf;zUYph-W$hZA%;5pJ@S8+rpQsKXn(f zMfoc)ptODWukqo8`=xNIO^%g+jdN5%>ZGFz0{7>|UFFP||E9+Hat=TR=B&u`9&600 zpB$j=rK#?vsq%h0%ImG#{znnFXE4(3y=pqjrW&~z%T^;7DVv!Jfg-3j*3mS=fT&nB z4Z(a+17m%t^^>hr)J-*L;b?8pV*Wb81F0!(>L8R#Lbi9{VHqg{EfwvHei4Dz3Z;RT z4!86M6V?T^q+bNI3O!GtW&KjUJQl(_NbBqIKBC;O-AeM~QefDfp9Bo*{3KRF!yrS_ zf9(JGZf4sW zf_`8^J9XTvq*BK<7rjc%U)L%ze_g9Yb5Wv4Cj|dCgQVa!ZNtM9qoivep4DDZ^jaU= zRj>K>E_x3U)D^ej7w1Fnz><_YMC&T_)P$e*b6Fzn?0|# zO&sO*mSu8IS?<>}^NcCKHAnqsFS3P%dC=NILiVCoiOj{Mq0cB|MMO$=>0T}P&Za%D z2f5xS#jBM)zyyQj!xWg5)6v>&Rkma9?ckbwBm)aYt$>bD6@Db?0`UZ5E*b#naO3-A zE(!oRT|iZ&b@BuNHWzCXJHyeRHL*JaN3ZX@uvbW+;V27X!chR^groRkO<^!HGj?;m zVs$EZgAZUh3Y&*YruNOL!DVUkSxDc_mDysgP4M zP2;UB`!euyFsgP~$qFeKmcbYZ=8y{HU@V^7T_yi^|6s}5g{~(3a-lck$)M^dc=s7w za(nL=?M2etDS43q_z+7)rQ4J!C{1dy^E4C{^>(3#_TDt<5>SP*b0Tt93HJdw24Q6f zC5|?gZimiGKP|gzt!d$D&s_;QH`3FS*ee}wIlA)a%3f&!IYFRK zo|eQ5XtN~2TR*WR&sdWCJMEOIsIEYcNsAJ!sc1{0si>|%{#p_@*1Zgz(dAwu0co5uls<6E{K-eIHM-}gO2^Sr^hVzBcERDqyj_0z-Pi5ATfO&NKH!(O z?|wp9<-3o!oBs2e8@_Twhs(x)CGGfIhmbmz3asMJxh5PL$L>j^9m3Q@w&>cR=bqs* zlB@B{2S4kq?<4`QcS2N^UqRj}^&I6u>p*yyf+CAP z?^L6YME~ra9=y~0IGyRIDGr=kD%_}Q`#^=d+5W=^0!wEy*p+V+>Y_2Ms$dhz!}z2xJfUgpO^ z<>;qUFFM-Q3m;zU6zrGtd1G-^G;_`;-(2(Q zZ_a#rC1*ZkwlbeO+U8ShJoEYWYrGJvdX0UJm)^+;Snp^AO7Dz=qxFssO7CP%ta?W> zR(j`GlX9;~spUOK28G{~0^QM*qU^y)gC6XZPHAx@Z-^w3ofZx8N{=rjU9ZxT-o!<} z3YKD*bZ+~dq+fKySKG3RM&y2YP*ju3@>H7(#dP?Z$``$pX~Zxv3PWLz@l3=K?{=P{ z_27o3J|)Wmel3N@rF;P+DTV*_%in5ZN>=7-0oL_0y@EArnO@1e8{W)Q#5l{8#}wC^ zxfrg;>x%~;d2pBG%m>S0*Mn`a^I%!xUZ%XpzD&WLwM>601@}z~nk4DRYpHHhz_KeT zcz$|UuR68jIhVDcav-2M>Vc+ zCb8NKCXsF|Ok%YeOcH1mOroO=lQ5ZuNiH+Y{ejVjVBVw z%Z}ulNPpbAKw&YcY^v$G(h`-y(d=p5>Y&DLRRBXO9;*hZCOKRn?;J|8XZWJ}u&;$u zwU=jo$TqYRN{QCBgwkCw{4CWpJ*ZfR6eLo0arru&#uL~ojRWebat*yxqn@wcNu8Ct z>B#<04U6?o1&j4g@+~N~XZrwH57M)ECsUL9jw}&5!}U%-g2fl0`lD7tgGKvB1s3HS z6O0SbPZ1{%F|LIjvjz&c!MRycVl8buCs@WG_}7^kU^` zTdXM0A1&4k80YZ@E;Lf@Kxm}Of#93lfzWW21L0vS2cn0~i`7HZi}kB_y5jtGiOGB? zxzq)C>{18t;8Hi>xzXII01pQZcsOkZJkWN$m~#P|0*Jz%u! zojB-s@}RBn#5n!zofxO1L}Ej_7mH8jpw#UWFTG-k7Y}Rk0Bb;$ztVe@c=>%xy!w617Vu6jUSF*|mArP#uK7-^ zytYqTd1aqiUTvSW^2$ExpzRY!+dHW_&`(7E0>;1BJ57Zpk*}$g$k$X#mTM|4*fo_L z^;GJoo=T2(g~bY}sXR}B_vhdL@%w-M%kO{w|9+ckB%Krujo)z(J|0M1J zJxUk{@ISrCfh03?>()BnIRzf$^Rbm<6v4(M_><8FTub%WSZoj(SOl9@wfE`v``C;7 z{d8BPJg;$}1+U>(A5hM9XJx;-HIQcboX3=+H8KrsBjQQGv#n5#;g^Dj%6Pr03}f*! zjK<!}#^<+6)5w%c^9Yto>k%xK#wh(vr4bK!yuXvIQ&~)N1hJT?^rCPX#-?y}P!w+L zz)Inw`hR9IaZMgIb31R*44vMo7&;T;5)0()Mi?rR~cq zqPN>BN~M=owCXRb*s8xk7wY|I(*=|KC@`^y6MAR_aB66TTxw{Hi)&~&T81W=<|jjQ z1u)#-d{_-lzlMgUUPA*=uVHIfuffsw8iIRyjqxe<8h`)Gznr|P?H_Kkp;fK4hcb1N zy{uM6DT9hdqfibYD~tO_)y1^3Re<~9!FHgEtNrj`(j3llP-W@zT!r2<2}ANOSEBQN zZnDFc=MLKoLGj_awgvB$uDK$d*K2Hn8!k$zdlkxXLD=xD)#vDEJ@#jrny6=0c2TH_ z8eA(V53ckR8G|eR;6nfB+m~?jTeo@o;Nq+7C{t%!;30HWwpp4nb-k00@Jrrgy6?&_ zUe72xV4k9Ic5XRKdM0RK+TLGZ?#|~-Q_q70&+{-}K+mJ*rwIyg2o!^psoFV7=4+uO zJY60T?Q~d>LN5$M3CQ^H!bZ^V^sf+fjW?20<1M66<87pn@m|2pDn>QdA0BL{xyacm zMsk`Tp(#%?94z!)IaHP&!iq#?u5_@^l|sM2nk%05cpFXwrkX1NQ|3xs z;hd{bg}<09!=N{NX&6*}bNkWDRN$6oJp_{`b zx%kB}IeJ-gF{MtC=o%)bB*Rpb&?2ol_{A_e;{Lu~bn_Y}r$2a@xces3y8Ew&NubFqxB~;*F`euQ5u3cqtyaaaCPZZQ`-F(Bjt$V= zV*_;evH@{XVgnZ5bz6>~+W^y;HDcMgV>%kVmdaymk<%=yPzj&}BDD}myB9dJe+1Ery_8HGs5vA)&MPzh;r6QEX zR6;XHS4m9uk*wnSNLF!wq_Pa!KF??EeUPtJfkb^R4pr4LKUK!;3Ty_O^l_Ak@?q=o zrHVd(`~XxP-C76x)!#wu>jKZlxxxE^YiNz_m?Zk}nnkds!eGPz8&B(O>> zEoX6VKY#krR~_)3f=%^NKivfwc{*L;hQ=P#s{=E4#6a{swUkMu;1|nytfV)^oR!og zilBz4(n`A1=l$_QiQsfoC->y(ZstUVf}XB+vtLTqz@Beh-T2xewEeYo$nLMDVL*$u zjjh)7;ip>yONZ(4-rVw6A3t*1(Y8oSiPnRkq7b$#=^djr4UuD9R*xBv1_|L(v4 z+u#27mp}gTzx?t0|M&|$qhdge=S=ghv|F6B~Ry0e8?2R+hr!>zAk9vq+X7LLX>%BQ@^zgq)r0r2H52lNC)`OsqrGo{D1zZ z|1g&)W!irDxiT*Y%Aw$@hLJGCz5vv~H3RjcQKvvNG{YHu%>Z1li2FTh!#C~%&Sm=^ z{A-p59j+_nubBJIUgT;O^p`(VcBKEB3fyvH)q%wkl{HqBd#>xH#26P-Wa^88#s z?OC7Jpm5yq)SvcpA6S2x=1HQ0=buMCCjPg*{4k3DrN*#UT0e+wkQy$$*o3Iyf`%z8 zJ0o;*`s@Au5l==aAM?>e_vkDigSM%UA)|yaJe?lYDpuZW#L*e)r}Z-{BmI*Vks)17 zYr*~xdS!^G3NFwqDR&j>nxcE5WG z`uL!?cYk~J!tir3Yo@%NU}nlrW>g$Ktkvo3RPwHu7kJlEC&&75ef<|ngVL%f)0z80hzzmu_0Yz)I${=)VM z)!OzWYV=$xnc=&eHUQj^@m#$wdNS2;@tAYD<+ymd5tU@y>WrkgXtupiSJv3$MxY%s zC3(EWx_Zl+K11j?kJK5o$A%&rb|KC;;W|#gvP&3LPS8HN{F@Th8P$QjPr1{?_r#Wn zV<_F0P6xPkXzwDLUKC)`s{3=CTxutf;f*{MWN3RJ$WZn`z(9OW@gCJH2<`BhiaWgl zgQ6DC`oBo&V_MhyIix{m>!91Q@llaPs{<`JwTz#7vO0hwc?Y5;fa0TR9?&>RF7(ky ztv2uaE}ZRUzd0F}|Ijy*|Ijy@G+MjTS8Xl;ciOpq2J8UeWrn&~clTy`mb{5yW(lk; z>*pGCciURVY5@JXUeRY-21>Lbti^$n(k`|I<8J5f%WP_8iS&eo7*z^t7kr4ca!0Td9FWm_Ys9kJY)`V>!3` zB=#IU)@H}z`;?&!KO{DSTmY7sdqgeI7O7!Oi-XFoz{RZ<>E%0g+VcjJ16ks3@a6pR zO-gF9+c@tlN3rfZZ>3-<82qf>ITc6cMHqWM3G2?!dJ%=MQ8@H3@iz(o;PvI3tWnly z(-v)ewyrkKQ+Ns6u8kNtINyf7BPrZhpFg>}-&~~U`sN}%>&@o{_9gMSNj=y7q`8p^ zZWU*5zZIg>gTRyaK>)`0i^%8Lu2zvrUCqxuVE8|Nq4Ef{6_lp$h=aQgA+lS8U>-@$ zvuT0Exy^OyC#j*j%_^zRoyP#SFWoXbJ z`_L%mYn`LUtuWdeYNdz4?~9|9l1L0?|0Zl(zlbzd<|u-dcZgsm(YH}~UHOS!p2SYs zVB=)wyvgbVqNEgEt4%3rp;qQ;M(;#a?q}a zj_fcONEJ6;M*7{X0X`b$yyXTsmQ^6!+AknnWOrI>YR#MHE^7)lXyE$(SgdJ7a*gQr zSitLo{jn{L{bfeSfbsSA1dc-tZ`;<&3HSuo1#0Dc%adGS9UOHtK@!elg3eAQQS_m| zuQrjj zADpnj{ zW-ohm7*ggAWx5Z%AsJ0_0bc3!D8~W!MuT3!7Jb?z;=A-rKc}gj_H*_XxGH_<^)O z&qY_()};E&gBoOmH7Y?O!Xa6l9 zK^784lz5zm{lx=(DXUHo9+&3s;kanC-goz9(LayX!{&P)KRxs~VX*QSeRH4VzQDRg z90?7;{u)E)SJ2yIqSmQ%+}vn&y@{^aZ}MW_^W`S)csy_7=E}KA|Gs@pI#Vxy(d2BO zCxMxtM=R|qn~&Ns4`+}*m4ZXc0KRAM;F4OpwYnn_T!z3c&Fb%P#y)BpJ;3bu=VdJZ z6U%~<3TPg{iq3tFmC5_*sD#u91KC`$dl6aEm{-|@m^>I>*ti#anA8YqR}<}4Ih?K6 zNm*n1eZS*_>iSKZVWjF^y#vlZ`!6;|S?}?r`Sd>fX#ILCygeGh_Qd7)daLEBrvV>& z4WU9R(lh_!c4!4L$0H9S4D)wGcsruFt89UB!LQ`@2T52GW-Slg{$_ zL(tc3Jd_|QO^H(vU)q|Iqg_)%E1pZeJP${#VXSmvYf2rhnoswMuxfKg0IWb;%z%WRlIG? zrQZwA(4JjnNx_jInR$wxr?zBQy8~ei9HFTyiz&hi_box34)-zJO2-t1z!2VPeLc`U z37{frv+rRdrsFKKuc+KPl)Q27o^=ktlfr!#9*|4$68Yd;D-#X@$jHh8sok{Z8PC%Y z@_;0rlE|=p6f=fLBpOD!Zm;FzpT+RO9zl|Zr%K-5W1%evc=88z@`(Cc9Y zp2oYs5=2dC%0NmX3{xS?uhoQYnM!f%>`?;29tM3VLKM2mb9Kup_fq=~RC3G{=3>7k z$(l})hvpw>X{da9%5%F41VWARLP8nCfn|5=*wO(i7z6y;5{QngXpSYC+j9 zT_pptMCSp+F+4ijo=Y@p%-PE^1=%H<&M|1ROJnF1dypwgdQ0rmSh=c2m!!xp>CTZ9 z+ocOl?$LMH9bHaB`m{@99bfzR{f@Fr>m8*Z>s{y0Y)T=k3-){1E-m+nUCLX->TsUUqI;gW80q8hDZM{$BPqHA;Wr@kzwx9Ki`MPb0SM)KKa20)2vJ3XI)SuZv4D`p|J5b zYuc+IZ*MHQ-NRUNy@#>nHfsur*C-iFt~UvmTyJ75Id2jy**7tk%uV|D?emQ#*T48< zYCw7dw{r}h>n|eol~r|7aPVQ$ppuboy_LWbd)+$1)_Ym2w%#?8vffCd3V~(zBZ)rR zB+=G;9UfcnML2A|H%YYhUgy#$Z(2&$dyyhr@A`aO?>Wk`-u3ge-aV)vtT#nO!woO% zJ!9{RSGV<6yn3_VsQS%%%OGslTe%9@(g#p`n26p&*alP$Ck2t~ zpnwSAxg=abq#!=A+`)sXWELPp?LU zn00IdVm7595VOsgKujqGlWyzy8Yb+D1(>kSktnoMPTsF=n%2uKWPOYnyEr)^r{*T}Pq3R_FWwlp- zP*U!PT=%CehL*A14eevKqR(kXm)|#2N|()PN}tL4DfmFb6U8}7JgOUr?_aJjUvZwX z+j<`H!Pa9xT|V8XbT_T}oH+BU%iFy4gBBxi=Zo7|bG530r9Q0ULq4CZFO^)q!U>LF zJ1#y?!Qz*a<%|m0&oeZdAjv)@qq#gMU#Ul=bXVzP-oT8=fKG+t7_UUP)|@{(i3qwn z`{U_c6z!-MVI9k0_Nw??qF`ReLrS7%O{+^W9SU(NW&e)zcV6bQkmD(v!@oD70LA z5S$8yrbn%POvbzrDXN0EtK{(ip*a0N{_*?&`7eL@Q|*qT42I7X=#uk#EIF^wY|NF% zBsUrLdiv<2(9wdXsJ{D9_ATg2nW?ICLS!x&$q*=+OIamF^LAE=Xh4=;CfqdQI)0)N z2l}BQMx9ap5#|}*thW9lK3izF^O?Yw?wM#pn+^ya8Gz6>WjKC%Q3p&t%;QwlqxVZ; zNg0I?$;G~KYoYMU*Ie#)J)eqL5!8+XF?$Hf<{Ys^rdj*C7DzkBgDh7r6$@Dv)*!2L zq35rWO^u{SJqdLK?hYk37lm|OuUmn1)0^`IS6;IaZ-8jF5oHP8i3WHV(I*ST$eML) zwK~)cn=9!y+D??TH;M~H1(MDonhh#YHET=oZFtz@C3`Bl+8PmFlIO-XdXgO?rt-lr zNQv@ZD~u{cFXLRe{20?rbi_y7bA{*!;-TMx5xpqd#GwYBA9BEB4B}~SPzPh}oz+KE zlO;s2J8vPX>r^|gSrUG-1{<%Yd^U06lA}J^+jIRqSC}@noeW5V^HfzH8 zdHZZPyWYbXf4zq>{x)mE_%%w#`0GuA@zu>&>d!2ZR6iZGJ0WTnFPOnm`qw60r|M)??#Mo1;< z&TLalrEarHrAVW7s7xAdQYq4?<}3{59PW@t`@Ca`*L#>W${U(AnxkE$(K@y!jWV{e zzh}sjobVQvK~%3+5}d>7B~+Mq&&xORa?J=MDy z{%x`>sF<&uFIG34Bhg{78{vOdxs|11r*)};OClky25jP%QH_bKwZpxXmeY}tsDVUD zmO+h)+g7Gb+%jM>aiwHr;#S#>iQ80(Ox$`8o4CB8P24ixm9w(0mqWGi zxR*>^C!@Q5Sw5y}(Pug3`2(4v5+1Qy4<{|#>6YL0uzjl<=ajcANLYxx$c8HG>T;t3sW^LmlrZe<$%~7@g;dgnLdy)u{|a z>r)2B^hgW}IW6E+85CLgML#^oryXix(wDo>gH*vaMLkU8l>iEQhwR}2FdR4P3(*V2 zim-gv3y=FlAy^%4&(&&B-2r+Dy&#wMD7`QSn~}3#&}J;P7OfYq$EDdG>jlA@b@@5T zJ?B_2=p4hWO3C|pjvu!AJf^mA%Fzq@xlNz+Lf*xCVR?;YIp!Y+oVr*}@z$s~@q z!XjTNxk{A1WLih7OvkqDVn&E&6|>}3uJS7Tv&eMiRW9e)^eu9byvhu%ZPc>%W21V) zJ2Rt({!?6orHx;y2mil_k_lbUaGSW z9TQv`fEeI`CpXjd{Z`8I0%G^i;9xl+2M4##@Y2DDCGa8(Nq~v`T9*6(A%UJpx(sQK4vallgnSc|Ubvjpq=j@zgUKPf_p2@~2Ap zVMv?B6xunFle-S77Uq~a{XCXQB}=Cu>3CjPILV7dGHNgk9@PG~mjvKp8$)OO`tBJL zJ^JUa z-`5N$Ya|zRl?l0AHEziTU2YOwvfd=Qpna3h1WC^Wv!!e@L9ZbRQxuGE2>TviAJzCS%ytz%?UtRr8j|$- zNoaZLZFK+O_RB^t?zwH`=AO%TRhor@?$w1zxgP2yChP+THCu$qmM6NpJZt*-v9+t8 z&lycZh|Y#V3w{WlosD1T`AVJ%$d}1{LJ|<~+?uph`{ydsa#-15z+vTTG6OmO-Ydox zDq4fL01akF@ofMDI~*szeY;YOrpGA0E%*Rf5S60UU2j!6nB234GQi3aYZdXVzXl)K zj~PPYPBAwCD)I+5I0z9?fDxL+6(}L!U4gQ}AVr;>43nVbFt=x=lpsFp2eJCH#}Eg8 zo>%)xL_1%pq~=Ly){Qb(;cpmDM>69bOiq<`N& zzlu-hU#j@T1JVTTi;2#%lay7_*&Liox$?*az9lW9(_I*{O}~(@7C|Kc&mGwgg#xcey5o# zV~gbmnI;+bqGa1ju3Uq=LDFpgnE*>MI_IuWpJ@NvnN-J}VGFB^nMm6EpxEsHtE; zqeIB>=d*0U`fAMw$aX)~#Cj8W$v&n!HqH(-LEkgLo*n@7ka471lsuO%0 zCc4K$a!oZy1=~#cardUWwDJy8Dhp3Ir-E}lPm%rg951`zsJ_nU2 zV+Y13dgZdLek-m7dwGx z5&#A3BqR;Z=NJ@ZfaeAKbCQNO?-5Xtj|wPQW+|W`Z$6(o%)?pm_(UeSuyyNNI1WXZ zSO(%aN|42IY(uKoh!~0E5F^PLa$yUyZ?gz;6?JTa49BsJp+My>$Vv)Z$50WuETws$ z-61fVSs#|%xcRU|QgS169P2#-Qnq_IEpEAoK}udYX>oHw1*9x1CLkp%roVYEIzf(I zCImUw*GQ7vDieYnHEv0ATW(T?EXz%j*l3NQ_7qZNcsX~@TAsBMx^UOn& z{@W*gp7@W~%0q28uWQZb?CR}&>zliJXO{1FE3T!#ROzucPf!j!j_%6pf8?GY>197p z_>j?yhCZuPd7rX#!^);7y#HM8QXL2s4Y0{uqXE_2;7P$>@iaES2t`b}su$kk1WI>b zBMI9_bA~@UHd>*C?W2=#e-E6{3EM|=!fIr7N`16~4ZF!!$IqBu@c~-u^T{On^eQ;)06dTCi*iN})qpu6pv}#JCrRja2qkdk$&g+-E|&`ulAg^X44}sOrNl7H#O^19L8gJ5XF^9Z(O0Q>An?} zua2%x`Tq%fx7}-&UcKv9zB^#-M~}ka>i0ah%kF;X z;^3Y0-=oXbwQ+fGmx%1qMe``hy_<+WmH~BrFbe0sBhUt)50~M|?3-CQ^e3}#7+WLg zQvPeMXF=;17sJ@g5vhm!se0O%x>A+^Ua9p$?vMv~^0^9gsrm0?sRhNO0nbubOLPli z4xw5WE6IA4Bf(5Kf<0f-dqS9VM9k~`Q?!vI<@$FeJ1zPz<&Ef?66PEc15ZPCbfg@o zr4&JnRgZ5`(TJ3z`yng7k4LF05h+I-V{(XImp1)qhWND*ToFMM9QC??xW*EZa(fsd zMaC@mz_P==5~+gzbg?WlSZvnwfq7B#rJlw@NS0WbUN{~>u6-dS4;;U@irssX3UciW zA$g;>i6GZ8Qwem%g2-bVzv?mjZe)d(3uuC0%d<3=A2a&3KB zj*D?bkgNNs*N6yDNLYQeJdHJ#(Iz5d;+G6^HLC1&og<4N*IMe7%5teA$hGh-BVyWT zBO;~`gd@9sy;9Q%l;E(#JI&5ivfvpWja+{P+`V-bfu|%zJaVw4h}&sVFEipmrU2I` zQ1U`lnIaZJ9b=g_#eq1>A`}!SqB-};5A`;YB5{}4S zkY!2XoM~BdIA^ykX#?Bd4a1KLZiV5;zldMqrplyF@vHQ#*wa8rV=6>=8X|u{Hovg; zq?KFdLm7l*b}7T3NFUp*Wc2P4Gi$_l4Qp^!@Ce#vcxiZam{3XR+U8E*z0K-9jC3w>;1Sy9-iL#xVuTS8JO;#T{RQ^L5 zoZmG1dsMZK#p~wylo{p51+f7!ach zD^0@{zt2(auYO5shK=F65e*67TSI?^jSln|lbqs>;#~VGZMn1aMYrieA9+M}8-J{` z-}$kKFKr!(o94$NzSMox>v2vpzSQT$wxhA)7A5B4#4a>;&5_!1z$N?4U6bt7`-n*2 zzH5r~%~gsR*49aI?A}SluvRA-!@A?htnl6XqGE zWCRm?l;agfZ(ENGl1O*TxP<3sUzB#pjCYlG7#Z(Wc7V&m(QQ@8jCbF4f|3}X250^E zjCbAGquxl}d1Jf&E$P9{Wmm}}0jdfSfqVgb4=ZyR>0HetL>oHvwR+vmWN9WeL79kV z)DrqbTy^T?Z#9lzSW^D=j>31vMHNmb8Y?L(cQ!Uy-ZF{|Pz*JN7XS>{8( z%d?SV#rPAoJ7TeH=G_53HV-XI80(`J8U2cNNK=I$>0wUQ_9>!ByZI@C9Rfge*nzA$ ziLl-LU;oE{{P+L#59_!eRcQRajW?>(ns%Wz*O1ln>uk0nw-vd<86u~p5bUcvRQ%9$ zT7+TKaIPAlvJXTMOxgz=gAz*plCqjUkIe(qS(YZyZ9c-%TH9~rX0*#9KXF{~d0_Rz zhxmcr@laO_>&Z9Aw6xLBsEABomi{9;rlfyWQP2&_M?5GC^ym1Kas!$S+$zq1UL30; z7)Qmh40qF`K!tiLT<{|!uPV?4$z->CF=RTc@Yok4dUEf$T%W>{M_g~WB;34!P8Jeo=!gQlA?WZBnm@P|TnQzZgR5WR(FXR3w4x_X6 z+(tHck|Ah19@~%?cG(_0#kedw3XMVVwImtCB(iA9A_xt5Ju4N7aGEPwC#XZW(1@lbVk3;x_2VAn4`*hBXp-)PLY!5F2pOctuV8W5TZ@dyDJcx zHmP~)nTxLFjVQ220T+E#ZAFMM(OY#LmLmEv%MYrp$|8-RPIJ6SKXZ@G66N1YaLBot zL!!4~A(Y|Ycj|?cKnqhPKASVWMT)ZkNBl3$VRZ~IfS_h{Q-r&!ZOt#D!B^YZM14p<+NBoRfd0gJeLU}^=PlO8Lfllg9|lz9{y4J0 zNl?4vvk1Skz&}W-ID2$o2Jm;1pe=tV+^3%b+FHF4q6U!sV@EVZ5<+eigV zf|uIT?4Fb!t`MU91zC!FH|{@dC0QNUkF8AYlFOu}_Y$arRW`vR;j6tzEd+Pcxc5gEr_WA&#Pq%w|MakS@y|QbU&Cm>pBSx0uwe9Lkrv|_ zr}9UIZ5eaP7}R!Q?y!0H4Su84#1o{i9(2u3K75Jq*5OVe>rJf~$&;Lp#qe8IU_I^S zzAM*lSIOPrtOg}`1n|q!z&zz@Z}2Rl`;|qkkrur!ny^S&AL^~b0!2bqr&9DJ{VIqv z1$)Qrl{75S;*F`p)4Z$PTg@{b2VQ;UI&2el2Qkrr$eH>vrz$(<@lmdJ%rzR!Z0dEs zd(B_ZVSkkH+vqa}rT5h(RHCn+2i?~Ig(UbFsNdKGR=~j~gu@#nb=>_v7lf_b3~4d_ zh&)EABFIm~0V=Pj0gL+BV9_H*SY$GQg1e`b;0}zaKZJu&R`qa`U+Pv5-|za2M7JNk z|FZaE9PIa#Q7j~&s7X-}Jq?UTGdR^y1`+~tCq)V9q#u6j2n}?d^Dh-9%uOtM>h(P4 zXd0%&4D`mW=A{Yj2ApR&%cgvK>;wN1E7FP~)02!%QvHD~s^`ZTwm*V|JE)!tRLnxj z>{>6Be9KNkXc5Gz6XIJ&CyvLhPS8a<>D2p4I{9q>#WUT+R5RVk##17j80HfvMJ@Wn zvh6?pyv5fP z<+&`q;t#*Nc381B8n$gY5mOe4O3HR`lD`hY;}2Y&if6aqHkR%2VXl&ZusU377_`Tc zPSVLNKBvNUPqCAaGXVFk2&q+5I-#&05{ z<&J@Lzw;eZ*`4X^cb{9{U6cn+mL>WwVF7YigAXAo2X7&14?cyYK6rItOM;~=c!O=B zevch7OKU7{@kujE$kdWX(%$IhKpJs4om6tHerb<ey%jC5mHx;UM-~mTVIBxh$J>Otfqg6|NR!#9Td9+2C%8 z6SP8O!r3i6+*^kGViyqKOrX2BV&tC7NAGEG3%y zpd^|oM7G~omzHQ)pHH#}Ni?}WmRe+7Xp;Fp_v^q@@A*rSkCgR;@}wr<`FOMz{af9y z5dC-EuN3|B=vegMg}*}dZ-u`?^sg#k7X8If+F@)%mY~(9WI?Om7p2K*1vAfS1*>n< z3f?|T@xe6Ow1T(qQhf0CUK1^N`{+cxy?0{XUY&@yx3w{EA8RAtJ}dme+jn6>v`Z5r z=0-W>B2pGBwNi0-Bvx*sFG)b}ha^x9E=-o1Ym3z+lYQ)~Z^dL);q)F%Rz~QczA}`W z(D657FoGP z5ki&=2KvYNsaS)XOdkdIin96`t>F$BpvgkwT2kXvclhX0U56zu*m7_UiW!)cJ^WB7>&7jK@zKGrG!M@ zr_wHd-HWA&U)xE6Zt=o4AsJ(EB{>?Io`}G> zXcYMYGpn}l;Y{f3Zf8QSvWBFahPp2y6NvY+)IvRw87e9Jp`h?}W~yNO4;QgL`?;)W zm9B5_O~o)P)5YUhG7-KEj;{_JX=U>I31^*onA{bR?X=A=f*0W`cq+t%Iln|l@MKw= zi%{TY@(9FC#a9;1q@1@|#S&>2t4OkMzN4AQ+5p>%iTJ6V+hAKU58Do80~0^Q&JZQ` z%(0@x-iFLNb%InJ1pylBMx}BZcl*4b3#r7yVFP|2Y(x}(zn6|4rnp%99ETrAt-sLG zV^{vZNq9a1Z_6b%l_n zsLo_UEP@NJf!6-4C96W~yGpD_DOh=-=NZrjRAVIKA~JVwaOjQ2psKOyeSO$OZI=>I zj%VatC_u#gi{PbKiit9F5*GFqA2;S9T#bt8*8YMJSz}8&WbLoxqOGbyC8WOv6$-U6 zh>)Lx1{Git3ju0w!D5vZz2|;mv0Ul47;~w_mAor&vJRR8iR4YjP?INK8lBH+EWqMq zX}U^2OQe!HK_Vjpg$R`>!)n^7y>;k%dgje_Nu=a87VQVou(S9afdD)$l)5Y6!_6_G zW>{OXfuF8oPBu_?F&7(%n~>F?owEs>w=pLhpyQmC*+9FFIoZIztvcC2d?45WowWD; z-sxlmd9!jY!3ahavm1h(9Lh;|lY zRHiJw1yhghG67fFvkyWTT1I&C6zozyK0@j9!RO|ixe%HQ_I2G^hOHE#-^S$ljNQ>l zTf`lj;NPE841?hB3%7&d$7S&{>`Jl4$!Ha2kqqVXh~P_v>yXd5M*bj_q&$&kLc7_A z1Sn(5Biog|gsgNE3Eo=?KqUzFc6{L;nho!*{l#?RT%BWdCQTQvW7{^L*tTukwr$&( zU}D?$#I}uz?PN~A_xw5Q{HWE{)z#Hqdo@b?z8XktsJ*5}wL{sStaDjlmi4U+}hqBwdk~2p&c&}$0@TMs|t0Ybdi<~ z6ZvI8d8uqKU1F|>3{^t2 zd@1N3GpW(22EpCpu%sU6*(H5?NP&J_kr~?k`t#F;$!= z^)`F{O3q)Pn7#)el$wpTF$A$Tzqshg@=;#o(;M^2!qpB!K-FUTyy#8jo#;1< z4|L7nL~>=WoooCqR< z>dey+v<3h~yF=5nH{GJj**QZcRNNE}&cyC`r`!Z7J; zm`2=4TQKVyg0-e&tQ(vUzLlO{#N@prD_IT(x7T+oU+#mQEO$vzNgY(1PyvD| zA$0#-?OYwrN}kt_%8x`nX_jMyF{n%=I4ahF4s&warA8`d=`&0!f+h#=G18u{ZTtJB z1f5_L;*+h}_-#Rq1{B@rSPd_y2*^pzpw55cwXdoxAj6ygNfLF1y&8tu2S(&cYyV&r z*Adj%QAC|mk=uJ???l)geJR%?zBd*u~X#qBig zk_|8ImGVTXwViY`41rFOc?0KT6ow^?!;1mIckH7pW}dc&DsZ$a*?kBN>$b8K_N+6- z8;KrOF@`W5=0PZeDOJvUKBfWt?3_tQI>%$h5@(Zl6H-Od;V1b=78>nv?GmfH$9Ep=(S&yVA|<6 z^6Nk{9K7xo63^rT(bhc}z8B-OXuv$Q{&naB9|gx`NT`~XYuGyO#{61~DUQ>3 zd;`K`IRJ-wv~y3epQ0mrbS+*`!s%PRlr)5wJzP9d!k;MkzsM+kJ93UdKm%8KoxJ0$ zHoh&t_f7xIQO29TIRUPpvS+VA%I%Plk~c&{n;mJSpN6k%DgIUSJXc|EWhV4Ccn{*5 zwvfOxIvl<=2DebexrHvbDvfKQC1u@SIH%izWtlt`CvX?41dp3=5CU7P5cg7b{=H>i zC@6(<(P4!$3EpGLL%-67vb3!X*)%=$UI72`^bQ`w?Ud*W)o2*i?`TvWyL7a4R-#Dp z3i)ArrYg7>ExR-b?$9LV9AJQV4H$+?=k;4AcD_L;QUDrgAV;Zkd8T5U{5+PxI6Vn& z7m!oRr*ZB*{;`ou0u*y_*V3ssn_QK1}|z`3T?`+ z(BL)8RH%9c5A^x=D9Q4bGRI$K_h%-2<&_{&^Az~B7Jjn8)%D8snpat!lTGq@GoINZVsJg_bBQ*kH8 zP~3k7_O%JoW3>+SE)jY85nkQ>ZGY8KscL3Tc^CYYgOj?g&xYzTsVq&8v66@$F6x(+|VqqVmiso&AnvrP1E$6^kDW^}Mod+^5 zAUG6_R5mfAnkkX95Zdm;eoCOtU=n3(h$0#1km|EZ>phYR!m){+&`V~WR1y86Albi> zb1B&=^CPX#;d*51t~g9I5tL;jpl>Xc8JnzjK5Q=|KMoR7{32;*JjtX=pPdj`!Q3sz zX^VsIpwLiL�!WjN23ZQ3c=QZgwckGQt`0iStKy{wxUmHU3`F|MVG1D80Izx#Jl z#|aOz8d1efMfTXXaN5(9+U5PA#$r1rO(7-XW>%TR#)GA)Q;>4wb2P!0AOX85GRz*Q z@Je$Y!iK4U^xdTRHvXdSF4xK%DP7w22-Sto&5weA*QQOeEE z10yc19Q!ay)5-H;iI?5!Lc*ijboYKwp=SL|j2v)`Jf?96;Pfw{L~&SIzzO;+)V=6k zHHwqYJb)U0{CjQaCBq0&!SizF_7I}#*A>)*PpBxxIH?TIiEB{j$Lg zMxcNU>q)DY{2gUC%^(NW*_r<8{#E!6e$@2{=ji|@^Xt`%l$c8@Q}y$l9Z2ag?u?ro z6tH=CEpyks`)!-!%bJ%Q<66~7amjn0lePLTwsZ!&NMlj^f+V?xc$NLmmWc|IK`AF*&y-)OP%u1GzmO z-K=%TXj8n>DT^+TtU=S>F|10_bxW0;T*OgxaA6u);EV#ZW1qUCpq7#c{O}lP2$WyE ze1X20B7=IcM6ce7NjJ@1$yl%QyIkhP2&Iksgk&UavBH?1_YNP^Wt?)rdFU#+HbFt$ zHtTPqh-z;xWlJak7ucz{wjV8TnoKdlv4Z{i_4!00 zhR0{P^heTTghWDBOXIhmR;0+7kYdhRx%)YU(k$y|E?ToHIn-TykT-?Y57rmj^IRe~25zlLk{G@*O zl2Sf!vAalR^2L15KjHc(w3?tM@qevJ`q=h`K5Igb|2=NFo<`Dx6(D`2+7l-6y}iaR zD8oX8kwq$?^fqF3C6ezPvD$A3F(bI_tZ(GxSZyHY@4{GB$Q2w-B=yY?=AbOqcNp@_}W-i9!vv-z14A61FI!+qpg zpG%)PpUI+4#K~fh2v0QP+DAjWk>z^{?TaE@_YZmyj5S;d2_w|#rLM6mP2I{>5*`|r zJSw2Q$f-8qc`C9k&wd#i*>G1Q<^X3%v%*7Q^5aL4clw>Z^Y=totcW8+DQQ!ud_RE%KuzdOe zhnYQ}7^l@2N3Iv88Bgk}W2Th}+X9^$La;pmA#gGI?MSmxE;!up)*U=2R2$J;pG-*i6xn-aW(nw97;IKI z=|k+O*siCpdRu{%)j61@Hgu-TL214y zp#3n~G$mRc-;qC%Hwioi!tPk~0^_BKBYdx@Ca5l<43n3HDrFF_IK@vON|^Y_34(i(6KyJ?IaGf<6N2w9yjcFv3=L#w69@H%-Z! zpFaazp2funnYYIy=@z9}&pEkqy5YOb7LlcB2Z>0tNTO00$LeX<7*#{5y@u#}mvjBF7(J{vCMP8@F0;^75{}&$$eYs z+?8~T8HD0{*5%*WdQdDdnXCzXaj^vFWgmXy*>MCt@gG&;31=4`?X`@}V~?q)n`XM{ zYi1QdWBHPCs~;g7Dp@&DiJ8<^PCZLcH@)Ln3-5U022)jCazn5JdeMVdW*fYmnqn5; za9HIy+J}8RP|3j39f3uoOcoUGZr3&x41Z-6)+L_$h&i&1o z7Mj1}8ZU6|I7T~x3zU295+$f{E6uxZD-UK<&0Zn=3eZM5|CzqG$5B~plb$tS@MtPMi`D`aDDyYjOIO4G`LMWYA8>u>+y&w6F zi5nxL zn3mS!!+ttxr4n^nNxq;&5ckX@JltV*nFezr4Ro0J75x(DwiQVLj!D2(QWU@?OW zE09@M%B%3~JBR3kd^ukO{Ss~H6{{|!l5P+x#nbmyHA85ZbsK zC>DROggq85>Zl_-R+;!qyg@7j6o-MBNmz+H=smJgDV_4DXiQ&C+-CrUpf{O`Z7@U? z-=keC~p#>$d|glnl`}KS-A;wC(nJ4a}O~;-H3u zlaYl4^K*0j0Y;W3;3Hn#L1nHW2I`#dAge?d+w8zd?szoKcS85nbJF6F*L@wDs5Lou z?y|xDFD!9-Z*k`dU}BYb_trh&Zd%^mBsnQ5=O%&iT_(7T9wnu z7tg_D-u^6SW?SDURB!p9FE{p@02)7uvUceo$$VAk`I~XGU{C(u*b_>R-=NEn(rU!$ zRAK@~0kEW|&n}ef3ib^x!<{)%<6|-fO211)hj$Y0D~hb=3oby?{+^iJ!7Bt!ae309 zc_k92gS(s_Ul3H3hnK}YQ13@kSsMtEpMS^aN#`U^GkY(Te--cwG~&OIsb7Un$AZB) z5@!=c{u@ZuL3}OjH-o7*nSUlqmNdk&2bIz>_x-DAW>XzKyd1qJp1g}#!HBx6_R&CI z_lIe%M-co;>rWu}ZlC3ack6L9-=+yPyr!;t+kH*V=ee|u+4XogQqLG?b9~s1awXVm zA1B7WCErDD%T;a?&*Atn`u+@(TclbePe`OOiDZj?Wq$=0jWzx@i3?CX6g5^9C}HOV z!vKbWtwyO99-a#^hY_o#h%gSGvHb^eug(0sPoipy6TT<{+>6r>8IBh}G)7HJAPhg9 zGMO#+AWIp#Twh9|GyF0LaS6p1`RkGIqmi=O?kyIuUeB) zRFB8pq0%;@7%{5pH+|H+m@(r{LS}vgpO=hpxX2tPbCyiEcv9a*wrXfaS^S@D5{);$ zIl`-1u@^saMj+NoCxRE!zVHN4VUGBNDU&pVxo0#kXb)K-7a>!D05|0$V?XoHEuUSK zX(?(iNy?H*ksLGyp&eN)VOrz4U$M^OpGGj`--+UEBZN+6#NYiVac+27#(azkl7)qt zArc^M)OQhc$JF0v3%h6mpOZ0Av0_5a>x%vlz!YQ?3|Ay!rb^!n^eca#MpZeHv1j3Q zvtgV0ea-zh!g4>AVbss#jKR7NBUQYlv&lb~$2s7Xd==k(s7tdDwad^1jg_WRpFv4 z_d>)Bp!(?EDL!;yWg&0AjSNN~!zQDfBVhN#6%e z;*kZV994Vg+Qc#8nNb8nosOx_NiD8_Q2T{pAXDCL9x?%Gz;Qf#*wKKse!zOb(e9MQ;|ByX1^R|jbUA7>%_FkH&1I? z*Y6q(MFk9pSljID%_ef)9eh`Cir!HhHUB+IbUC28c%spbI18%oBjDWiL^&X4N03c> zc((8xF3R{z!^HNHR^(lg%t%dr6NL`TRYXfVjM`I@Ku^|s8K%v_AAhnnupJgwI~9el z=gY)VD`PY-H++-h&@+9x9qqs4v4c{RIMRza5+wduUfb~`-v2{t5wDzdvcN5IbP<5e zNH_)s5znUSHN8EOr+2pRx$BpFo};Tck&51yDl9?$@f@3%zgut~qSLM`lTOw9(b^@0 zQ_OjN+7^(@x$yt@J@MA34Cj|NyC*J}99zwu6MH>Y8Nw6L6dKh4qS|Kt&|-Uim{W#- zt>~(w0f)YQGCswOwAx8$wM$(v5h}7JEUnCX7q?I}&Qb+0mJ-(e!cb|=rZlAjZF+w4 zG8ldAq)D8k=UXvCsQ3Fl?5A7fnQn6Dvw+^jUR_+F#in$#sq3Z<*i-;2t@t>Oq{isR zAPMElWxxN!1l3GID|%sO_0~6vXd}gzgt8k4mHaU0<&0)D7v1*qf*X?Bx*`Np`Gfb% zb4GY>s@|QS3Ek^vb^Mp8A3(k>l%m6*mB8dsBt# z;2BSQuGS1BA~pZj0U{($Z~H)Ocdb8aIa!WKd!khfMUC-NL(A+jW^evd)K;>7EOFLN zP6rZ!tYx|>8(u!yOLDbec7D7cm#kwWfJDEnCuD$5S4vV|T@)#ck?CTzf=PqC@*G)> z_j{FlTbUJ;RV-b3UYD6}i|WVCfvF%=7=0M6oBx~>=}879iT8IDtSM2iK@4UPeLQRm z3udk!Fk$U`sWJmX9}JvY&u{XX9=nrd*qgi-g~Hvh_nDS`HQ&Qp=6)GY{UI~uI!gY~ ziI3&YS)1`n%~yZjCmIiQSwUHr;K8Y)$XV&+mvEy@1(d{~d~GFrLf9nafpHFgT}PE& zMb`oo)y)f>y`6wj1c?2KUUbTpcLbb_!#UFVO&sHHWTH8|c6cRyoWDON_foFSE~pKY0~vHZ{b;62pqm1py+OJ){F`xO3pc zJ4#!1)uci0n&dEKF(1P;u@GE+T!^%UweVj_h>wT*npseSjG3~>^Y|bMi>05MZXu@C zauUyz_GG#?JJi@DhAWOXcYY2a&eJpmWM{@8l@UD1VU9bZ&Imd>Dl9RVI^b4+m&Xiu zc|c>5MF#(N_yqUcP+#W4nCaxzAwt#ACOE6pUK+eyhY<};L5YSS(aI8QKS7^d5^oR* za^|ofXnmF7QiUYYaJqRIgyt@GalRq}AHA9aU&bPvJeP1xy2J_S(%9#=w%iLZH7Y7I zsBm7$hjBp0lOe)Pw%#JDC(_dEbM|2Eno4e>b{DG=mON%-V5|kpMDvdIbcG5N#;2$y z!2bBN=DS@9bIKtr()*0V*A9|=NJbKCUoj=lK=FR#{4BWfnVYhL$r0ssLGp9@Asbmo za0X?lSuDOC!em?_sX!iPx*6lYJ5gk)pJ@kA!6e~k*`ZLzT4x8sLs_!7wngr{omk{k zZnJ6NQb_WW2$f>npejymQN#C5sE3+-lpX`1g_oxd2yp(QCPXhNG(Eqp+K30}ECi*G z33(MeQ(hyKbQvT&2w#-UD)Q6m?SY}MYvHogo=eJ;5g2a)h9$g7aK?5!uhe}E#mWG&| zR=A1BG z-y6+pui`dXFa!Zw_my(am^P~#p7T`DCC1S~?ho6rFTT7dJ`i`WYLv?5R-I3e&mepk zDGTb;GlPHAZu%5V{CBCr-x{Asv@}k0xW)|+{v@&j*5zDNK#zNewD*F(NM_V!So$O% z|D$Z4$%x-)SbhK>ze*?$>)rQS_3u}P{H7(i%U{>{6{S0TF;z!qWMAS;)8z1d0p?Z6 zY^}E~B4;AF#1VUTD0uA$9{JmKywfx2BxHDBxX&T@OM`=_4 zVn! z(a>w#NKi8uLEqyLdZ@`Td=N1gVZ+#UBx$ZonE=&fFZrpc70`!I6@hh_TY&5HGS!#i z#}{V+3@^{q#ishq7l!x;e~nBZYQgSAOWqgvSLYKAfyQAPp~vIJi%B=3)XL9y!}V|5 z9~*I>zaH@#;s+{y?-egJF(V(p!k84G;tinX z0wVEL_Fg7lgHrb zZeBF6Ng0AcM$#ch4Fb56@M>$AO+*`9fJ*%28UH4{&cAp5$I;bo z9of3dcHa1lm)^>@#NF>e?O_PAXj}Y96 zsQG!1+AEU!Q>B!9bT&(m4CL`_7d^?<{XDkXz|q17O%uyY682~Zxm1)Af@NG0zDVGM z=vssDN#L6BdsZ>C6t>TC#(8@S?|3m5{(L7&t2+0Jho8RuJW{yZ*y1GMMddjyJ&HazLrzf?) zLmk?!OGzRy&#-nyYrs_*6FT%CC2wW-u0`v6@7O~gD(g?MWcEtH(EZAwo%5MY?Muk+ z$ftN;rH9{N51V~<*_1vfk&H~ zXPeyXpCLGFDPF!O-1(Cl1MI_J%YyDzD|NdGPvkluTMWcDtNv}v{q*-PeHK+g#qaZV z^vma86EO{=Rg#}Ob-S~700iG>8OD>A-I}nf=!KHq6v4ZG5dQbC1HSw(z&Y8SP!fBS z!ALF{|5%Fax$;hefEzXh!h!Dh(lMhWk+y5)-Tp%H`&>|nqy28KWJSU5Meug8CvpH2 z(NDJ<2>|O|i1Tix2w$Q}8Q}JJMu`2u4;3mE$NzkleoCfq+*q&dW8-G8e!?s3>%DBJ z&M%rv-y-hO|G^Kp?kl;;K<9zs>%Br=O@!VgOE}v%fZ=9+?Oavy4s=o3@q35;r;8`cEdW zADVzYr;j;(s?5%EGI)XK_4~P#x%0xzX$R2F3&)Oy_`RMT#qI|cxyeC@KMtYtnNT*u zpj|_TMqPv<(jivQdD%S8Xsh>=8)}b+zC~Rx&b2EZS<4FoK078pjIk?~a@tV0l!dY- z+tJDM8=#38uca=4-TzJhZW6s%#mY6>p!U^ngu&vIogFj6fC2wOhAAa>mm;=QxYg-{ zKAgm1NEorS(Hvod>59WmxlpFd3g4u37{oeg%yEzN%=PV%VS@7vDi|Ai(7K*9l$5qq zFTa@xAle>mt{qv=z1FZ`q=ZF0Xs_I`uwD!~RyjTs5>Igv5I?N2HtGP~a!7u}BFb zkrv_%@t5s(M0&lbr7KUum+duS3@;#(l`M~vc5NLuI=<6aW^Ng!M zK6>BpBDkGA2~l=T0~wiLao&L@)=K#n-*V6wED{TTlX9KFs<3a-3BQj&D) zj@Sb*iDGWdr}u*jRx}cjg2+8rOC<+8({3BYIECN?7}L|T4LhBSAPh8er_U(|IIpI$ zTttZ7LX*VRz2hY&7z8Ht!I=465Sg~mbSa0R{nKLlivBm1>2EeDAu9k(F8VB?*tdX7 zl3?Ya93Bq1n6>Lb(rzf`FgxgIk6J(n#l-ih`mI#KH+GK9>Rrc?sHeQr#GDG;2j}@D zqj|4q1|;4WJ*Y2{!73??fd8lbl|UGjYl$3{Pf$04*PC0^h^IL<7AOY~WNdv958XNI z&V`%#riF~Wa$XcvJiSIN7by=pTHzFe%xR2;{}&#J^Ju?fOxyu^e?r;Zgp;T1b>;aK3;WcrXGtw+b$VXC(AwHI!kT zS9xhTg>)repiIm726TsHnN+^C_)H9q_vjkNN(0O#=*N1Toq4l3Q;Wcjl?IWT7nWM6 z4|04-7Kx(k)@2DqntaGIL`g`4f}!<@+j{C~$5pEa2-`H~t(dd-%CbstEc1QxJ+FCY zC9K@-0pRcLU66=cG(6PN!R(28EUa!{4PD_;QO^;yMO$g-ANh+Yc_rkg&_SmwD5=NT zC9$NFizTtg=WZ2npS`J&W8UzERavnEUe%NFE#R5|?w=NjNwmb|#S+z#M|i2;fVFcb zaQ>uLmKQKv2q&Jsh=`IGaE7ADR929^f9;GiVcrsz^A*cRbfbb%>xlMTX8<~5#tgUY zq|F+<&1(R>41d_aPMUfZXWOmh>PvX)$?~vKP<<2OCHd4W%D1WeIu6v=!fx zt4Oqe^(t=m{*z@+DcAk(-y)Nt~ppv?+6nFT3{O%yP5y6LHYb~=jK1V1# zq@0ULw|1&&mE|{MHXNe08>HpXtv5)m`uh=wX(rLO?L?+hlH^$95Z*r&cUaJckTP3Q z+pDS`2?qG08b>xjw{W=@5GC7abyQtSz`rlJ<&?J1z`_Nswdz|_vwUpb?&2@;wU_b;)Sw?Pgk3K5feMq%iV60p zCyA*^kbrrX=yplo9YHPG>CJP3R%EZ;ELhe5cTgHz@RS8PQxp@5(hi4FNZ9$`i8WuE zl8NG$v_kj=9Qpk1G-xW-ggC{DRUwv188F;}n#Dr8h$)6-qV3&;gzCBc{z^kGBAx|9lek95 z*$+9dw%;v`i?E;SSo>ql^nR9V_8P-h_0WdI1($GTx4e=%jxr|VL+HR(i2;oy4J`YS zPdzv(^G!r3l?l~rljPY3j*Q>km@wp3MpeUV#3xUIkFKn0w%EIGVpx6M6s+9G}&g~My6A;W2NodB;8Jl??^+oHoqvpvFJhh3>jO0qa35l!YTPRn;)-cgT5Blj)aFi3f zxt3dWAyCSCuG2sZ#uWuB^&hKjSTErbGahep;F!ix)Nq-|Vpu7r6Bkrv%LIj`k^g);>F3*iYpb&KH6QFT96CM5>Vf=LS4GoB z8U}c5%hiNl?Ugw4OD=*de&8i;j)zCGdw);0QyH#(x6>7n=}mwe&@~|KV@$c@CiP@U z9sebNTs`jjHHwS}jU}t1f+dWvt$v2tS#~t)&Poh0{KODNN!wMhLlNfeYt;PHM)KE% z5u~W7)I)i$dn&h%ruA{V+)`NI>1PJ?c4)*RYnHp$@m}dK>ZA9->`&g7KpVC;B|#M( za7&tToANBOW7&-}qzZGk2a*t%w=I%~4GrOsK<{YCAhkOzp#O>F5(GD)<`59wR zo)dfob)I-D+r_BLnX$%XU4MByu>j?ZZoh=c7Sui0L+FEt! z948M#b_cc>iOFI%9D4@OsT~OFQd(~fSCTb+SQXKEY7<&fP9!^ioc%!&UhQ!JS z0aI~XUhGby{DO@qV%$3N=22ZkyU53&r={f35Qxu%q1Dt3uar5w4|23&tGyyy-OX6o`{@E+8>mSEX#1T2tH_*YzLEe1!= zpM{adRESXEZWDoZjJOs(-cwFNBZM)xsr`}UB??UjEUq9Pz*UDt@=pG4LrV6@gG1GU z(^i&3*m!QmY6g#EKQomlXr8*$QaDFirE|H5)F(UDw3hE(1=wcZh7qokrm@02G-3$7 zGi$rHW4p30R@4;zg>_W^)#B;tp_}|3XLCb~99{I->OjK7#Tr@K8{!aMdTq_%HUVtO zn3V3%vi+D{+D@I98hLAUNB&}j&KNQH2pdkU*H~UiPX(R2U9I*-Ls%y5vjzvXJGMYz z@S`OJ0AESgE9qye&?_OTn5L=rx=-g7o*m_j(WeQqvyO1~jFwS+MPMRp4_$nC(5I(E zRV#SN`D_p7shb_#)NUi25VXXu#A6(T+?XkF>-Eb|LYL!W&}?%^wM)fB;ajX5cF0>- zc=#Dj^hwl8kz#+YemXkfQbtH_swIx630J18v+*}{bQ?+JH7wVu%&r@RJcJ>pIq(8U z0;qQhQMK$`c483r2CY;||2_dTtSPDeMW(v$c>zC$?ogPafmEgOl5&(`iir#gi4>gw zsUym`-ZmLC;!b1d0Jo#%w|q=_nr`#t%;6wg6Vl!uco#@2V81wX#L4K>mvMylz`QgQ{HwV*B_96)X_B9NPGnG9 zGY3zDgrls6hs~|W)O$Eo%Zul9Ru};cQv~u;0gN^)t{A(z*|3E~$rZT0d$`u_)vaKG z8+5r7qIEkOppBnu`Lo=&0i}AlRj%YW7ISW%X9St?8bO;%y-!;4pg*+gJ4EdP(=s+3 zErkz5!y2r7e^3SGWRT@GNrNXt{(uDP(BSFK4S{GWZkd+smWG2hINwk;c36Nvk(w5$ z>YyfuuXg1pA4kh2DdouRlxoS>1 zB+oN1d3UpvwVluyzC9UqieOb!gM3GHBPA>dY-Y~(Z1 z$;iJ!(;$|cfW*$p#w=F?1ZxR4-moTy50;%P%z_vpi4XS9a2BL?!;pDjtA99KIFO`z z7_~2*gAYP>a$&J0_)bsBee*E+9rfZBLcV~yS1)9S{~pisxQIQ47c$rm@D^S(;Hhum z3*C$9oUqdyda6>Kq4lPSMf zOYe*opR~~@4R3RRc@Ry3M5Ow?e$PS-c)T@+e##tWh&?G~ESvro#7b@a?ywg@8F#!a zVr^*F7k-n;WXCDOE3Ur+Wl+JLAOLh9oT>B_2sWI5mtaeK0oPVxN^5O!(w4reNy{AB zU5`<|ZACdxX2<=IF>hOvNf}xB0=6E?S6%}F?VAZP044KA`2pcc%Av&%q(@g6d%Xo0 zXO?<*qT)6E`UwKR9x^fvcK;nu55_c_<)BruhfBej)lM`XtWt(`Es((jXrWy6`~}9g zUY|X$ryaurZ|vJ#l-zaur495=IiV-P2uY>0ezs1+`XqO^(Pd@U@O~>B7%qtD5>3W| zt?!8h!%9IFDCCCPi|4Du5)>74TI&;48&U)7P>yVheRDPDOBM$c6UpV zg}(yi={wVOQ>zElriTIcmcG=O&~M80!Z!3BlKY@iBOR#Z+r}~G;8F^cCGa&;3t_*+ zTw=_1dNWvOhZjz^amp3ThN;=kjw`kU$=Pr@IyG*6ceqoGYW?|+Qn7~c%~!q0*1VhG z$HK+p&I)8e&WU5)mQ5{yOc8HNjb*h)CLdSq_K4uYN`sBbkUe`GY8$io5%ng_Th0YD zpmq@(=%bk^?Il$bv4BZ}9U70e|2-Rg?+bi??S4-NywnLs=z;n`#s@%qEt^k>W_g?# zdkBH4osVsR@ktGhX_U4(XN#Sw&^{J9)AVkE%V^d&g8-2F7R6p3KtG%`Z*@A2^&^WJ zc!%zKpK&YAd|`#(hM6pia684lr^yVAreml=L03#jBKb>Fxx!#e$r5mtse;z?T)Zh^ z%`P@1V7YJyP_-*yxyta?WCMh&4*>^nGM9C)i1OLUHl=)>OtW zI-(#G6;l{}QF%L1W?%wfB^oo0OU+XnDzNPrG&wb$W~yGurff}XV42?W-d07Ga4%M- zM%ohcoLU7Ftd92km)T$t7=Fpm21lb$x51jqb|4=ac%VQkwIfVU1yIN@)`T=n%TfpJ3Lw-(GjWx3Bu%6#jh#e3kt> z5%|pb_xTd=+4ueT+vEH7ho<`P!{ajXrZP0U`7Z1*De-m|^4}15;~A>flGtCx2~W4e zL(WQ4B^1nFX$Y=8<7^*Kr@P-zeQ!V9PJ_>1egA$1eANAm_?J$6Rxd3<@3Ey~>t(lw zPYEE7xbsVe%4>eCC(@do!zV*AYEY(=3(!pqyYlwDs^adw0oB&;3BkIEN|F2nKj`o^ zTtUJ9T+zrNBlGUW)nXZP;C;BE+oSL57nN+8ZjDRMUR4vj8e{*#wm}6~?I#^k)W0fN z-+!e*R}m8k=y4~3N474-RbZu+y>KFUe!=0A;ncw@EjXTCf6S3RDLh(1vo zMC6vS-vT^L0xqaS2IQQ?E?AQa{4On3JDYaU@@RQ5zs(K^zHW^aKpY%w2{KV*Yt>Z? zc~M(lGgiYDq^|)swt^+nP_HA09uhSs;5dSG7{c6ap=oyJ^0E&}-CATnJE-Y^|5V-l zJt$~`i$L|U1_3(E4kow>0R*GrrN^_^>IZ45!?RZ?YNGa3@qddh`%?)+q_GU-rv_ZE zcI;MT9a~ceFhcC8{1BYH@zheome!bk9lj_N+tJ_RN3Q;%!3h$mf+{_B8t^a+!A1|> zlgToA1P-@HGCy9BD14~U&hrf{GU)C7&AcniTf-N@`_WH}BdtEkX-n#55A(fn4$8S# zQQGAK;{y-#oyh(*C8ZF;<=5~J!)3!Wy52=w$)XXS_qc3$F~c=DsO)E1120?P9V;+S z-nsr&zeVp652THLA>??@l!zhBr>ZjTfBvZs~u~ zR!{|@nBhfs3pI``7xl{1VKRTfuxGiMNGxSkPvHn!u##fC-8)kk$}%d9uw zi&p-G-*edpKWz8&|Dx`j4YN=;0c#e=Z3$cgP{#Qm)%n)>YFYM|4hTNe7O{9Sgt z78@)4U1NH?76E{l^*z7*r;7i3q%!C1Cf7K;F<4Tcr^%t;)C#c< zVk(c`wHUd}*4W*37<(<+-L=cko0@bALJg}8x+CJQDK^uAo4D*JXVhzBlWc<6TaYCz zhxVw{2qZam$*sOeES@{;Oe@{Y=Rmyn-SPXV?V71ad4UWRCQ4wZ(}-j5MO5c zu}03a`&3pdn}tRKuFFc9^UTk*H_INIg&*Mbvej;;eXcJcyJ<__Wd@+caXO{IW`X*Bu2WU+CQ^W{DB!v*CUEql)ap5^*bVLG+^IdLFVU>aNN=cNzZoaPE(XYl~;Swn>9&>r<9 z7DQgzzi>=zH>D$2cIg{gIO!XPs^R=)|A(ZnjEbXa+Q!|T;O_43?(P~K zmIMtR90COQMHhFs#T|mXED#9pPSD)nKJWKqPtWvJcU^T=&zv(g+f^f&?@douqma2I zGn;o`G{1lJYM^|-segV(JEB^?dM4{F_%Ge79UwKt5C8s296|1^Y)?`DMK_*Ex82$u z(}8Cu#EE;2^VlW=$FK=Dwh3c=}zq-j7#3n&@;Zc>NUI;cRtdEKBU@Mf$9~6 z$Vt@EM8@UR$(o>%GqtffKevE=lvLAHd>r_Z9_U;V{r0k}<9ID>v`fyiEyH?qQh(V) zw=D(ixfcOOf)>w;2;WD1eR!o=`x*Oy*~IN>k`0PpbE1QQ<=<=foaq~YR^;AEyyf76 z18&QSPc&ab-VVyOm?LZCF+F9!MNqT1MSu0Y&d-EqA(;D=fc`;vBc8KG`i7$3^l7sa zPCzSU_p4Q_hj3=9-@rf=G}Aa1eLt}M`jOn}SKAM5Ou3p9bL9WFh%-1qpfW?Crr+9@ zyvZhv9`81!sT2uwu{c9pGS-`B;ao+gR$vm`sovE@%!q#EL%*oStEwn(>$W4C1Rgd6 z+GLS%u3g$M_eiOk;vp;J{GF2pH6kL9yitQ1>mhl{ z&M9*vr3B;#`rU)aql=Dg~#UrCFGbrF&w`?Z5|~26yJ?g|!z? zP71+|@u0FO|AljTDlA8;$z!=DPGoMRstXK*jn@bwys{wCZmOm-58s#5@cV|h{XSAw zjd~Q0dvh$)-aOpbPCf8XYFmSM(S4SjpU8N9mWAyRSX_|XKfjV}*>e-1d6thC)#41R zRm?^iHotmxs*4g`+Tm|w9NuP*bZIpHVvyoVkKrYj%g~j0Urf*^mlB{0&Rj~3(^;OS zdZo&KsU{rGR8ai z1jCn|dpxy!pPKd5U9N%nn#&;ok_s>Sgg+FCD@)X5l0?sAl1NpLzzIfyw9f zpogjnuoU3D0x&1>yhawE_lak;rp4_49j+ZFs8vm&7I}+k^qhObQGxRY^~?qQ&OG6+ zLoKq<2j&kkvccq98c+@MhY052yl-qiBG8GBwZ2(!7Rf2EGOI!7UphpP@D`D)fX-h$ zb<6MF1@i@**VKLPIaFRe)upj^7~}vQ@^}u;du6dm^b+vy>P~(BS2X3pa{S}q`RbR9 z=X2FPVG{V<(}kBL2I6foD`8c+IDfcY4(-telmB(FjMX^x>@)C6#s`xj(D;a4{@-m3 zMebKHy?pqv?9(M|rjZq|Ko&#iBT}pi(@`F(I}1F;WjS6yGRyiHK|GPZ#K&ua8rf_6c-e8crfwB?T0%qK?*diLFojMC+p~=bgWd zW5M(&K06`itt%u#cRjDNauC;J!Q{i`IFct?1u@6*Iw@T^ipz2-aa#3E&P>ovg8QdpM#qhgWkc;hLq901 z+RmU`E^Wn^gJY*psM6%WE-J%( zT1t>J9h5L@ffO2lxE#jInw$T4Nf4q7tfdu}{{j=-f!#r2Khx?2UCXXU*S5+F-P&Gg zS`Pc>9mpXOZkY`7C*T|x-dRqKylwCJO)(35A7nMf!>#W0t91e}ADaaL#Fd5txhaUwKLb452 zT{_*497Bs96-SC5Z7oNNk(Vl7CDO{oOszStB(cxklLYX~NB{hU>Jkd(V(wcQZ)rAM zI!M_w7?ZBURwK}adcWR2&1x8h1g>rwe%sfNIy&kl%LQVMi{1i_;Gl&|o!mn{n(5RU3=B-gi%?P7>o@hq!;szU6)Ws_dx#(Sp=g z_xI{p9*(HOUji6DZis7i$R_o=6{2wpD&&(gWy&zV3cDrt9Ja^yvM-O+ z1p54|>Nr092e0D!bswO7A`G#D0=(NupP$6;w*J7*=pg~3ablrv10?%`gF8e%e|W?! z1%Zf`)92g5*J<5t8M)tCiuoF8iUTFG;&czp0Gz_R4}Z3ZG2N6;KCLf0>kBEsli`V; zt4RK*_qZ46RZbBkFx0h-7zBr;3RlzqG#gGG`M@g9(hy6QwK3vbJMsik)!)>4Ti~JZ^fmhmvp=S> zE{sN3Vd>|0ZZrCwxa$SnyANkD{;0choJe+Qi4Cc5%~fAK-Mh%}FsSAdc|8Fu%R|UX zZ|ZI9vnrB}1E%r{B51YMEW4}NBrv6lMc+K`^-d??l7dDNn0)g2X7r3U;qpMBU=>|z zw42|y@OU_gJNiNvsg1_l9W1<|S&=9(8?@$hAf|mcln%H;w7x+NTe$b4O&<))@$kF} zq@7C+IIHgx45sLLt}F#SeuX{l-b@`ly9}oA!SC)xCgMIx#YGkNpH7q+(45N94#7g5 zZ%LbT!SluG$mA?p=G7y5OJs;*aWyEu@%J$O-1ghr8CLpj+y7+cVt}0m z@3|XN2^$3W=rd!aC`Tfno4SNr9G5$pt6quC59#s)Vga_R<(`?Trh>rA#+ zg@n$fb=}Az{_@wY8q_odDJvis#(ciSHc(7p)40T7uh3LQmfT~(3(<`#_C+e!VJqjd zJHBd4R=Z>`(|lVPHM<^PWciZK>C(hNK=|o{D5GRm?JsevobWh^Ic8K=bA`$nPJ z)>pIX?eZqS4$@4rwo{Po1HO^um7rs~1Szz}oUSkgFN%E~B23X^vxSmftZ_EhTe$L5 zHc1(=w;%x{XYUc!`Eh~M)8)qGQ-7O(?nwtK)>OnMf)>CE%gfspAGs7WB)fBKY^&+- zJ`BG_v!Q4f_*!Fs0`kxZ=Qz%Uald&j2%_TV7VHiVQtlW>wucscUl z6>#lOjs|cSWH`cMBDrL?ubv3FtnSiVJJr~0ePN?^|Wj6}TaD#*@ zrXBqh_yDn_uGJHN(IMmx5MVfNGcRce4~dz4<9452uXZi=EVxR~#d$mOZbQe3H^IL+ zKi69;E)bQl?a?#UQnOE)W8Wk|WL&*!CP$zyg9f9i1C^&DnC&e4>VxFM71eC60;2&U zD>E3{5+(a%Jc9jp3Gs5uz6;XH?Otda?QtpS*lNZ6F<^k{`rSBI9bc>G-}Y_o=y{mp zCnM!yfcfxao#63@6k^aqxl%R4rw?6BR{!3G=QbIYPB%8MD8oj8%)n z)YmB12G*ku6*dvGsvthU8uiaNmFoCL&AGV>5N5tZ5$#gJbM-csWX_;mxYMz=E96gp zo9hpaPCTZO{)1UKskz~nTI!&dR-KoR?C=3Ev{(J?y)ED1a~8%D7Ute}Y`tCjpDdM6 zZona0Drc0XVU&V@E{C*%>kZ=s%O-axFxS9JiNsQ;@2s6Iki^|nv2Q*0h7WY-xg7e3 ziD@EHVgjVdq9+xy$iJlK+75PrI+e^YvMbo3mv1=Ii9zu65RA~HSLmT-1Nz=p`-*@U zWSzaA@`*W#<0m!J_lqG$O0xzxyHFe(_#y)uT7#DKO z_PucIcYWp$UHgG1Of`Otj&Lht)fxcEyA4x--4Z+CSgUNxWx|h#S%xNzmrJm<%ipsYBb)*iGmt7CpcG z5q_3NG=ysYW@ZmOsWMBkkPfi}qKL{8VC02KiTjXw!u)l^hy9Mv4gVdZvu+%9y;a+sZXAhngAtAsSn%!q)D2%k z;M^k!>2!HB#-L9Al3hKrG6k%j$TwJlKx`%sQ}UI1dkUTJxv+A=7WxwhXy$4%=;>KGXj~Kvl7O@xj$$iD z3z4r8fk%jx&-w+6?6Kt-U82^(IJ{Tkt`j$NPSewuQ-_FR2Rs_^01{E}3X%>5O78`R zN>frn)E{3*Joy_P>V78qVi!VMVZxl2IE#0D`iGgt48z68%2t5jR!h9Keyn*JH%4L6X8gx4dd9%pvTfsqJkWq z{U{-B85*XU(Lgt#KL4r7Cl^mf9X_?;UVc3QyUyI=SD?e)PeBPax=m=fgWsup25|#A zb+DQj?RkK{F9N;BBzKPV%o=?YRv2MmSK3 z=6TNgVr!U0Ox3Ct(AkH-i}qn6M~}-fvOY+7J0xgu>6g#aIetg!@RaZLS6B@PvdNOU zlnKSO_PC7la<0U$pZL;amX>o5PvXJ+L@DR7|9lrWpR3Zp)??+VK2N=P^O|^vYTsjU zFN-fe%l>wQsX9ls#^dY55v{Py%DryiW|oEU#+^Jaqd!)q#`;So(1up%l){#Jo=keb z6~gHLU0q+?EN)+l8#4I)y%F@4CsWLwwO`0DmRF zxvIPo=pbXaYwVJ^7KBBFX5GfG+243UY;N9~-kSh`U*?iodX_clqt%#i7r)L!GM*+c zZd)+Zd#B>1!#$3ID9NZy^VNEs#?eb=c4if|w|(>2H?Ptd`gpX|=+;7{G~*K#+Twz$ zvErfyYMIaXOuyfDp8iV|al9fBGt-geOFBDeDa3^%^>UaYeRzV#c$1^B7^8f`rT$wki)A!j27E3rBgj5cD6Ve zARz-x4B@C%8zJHeKw+=SOea(lsz>aFwFt`N(n2jWtVAudbOoWpyuAXhcMFIlz*I*% z9OoUgv5r~2$4mzyq!|Qb83!7eii7h*&NC!{U1fo3ghH<}(Lo4;D)jvrU9W?-iXWrT z53L{+(G@+#t~zFEA-1?8EklB$sW{t{R!|Ui&P*KM?rDx%##1|mVvP>a^Rzk} z+WA@?^I1hLb6!mvVH7a>KWl|O!-tlkF)OC1OG(~-+vV*z5Tl%(7tme7W6mOdZ91Az z0RSdc&}b(_ly|iS@3^zYJ?|4N(WvE9L#cvR4kP&a%~%IPT+6{k$M4KAUDyn&I$jV< z$wWsw!$AR+{)-_&!?E-dKmitPrjy{b9rvf~DmP-S$xKIlz+K_iH=XcM*fHbXcs-wZ7TgAEM;wyhF`#0@Q+KvhUOD=eJmhGW7*wSV_E z)p1&C6X?h>BtUus7!qLD)-wM*YJ2SY7>twUhnUZG3m;B?^zfYq**vAoygavpU>5S6 zrM=nWV#I2hU)@Y~5O`{tqe%=2WJ(D~arDIDY>jpJlgf1z)7%ww(i{{#(rgrpCv)WU zYnji=*zzqwHVQibqX%dM{oz-MndmGZgQCjL}W=K$4U;~{7l}*(MS|X__HojBPzy>pxEnT-i z(p)nN^Io?yD1xh&7^YXGg{I;LFY}#xJU9> zdxjW|cSm^7ZE_Z(tjm?Q$*EgSEnFenyn&&l0V^9Ijq#Wuq^v~}=q0~brF381sy$#|4m=?J`+?Q%TIJJ~mmjK(Q47M4fmu}J+bLPJ* zTh=T$hFXczoYh(ue5Opyggnw@*Lrq8@bjyRnpyv)ptHZ>$43?S>c-f4R1Is`H#LES zn+db?;RE%4^Oje7l@cgJ||hE$9}1^3x)=bx5#g_GepM!+!V1PhXp4 z^G$a5T5p$zhY7q_8~^=J0z4l*ZT_cB2cGm;lu1(`{AzoX#$j8hGEDLwl1bt#t6qMexK6h=?Lv&FK= z^CbPg)v4Z!K9PA|IF}v$)u9cfjHLQtzYTymIP*PsgD20&zopd|cV!iFxBTqC=cHRO zt>9R1)T}7!8GGxQ{CZ@NThV#N{THHVM|q!=)=s0#;&d-n@&uCp&FJPtN@hjmqPO6r zmU4|7OG$-B)A#5pNgdxxllb@43TxuSi;j!_@0M?Ph%_x?-?^o{y%que@B3*EW?FH1 zjo|vVB^@B8bOFG0gS<_oWc)a>lW|3DtJsXQHAqK^+A;~S)M*Oi&pC{|r1)hCZlVO5 zT#^mh2mtMK=P&}spXI|%v}HT^b~Ls?HH8%JE_!ZSqLY8z>hfLIZ1(DGcx*lw55z~-y;&EKNXuUYfbIjJR5xE=?gh!ZOm{!-9^bTMN~eu`hL z_}YdV6gl@J7fdL;%_qJU6Bvz-Fx6DFhA(hhtG%>KAppzeulx6r!3BINJ%fC-_Tco$ z!f#1wWzeW`DI1TuH0(^Q{Y|%e?R<%|_2yH5VK>ZAl`6}^Y71>Q$X3*7R=uu7U-T5g ztHKQHtgdb^Tbp;)zc<$qgz@V_ zA?^@Hk$Zke6M@gv=#E#=ssnRPfOWaF`nN~twjBr@{_f|t5YpM3SgjS81z&eanvWWI zryVom%o#1~f%0P+X=pB(y5DThJz5GUaN&G}OMZPlh=aP=3UBw^4<;pUVY zqzf=NBQ)M_vCdOk?6h;{a_n`wC%(;oQG9B-&XZqug|nM)4O4(1*RX_v1L!8T*051h zX=OR7-d`d}77;w^?I4xL#=p-#8WY!9@7fy|ghzNbhDAu+gl>%?(}dt3ahx8r>TJOaU>Pn0t>02Ddee$@2*p}aY!+3R$-Tf6eE`4~@ z*M{l*#KyDWH(dlae^k7-yyK+*dx&P(rdS}F8M7y3(!b!^QNsqAXq#$HI{I1wnwH^7 zn%qPL2`}-id76a!_89L4W&nd7Pnu?d;a$dx*;eaaR>J@gXpIZ-Dh~+;adYSoT`N^0 z?D91#!}w$d^o$^(9PKu(Brf?P5G~A5!Nqs>{_DYvQ7nkrqrCNSLJ8=^#taM8cf|;h zz=qE+BO%(gz<}?0=4{Zzh;Ns}2$X0yX;^GQ0dDX;pZ@FpA*ZB<`}me9M^MFVW7yyt zv*Js8e;%les$mJeb<{No{&%f++PQp=KqZ5ZD|kiR3L_WW;M)|u&f8p_Ts%KjU8tayW*wlD zt{zZJ`17c!+XfI?;yW%`{r1K{eD{0SOH$v}9&{5}?nvP`?HJ||A@EJV^%OC;WX4xX z0ccrd0?3t+)mYUx3v&=~mSho{gqt~E-vSFTiG2-o=#}8$+ujI&55)1z#8nJ9d$RTs zK<7t)28QC=5QIYT@|R*Sh*a2!5HjxYpoiAWdDJZq4H(Dwi2gJi(Et}4(P+v?9}Eij!ARfi z#Daiq&2TU7H%~bLpE}b#h}a2h`uAA9(^AcvAEIftX6p~Q8QBKh@_TJ@yH5w-vS&Yh z8M3Kxr>lnLp4vAA1n(E(&Z|`ZqAEhI+>j{5K4zb<6`Vo2U^FRu5$+>-InJqpn+XUM zHSiYFG>AO)yUxXw!cuzn61)*7$1UHlASzEg*+%0ZhlbY^xhYD1VJ)HZUn1uALZj<^ z>f`eq>P$FwSt@tBLVRCq37o%v7K7q-QzCF$b%Bc0EVN1Ai!P>mmZPx135|NFvNBA8 zdHM#MA8vJuOmId!F&0R(Xad3zfgL4hej_ySCD!qv6C;5$Pf<@5O(C`C7D|y-2!QCOeMK%A#{352G&V! zKETbmFAb@BPS;6$wQkrjEGTZu{kIyWKMgmta5O#6A@dY6dtgW8t!2zs=aIXL==-TdNb$#7hvdcKQ-HxyLb@zQ4r2b5b&gu$Bc&`~$FF{v}!{T`Oy?0SHZ10&?sh0&?vi zs`%eu^=58dZ}j;et6G~YFI$_n_l~g*i7b!>j^4{wPurV|eq>JSxSVR=WK}j@`UFT| zg^IGJl?;x%^mbGzH%uLzv=1LVOSV^3UT(^+0PGi{&g{2#ow=wRJYieL4PC3aer;gA zjjpW`BZ|2>J&JF2pE}RDuswzZo2HL*PT}v$-N^k=H$!YtJAl5M1q9I5$25URd8`fq z`4wLUr_L)j^A-Nibw}vXVrWxPD^kJ)A5bYr{M;ogivAKx)8t98_&9jJ`Mu-k$8W&! z(BWD{f}fjnCXb)cl#^T68X5Js1(?so#L^-Neiq}@M|+=>AvY}jK6(fRom^vrK^Myf z=EO>mjr&2dM--^GaAeK-kOu^wO^m^xj5*Zpmha`TieKnt)W6J$8(sO}ZVT8$!}&7m zS`sqq!NCsUB=f~ipM+DCZ9w?wDvWi+K6U85SU&b3j z2v)^`7kz|;V(ljtMBk3j7iLm3o^byo5&bC@eKkfUlZ3!SBcsfSG=adQ)x!`=8pIfj zDxTpQQE{ofOYxOHmXzB~2}vD-tF`TmaHEa`FFe}3UZ;$+gg-%oYI}Q{LhW#(eJb#) zSsWE?RuEc%Y8$ROr6(P8_@lzvpVv_8t|bzH2;+mb`A2rOn@EX5lM zk?;4GNbbm>9)q+T{-F65o}9^ax!owvvy(%O1pS+gv;X2!qxg&4BLWZCrZBm)KVG%> zR9Z?8Q>?QDa&G3K%KY#hy*wGJt?5~@uM34zcs7!-z6?N#F}5jJZw4RF0)K?)_kWhp zeY9wdzpbP+1hjx__#?{>)&A~Fcq3a5mHw@h#`A5Wd@}4wN%$iVuhYY%>)Q7Z3kB3i zf^su@Kxi9=DWC-(kHCZNR_Sj#=Ni8LG02kaIVst!geWBY?aEI!ipVz?81RMNE6le z%>E?D6a?i`P;O2im;zPJr29=32l_3mc@BXG)8F{+|G0z3dmO{FA>L{Q<6HP6tsKOQ zV)!FlN9>m~(6we>+N(q#>kNHs6Tu%zz+~dv{s#57HDl~TX_7x)wI%pk`GPPdekK0H&M29&Ru{OlXT8 zX(c?z*dF0M6!XY&l(cxnWDcqw3U%JszVj3CMRbU@!ii(Tz$J;-z+9hg$n+`p%T4Vv zsKVZi8dC@Fr8tbH!@(AI?ICIT$nE}btVpQw+b6$nsF2q0QJnwlf>4;k{&V~0gxX`L zU38DdA%%&EdE##xmPlV2nn=JWCC~{SxL4bbY+jmuT2d{m$R zz~Ye0Qgm_pU2K0+U*O!Pcnc##rOAkT(kZ8=r6;jed>&Ncnn%0GfENKzX3-I8n9^SZ!R&o;rozA>5I z?k0H!o6uPSNP4DU&amqC|CU)$)X1pOc!3y z$Cb2Q&!gG>9|+3C2^{`+7z4e_lGlW-!v`K-8z~;G#vLA> z!-byl7-s(y1N|*{KX!b@bHdgfdbvZy7t18Emy~(A{4lv|QizjgAtn$jhxnc>E7^NH zVY#;{dt&35Z&HinDw78T8R^+umn3vOti$bN9*D5Om3!y74`Pvfh%d+Rz$*(wft8}( zRM5MHro2g~E*CAeT+{bm25w@oEL*t~Yjn-zD9*%}ZuN=Ow&-*jG%sBG6>bgE)3cR1q$T6|fEbeN_>I`TQ;q*W%SVx~7spIN3 zHIAcHd>WJrRp+|K!N+eWJ36{{HIZ1 z&Rh7a(c94Q7V)!U0P)8wf7BN>`uR5=#IOpydaV@#r@-8y_}w2Zc>hMZn~Zd#e7&sv zs)HA5y13MGUedBY0)Hr*YLFe8SzI#HNK7vyaNe+Tbz2q^Dex!{K4b2XO6?xnuT`WT z)&QE{^M>BE{M)S(e>(m7#V3?0Z~0JdxTTf2OYv&3^xY5QT2<< zZot}s3};sdWrUg(K@ks*N7d$ z-SA;(ya3Kx&mSy0dFU-L=_((SWM(MYn3-`*TG(|GB}@8yga5iRS4nFy8j}j$H8*B_ zzp?5lWh)v`ksDL~+BlONqbBM&BQ}h6Z?t}^t&*SKv$vZ%iuv5s^am-Zt*;l3>Mbo5ab!wYhYFEx)b!c%u-*#Yw|}+BXj)rUlreUp&;T-=VYoe z-&Po4lriYy3KLkpBD+8k1U7XaEk6@66EqN@Z}gody0XvTxSJ)GXME4cPN>Sgxvda{ z_{FX`KyZ%~^8tQa4rbOq?XVCBv%HwLjj{4GH+0W@0QHv^%p`~#dhKlc_I8ySHpDW{ zJ123l5KA2C`nqTQr7q~0Zw?3%%aYhuO9`hI;7660li4j(rgn1qgplhAFSCRt?yiej zt3tSL8aFZ?p})|+ePNt}Z5CKp)urG-5LMe(t#zSN`+F2Co zNshE#Gy5Fm)+Tc^3`nM16k8EI_*__Nz3PS|NriN?n31VrKo)7-BBP4^wg&r1Smd?O zX8qJ^v>xXlPc_U&px2nA6C~ajp4}02)c|wnqS#dRgf@`a@YPf->Bs!!Gg@vuTkY%i z^*c}HEa}2Q&se4>?UyW` z9EXZA8+wGcu8|6JMpT=DAyF#Wfas2x_{(XF5%l;dF;$ce{Y8xpJu{%<+xrJD_+PR7$BEGNR~>Wb4?WMNnsvZ0guYxKu1s)}h+B z@X&Zn+nRCu`F#jATYBML|JO%V0u^BQ$vDt8A=#|kfOCNw zi=-HF&EweG%zaRi5p}S{iq6w;wwa|iYX1lyawxSF*L%2nElDZ(RfSohf#WN7Yz7a4 zA{1#$X2;D;?f=*r!P6a{A`ZHj)w_mQd0h$0u8F>mTOG*PxK%z;wX z4^?uUsZUfs@8-GuOSU@Bu3mSt464}KWfN*aT~3WQe;qr9j;k-iBpcs7%t(ow!QD+gl~7!bjI&WQT4@JrUVbv-WI(8Oo}`IM z?07_C<7ZP7lAlllc}xchNNU*a#-aK}4cX8)c%WMR>-C8WJaiWiyY@9tL!MPWz9w{R zc)4vsfh_cT=vD&pw^x1*IkiKbHUxE!EYxHW)HzY2n=-{2QMZ(-`pU6TN69a~z(N7Y zjxqd#O2n5B$jU>tl4vmhk0g9PcAo-fjOo$~LlY8<)dxdsC<5-ZW;1&m=)&TD3p&e) z;$dG}bcI?$S|?G%PVdnC1O+@6Xj))qPt!J7LAmbCLWastp&I+Nf#*(h>}+ZJ%C+Na zieA^;Sfy3NK3xFi2kf2^)m5yS5jCnVp6Eq<{=oh1wnF8&t~oi`q`0k_yE6f8n-!f) zj68tiu@$-iZ@I~>g)w7RSVyOnLwNmM>}hCdM-5FTh@i4U>9y=`=f8Byshc{Tk>J&-};3Yc}>V>WY?!PVy<1 z7U*(4Sk4ccD0h)h(J;v3Yy70c4&A{%di2X3my7Ioj*!Mm=n&%Joj_|O6&X55hDJ+w zbC9^(iIPYS$w52pAYWBIJ7q^5QzMb~I;}=>eeFdgF#+Nm8+m6i7VOYo^47~0uemRQ z9T)~lkxNW9fju;AVJb0p0TBnM{~{L00uj|Yiz4HDj#3`RT{E3{K5cY`%g`UTsO^eT zu6yUfUtD6eJV;rQD;1csx6WzWoMN;#ZNVzrJ-YvN8`773H*~Zsj6N-|uwlB~sz$uh zXHks$8BXOO%+%SfMa^@HsSbIwMKppl-UmK^V@rEphfe3w(z&7Og>kD!A*XAGD5GsO z&Rw;{Gs5MCk!Bu8Ap5w?gV8oWm8g3^%7c+$=}Um8J7Hd*U%9ALfieWZ#os)p1_kvkCDQyX=LXkpF_RL->Yj`0k?{KN}B34Uj9EUP` zw)%|3^J1ANVX`=jB4wRP$p_;_jbd2;OV3S5mbui)mB0x2!YbY5A6r{Bmb}ennuw=_Mo{WG?oq@r}rW@ z*;#eNUTIL_vd_;3Y794Y`2T!1Ei3?4G*C&0Z?QU+2%7r;-DnA-yc>>Cl0WPt)V(stDuO(R&h=u-Ai&Kf=bDdN#_DVlUv&f*r z++7|%>fIM8e=JQ>kERma$@18@yLEzcHOvlbnCd#9i>t}lz!qxOK!RzK4-co9>`TOG zwQM-lh2oT-4NkJhs&xxIH*~BSDWE_=pFh>aC9X^*uJgnj$P}<;Q4oe{X)2mKp8s=y zNu%6A0f5t>a7m4{`Y)KNhtE#4C~$cj3ZO3fx)3lwaAL0%mSbrAKUhm>W?W*dWSF&a z&K@&UiO+ryeMuqpT(4zW6j4s2Z&(FNUC;&3mjYep?M^%2E;ju)QbaA-y?ra<*VqDS z(~QKXa69?h72ghwty}GKvwK54*||-5DS~|OCYuJ7C%qd*CYZ*X#b`;Tt5=ZT1nVS< z=d==U_jdCm%-BS_ih}AXqV~D&!2@n3h2&~?XQXL8lu_uOkG;y41PVc@$;b5A;l7!e z{TJ+Js^0O(s(n)}ZZej0%_-({&0#^x9$`I{oisjTKgX;VYt0x+rJjvR*Bn zTEOJ_?VL(7TeE3<&_aMkzG**}O~3`qkK&{uUDpbpEf3P}@%V(={q3ttrL*j+7X9r+ zXinX%+%x7ysi*$U+wD$EO(6gc39j_6Yl+t+vcu><9d}GtqwD7nexhT)R!bmDs1 zvb~4mCY9fKr0D~`ug#^ED9@`E^XCB0RnJ45BQ?F+rbp z^VQUXVLFFA!|Sl3DA0z+77Zwhc|U&h@*8i+OWUx zY#=vFkyTZFN3B};V|rFz%q97G<2GsbpF11#sy{!}Vs>pFqkom8D`BH_yFPO;Wr z9z!gznQz2dXTm~l66O&rDHO)w+^@HTHawc$x*sFOw$Tg~-;WwUd%oeR3;06()|;0( z^ltmV`g&jgBfgG)ke{|!{;Al(v5uZV;iZu<<{d`5(ihCy#J&OH#>$1CvQcIdq6%C^ z`dIv2&oWCVZa%8M|D{lsUNNbw{L^R!-bN#(7p9G(?}281107aD7q`&X7me)av_M+3 zT4$zbYF3gp{Wn$1;DI z{9D6-wLTJPSNzG<+3#)duOXF`6tiGo(ruH%?4X&WyB&z2h<%B;)*SO>$IG)Xs~bYl zKz77!+AbH^6+@hF_g?SF;jg$o{&!kV{>Xe^tE~$JF}I@+jdR|@^SJF%tphRmsd}?r z{ye@aZ|-83tH&tC%E5SgOp^|>MD7Oty~|0n-~qg@{W)0ic%QbCki4|5{wcNcth$$o z=2C~!M{Tn*i*(~Z7lLEq8cqf#bQt$C!Vz2>qD5F%v;P!f*h@8If5EokdwYe|;?Fs* zB=hV2jHFf+ z=jT}X@5AxdC((Uwe1#-u@va#b!AWgfNV!SJpgwuvt8G=SCA2EsdjIEbOOY9S|OhUDbp%wYle5fR-8PSm}5Z+)hj3n zH=PM9I3;d&NP^Wz0lmrZVI%4o6!m$AVj%jWZHPECA*;wvn0gXC|8^6p1U3tRyOGYEClZ1y+5MstLis@!wNH5Hw z`ZoRyC;}{NdCGw zu|@#;2@5e^EBF0{BH;kh^Ht2U6psM0Kk>g#PgicohHDDnaDNYdaAR&c2=4<4G>m0z zhEk)zIVUW|37rH+NO{@5X7Fv?5Q|Dm@eXb^E z%TfvZtzh@h#zc<_-xI|63P3^T+`M^Bd0hW7V+O38K@Jnj2K=RL(B8K8*m{W*U$1 zu<#k2S7)j`PgV6m}18?6jdvvfeIAHbR z))3NYguH1*(OlKAGoyLoyk7&cuo8Zw-;A-U58f&RKHYZB+1#A-@sgY*AVl;rHg^e< z{qT*6;MvJblsHK=2n@h(U3-To;CWoZ?%zBk-jW`_reBUrSqi#R@$A=YS*C5}- zuBdx*gJ;p4y#_cvkh2n7%G^y?U^@wu7t>^DrFsE;h!K#GBi9-5z`jVk!=771M#3d>kr#^Tw{OIF?ws+#Di9jJN_-(s)_16`gS>c?$WA z*TbzI_F~%h0@h-hB$@-Oe}QOhp(#w-K|p%Jfp#hNj2Ci7D{;TRu86voSt4&4^UsN; zhZpDzyTsr9htj!a)smz%^cTHEPW@NVCxKC_2c0N`)B6kAvg;V)RLi907zg_w(z?rh zk1Q}E`cxPf+QHlt29&hnY zgDOBR%U>4PMv%HqleFoB8}?BHYQ)iA+0ES^JYs1>+)Gm{c$4=Ziyu=bF+Q62AHz~g ztuz@WEU7%xt5jwucj#bWCepVJP8TOa{_1vnai7!>E$ zkdK`Qo9`b-lryEMFJD*hLVUydDA(YhZvBj%2j-39#8p!0m{-IXj?)U7m|nOo?%5Uo zr4JMMfG1hr2=eDL#WhkyBMh`pFY|&YR(#qEDwG4NPF3=kOfzu6cI$eefJI4B)ZSl_ z)>!HVt=LTgFT9Uclx@Esw*ihe8l zceOz{Ue>Fx${FVLba{6RQ=#y5Irg@jGKPc7(V{Qp`wm+(6i?~S;jK&cw_65T>*>I*t30r$4!{jSUOESpAi+?zew`K{#%SH4?P;GC!?@3sci}w z@)R@F3qbfk7K#+@KzKObv&L<5>51Cm059pUq>oEquu!H;3w^z$ZILJ*q1WkF%s1?N zMX15-hde)^7JUj{|$#T|f0>b0*nGX7T!SL*l?Guk6+wrG& z_;*R4jI;`^KoE89qfxYNgl8M zvZ2^=A53Um)@{vG1}W~BU4)Pk+_4lc_}$Ls(>rV>w?B$TB&N^_iP#F0EgndGF&*8M z6+wR}u2XkB@PE1r`I9h+BSYfuIT!MK@`HQiBnKFm@@50a#}dM}&jx&5z}h}AUUuzx z2}%$wa&;!DQ9g{$9#D6&78;RXJ6P86<@gdiS-;Ul#`#ot)&R+HMA=pVJ6`4^65mu% zQj6Q#w-sSr!Ci@Ph+i9WED-g;gJXJLwj#c{)pt|D7~f!F1pJGhY11ZOpp5IL?$3d} z_BZ-S)$s8f) zL?|PA4q(q>;v8=Q#Wt78iOjq4jYWKZG1OnGl+$O%B&{wPbCoJiBq^>PL#Q%LJTs?b zh;kXd*aElvTSW4g+o_z=c0|)QFr_=mqr)Ng@5kGzn7YtNRdLgTQVQ4qWgt$m214Bv zm?U@JDkM9+(#Z1$rm{x$$iI_`9;zZ2Hs&|4Lk0}YmYzrxxh7addq{elm% zlV5HX;*I~MBUCs00V0FFrPmJVMaclM;+I>*3&U5M3&&R&F_Azl6rMoI27X8Q_me|x zi&>~ctJYh@6FWSFSNYd+B?083bZwZTVk5*Nip+kXWtJ9t5y|yrp!d!wJ-pauApv?( zaGQRwZwF5G)YmV4ZQzx z4f1TeMU**ftB6po<|YzFEC87Nc_|3c{l~7?{3ty}ul{&?b(HGjRD(esNj9Enh~69) zYLG!s&27B$!V}}P{BAJAH+RMKQM*hAMTuD~)Y$^PQcyN6l2VtnYBs%1%(zi)CPN|m z=-u;FcA3+1z zZBo8FnZpAUGXivTcnjH;F9<^UH-n8%wG%kg!K%oeAe`<}U!@X`_G~DI$ZW|FyCzjK z&EWE?i%%frTbq?y0T8lAh<`tYf9f67^T1i`2b<8Ie)nFEBJ62ZcguX}^y^CbGa2Wp zj8~e9p+L5gYyr6BM;|NJM_J=%0{n#_=}C7Y%%r993cozEGFr{JB`B)UbOe^%RRkw6 zn+MEuIJ70*L@VTBh4Ar$;{L}NVwMH$v^Fg%brb`38NMUz>9UGET=^b7wB?M&{2h-d z8HpVW8hazi?IONpnmFU^78` zePt8yEZxUIgWDs597DtnHV^sb09BH!X~qHLHd&l=Yn80aP6OQ&P{Or1L_~OfgrIMo zob@j!h>}oG0+PTp4DInrbL4GhmwYj=GEFq8OZUBhkn$VSiR^belJuulbG1`gv^&iL za140k5JLZV0nM9uMGT2?;(Fls@Rx=OrS8u_TCOX zN32VHmkIRdQJgn*Bl^5oy+S=ce`g{|TgG!~{RGmD@c41Ti_tw;X&Ob}h#t<{E81Nj zRFAWdzQx;FRfJ0?i!MoLLtTW6w(j04RAt)|zI_4@+PpNOxM>@yhMAadzi?zRoce`y zcH9E)&(v(^tmBvXsrvABh@DDVd)tabX+v=r7E|nrD|(gaPd5i4N*k?fn0$r$FZ%Sq zyJGAlScK_(zry7GAHGUneCeu-U434~xaj$2CLlYW@1Cgi)l3g$l4KGkJ7-ETF3IJv z`t_ZU?7yF~WZP}*DA;9kO%H32$fKa_!?CGUQ-VlO3hD%E(8DX}OAz?b`cVnZ)OLX- zLRyIpdOIKi7Q)irR9xjP;m}TB)ig?2bww_6CeLg>TexdiN4fAdEpyjmco|BpHe=_A zX86CTHfdOnqHvn`oI^W-Uz_q+16I`XyPs(l3>Gq^UA8859;HTU+-$>~W_H?%ZJ{mU zOn4gXSuDo2XT*3&2tGj;{#imt#V(^C!Y=E84I$M1-WFsh03&+&drFjt4Xlsm8$Z`V38rbL%!i}Th7z!I6j6YJNpN3p03NND~>zL54yqc;GEQ^A1h8v5L z(A8ZYHAh2I+J40>siH=8Pbgd#5zQaIWGspCDn&;;P0==0*FDhTspL#PbVYUv?dCN5 z{1?@ipBUB~CQp2I;tlj{SDC}i_VN~UlzFX&+Vqk_}Y$1sz-e%ni^2AN~= zmr^%TpN)dKj?z1`Vwq#J#kldie*6S@gShd-_r|pu(}TXGrbM!x&S0@8o?XJcuJ8U! zhlROg4`iJ5+Zt`+FRLs?AFI|Ltc7yH6*j}gRW@5jid z_nL@`tJ?ZL)%(V(?_Zoihjgk>z)yTsNA3SCYm_q$3rTpFVOJdTAoMDYQg3>YmkWYt zcJ+Na*23rBi`Rtp1S5Jd95}!fekI*xP?3$*lix<^Gf}@ zUEa7kZIP){E!9n#&`h&}^-(SAA|N|E#gcb8OZftNvN*YCrj? z%VINjpdc(uJo~d2lc|gDN$w^3qHF7Mv-Xd|mJzWR--gLQ@%knSUjDgVc8Q`SGbza0 z+PVxDQ`l0vyG*S9(bh_s`t)1DLU^4ZJ5$U)kWa$^TFJ*A>nJJ<;^I?!c=}=Ds3P%h zManEKU|0mRQ?yAC{9q*B1v?#_?4exM2^{PVK zb@plOk;VBn8V08_bC?j`b%LuF3wXgQ?oES` zesQp;n`;1|YsmBMe(imjpm)BdHK5`nD@4JgNs!dL0YJCyA3%sb0=p;FjzRc$jqd>6 zY%<=uw5eKGh6$6Pv_OL|iP-aXu4c}STKm`C>c94yj~jr!ZDfzP8aJIXyAUbmCS!U} zsGn4kGj;bNR>J5JFV^^F)XyTSb@vbM>?p{$4=c2C41%z!H;)&#fXh!HfmxB`lk)HD zHGbz_`zPh-d0WMXLBs<4yR*s%gCMD%-uceUyy9PXXSE(WK~g0zo-!HLGWDBN&Q1kf z9DpP(05M;#Odrzr;xwwlC&u(e8H)64<*ke=hCd#tMc6S3Qn2c3Qu_PGB&)lL7?K+K z3s+4~K*fU|gKf=z-)floUsvDT4|ih6PD~(D>AMP(?`=1bnbZz!j4DFf$K27;DdTJW zWkt~2Spx}BvZfdXxIijWNQ_&C*; zroj9jO|!@T8LNrPVzeWcLB2e;I#mxBQ^5gOJ+<18kVZ!a zr1k1TNo;hsg4jST14mdQS`M;>e{#R~R&Dg=37Jz9K^+xUr7mVi${JJYP&-rUx@`m1 zQMXcFtS%<3O?&7-EJC*1F(H>~czmS$TL2D`I(_9p4K7i{^eYVfsQt4)5ZS-`v< zlMS;F=m$Ywjmc*uS@Qy`Itsp=Sny@|)Ez$@)}w%VkF`L*v}oC#`#n+IP&{!exd~Mz zxk)XxPFr^ROTT>siAksoN4=5^YYWlUG)QbN)|Ivl)J#PES(aFKn&5x49m2g^XqW-3 z_C%S4qlca=byJS(U#zU+ZoR=>( z0Z85x!y;pW^|JI+eF6T>qm&uDdn?fBQ8e~GstQbC`_$Y3BG#$^B!4$mzoP8!s$%lJ z6)7Y65Nt9ROQh0FmGs zm~qa&MOd;FTv(cB*aBx^)*BE@EE-f5B{~-+6)O>#?d^Zs5?IClt+hZja>>WPJk_&G zHU8#tHfl;mHU9cBo4$AD%*a7V3m~3D+!oy4ELr8Z!3y=lu(JaTk?E>4q`5YUauG3(S z2~MSV%ec)%9z^E6(pk13@XJz4Cf73=t z=TmQSz-7)t)Bx&SM`)PYA~IU2gsRA~AG-iTJ1&VCZ)1(^)OWDH|3x9=FXN|G?q4d- z^uCL)Zokg zD6t0YO~@n@B$?h%26Lq9^JRDe9V$hl!MzqJR{PC^&rfhJQEay88HaKyQEXM5=wi-6 z0z(z%;um_Pg${LBMFR5~?kffZ0gq3@zZzzX=uo_HMCDXr#$-Ur6NMVbIeZ8cwCa2j zPZ!L)hX?|W*xVZIK$AmplN@pCAQ?<-kz`Lxn0Wat)N6S?e8{UNsj-^t4FyK^m_3A_ z5CW*^^gQ(k8dS>Q$E!pUd`PGXsqysOzcfJq-5(=bY;P&z{!M~{WAQik&TW{uve0GW z&?js75CKNu&zpJv7!0Qcq%eY1lAp+7nAU^Y?ybH`wv;p}yrx;#mWl`=z{G3e=_=V* zlEYA4+3($li4Se647P=d*Z(11r~ZThnixE4ei$@s4->yz5jMVk2owL`wB(<(J|Spz zjH5w?409L)tg8_{c}iV8QDD$=F*pN!KAv7gE?-Dc3 zAyXp8Rxnk~2~uVT&OvJ;%(_srXbC)`5vU6>g>DP~2`d`>5h+A}pRt*{lYLp}j>zv_ z;po#TGc{Fre1u+cd~{qfC?n6#XI}opg;Z~htyFjH8rZ2nk)(So_*X5X{&=IG1x>xX zHs7Apmyk*nk=i=?yl(xd9vQ%eWwtmG;JT_-q?>iyA8J!pDXN+hGMlZ5z;W2@;;hHY&HD0G!OM0Cbe48cE0SqClxqWTgAIfv+01tu1L9CDMal zDD)K&EhP9ot7#eqGiJ;2oUjP)Job?&;%4K@wFNzw*?(CNx7P<}>A+pi(oWRObek>{ zpblv#H+fGn-&(x`Pp_jQy15tZ2GC^8I%qRS$3k{atP{JzI*+}e<)@tR0(Qfj5ig_v zx@!A+p}nz$nJn%t44^>d^xSlMgRRyAyAuaf)%Mu4ZyfC=xCvd2vy1cP+gS~*f^*s+U43YOMd#hh@4plqt9(-}UGVmb&hRz9zZIBj zZnoXaI~DddcYfE@I4=UnMn2B%sl4ZIpQ&9%a9x&hzcAT?;p!+Vl`}2Bui7!+@X|H zc1}}Ob+#PQclZeA)KhdWQp@ali6H3We{~2KtGUD8>nwo8@Hm1htGPK4X4T8wrju0` zl*}zL=>3(k5ePsC6t3qnJ7<@V+>YHVy1=}`73`aBJAN9oC1DOn2NQdXAM<260By2>}^0LY-K=f3wk>O;M# znE>q_z#&02XMe&=>EHw>{U8j9smMiP;HNxU-Cc-;#C9nf5x9DT7~g9?}wpxHJ zIybmqcJG`|AyNT43%d-hFfd&DXwx!*mu^*Iq>EXI{5|$7+MD-EI$9cBc4q5V7LD=t z*pKtk;8&xS&~yJDKdq^oAc6|5iTb-n?k6X=$j<1XerzF)$O$%0?mcBp#d+CbW+^=o zhe>NbN-S$UN|c^jC)>q}{;*y(S6qU(`uD`-C2@OiGEA_7? zNwBjzS1nj&PkJDw*!`=PJF zq_IYO5gnH24zjv{o)4jLEu(UHqB8J_N#yjEg@~#N+oaQ1Xl~yg(D`pP(bE%?e!;xt z;TcwpyrJOeEJK|2ek1pD6E+|57x$&6)06vr-xv28)fad4K#2$UlI=BbTE7=}b}2}o zi}iqAE!l5`Fw}3vK>&nvZy`cYc6^ld)d8baKK88gy%|=qwHesA zgAf6Bkl~Xe2l9z=X zO)L+}&QhFT2|Y1k&5kcNH=uT8mPg;Shkeuit7!U4<>i>%%32}XH-KWO-jix91jVxy41nktU zCbID*ME7Q7)?Nf8R%aqYQ6O_imivvKfAkwkuBxDM)?_KZ)n(0i&&|L}4;VfFt~X)B zpdx>AZ&As~Qe4x!b3Y{Ob+}geX#!SvBvMr~0W{q;JR{;j>7SgPg`7G(BQrWTLmLy& zL*vGdPct`ziuK^GaAd*;yi|w7Gq6_wo?{lG7tH}Z2V9qD=O<9y7iR}2Sls95z-P;G z(Y|XFwq7R_Hcs%ryI~KdyOBTIR^l^$OC$b_v;~PIX#j5@le@uc~Yw4=E}Shv+=Yw4IG3X3yePw@Clsk zfRP2=7(P4xcmYs54t%m)%`IZFF*}2rQVXCfhXbFqIsyuD+?&z<7+`;PG+~QV6#g95cqH}s1ey{qL-+Pim}3=OeD3~lGsjE=bc-)g&%FB^2+(t7>S zB!1L(zpeBeFIRn|e_UH?dek2yeZ0KUa3M>84r(}3c{lin_eS!qJ~j_!c3O^DXKb&d ztkE!E(_oA=ZMl=K6~LD7V=xx9IUH+Pb0k_ax8NIlTz*cSYy9kG zB|uW^E4|j*yP#})pJgVOYv%>hjWUxl(xhKbW9DZ;!*&4Bm0jc8z7;00**|x=j@y}2 z8WVVtsNsk%%)Ap2jT(`ckJLp)tPl-gmjXQddjQGuSRoT}XD~*MmfOT+_7W(iMtj%z zy5p6V$DtzjBU;dEqnZ_dj0fqPdtEMo$H!t-kY2W~8`@Im>um}XcnGjawRc07n83*? zfLvv#Eu>yp7*OIf#^{RbkA7UU1FF8YGdC9|N1j`(C*(RG1axOZ-%)fI3&?<=;V7}P zBUXq5_`J8l1O~~Y+TTx^k(dtGc57;%GJ%nqn2fcX?NMt(#h!Wxv2oTe-#33BB!!gY zzNCQuXr$WV`ta=5b}IlQhlX$$Be|UkOaWlAld0=g;HqiY1^UvuSgL}s(Xj^@o-J?G z8B+$HQpHi(>ed6XLNG4#&iz?hZgSsdbt%S!8ryW;Xw$(W242p-_IYq>>rqV;JfeY?9WnDhQ@&p&I`1ke|N258DUbMW*7QdL#- z4NK5*tHOF)Kh)k0*DH-PYL6A_MxmQKSCC3BQ{XO#gyMG5(D^?xbQ<9L5M%C4>eP0} zz3(Q}t=RWo=6>5w%oWpegSG_p?u*OMQFcmhi0h;810Lygk_h)L)ZTsD<%tmiRDPZ# z;|iG7W|I~WmY5rh<@HB4Gk{R*fME!Z6Jf^96NrmL%`1k(KC+FYx!0{rQegolvcDXK zQM|gg2sH<_DF>30!L36!eRn>+G4Jj6_rdpB`;0^VpBAy)sSHt4QTjEbEP;&D0;@9l zVGAO>J|mQSR8ocYBqK%dBR#S3&%}`rSK7V;;m_=&+c|9I^Izi{>8CDWytLwHb7Fg> znx{B|z_gX|j3R=5qm9ZjpAL2l2TNr~u{6I%mf$vFe6By%d8WQ@>*ITgZLDq${xrzI z7jO8xCV}`@_SNyaePxSfFh$ldjJo8rjgNK0%qNU&j^T_28;>a0JcdYD@<>EZhS1H4 zc^sdE!<*6XvuD;5HFdi8lTxsu61$?#(IEMH7S6Hcx(~atM+!HKSVx1oERehsE9cl^ zb%3{-Ra?WJgqG6Q`*n~CS^~ZSua%dVOhb1S?^VoIzWL9mqh{%Uqs#!Rx$NC+l2N8m!-kl z<~npxyBVZfV@5fk-3$|iTumFK^S^Y><3K3wMH>)+2FouxEDII2NKu;m5pJ5eg6Rf|dg4;qP!~&GqCp$uECj)$G#~XE;Z>vC$t$sCT zHIKX`GmV`{nZ>_22Z}cUqD{Cg8KVCXTKZ>5G?^(hY_Mj&S5!J$fWFR5(crQ$$|*p> z7TM6bkNCkVwydl(=}l*L6Dn^YpO(|R-qQ0Ll4g7jdK|L2TuhA8_$pq#^H z?aT)_JJuN4*l z{~W@vqkN4<}1b6yS{3W7fk0cH`(Y!&t4G*O)1=m3=jURkWb{vx<}%OX#^u zRT-0VVC1dOq}MuwbtxaO)l4H>kVdj)GOWRQly6lO0IQfd(_RCNfw6d)O1NBL!wz`@cs)q~S9F5%X{42DaY-$T>BJQ>k^1?f>V9)^5%>qkEff7qZ~(%s~9M ztsDyspO7U0@I}tyKhqr;9hG2QmQQ%RA0uaBfaRY0T0?bH0TYw6D$cCe>Ie`suES+n z;;l0~v@m7X7Z$FFPn$Z~ze|gw{N|*DwS)N#?p_6RENwfvF z$6Zxg|LG3P3AP;lvO%aa(loo-+GDYP;53ic@N7toZD(dzNB-eZ~H95a8T z{}&GXr9F=PW_kL+?Z|Drzpr$_yv0;*Dx-iNrI%{t-bU#QzS&H{gP+^o40?X0AZ1E? zoV;Qb7$XEZwU1gh^TS_M499D8?OKCl*x$DtN|!9xcsg>LD8r(bV5%OIdK42waS=1L z=4-!Dy1ge`p*bhVGeeXE&Y1m=0<)&phx`@d*-ybQ)`czm*|OFpTSNM+NJ$wr+e+4X zVQFA@&_+%kiJwTvOp2*%;fb$!^l|hh6kAjqNsYpEc;ly7C?U9-Ee8QPsZH*KE`F5t zJ)KJllu;W#G8uEQL1QF=Q@*K067y>b;8l&^P^oUl$?fOF8L8qlx$4&%(OKOov^t z%}De0kM4dXrn%;}a*HX31aN#i^HYFljZBW z5Edrz4Xrr@vUu~`8cVq0{V?1Y#^%$5%y8c83gtg$>r2h@1PA(9a?J5rPw6fmx7M?y-Aa8ofZE(2qln=ey;~rvxYajM-r;u~= z-pgJMEc!1ng=-ddX_0bbf6nkp^cl0eQy;d1&d=&TO`g`YJw}dRAsMM&Axz6YY|c(0 zOids9XBplscY(Ennh$iJyh+kJ5|znAolmz8Y+2BSO;aTuj^?E zlc9vuT3v;9()hE1jfUvin^+j3?F~@`d;Ury2$j=^@RnTj$T`>GHBWc zM(O5r_!YUj3Muqc%3VZ;%Ri^$jM9-MD5cIe&;ts}F;o@K%3y?9>@0A(Z6Vu$ZJtO^ zCS|q?2$eIo7N2w(bq)5J>~UiE%Am6<W-LyMq+nz|{UCLh^?Xw=$AK7A^s93d%pEjknmV8a&bw#p_)S^;@D$oxiE*sE zKtcC(5*VNQA!|HF48t2TVVe5AE+GqbV(N;)cAq*^h-tY5lqeno*?j-l_9(vuH+?_uwLS&Qq-f9Ar|f{=n+*QRt`rJk=Nt zo+<}>mVZ&Op-!WDIm5O9Z%wi&to8|D@3mGtTR)Y5g1|c*tZvPKg&s(*36dzb*+g#P zONzhKP8a1wTpGYgKB}g)XHK?9WtN>i-CXtyX&Fe)R(893FZ>+utLAjozDEhklI>Tp zW6I%*YWZRP_y(K=Y$1EXf;U@v-t@fntd%%h!69g=D#AIn@uD?3(C>9YqH_W!yE9lb zR}eYdleoq3bt!|j-+72u*ymJ@QcV5H*G0j(mT;CEL4$1-QAds_e=$m!LmQH={z?0L zo)I{;$~WU|=TPN2X{S>R6*LpAxCaI*WD2 zz*fjV1CwRWFBa$1lZOK+AlACTMpwR-YV7FQ>yItn zxB9I?5k-~7{ag`5qJD0JW?Dm#C-*ZH#5qFiI_m8fZG_MfgCEmJ0HBV~n}H25Nv@A> zsm`naX_jh%f`o6$!xe{V!i4f>XN6~ZXZ(dJVZo2VWy3p6l}3DZ%LnqMg4EZfr8K3PI~WwuuD+3Zg5#_<_{w_4Zl$;w<=@l`2i zr%ARY6`U_F*r+_E5o#_PkCJ4)yDR%t@}!ok+B-k+&%qS2E%w*)LGYg>0<{g!Zv6CK z(as+E)DYIT9H6e_wHi@}4&A{Q@|0MdIHhzS6ihZ&jx{rAO}zaOFeyPlk;U=&9Hg5U zsT*h{x0`P+LY@w;;3P@Hn#M|(gxS^w(EVN2N;ISDT@mDVdw>36{lm$+V|0)sgluUz4kuaTi4LRq3#xv~nxh^l_dabIrHip?bW(yyo3s-HHYw=jL`_pHQP1F~Vo7-O$rG-7g)#|H z&*Y@AG?3yW9%9sWnK?3@=*B%$ow&*uzz(fBrGm;+r`$H147H?ecTJ@uTVWDffO$|G z{|eBk<~br)av%xEIUU%L?R_bH&&2%CNxJ{#-H7V1)e$mOL^9_LS*oW z3W-yvN93S4)`I>sc0}LR0?sgX$l!MjKXNC|9-`yzwvP?YNX8# zOFyGYvTP~IFP!Lrj8*xf1ZcMMvz7STs0V*(tg>$HW;_S49+_R<_TIT6wh41`K0LP1 zN|@0Yq!P@L&{WDPM&AW;b3Wj7UQ7O8CL4^6midAqylnm7q1ApbeMk6>_`9{-E->6r z`{_*_)aW`x!Q)Ynm(9V?J7?5fZ8q4WOyal<4(b`d`aw8^n@3&}pRdC)FkTBC%ceT7 zCp*?$+V8HFxVK~Zf333!_ig2hIF`P|2aV8b=I3mq)27}UQ%ds5I!!COE!n6-An^=( z=_hFC!fUnV+`voY+DB>a{6d&nypBeW7fdYjN1)WXF z-H8qbFmBH2P>8mshzJSz%@>n%IY2<6%gM@9Quc88r(RVur&`1?a4({VQC(J8?~J6H zm%hd);VQvw4q+9(xY`^JoD&u%eseu1V`T!J^j<{lgvoK@_jM%#zEWaWQGV=5-J7X* za!Ge=I09aCs1+pwl!!cz^q)>6*LslWbhACc9gh7JZXD(U!2P0p*pYAb`l&7>xAoe* z=Hi^$97~flQ#K>bDgQEqW3oBI0dTQ@`Vi9)xXmfh{*Pce#$I?x^@dV*jr)7|@bCOg z4u13CoT{ok4p22BHUYOe6g3?RM;IdXtZ>9$IOQn~os!vXIHir&;cz&mtTKT`E@Ied z!JxY3Ts2)h8*E#RTMROh0|KIm*M5Nn5h^7l*Y(U~NiWDJ`{zuM;)KED1}&3#pn#eqg4(y&X36@;c_e;V9o zMLpV465!MrJ&yY_k2ib^S z5QZZOe}3#c*C3~UIo8!5*zY!IqYX)PqgS(HKhmvl))v}wHRKoHpG)>P#n=}*KYMB9 zbx!yZFO_Jp-t8IvGYTt}7v5>imII!329*W|Z$Bl&C#v?@=L}WmX7A1M>x=(<)WVJ< z_O6ML{6j}TRxjbo6T<#2*@lA=I|E`KQ`@FHEHz>t=z2zQ^OTCStQLJoh$GcbK|TfU z5;!x0=X7$?aJ4~Ihx~D%NmOLlpvJ$y1KW7kSP&JgLAV8Gd!n?`g?p08m3ubnP9*b( z8zI3F-<5!r_QHrhEzVa9FG2hKHZk~#;a=9CCitcALZfvI^S@r9Nn&N|7CEiVnj*E; zZ@$zNt2@+G1DlB)uIR~^V)&9cvN2t{f?dpYGj8xtDf4q=`14-=XNi_)%k*`Z$l;2_ z2s<5+7e{1h(Ip;}wNc%D#L)0w*SmPgB~D#m9E18`rMnENF1-Vebf|W2GI6<9ypiFx zW&IgOnWaA}`<6}XA1%KZIu{VNf zl@o_b`8}hD_yr45UG=U#Yu9WWja?swqv&h)RU=05`6F~GU?*YkR_?>$O$z*(Hej9h zAHQkl`;#0}7|ItRpT+jm-h;J9n)%ZK)vu``(f8p|i*Miw9};D4@omMUNG9EP)=R~+ ztkvz22iTNMJKh*8YfUQBuUanMR-HjL4RRz4#6ktWhgU4N7~;2fHfom+HX~x-YPM<0K;&T(!kNO#opPXQjA;0Y z-|zXdgZE!W#czL~n#_Sdng4i)x@B%8j6=c^uGHxTy9Wjnk_w_4pM9hkZ38C??UL{} zpUrILHnE`PjV;)!s}_T)k4&8X>_3L!pn2PkaP$pDEx!y{Q?y%42D(8@W;a zwe=_wN8ILN&sYve&4)g4YnlLd5fGbU;dbDYNIK0Ef2-Li*}bWUu4%jGslL*WdX-tz zb`ZJ}za(Nr4E0|R`M!EHKmJrNHAx>Pxb-4@HDs#S`cN;$*Jw)`>c2568`9E-MoAKL z2{%432vU@nXW+bmcgL8W3`OQD@(OO?p6k}Z4i`Trq^)X%@Exp$a9gL8*TFep0Gh{y zZ)%_cU1SseSa4f!LxY!RsgrG|_FkvVE6H4)XHXrKjaZnIiGRMtK21(@JLBA82o-$Il=vUYPLM?8297OWKNw zc(qQM(S~MKN@rMGUg(7o4>O6a8HR2*0rit|3NQUq2$t@(yAQwM7pJ8=8}Zo1=CQN5 zQrbe?BQ!PV4#+O$tA+n8-;a^l$C#Y*6ymJT3P)cA-ngqcua1r*|LIcvjkvn-UdSw1 z2dW(s(UQ>74y1*RcBX|On5kTysh%V=jWpQuFAdYObPdxU`5C1yr*4w z{mGuBd|y9sncjZ&?c{X0Pf9M{G#c$Y|a^~=2aI}PX^S-Ru9?_9{s%dX;E`GtMS5d62u$~Z&E zQYuic=(B(93|e0Ze^3vP`jmUpOu+aYuI~FpvB0gE2D4fD+uJFI-C~c z-1yU+@zqYgt9{50iHsn|1Qw)u8{9799|h&8Je*cqb+Gi-iI45X=u7jsp>dnn6Z<=Q zQ>sftombc?ubmX=*wp{PQ+0d3O}B}YbFdUmOe(Gr?lDJ zmm6ADt>S_7)$-|wc2)q=-%zA4__#$)F-)4bfHB7ekV$hePab&=-Hrysu69Y4AGBfa zARqOVA54K>w2%}mA452i!?I`Ln@PJkBvU<*$oTzHKl=;?fx%E5#x6b8qM(5#KT5_4v~WNoBXv8=FD=x( zCElgxBl74+@h}<@&VZX077>9}jnDA_=^=@7ILx=GD-J_*tD370W2Yrnn6bdB&Q^~| zAr%rR48vDe9s9TzHse&^grHmMt)ehLr<-k2eC28&XEh@q7-n@N>Z6jx zVv&yjsL5KvT;|sb_h21%m$q~46nQj4G744r{!WS50#P|~$<=-fO*_~s!5cHKi@>=< zg3HJ|7%BuUGC1OTt0d!VO_u0PKJ%YHUHTh~kmbZ#CM=sWbx7`+Nf-#9P;|qi$;yHn zYG-nO0E-I9iz^67Xgp_AzomSvHUDDh8ctUF?pa_&M zRyM?A?;AM;Cf5&kPQa{JJ!u>+&A?0o3EPs7D~>a1+=jaCUy*GoE_R6hgr!hreU!`z zj^_I8GvnY;IWG;tZfQ`I6El};w`8B8fg#E0SS0j|MCX4Ok#xJ`!cC^nkerg0Qy273 z(3X9yoc<$x9G#BXnw?w5X+j(;D9@VRAbB@=$wwSE$}*_Y#6CxI5&H7?Oj1oT4DX)N zQ}aH7%-MJC?m^A%xeWXt@HP6w_h%VqW5Y%PS|uB-eHu?z{-*hafp@gFVPAr;i4ijO z+Cvd`f+1^&zt|J;b1Sl8+A>_7GP5oa2V6Ax)yoi0WVSrb_@hcY65MUovM=2u%07Oc zDU*tYFgJxHbgk9o|GHH_7PB8ObZSXjfQ`go$s_pPkhgt{b=XSC3O8oa4@O$i7JQhv zgOEkf{5A{SKi^{gqSGaSkveWAr#F-JHM);_mX4-;+^3k(1-r0Qc>U)Xt#y=I#UAyW zRqO6^bN-}z?FBp6%U`O=V?Gv)byM-&_S=%ulJ5vj6{tH>Dc%YTurKTFYF0)CmL-#| z0-b6?8|T(9@d z$_K9eO|LtQ+;7+9*n?3ssPWF6OZrE3jRNhZ|1vHL7x|CqV}{;@kb77NgcWD;Z78)_ z*^Qe$gj3U2ayUE;W!Mpq8R1vFzumO&yN_=L^ zBP$GZJh%Y{`53E`?gO6UN*E;d{XY>17MIdr$YlbM)te+P(vG-{gFOX{r483%cPWz>_mqrKO0}5lxe7E6{Ybv!#8`Wy&ZJrKqfk17v={s~9<70i$+ zPZ%MK!y}BR&w@w7d+fS`?p>|nKv&s*{sw|n9Jz@I@s-qA)E5Y5!lV+w{1A{I0jd*> zEYOrtdlPsri6e8el7<-m3A~E57A`PU6eFlR4wa53wNm&e~m;6{|*T*uP0{u zED$8Mcch5ItF~XcvCcG>#$9is{kb|$M;hB)hmgW016Dd8%YCZ!0!@81L8`*o_-=>2ISxHOx;9rLsfJfKc3J`n&sZS!h~-hbJ&YCLWQF;Q-oO* z3VawgCy_5Z_~+E}m5J$;Ih@CO_y!pAohE)_GloIpz%8OVeUazAzxp zpKTSw20pU0C;c1h(g9`c-UVf>u>k}i{#)A0(1ClN+RJ7nv54e(H-qF^=Ek~+`sUOo z&i`(L?0JVgZH)YXd6xzCM|XB-b+p8Er8gwq_XQ!JWw%P?D7OH&Mri4&?bGNhPbd#$)wYSa_}UgEdwLP8`h*QAA9nlw^Z ztbZc3sBlu}L)oY9mQmO>>(*qo(X=t}%CFNf%GCUCQ6_AF=$|{Qbk)f!vmCDi4x1BI za;KFcgQc8-H^aF4NxzWqq_%;~ca%klRoscpCn;W&D$zXAWq0&_+vdoR0LHyGyYYcXuxYcL)xl6eqZUdH?xmGRe-~-DA7wnZ3E^o>OUKSBmN+ z$wTpD%8L3hX4l55q4{lwx&0Tb+3LG#9(L+a)Xq1u-wW#-JrY`gFs?6w=`alxo?9LMZORfc@`4za+(Yk0i#vbcAODs{j(_?B(Du3>z)B;x+L2{ zCJ8SwM{5MisPam7NJ+OfZhwB~%G4%yC1P&GfUtXNL7v;;-yNJI&oosZ9iM%gMf3$U zWB2c%Hc#~=*L(qj=${dVW7a5b%DNf!&o2P@>jW_vam$M? zBs6r`eGKRD8)7IAo5J4jfG>!`lw5vlyqg|PO6PKAKZc@%riG{&=(v$&f0zgV`HcS| ze3`8mV=T?BzgWoc@Wvg!-EtP;N`nChklwsoL7kZ%Ko>t*?C8e8PQC2Hx!y5 zf=G>#NJ?N(GnV7oB~9qLz;5sD#)`dZs7M$yCBu)!P|h#QSGCO{P$m3kFhQ5GZ~K|# zrwnOh4~c{QECB;yIfCT!SC)Q~Ai<{jGL8hg9j4+mnk`&lNE}Tf3nkZUaF2MGWMbs9 zMd*c75i+3+Rw8+Jtz zv9T-m>9J}AR4-q1MS+)iMR8O`>an$2SKc!VwTZUqpYG_)ZI3BY=;&+$$~6=5X&Z_Wl@fNKY6;q;BcqUc&iaqrqB-oVxoTa9 zWww~}{wYXP7mcmssO`pt3~$^%AkXZ%g%A80X#M5kqW;`fgctg?&^{MwD2LPQUzo zn8vcE6iGmTP$KL9LJPVyfjV}Hm&Rb>M`Us%Y7<&kF@MS;HTll-g|Fd=XJ^ow=Sw4# zcXh8e4cK(B>b%^f5clK_dM0$oD0%CAXc8d>z8w0G4{egy1$Bb2t&!R zQPY(vnIfS$-+9HX5_D4+q?;%eslEoKU?)a%dNZh8J0UavOCS6?m5b~B!aOJ(a6g1AFoKb>pA#r7^F>9xGBzRo+qw(OiZCz$0Q%^ACU=)CxxNN_p?UM zNYj}zoqp*c-W0bAnsQ|%(SE-3PS={5{9<^Qkav1>CzNw`Be^WE^3j!~Ru}@*C;N4p zb2M4V&(TMdIqSzW9 zWYd)x@EHOPb7fL+Dt4nDWX670h{GT>Zq3q9F}l)yr4GR3n#D48T~O+Wg-aU4-dm0K zM%9B_rD%Y*PNF8n?_3H#N=$Xy*Usj9S>9${G)X~#)5S!1QvF0Y z1;j7HCL5oseu8yKn6$#d(@nt8b*tm>70>1Mm@v8Jn0fXqtd0pT&z7c*9 zD2lN%aRo(K`eA9L&EXPQe4LuYYd(V#SrAUJ8#CSG^k#8fVnr0|B$jL-66$AhDDM3G zYi*fkVTkl4JJX`9MKU3JKLXjTMG}Q2dHTnU9z9a>W4m#)Gq$YTaCLCOAFb-(Wx6kF ze1pypy zVol<&Z0Rv(;A1svf+e|EzyYR+xu?O)hR52-64RFEav^%t^;PX1xE!AVk&M8PNo;&{ zNmmI#`UhRfD>s%Jjk%~>vA9aLf8*=J{gP07pLK(zUr}!3Gp8P|^ti?TI_qRn0V|dY zAKB>X^RN(#K}bk5KMIG8f}@7G<<9Ur0`^;uZt(aWie$fR&)}GDXzjhA_T+L@$k{wa zy#45EzZ+NNK)~8-py%?>!`Q;K+P-mK9}@OuDb47>uG(IDtqHZb4W7YdzQUH8Dg1Qx zkW>}ZbBPT5&!=g(mh4X}ldVWCn63jzwB#&je{w@t^dSX0gr#lGc9u&x`%yegX`Jp$ z0z9XyNQ}cyrdhV&$z_2dzabhvPLI?{vh(gO`7g|BU%fZr^B5+N zW5x;kSZhlr5#0G^a=!(>eRBSzMTfS|l;>>_(wj~}z|0CG*)Op1Uh4RQ z1u}eiOVnKOj)Bu!mw}8A6%K}{jzn|M6Hz>Y#2MZXtz3%An^Ww=eu~BqaSQFqQggUK z;<*AFj7l^W3o-|e`%jBP?jsCi&BgYK z3;<2f01r1JOQUr-zAGE8&%~5l8T+{_D7Ci}^H!d|MgMxuG>n|yd(~@Up+W9-vxJnM zK&G6|;MBI8D>Z;d4~Pog8|h_6w4FVqMi>%S0t+JCf3-`(q3%7eS}ynUM>ghdH)ZY> zK=9jC77R*AaYX1nKqJWLMl_c6LoN^k^+Mw|zf%by&9`XO)0<_@h0Ai({6 zP7uMcQ&$iHPEC@JH?qMVVP>2|6;~gPT;~sFT`w~Or_%}nQ7cX&T)3geUS^*ic@d}> zIxt#G2o-ow_|Hc1vX`0rQu#XeC&l_lBr|G7W8JOy+%j89;&Xi2{tXQBg4w;xmc-pl z1%Ke38K>hcrKmvg0UqJUKkj(?r+E9asgct;8B8Kka*C(1aqm8r)R=OFI#!Qfn*B!&-Ur%%GtNLR~z15^bgi@(kD9;Sv<3v=c zI6(d+GA1%bu%!=El}p)|QM*!wSvJX{q`g8-a}155q@P#6%|qvZGi6`csOVUJRc}0W zXeU35QQNt}98*(h)4a%TW3RXSGI|kWh)e%vbZfRS=^~UZ`W(K)IrP51&&ssWDM~__ zk1!{f!B>n5d7u7kS80N1ay(K$YxC@gaIW`?k&9F#rQ318SGmCogO$jPU6_YV)m(4) zic&hX_v*9BQCt|-N(zS;2qvx|4>JDwV3MjGJ+|*>x-OR=4EiTT)dQKem(9C!qb5Yq z!lDs8K2@B~_#zY3#@Z)$3o|Y}RcvNDRWuzGo0%GSi)ds0X_xJb)a7=I!n7`Ca{EXm z+uFv8uAOe%2Lh*1v~GPb1&;F)BeN)Ptn*?W>Q*q$I_s-Ue4u+b`R?v(inIO#u$8$anizcv z%^AjP&AwCFtuS2~q2V9DH9M$CL~bPj-{lW0fR8Wr#>J zw}m_UL=?Bby8>@%-r(I~T$-Ibx~2BIvwd|LD|dZ60Mge4j9OTrouMR0J$;k3;QxR? zglJoMQ1N(SK^DVIi-gbC#ABqf)~<|xY4N#kcqo*1vMWS~UiV3Ogf3^jN19&D}MZA}a_ z?_HC5A3T$wYz()+IG?X56EOt@90~7eC#!iAc@12(J*GR%}COvfvMtRz# z-rsVh8T$#fyv?)HB(`{?Hl5|aC{|pGm8u&fgm9Sf8LL4?onxer;awQ5rGlW2 zMn9Z!u?Q0;Twr=QqWnJ_Mm$C1{eMMxaCBu*j1giACQSbA!AhI--V8nbCz4Y5-pq*6 z%l^{SqVFp_g1Xu7;3WU)H~vcm{=F;UdRr}U5dF2yYOE)pE--KhTl^wZEue2itigMq z%YT*?{_$HI={`rD>fEXkzkCOHb)K>V1Ux@-o~=H;n$>&X;hwcTo$jk`oYoN9=iko- z-l#nT0N0?`W981kJJ_x6%gKIVr>bA8s-Mr@44B;R%*M+z_vZW@^wJA+Jhk--%)Pri zCrq2R>>JJih6<-+bxM ze@*~N95PDmguKFxUiQfS#z21Kr}tBSPrMfPzWX)euD9pB0HclTU@fUfS}87nzxxaP zb~HAPgaXGvyZe`loTx;pX;1Oyr@{PIG&XT|sm4dn3WPVSw6Y;k$>)b6 zaCS{dbKk$ebOr%0be}&yS-~3@Y}OrO#8;=`;J^BT9R>%7x1#NxP&Ux|sq{1?Pe0)C z!vV#_^Ezv~TGt1U4vpX2AhEWm`33RRuC#){$7NWwmax6Xj$?q`{l$_0hargna59{Y zK#Ryo?bF61M~{<#&=kYPW?(*)yyk~MI6~KzFc{y^5tw>v1uzIW^%=9lS;K8Qld#sF1h4nP;Qgh>^$3VJU0VENTQ z1hNzE@Wrg|Cn^fo1!T{s;G&pRsrhx7S`lCA;HPaGP)-{^Nna0o-(_b;Fppbxd#3N2fJA?mp~;iP#o+b)?)mO4Rwiu0o&);oLnpeXt?h zoaHqh@<*-!)B9QeNCj8VzJCBQ?TxnKcaquKK87&kd%@eF!rj-qKG<1xNHgNYF*^9n zz`vut_GOkTuH7@=|xYC!?AsJ?VWJfLn0J=QBpX>Ea>$n1S(;Oz8;Fvz2668 zUSiIpzJ@ra_jq^hW^Y~L12|r9*gyl!CqG!z1S_4#A_@ARh9J<2YL^cu(%3K8CotGi zflSPL1$=1?(My%cFS{tC`$5=41+Bzs$Xtg*x~G1==U;7Z!`DBsor;Ov z$(gkZI-LN^SdH>eP7nBM91>2cb3C^ln;ixL{;?VYRXL{ zNmC9RR!HOOn*2vG;olbmm0PlM!h%nweRmz{NSGrRtMePZt^?C< zdpe}I)QrBb8D}D!PUmG?tbpJ^$uV6kV7e=J z%KpYa9@ulmdWx(ma%U0G<=B?KE5NzjbH4{$tk@CSEFpF4@Z0TL^RU5rS$yPp-8qEh z&Edmc9nJ*nLrFFaIiBNnYlB5Fd+TP0HGS%?*EbmQ`DDt+<$mRnpNaJ>noh6#`lU4f zqS?{gkYix%@ay?9tY#xb+m!Qu zZ6y8mD)=n$QK1G&qaom=4w`;C1Qod9jOUbak^qvNH*9*|58=0xr*GU3KwZyC>1vM?KwJ*vu;2JP0umhd26~{ z9ejC&doC}aoBG6Wf^Am)wjY77uvHOI6-960^B`>4m$LK%2082UyWwAv9k>|V)U}(s zop$2DIrB5U@4KbXrr785tL=Q&1^=p@fz?Rp8@j60jdGJdlH#ZJc*YuSN!xEcZ-D=9 zO0cxS{$sl18=Sq?y>V`QALVP&paR;t&C?FJ3|KLL+RBFeXh1CE(tt{sci_tm)W`s` zg1J_D^oXm*VHC(UV)o&-$EU+@wX1hsd>Go|nC`g&al@7tZ+qH!?rm(|^zQK6?^1C* z($z|NG~99qL|;s80FC_ZF^DN>0%0Ea3b#mtHry|N!@=a;;iYDkJ+~g-&(Acjl|9Fi zP{114xn;EAmKdDAj=fgw{d5X}Zf>%ln}wP7Y{Ol>=ARjYYjwcx32g4Rdz@$KbjsK6 zKJHOC&(!>7y$Eb&pqG!K?D+Q)z`@mVTM`elzK781bRQdrfj&dD;GQ(+YfQ28QV4+_ zZ46Wl&Obc1fCbkfF4Y%Ca5MYgw&ZjSFKqxN0(u(ZQE4M5uvPpg#uhcgyL9n?#ILK^ zuhIz89yNa7y-((B4;bd-J_E!LelF;SrPT=}*tic}YBn#JL-7d(VY4;BlN) z;xaMtu;}$K3^qmoPVcRm?8ee~-TjS334g z;$x6O#KQIMrsF-RLMF*@Kd{Nq^=KPirttM&B$Rq1@H*FY`=MMR?aVXr<=&c0_67z@ zJ0l7M{grqcM+bv9d+>Gt!KmS`p=`Kw_Y@v@)CY^Y?J1`$(W>$H^S-=1{dS)N#ZPy9 z44Qm^J%#7r8sD!TRpOTj#&b#BcUA<=wh5B4pL{6by9j~zu$?vdzYJ^!ntEFRQV3>C zkJbgnn>qsw98JG=pC&>(YSdER%$)_mPfdTt{@w^s`1NCp-y{RNpAw;$a_1HT{tqG0 zqY>5G#GETN&dqx_=*2I9CY{(5R3WY9c7{@S;lmZ6(_dO!^l9p>#c$}j zKi2!X9h9a8o`pv^4J)K`bpb zh+lQ9fAI*t9$Vh$_)0ST0(9yGm|t#gzAPOT7+*mJ!GdDZf};sDT$>z@%YMBl0AKur z&q0Ci4Spv#Vj*T`52@Ku=oAu7?n}BLm^}|w=;5hC5ZvNe9nJRhMF!q-h?06lnn*t$F`a-_(3LMC6Eeaf{grDotH^><<9>2bq*u1DeG|6m-7i2^4UG9Dt^zM z<$b2rB^FOak5@zImCx<$P>)W)PJ7-&{kGZN6H^K#nY zRaB|>u#yc`$X8Jdxvg2}*u3D_x37I!5(F=GTA9$&b>CTfLmCdGeat0X8~mo=txA0f z&jvqeLxSA*m#Iw-E^mQ@t!E`cu%}pb+~Bd_;{?oo%CsahpmW#K8`|(s0b`HcAuaGJ zZ%E&7BOA(kmM`p8vp$gDsndO-gQKu-zvOC{Mw~0`i5#v$ z;I(&MWjB1Nl~#WG&Fka@EJVwqLHI`N)%WJxHJ|cdOOaFo2S9B$qU5?=(y+UJnlW_j!6XX5WpqXGmdMeq2Rtwn%j{TjBlvxWqT>qoZJ zE6sRKx^32#)qNs*jLk^_mP_F08G3Dear>#+9!XRo5)?RjT z;Ph#FPLB9v-{kdLMw{!CJQ;^@v*J=NI8o0V)P+az!`T(#5%QkQ{O>{`h*N!oNd)+F z1m10DhM{K9fK{4FwrDyXf5LzgE7wG^TyJ$JIIDcrm2o>_UrgGtl%?xd2c0*5Gl932 z!zsJ?Q=6ujSuY~z-AMug-O5mUOmwm#ybi)lpLsn)(0VfgE=#NqVopow+pMt7&(VF& z@<-Mm9L*7k?HQt21|M{BoP!8lDyxRLMIz8Bwc>whZ`7x1qA@6n;+vJ_c74b~P(aeQ zz^5M+CF{i!37S#~az(7HYtRhg2*H1|Z0PZUks)fYf!z?NrRei(+rNfVgwhpVX`gF( z0wr2{l=LGhj)wYgU(mu3mdSe=*i}>zD0`D-yiVys({6qU8YjgB;-q|w3#Pw0Wfh1` zWf79?7{mopX~}!t*j0+FS$gl@4liS_(t{^L=rvd0CkFF~28}ioplYlPHBlI|hNWC8 zBOD#$u;n&O1cfxajAb5Kpomm?WiXki8j<#X6QOenTQ`g*gjdI%v8f(%5|N{3inJm; zu481dn))kMS%;jUan*=mxeQB~7@VL_TTV=He=tCKJVr3L$)W01&gMwgJ;wc2cI;Qp z2fWCq&ak#ngsN^wUm{jEGtgIYv&Ov>*nMBvE?+yo2${{i4biqJDZ*s1$RQd=VW<2S zg%za8&{+#*cq}6)Jg( z(Z=DiF|(@VMHCi{MarG*h<5O-+I#f2<1+}Ft3>cT0m#~pw(={NqBy3ZxkT6R^;uB3 zhbHmr(W>yaqGAbVvBXTR8%d?f_8tlO^y;`7%*P$^OmcD=iVLlfwQbSqL)v|5lI=qi zu6MmrI!=Bj5MICkX>)S@M2(ri>{xxZoiWkMfgA3>Y|gu3O!ZKWzhpOTF?f5vyAU71 zmB2=Pt|Vp9*pe*!y7cm~4OK6#I@|Edx+agRCS6WHLIM&FJ_%{UMdS$>h|ZH}w&YqQ zAeK#ryb!dcb~aAF;i_IPh;EWe))ra*#AW9SiFOd^AN{7{39y9NurmIxAzwO1DE7Qv z*eS(D7Z#t4$JN}uHqWCo%xRiYtCVJqVwsr~eXlrt@3auri32nV;uoiJ0BlvEFui^; z)Nf&+&u$SB*Kc8@=iRgCbXX=xfL3SYyH*Y#y;BJHaUTAzPc?m9_M~ma?|@~zlV3LU zuELq}#k4aU;Al5nWUOob17KTqemS3;ckycl%P20Jc`*D$U{}4HQ#_}h^2`_}aWsLbQ@V zAG_wo?jYMnSWBBQN7|}pr^O0!BmP6}&@gb;LBVg?nit3#4iR6grA)7~P?IoRjRCI* z>lwPW%ovOb>MXB+*N2hsw)nN$$QbRbXaV+Dx4=XG_yEh57^O{Gm`MYvKc&`w`*^s$ zfU`-p@f?MPg+JvtB;Ra&*2>>Y$ye;1LRQQMVoi#~ovp_Fz$&idv(ph<_6MDvp4D*1 z6Gu?fa235o3E8u~v=V)dl37fu%k^H?ieXMOp=#+o8z4>>Q%jBiS)OxJZ#&Q-%TW2d z!uN|Ejpz$eN~c#} z?0`aNhH;5`-fC1|Kxaep7qV&|agKThdaJa*;%m+IE_u>+E#xW!clA#A*Cu%rt@_`m zuFM)vTDK&?@hY0Tv(ZSelAIf>USCx0d^;(uqPg}&w4;|a?_BiHH;tkN1$JF_4yXn( zM3CW8w+rF9&1fVzH$FUzlS4@Zbb!fa=Y+`GrSLhpAZQ9*6pka(A50PfdiF6)$liVg zW>szp@)w_xi3P&qxVYRN??YvZk{5cv?U=%Z4mNc=i(OQ}&OmoUVx3K{ZD_dY;zb_bI z$P}?l&O`Wm(*dM=x;X}G#dD!B{@btT9ITUM1bN7`05N?isz77tTDnGt6)#(3cS=7^ z#jrMNo^jW7yuCm`;(~<(LGtnQ8-$H}r%gObpPN|n=PIE;SHxJymxVKy`px?ge<24b zh0Z3*vy?bTV_*8Udo4aT+=(qkFAC!)T5&q9#MkP!xu}a70y>*0(_`-m0Q-6~>A~-) zI$fK*9EdaCU!yrUdbFnywR?PvbUhKy0W_9?mcZ|5B4b%s(` z4cqTDqck)!)TQ`qxX(hl8-ILPjWyuo9t{r34;-y{#uR1$Tel8Tykcq^*T zhrd}cBAC>y^rfFwO-`yVQQphV1*?Ir*yi(Bd}@lTA~*UsBLR;V;aolUP4|Dl*~Gk7 z9#8$48si&IebxDSRn12Qe&w(e;fnp0?@tiXbkD2vtc|6?DgQGa1mbSUNx@jkfkzW;L~6kYv1R~JwpG?k^e`Hs~9&WsSs%N{ny zM&WT04UL3i{Ft2Nlh>xl@CFofFPX}|^?DQfFW&-brnz{WEKr9Ec}xeR<5bn`&WaXE z0@(HQZYl6SIq(s5xIUg%v1iHnI+1?mHynf_0Gfb2vl#0YOsN!z8W81Txjin^MO8-_ zecZiP!25i-F>%kgu`IJNmu(DE7qY@n1)&qFjqt2URsU$e6BLYW!D~Et_Y>@l(*RnK z>fZ7}dpZ3sSzdlsc^=MgiS!KN=?_>$7QgFrQBblNm&aQ;>$z^Ot3dsvgy;I7G zF)RL0m#;MOG<${dX*I(!G zoEf^Hl+;3RJJ47jamHy5XPdS<$4_p3N?eKi`1blD^_Xx%1GsBSG$PSR#V_KwD@8OV z-Uv+qwXmPEI@iU797>~x;|(M69LmaA%qI(>2^~lSt~X+f8pOUP9zNWVsERDN{w`lB z5(-APskiRBP`=s$lpvX){(@ zyZq(JKTS!G@SK{lQ+X#3U!pkpTLbl>2V6Z5FBP*BmZ|?Vc!h53+B`Qb^*sjJwyTSs zb*rPH^G<%Q&j3U=}WO_CvMV zR((~2!io>vz>w^;<*2(|K47XDxn88iN3;AAoqyOzICOfZ>=UOY&INwjx~XJnHpiTR z$(AS&?htkhaof*JBi}l!Mz_9au3PI{>>T3&(~{FIwN!1%fGsRF%Twc9KdxJ6{pHXU zDp%M~!Z0qtA<}W|S2~n-Z(Ay9G?y>mFWU)M9QnYxll`0Qt&JIYE`gPcw%QvYF`Gz_H!vUs)fg_|3Yd8Wr z*dRCRyuM(UQ+pR@X|#&55NSO2L$0}Hb@8>~_kwfF785z$Wn`n8;??Rpp?kwP4(ZA1 zcVqT*?}hY$9m*I(&j}b_N_M30MR;2^#W_x@aa<*0pP>Wl{LBv}d^aihU?NcMl2z@# zhAU%<#a(pG>)cuQ%c-xKC#_|GwZ#|GG8M`k;F2O%)qw-yP)$x1NtWig4Gjk%P5oVT zCD_=$tt(X`MI_$Bsl`wKUM4jGmvXI+spg4CS-VmHM!?36F?Ky|`O{4m*t0RE+G(4xaV}FX&r5|xMTul<(zFg{hl_q zd-wsTo=)pYYtf{al@zDGs#$DjB;gZMDN0mt)(5*X8bQj>xD^9iYOCzOqf(?Ll80y1 z^n6H#IeaOhS>lv-uU7-T___+;xaLdEyi$k9-XqzwbX!p7aMVcKXJsDQ?FGX`0pY7I`6I#=>vjYX2jShz3S z%ju{7*q}VF<_d8CXltBQkZPD}0&-VCvBXnOr7-Hg6Ah}FK? z;MOcN)jtI>&C;oVRy^vVjxSC-l9l#1Uq(hBXEuT+g6&alKbvfdmp|{auYOFT2KZnzj`ILXE(vBH5HekkXz+-!-HNWj;QMs=x#$SiGWRLK* zvGc;roLO=M>C2v2( zRG}oiDgQ~MA5NJ1CIewCs_3Y;Iw^=mD%07AmhKx7x7_DlF}Y!_uxzFRp_qz+!%s*o zL2tOr@Z#B-6WD%x(dvfJq@pMzKuZY=nVNxbSKoi$)sU;yiLan^cA-7ho6|C+(hhG+ zMf$}07Pn*3#Ge^4IT)+)oqMa5Shc!?F72sqJUgOggbqj@QA1KL(2I6Mdzby*a>+!c z1Zh@o42k&AsVFIEG{~p)LuVBeJ!gU6auJ?NDne2SY4J0@9;tnxyy+p)jx9#FKz3VV3a1YEo|J+G}Uipj8?`p*5b*qQ+{MD z`+BS%j+=_3j5HP-eZ*av6qNFrFymV|`XWk7)a8*=waXe)0iSi{z{Dpsb!ljcgS&Mj z;^(rfjd&gbgs}+6X9_LM&wO%UKXk&(d`eIwD3RR6`r|!Nn3*$%RrMw*WmdIj5<%lJZ zZIG6PD;DHy>TS#!6qEGhVl6&&5tx7=x0?xwtx}rRM5rTDHCYT_{5{sL_LQMfmSf{@ zu`X`9T3z{-8HzP5EHMmW*W!-5=c!AAbM16hh|$|+e-V6Mwkzsz*_4qG`1YQg^fS)G z!Y?gmWL{B{7iI}dh_(@-*WSn$e5H}UfqbI(!C^t_+hu?dI|P!@^nmfknVBoc4KIeI z`LG}5$hXhS+BQFv$X$jhCFH*z8dFJ4u!hTGX!@+oCz9#7AJ{MkjU}QxbCi?xG8Ir< z)eOMKE|P-ec7J0qK3;S>OY=FTVrA@({cMp-f?)hc@!A?eqNZji1w8Vc:z)F6ya zp^m#+c!SGqk^CjTBR-xk89fgyNjy(TyzE1ao)kewjhzP!X}OecFU8Q5osP4fhnvUO z)0Q5-K$1oj9;R5mDwg!0FHc8~dL!9E6M)wSN%h#*Ju8XF9krKT^8MG$bZ@#gr)wGZ zcQ2J15G#K)%kyKi%Txr+8-VitnJo8tQJVUUJhz!K)rdsB`SSFr*LMFkJ}3HwtqM@z zRt)dm%FQL&-~1c1Lskrm!ONO}>k6Oy`C@0zIBbB?n`O4OP&b5YOj;cy9z!O_2NzZAteKPbpPjgZOgkKnpzTt^Ni)DA9w z7=Il6w8ixy6Qsr*Jc1lw>92QeF6zFme)Q|6<-21Ys{0$K9Y!UCqu6en@rK1FxR5*j z7PhQqZ0@u1Hu)K9G0h)Fy@*FB!N#u*9*1sITN5MusP&rMyeY9uHg*rKrn*G+RO}iV z>pl@n;$kUB=%BhwGxkM^f5mC9QP-I`9y)sOH%GmW`$@qZ_k{*&Zs4uS5zegFuicD~ z9C3(gS%EXa33T)GUiC2vTXX?LFAGxTHzhr{t27~%KMm4H`VuMZ-d6p z-pzzIE&dfiuhwa=^b?JH0W_iLfTOqMjR4IpXhvYhp#pFY|Lf3F&wEGytdLhikVGX7c(m@7Xr1GHFyl|}E0%#o{mf@o z&X(N_$ZAECglpREFRtO48s(Dw>~B^z08frqOBHmASK`UQgWuKO3_>Aa0K!O^7lIbt zgIUqPBaL=)LRIQ5ttnr5Cv{_>#cr=?U6nQ-$L3G?LNmIxpE4OrV>ioL|BlR?jIyS- z3iGzaz9Oyb{jE={>&z4ymL?a_!)7W!Q=1-=F32G{P@7)K`hA}ni=D0OT6|JaJNXV@ z;Dd;i(?)uqu`R4W3?#N}%-KNk&~{Px!TP$p!>ruL977yYfaKElsQ}wbww7?dNi^a&yJg# z`sVpo$joH0a(ps>H|07b;Wsf*J07#;8CMmq7}G|6p{K2{hNamhwEY8zdvl%BU=?4O z7E{+}w;q5eI}ZK0?@YY?^UzCzjKlm3oH!*YYMsF`HC%0_uomc!kC zy(6jZt304+3&ru-;$r|C6GJ3bS69ZhkPRop|$v@zu&KZB*m~yL!P#LNm@*8&^os|oQ9miAg~w; zA-jrB^420Zgv^mg-MVZ$ABFyau`GLK4<|1cO~DqyUg`4bi^(0Z;c21tzRShr1{i-a zk5CAREVPl{Ds^+aphVxrz>;QatB%>C4eLG7{Jgfw%JGOZ5ilaAZEsQ=SO1>V-6WIN z$mAGE+1a$mp3W}+7spU9pD)XqWpCqep9l7ax6QE`U1c4fBg1lwkf|68-vjQAPCO>S zFMNOuN92=pdTZ?(5MCj`V>)DgF-6Y$h0M2VM7I5j7*E@kR3PK1-_*BSdQXh*n7}@h zIjC|^Vn{=(t8*{QLK5eN^|(%f?9(fb+HosbO<<(${12X_N7e62Q1lyw zej_veR$G(2LN_-f@(pc#tPL@JFB8f<7so$BiG9A0cf<@Hy&+X;cP{)k`r}(A?($e` zMrJ)t`qI!-VCU00dWjhFB}n?ku*(QYlhoH3ud8a&^LWy->Gyi=X)n<8Q%OL6`iPj_ z?hDh4FpIxAKU2mFBFhItvaoJisg|3c|Hze5<|u|mOt5Y3qPBKM@aQeksifi;8UKDF zXb_7tejU-IiqL)(Q+BAKiDb=So!(>0liZ+@QUmX>r$dJCaZa26Dh-Azy~)^;)fam7 zLkZ`oLxqwI`3~!s1CT3d0vXRzG_>34>%pt-sGBPSRW;+X7b{|Fl&Rq z%WiD?`y^fu4LImcaTPj8i44l1xM~_qK#4QYpg7bv`AnnLH&C#-)d3hd;+ty4GLb_< z&KxeuR|upU=meZ|M@5a?pr|r?OV5P4U}+JHqBQBP2uB_%a~@a`%cjiC` zNGw0kC>Ts&<`}~YjO1wuMveh6?)tH2@Q!xEcIKzHGk2``%u=?uLkh)psby%-y3b=m zK;GT7?s0>>e!SAJSJXrCW*J}{nTNEKFF2Q(6j#KVjC0HV^EmZm9SNi_xDOk~zAEizOvRK}ep<;CUyH2crn0WrVK@EB z`VDzP;K*!wG-hCS=nustkAZ5ufM~=vGjWKxpD5`7z2)R=c;<*1#rR-B1xX98zPW%H z?x)KJG*w=n1D%L}m{%$n+y_OYW;|;qt5E^j&n7rEUjL-hE9IHFGt=p=uh=)(cPIwH zD-V?}BQT-i_dHlwMMgB>%zsinf!%D8oSoBEQ{}a~qza5$Y$e{WLYmwqg-aru#kH|3 zXJr&^iT#W$KR$uB6-CW8{}saSdW9_CUzc(nda_PuQika;FC+<(!8jHqUpnlJ-*e?s z{RzDE_Zs1d@P@`#pf&S&Csd)yI_Fzepw%z%AjfBlT3hgn#F6XMxfS*IqOjnPt$2&$ z#5MFsl|u?KPS1A|G-I(Dt@nmZkX2BK{e=du0Pe%deg{+Y+1(`hhXb}O_&)Y#zwpm8 zDdvAx^K&PXAL;lsnTG^lcIu{w@SG4YSs%<4S9l+SOjB zjqlA@&gxiZ-j^=O6MM;Kts^8+?PoI5&Uwz%)+&NS;SHU~f7ES7Cwf-_=OkLv>#C+$ z8W%Z4hfXv#t*Bpz{$L`nXADK<$q8F*G0lDTPOaC%x!St;Gt1_b`;pawrkp;LXu!~r z!KNTLdlzky=NkUYh&FtgBw8__LEPtSn4Mh`I%dv5qT#%{*KWQ=suA1ia*zAP)%*MO zrB1v$#Yl{d&#GA`KFE7?MiQbJ?biz5C{5Eo$Wxi6g-z4)$Xs3&I;(ma<^|aB@Y20h zI&vI4llG7sUDmtvD3EvBVyOl$&EFv(ctZ^L%H&W=Pa zG>%oK=s{*}o4Ed7F8j2SCHPIou(=e()K*qul#jH^Zdm@}JY4iw%IhTS^q+B14jZB`VIpWpH^JiM5@hV#q?xQ(| z4@`+DBBs~-1wx@sQWcK79y-|0{`ffhgq&?AdAhn&t=>c zguI${-MVpT3sP1w#<%33kK`zdug+fGDAoSjN{duXTb9*k`30G2wJ0;9a>jOso z5!@`CpZx3vg&dC8|)q%aT}B z{kh$5RzxStvKF_=rb{gB2E^*{H+?;$HA+q7Y_UDa-Lb1Mk@d4oCsmLyI;*|*OQCdk zsrJ#j4OfS~d`;BPf<*BE`i1^OxN^y-=YS1$dOgY_#p>j;@bjBXF5j~q;zcjI@2>>1 z)#|rJIYL&Yuv?vK1)|d1|G=l?TAnYff296Hh6O=ZC9H$oM-|6ufST)!nmCItbQ!2m z7K#wXcOSli#%GLKT-4#5nrs0me?!chG<@zz3-*b)$X83%UOLSKx*4rIzm)-7{Y+Ve zU21S1bUW2sAIvO&d~a1dXL1U^Ksd!J?Q=D?S{u#6%SHV7bDM;Ck%+>xV0-<8RQp0f zSTW}4`4ahFj|h2|LWR<1MI(jY+S6&3cUG9N1|MZ>Eq2qiJ{%5UhCvaBhv`dIEDcD7fz4jK4qC_%e&sN1Nt>bg%fRS03AIrcyUnbI@m zFf!#!8z*)8_wa@)nIj9i-M4Av_0yHMCOaQSf%6>h@|X`37ORa2_kXoc} z&QH@3OEo@+$E{D8iD{tvjE|Y!uZmn9OXv0LnU)3h0=!jiglOb$-Ssh5(?RuyqTtZ8 z#q3PMq@8Le~|547=fO()R}&4T2dhQb{|*9iSfoU#B8Pf>D1^ zp+E*rm}h({Q(?jbDpDwF5EhSJiH1?J|NdQTkzlLWw4A(6s$k{WKkdJio-UwqzW~t* z?z=#IU4Ng9cfR)6ZD~#u@2(;E?*6ZnJ7vr_`z7pV3wtAd@@C(v$kV@(M(&N6{z&~@ z^d6W`L?hSo(HcJ?4f49JF5&gUV4XgetEn_#gP2Cn|I`y-I=wOPxK+qn?bo^1gC8A| zok?Lo?LCZnR4OOct$6$@k0>>A>SGr3Qks;*YGzU&bXE8}16Vjjx1BK-7m=%)*o-VY zBCfBbPezJnYt%pkM(~vLx(1p!8%#(vh>-mna zyrb5|PHaQ+PCYQ~(|AiXB^h5cqaBYUhLlv?vFFN86Y|UH0PDi=B@^FJ6MIcaQxL?L zE~{JUIF2A3ZY%k}%oZC(JP;{mGPGjvVSV z_u4`N#?NiI+ye`@Qu)X-H(fQSe&3g}DF_}H*cGlmUw-?n1s^%w7%S7F=}{Ib_*$Na~HKy@pUkjMHDO zU4Dt_64xusNQOUeXE0lYvFA-^kzwHmwcgn)adQffU=skNwlxgU4M17 zv7*CV+E%}q-MUUx_HMsm(T?}KMzOf?#ScRTk-~1|Fuw}`pItn=P#A4fy}PVw0j_2Y z?@CY$1(E~}2InJJN8Y)=nh#7$``kZPOw4wVYH#gA&HRi zMQ$Y!H>VrBXG#<#CQZiTa_)Bx8Sw2=Bthn`*izDBN1{=843|mhwbCYdnx>xMvX#0m z-7z=Q^Jz%`2&kYVan)jZhB}B`h1SUy9r|MA<^8k8rtur4b4_7X-y|Hb7Ol`A+~OTq zn^Cw@*6?8rIU(KCl*#&N z+N5soY|g#TzFW5I3p-b*kgCtg(!h{wi=0RgmAK@{d^R@&*kZ9;dJ6qwf?(R2mcjD*x zwG=kbWE$1_vp@VH1db_$+({a5Jkm=sS1golBs8CyY^NX}wh>#cP>Fge}tc zvA8;L0oZ2_DB}fHWqgKu(b2UYOk+5*c%s1Pg|$-HCo;fxt(H@JX+Kj@p+lRG4rWX} zj&6%4%ca`pQ?w`ka+1?0!9=u}Ap5OP#X@OP12u%LAUuyp8J0?JggnHccaX1^+*@}I-wccHjD@u8>tqY zq-+l$M9c4$V|T2Rn5q~vk-`>6$=OkiNk~Heh+6#|(40fn#Fue)WFh|QnNd=u9#*h_qt~R@s|{>+Xd8c%5l}E0o~}7V`J(7FdmumL09lPaC)68FBE!Kc6cMT2 z`b&sEoT8n4@!cIyxVn_IieW}}QT2C69+MBU8rB?Ww_Es~An*MamARABHD`4s4O<}* z$enxvsoNg%BABG0COalq*dur^&tE6GlXD@nPc9ovbxqzy!-{sgdFDD(11?Jeb6dVv z-xSsbE)oQ#AemOZ<;3-?JnVOML&X zs+BrmEU(0{O2tm)&#kok4fVmp2T)HHE-rseeXNuCY>GZn_)QnWyJ1r@xUNPTrv@;2 z3%uOK(Y%-{o0Dq?_xEvSnFgF?MnMz<=_wY5%A@L~j!*gtm=dN;msX&DL&FKY75a@h zLJ{^WyrYk%u)UFT!x6`{2F9Hx1yv zL~hdnd)CkLQcQ)WC-W2wV=>=&T`_?KHnItdDSl=p{lwW!h0I_usE-?c?cG6E$KGHs zR|nSn8tN1O3&j)}*N&rps6~Bhb6k)Y96m9co5duIu?cc76qZfcVnxD(YDpc)JT8ELUR&Hg=Vq$!aqgB zka^AqHxcg&P=;*oX)E|r_~7JE`4X+L-~7=w% z1(U7K#Kyw8pvHyZyBQWBaXyVeu~ffT zJy$DvFIVL-Rf4LJl&y;V2e77-XR^5bc8Pxnb+1FVp0UY0{?8i{Xvnm|#hq&sj8z=8 zy$h*7G=qj(o17=(&`FBMPmKc}VUFgl!}XjIP_@y0EgK)ud>Y96)U$&6Lwd$^MQ@f6 zgZ(-D?QuJZ|6Ei+T3L!C)ZPrR-J?w=wQHSZ;T5D8^Ii=&)lg1low;%LW3u+z3Bc+% znVs)@698cKN9RbIP09N$(CoD0&ocCCqr+ED{&*W81?)G^VgBq$R7`#XQEjW}8vvj!2K(NU1^ z;0vhVU?Spj35S){Z)oc)YfeHGRP014f`lWYKrmW-cE{nJ3>_-K;^~fq_kJyIVigK9HK1wrh|YhyE!y|{;OA;_qtI8z1n8|Z`Ae&CH& zUo=fddtP5QkC>*nU-J1H7;%e)sERo}n?lA$p5`viMj{uGzaXYy)6Fz=>VUD=0?Qno zB)fHqff7={NE+x_)5gBLzpH2bC?0EWHk#}s-d~dx1sU*gxA7YX@MKK;((Vn-WKw62 z;uZ-Pa!ZrB!RZt6T#Z%<^3#W5tvpz|Xw~fsB!Y(8KEGzx8duqr5XmhWl_f_h6m5#S zy2U}C(ZGCXHq-jbiDoo8&tgk)U1faZp%Nl<`+6VD7-G_$l1M1z{h@yo+=M#gHuyy5 z9D8rAK_Aj}CjZ*Cg$$~dmJBo7!DVS+MRr(N=p=9WOpo9TwC~yRq|sJRV=CCiSskcG zulRB@U8rU3Rpbf8B;y;@0IkNt{GqgJr7Ee0&(hEuBi=O>YTsB%D&S?#KswmD%irxJ z^ezkl-Ykl{M_bY95=-((Jaq!r$?LsdQMLgX5>v4zAQ#5aHHejydh;OhQ6D(@@Jk-tfql+rr?w84yqyV?eEf@1tw?#}1yxR_j*2H9v& zHGrfwn4upF?Hc?S@v-pILEZ}W3*FRcfYZbZdwh<9nEKx3fre+z_C2|xBh{F^6}+ED zD~^2f-Hw0x{Bj$|Cfh*VkODlcm+!s78!plQlhra~ioCgpXocape?)vDi-&5I2{-;U z_IojL#CPQpsEYpIel{$u7M)TnW*0a!I=W%%C1%)Z$;_x}dk$D{HLC%oc3Wg?`8yRi z6$|C;zjHcoD-udHE_Q6QvM29N{|!&9l*es6Gt17`UF^^n6%s4Xs-#yQ*;P(1HgJ4J zhgYA2!BfiiZyR4h&{ehKtdDK%?5}i2_88kl!uy0A-zm3Z!7ntr5|X)D&Tzcx+Ir<$ zmZ&=Pz^0Z(;$qZPwP6d=afKsX1=}t+l>F#Wg`S-V;Ib`moh^LriYekJl$?*%3DaIi z+Y_;#N;U;y|B0T3*I3_Mr1X+vHK4NN2L91y63QbsZhc&Mt19d4so^?M6U?Tx=xO~7 z9^`g=_0Z(=c_}REbb^vRCkWxaKY`L+2EC5oaAN7JviRu+@Q;^;yc4tES0LrTK3!{= zvhC{njZ#K8VhKbDemA5dtk-|f8kXB$s1@=;zcNxVvx!H6-d$B^Z!o45^Z1h`fi;OP zF?Zy69%!szOXx}}nyfu7&vn96S$_Uxz503&?w4JJLW|I*; zmR1!m7`Fe)>6N9TXZj$FKfQv;hD8@B+0ep(lq&LZjInj4n@*QfaewhfD0YBV)9?>} zQFSKz%iC6oIOWC2d2~jES0k53fb^nXvsxfdqJ1&3_^Ce~ijO~Hs5sGj*~(@jaA5Fh z%6XCT;+}ExYhcl4A+Tbr8AXA3)fCD9<}8f%us-61rcbJfj{8j!htSt1dFA4`REjiY z=ER;^Nf7CYzqS!v?6K5DYUk6j(ciNI%2fwT3tcDGKc-~McCDc#?=YcJ)KhX-jlMTk9t0N)Cqo#+!K{Zn90bm zaK4q;=5Kv^Zj{`*!~h$C1RPiW6=f^eXUu<~oM8f8_kT}jtL~DSo=aO<@t)LbE7lqW zF{hlC&wl;(FB)i`4*GQ!BE!37!{v2+3gram8~rtpf4XzNS)eq16owyvT3fA@^&5m$ z>^DtujB~AOO(38V?Y-pZ2f{;_>L|duMsWFh2r6SiZ;QKiy1`Y$CH*j<4Ym?O(UU7WW%IoN7Z_EN=>FiP^uyUhzNH7?`?o zvp%xM#VcI>2D&~Ij20E|h}i_t$nAHq#`8#_A1dfhqck|r(*z`bp?$vk;GO;I61TxGX#a(+%bE`4G?#H6;}HALE)rI+lh|NQv!D-1w1l`gy(D z7b&8g)05#OyTE+Xw+o~}2t2d0hdXHZ@Kjccb1XI=DK0U;6)+)U{8fAnPnfQ@+ zQPh~C|JVtALyQCKd)aof$cs0gErdbJV@*dqA#n7C^eik zaY(%rRbJ;=9^ImsEXT|%NGm{J z^loqitgi>;p~irdZ|K(U^iU(i@GG@Mnpl|7(GF*_N`I)(^XP$(g|-aaajTvH&AP7z zA0@Ut0Oq$!=eUYvMhpWEo%sc$UZ^2Z<(W_3FagxY?+4=WJp5wnL_qDr#)rztTYc{p zKDt~hSDb}t07@v-oshOpe#;kNiVzK0HKH5<$#6#LiBk7XW{oQcaJ!d(-Uw(AG8N^B zR`_ya=@`}SDIP)ek&TwpA8G~||3ek^yYo1~8o%qA@FxF=w1$t>bc&mPsl1h*?c zwSf@BufsRur8@&c@ys<-r1D#@0PYAbXptWd)E{7I%mSe;oJ*ylFdE>lBUiP^r=g|l zffy*2SVFWiUxd|U%!)M*{t5Nu3Y#q-3$C77_7#k3_*n7F&2TJ@7Dbg3G&>$sQhBY9 zZ*DBK6rCip8txJ7E*q63z8WzRPE|LwhmeUAj4a0{;$!fdO?eGiOIsaev1(_`5r^Tv53hm{c%%Rl|wtp2B^%c z@f|mvJ#w#Sm+k90szoqDPd7m?VJ5SWE>Qa0DpjH$wqn`yJ;V!UfHkot&!7r#^fU1I z`>NQeP$B79!;fsO);-$8z z#Eh{ZH>H2G%gt8s;TJs=Y|Lk{xh_gyM-r1%bK)!ne zId!bRwiF^Xbz~^7=6OVnzEQT!N)C1JAvdppdofL1uIHulMU6v%G^>+4l_%HkW1c4~ z`c;kS>ds5nWaZ_Ust3s;3Vk&dbOf{feG~X|hCQl*L*GO!P##5u0;$S%BDV9YMZ&!HNqjaO9ZZ}&#)<=yow{0YVHDLVA!kb z@BfLR4NaV?qXTMWiX*T{!pzj8BV!Q1dKpOCpNuAI@VSoos4UOxi{+c`*cI*aU#1qy zY2r+ZLQN*+d1%k&|FK-khh&Qt+&?}f^{J$qC%mFu#1W}8`I0x*`QO41)nS@Ac3h6r ztD(V_^-NEeFtU#p#(#exK9G*zwx3T8%R}o!qGqk0JGaN#D2N$3m}=hv zTo9P57OQ-8qn<~~2`#)Y9N;15A1&l> z=lmy)Xi%nsMU>kgcIBa-0CU8bFVCD5DP#T^4bk~;eZ*h-V-#(OmoE`L@GoHD=~^$T z4{N+#pi7dQ1hHUsmisMkt7Y5E@X>!x`P{yfY1UT4Yji^q@>?$Wy?+8q(*!^Xd1>Rj z$*ILk^7+mTG`D1hmqYY*fTQgtEo&daBBJ4oj`C_klp5B{vUPS=gw;{34aOQB@p*4t z-WgkL=SSY{DiRc}_HNn!QvOzd@oDCq zEo^(8-vR1gv)(tV+9^)fma=9fB~7vQdG=YRT#hyBjpg_Wp^XET(opz)JLnc;Ae39nu;L0m`8Pw=0i{5+-tA{-Nv z-6I=NFg~fDbOkOHyhmN)main9KM8jw2a%5BKJ1yuQ97u;Nh}Tv-u8x;q zPRD)S4`TdNPhOT8V>`vrC#5lXG7&%M3^b^=`SDNaP0!nJH0saewGihyRsBNoTH(I0 zuRONEcxBL+tId`Iu3nWjF|9+j|O(h%2QhY&u#itH;iMYs$l_TS= zp&#=VHc+e{aRbi}f>5_4NGS!X%2-3vSJwi8aEZRCB#S+``B-<{rS| zMo!d-xZ9iRZnx&##2W6@fv~vV_>z(pyhN$s&i8WTlFwRA`B8hF z^=0!~;B(}oc-P%3rK>--I0b`IPml$+rg1e=RD?Ax<5xGKy?Pw{dFixj*w(5bZm^tg z!Du|bFQ>PtJY_b1enNyDp0e(OPg`}efkw{)(!P2y0$$Bp&@V zAd`fAcbB~Q1F=Ez0ytW;iLSgR@T%(di;$-yv;8nnhT{uzNZ)z@u*jp{-64O_78w7o zKu3mbEN~s=7OywN`D}AFU;>u#6zpBu^MvFz>4DMVvQCw!f zQFJ7sI&y3ZiFmzWd=<9b_x3<6;R7{au-&J3j&pV&qlDp?u2Yo(R zr?f>AdsYL;q0gRty3Q-E!3F~J&8n}926%+&t|YaDQS7$Xgyct^w`$#2(wl-a>M40x zW*NmRJ#DnpRRxWAd)~zBsSuNKTr4k)K(v@BN%nVp9OCKt_`Ydv#o?KQQToY{nQTX< z*mb4Znt~e#acWP}X!ee(<_T%L7VAY{@$%KAz%Jl8CLr!*l!vo)+OT-$k6sxYi>*FruKG{9b(G5?UKx*vUj3yHlx5&0H!xg}UMdas z6(R4#GD{64@hs30;ywDX6z;}QDw)C{JR0{hQ$emU`SVbz8}Cj@?rY0YJ&NVDY)=vn z>&aB6a*`mrKcDbQ0w71(cs$-#ug5yYj1X89`gxYbDPgn+luOvZaA-6*#0umIvnW$G z*&>B2PWx@e4N#)q@=vqK!_|w^1$Q0E;0)K?zG2V#TTf9Q*E$BGae0U9pmO$>u%3X{ z$&vI=$zSzHmOVC-$A08tW_dy}6d98Bqc07B0dGNI6`XL9iMX$s@&&a;rw{BjtMmZu z?N1w3HE!jb1(k?b&&(q5XC?6abt>1qHaLp^H1@Sl+_e%_C5}N@7(On+Ml+3c`?a{* zCSkM;Ka*>pfj_heCBon%&ua@NV>tHepBC*y>T0)$t->s-%B98# ztXVLq@NI zX+7KD;p(Hv8yp}{wlX2fP1v*V#TGVs%aq0J5e+ZGSjY6J(2_OBk3^q*f?4my*CdvgTCJQI>@!Od6E951@fG$4Nup;Pvv9Z|FYX z@cAB=WT435b(?+_`A$12r0buE8(-?`3q3875j$N@N4zV4@`=31LAspp*>7%w^A zd~@KFJq;J)S?ynin)!4D0xR^unc3p-Fc^>;DRcRr`c()a;^x!dE&y@F{VE6Kx4~Oh zTSmI>i5(Z9MH|+Um$r3eq-*f=yE|Z`Rz!cc4Icq@t{G29aQ7o|yzap#E*R`(q;Pvm zudGr;lrH}1RJRsm$!0 zI1&R56Df%{gj^*91+LDxMXuw3Rhf_rcA61F>!P|R93@8tmSLXiKyDn+#=xsx5L(sH zfj^T4c*%|n^9fO7aN~4Sq>&udZ}*5V5dk>fU{RZf4O!gjgXXjNz9eoudo}RJ^R;4f zQS*)U<%SlOQ(XLJiO8&3)Ikq80F5qcQa!~mL3zbw-fGTxo&bcf^-hF`iKc!^6Mr7d zWQYzUm~Ozq9%F>}%h{rA1ko5AjSI8J+p`6US~V?W;f%cIyu@Ve1*(C!Sx-c1juP_Q zL`sG*F&38+2qcY5TNz@YvDt{sc$zQ|m162EtjNv1qGE2&{GTi%6R@G{Garzl58SrA z$@&rvn0PAq(!Jk-3vV(G(uh?q?Y9dCg&wMVleAJ2hIq~PDzbko(vgbdh6lqW|eZ&;1juAZKc-{scEGzfB3hD z%H_s(xZa8q(VsT@c2ELRkSr@it39%B;wP=%AotATvEuuVa$%_9-J~3l`*=|-3@zdz z)7QZvLS{3ADs>wn;8rv<`$k%>mr$vJN=$EWK>np(p?TzAp&w|}27hVr!l%gOgO_A{ zN@2zV>xDl#fu;RY)Av^`X_!mM4$zY5kBi;}y z5lxEzdCp1 zE$uPXjJF8}?w`B>>++=_u3NZ%ze5+25Yb-t#MQq@Y00Hl(99~w4tNo5I;NLgqlUmJ ze}^kpszPHHZxdYiq{zJR2~B(Rj@sl$jam2p%qE;k z&j2}zarrIaCiNjqRhC||CGxa~bNuKnp+hgdF5>9AiT>9YZcf>sDIFOgMAK*q5;b87< zsp*F|!v6{e$Bn_ciNm3menNvkF*)@27m6`5y^0Xz3c;%cR*e>X#=?#MHE8=ku6i-| zo0RD#kyRj!Z=AR((qqK<35A}CRWqNy}u zyc9e)y!1wOx!IZT)rSc)PG|^y6BvH0fl?87Gl4bgtKx2Sl1A*svF|R($Yf4y7iKiD zeLkDhTcTI}AqmI5sfg3hbDG2OcetAC)^7>lOelyKaH3cd-Z`lp0n>2JVr`N^J`AGNryJY^=AcOIz4nqyYg z60xlbX;uek#|dNStj1YZOO2I5$$*73v#KpfxE) zIp2f~F1Euwi0PnIWgz})!+YX9+xDl~(;pVpb?tQ)PA|x96>)_6|NebTYmD(h-Wz+) zcBwazKczUY&lJ{}{EE8S6XG(r^eScatISbNd}t%QcEytHOR*mbLZ(xD& z8HE|oVb)`~eyI`bhpjSbd8J&zW2i+rAvdi;uZc2Jr6=uxJC0Z!RhT=icp?q9b>EJh z#aEpm^lW>)z*a|y*SqYsEtC?Ug8|)jDZP2a@1Y^Y88U8+L5oaae0k62lTSxSc~JvN zvydK7xubDS?5WjP>Uzkv0EN_EF3zYbh0BFi4f+p0R;j&moKcmD7N+*8(4B%O9a5ly zXg=#udZrPp9>U0#ORHAuIkQWATDMkDc^)@|jO$$b6A8G@wcjt)%L;5_Jv(VXd-Gq5 ziB#dxY&?Mm@?QnjD^j3*99ONZc0BV2WJVE{t-HUVI+62EsK-xCV79aTk70PrL5~Zc z18fAf&vZExn(>@N5(|j>&!ToRi8@^gGumlbF}2T1@(OHOCE{I3kmIlYeh*EfuD38b zY^9&ChZE}rM=^QNhuXabja;wC&0!Zg%a4rRtcRz#XsArAz$Q&Aijou_X|2)ftO}XEtN2$C+=oedLsp ziFZAiaQ^qX6+fOHe)SSe!ekkqm=DnoA`kFgxyS?}cXlAR&YPGVX*LJ`C}J+tK?Itoxw23qmbP!ka^xvK_wEPb1jNl{H<-|w(FfW=U7ughFuejE!k`M7I6_(T zIY6jSa@|~JL#f&K7-_~lKR&f(+(2>g&BlK_pd=F*c}4m2^i@5XtSv+wf6puL*7h}# z@>ul4MP#dkV6S$wUm5*Ced8Y2R*iD76KsTy2l?^)X-$d?WG+0=&1Ev9nXAKdEqr>8 z8I=;=@?|}Y-WhBwpX5qj2QyfR7oKcv^9+;IpV{HdTg7>X@fh@QSJnB?ROCt)lDnOQ z%nbU>dq17|FP0hJiT)Y+2w*gMc)pA+TE8AW$;`4Q%&qq4?oau4PUv}8cbD63arI*4 zpDk)L$N`kn^x?TjQ0#I7)?;45c-P%vkZEPy;_1l|imi)U>r2o+5+Dt?`ZpI|8t^K2 z>?KXn9!7ZHiFsN%9%Wm^VAVd1?xIC&&Fybs#LS2C4Rl?LZ+RQ$c7?j7-r+(z|HvE#<=g|F%S3l6&SOxV zTmULhP0hk(bq8eHz4KCf~Meevy zmWSw$o(7@RrJ>fn`b?QqScLTa=j+W8UIyDq{hu!7Clrr{l4V$0h;GB;O-3=hrRS=MM{6~B1%$VlRVt@j?Ms7=sN zq-+9ptOZQjQzo3#H8X-^h!#(4pxyz;4wA`Gx9%QVK+f0;9N)u@Fz%p`UOEhVTa~zL zt3q5YSB;9x^?D|)v=6y>oT9-_U9Qb|&SI@4vwe?I&H>=Bg^x6q2OD8ykK&aM*rIK* z8mKok)cXX4$&butbELdKmJg4RIK=S9UyR#5+~}j>;vP~2eazoGK|+b)Cd3t98-+|- zZ;rTWR{uKAo>kmNf*%U0HI-_S;qU2ios?xK!W9Evv7Bqs{B|VIyv8tWJ=HtW)*g7Y zG&_HpYQE@yyXI-Zn!m`iypHrj69fW1H#(WLnghd#n#4?|1G}HlxHSZ*gc;Jw`tHDe zZw%T_(TT|1<;TdFfUn{Dku4sESS5{#bFHT;SVl?DNZxJaGHI1aErjD$ydbr9SWl?; zL;BvE`Q=%|8yn`@PG6&2q3C`i8PS9IZoGv&H250MtNo9MFZ+q2?MwFI1?vY=rz0{{tvRS_PUvb(0|9Ir^wOh3p9+wbz8cnb;KS4D?gI+%K zJ^GwDU^~Hb-!ptA)Azi4H`&>2UKpHCIAzy4-^d9QFSVQw>|`1!GF&Syv*LIn5GpjQ z`BNP``_6{jOTX*udoI{~DUkPqjB^W1r%D(!F+?tb-lp#Hf+~KKv?IM|(m*RSSSdJB$I8mtcOm=->4r$1wfI##A^|^evAX%$fID*)4q8O zDzz)<@5GSQiiu6X&g`BuG^shJx7Ff)od>Ct96_P>Jw)YC%7~o>Bi84LYR%%zO-*jbq%B*y*Kj?@H?|psnIW`UKMlkwOocvh# zx*hm)ROaZq4fr$E<2~+8FC?>h%+{kNIlL@pgx19sr=Oy8#OebNS9VQt#B2o|yd&vo zl=GMB*q&bP-c3{U4>l3c2_a zxInsxF>1-Qo{osFx|f=E9y~a4GJo5KSW`usCj^5ZI|X3=#6kA2??lp;PT$8bQ_wCu z{&`7%s)s9%z7XOk33EoDZbEP1SLt1onBjlxQR<1Iwx@!-ONZl#Gg5w3BV2D2Ky@wg$93%_kW^0d2$KYZ)S#SKw;E-hN_Oj?4!Uu7*1dsUd)M0?~! zT5@r2jC+Ts)LTr;;6Pf^H>uf+KsS!W66JLyEiu`3!yTZ*c7l4(SLbSXbL=CmRr7;s z{&2vg<1mYs40|&aFf>=ydy8;G5EV@J9&A^9$M&6f1CQ6!Re^uEft?SVJP(>z-%AN6 z4Rh9)y5OHSIc+Vo|6yl8YEfOeo-qcoBxEj7ckK%NT4=c?2%NY4!LvL;-L)-X3zNRb zeneW91m?QLtew%+ej;h;mjxPeRTUbV{?mQTWrzUE^tj^z-V*(Gjj4Uqx*FCs{a5#B zaW^Y_`+n_q&u5N%t<2*DM;J*VwY6?`aFZ$s6Y zVpk!^?laBY+1ty3gR;bAAARS`-vrCto?F);?RiR9SdTj|*8-dJNV=!KRb@LJuZVxrM_iGPL36rtO5S58sG8}{=Y!vOOkWo91srsh=VDy^+ z^4zDX&(UsX{`cq3@}FTcbhX0asi4NA{Ba^sZr}s61aJ#{aCmBh&8_wUZu(@J8%{rA z`IvGITooz#mB1Y$)18(Op4?qQNK@a#wPOD_MT}eR23C^TQN%ok|>q{*9}p zRk_8*LPF=wRSsh2_D=R;)$-vq=B5TX0w7#MC|WehyIa0#!I>o4({^{=f+f)?wgq_h z)6ROIg5#l``|B%>C6(Svm>1|5m&|N_jdY!4|LjP0*2vd-XVPh>AMQUvopsOP-oV== zGEvo!V8-NjM)2SIG7Nm0zvS=NpPwO1(>)ha*Z=o4p0!goFx4lZ5yC0$a%e!c;ueGR zP+HG@lG05q+j){-(ONm+T2t@~`u#os9tPl2r1}9OB^9&Pe)9Wn{pc{Q+qEldb-R(s zRjAK>!>9Dv$&~lJ!K$=BG+{BXET?S^qZJEwsaYS2`SIj#?eRROY9>|KK^J>Kw&G4C zm3!$K_{K2~UH8(e>(gz&rtEG*L-~mky_(w~(DKuLM^)FK>P({<+(=a)L`(Z3RZpp= zvu~NsqS(m5H_TMGZY6DGPUBbcVeL7OnhLTTW}*GswL;cmRSlU ztMn09@W^`@Hydz^UtcKh^eu@vFMk0tV2*V8S3#CpHIEwYxyB!xdrXhH%OcBsm|4@8 zatNS5BC0RCa%-tuvdZWhKn#qvzX0XVWA14NJ-(+Jmhr5wY9ei-pnnFweg1x)`N8;# z(DWIYt~uuD{hRov9`BC06#Z(af)ob@`XjffRYaMi3gNUV`PjhXO!7dEf!^pgT}gkd zrM}aWtnT$eVt3h;?8Li+@!759dr9nt)!H=f>v4VfTHKb4Dm=)0t9+=jJt#r`w4|S% zPxsX1CHv_f)HSH6-bZ8I`ab8Uyg9j_R_I*8!-(SFlTJ-wSKNKh-1)-1?Crm@s;8Cch81#qIBOEQv1N#XC=PC*qZ88t6n&J!#Gw%Y$0$}zXYt+*R_UpuF!tp#; zL3TdJ6wMZYe>y$KO{+h;&keDx3O0G85JPe4r(ndl@ZP8iY9xQ}65j-OxW`^~8P5&{e2yb+$b; zkf8m~0?UC6u9c~DiZXgX`{;m?#i5{;Vh6!O?E6iy;iLWBKdE@s`hF9cVJ46I4Qf-F4sFRg6td=h{%T zC@cGD*mcACc<%ME#ys)(*^_xR za$6$6h4rl_R5rM7%f`7*<0l4PT3~u>`DfSS%HX1;JND0l#Z~4{(vofl_jsYRCy_5%rD!F{hFn{t1w!&kM zaexQ+d5ZZK^gnn21&rfP5v7U~e9AYU^+@23CsI33;$>o< zyX5Lal|jl*)e`DMzjgZkM`Fxcnjp(j$ejan!NA_`O)b-o)pKqO;-lD!jAIA%@E4)C zdsa&S#FFqzHmW~NOgIJ<{Ux+3X-4?dyEiEL+~Kf2(P}EVB<+wfY};y5oL+MTa6W)Q zxFiO6En2Qv?-4FDD`6+{ymWZG@Wei%UXgw-e5@mu7pIS44+J& z{%{PaC~UnHY8Nhie);c73jxF8VQhwa{2#B!{|(YoNhJ)Zo(Z*!K>-rT-VQlv(rY4t zI|Ev3sRS>HfASJf=jJdoD1mrj_^%&MFy_s?vmYW{hI&@=_&vPQEHV#bKwz@e)dwdv zvD=0GoRh)WKmMN_+*({2a?L-ByuzXJZaDE(_Y=qWE5F_QsrrvZ zH9^Enbn1^ckYJ+W4G;CPfiOQ5Rd<9$Qvs+G9u2rRaCzNR<2;7s%1j_7X$=EcnF%`c!E@PN1bbN$2UrXio`*D+G z2vj}lUEE|0i^UKzEbMlTed@QsJMEn2nNThMi;^mh!%LVd zjq%0_UPEy)qQ5=vBqnZ)*XJ74ijIkE0<2g5)B+L#^5iku6R>^Ae``@$BTS0KW)6K4 z=Q@sgZ-l&z?5ehTN{LHVPHvo>39A$8_f38%b*89^p>?_H58~ z3alHVAFXzL^aH*?kal6?M!ipqLBw&z)B9x1s1xiEKHdOJ$N!CqvmWUS7J*G-hawsh znKzQ=_~=auf>MeMxDN?^;T*_3!YvDCPyLFWh|BSO+=9EuO-Y@$*RqH0N;+Gm)gX4{ zl7Xr~Rq#Oe*ds-y5W?;15`mv0q3y z?erWoo>%nfB0yZwtxQ*eCLM573XJ7Zt{+u9JDKAK2L?3xM3F>XHqS$i%KhQdYU_z5 z=Bb^*!=vsvRO3qu^ur@FVxNY+g>XjTJ{5SF&i~0{iF;>j9QQv3R&%RN@&*Ui6@XmI zv!D1$fMnav+D3w%E3_dTFE@!ZU@=n_E2)C~!ajwo?6F&rrw;n77`c@ya3%y5weU!j`_E4@2&(TLZ^+5r;#JEx#R=a(nie&r683UPa7Q%62^9NF)xKDmT z9jHdL9Us+?!UI}}0Z?7Pv?D{|xMv+D0SrJuLyTCw-sqzxQz4(i=QtND&5tv%1Ib9v zASk`qrs;+RW>ZctDiOEY)v*P%kl;#j_&ukPqLzY<*WQodCgJ(XBT7aS0(7wpF+>+r zIx&l#R;hJkOG=>OjNGegmblJHz1beeM+iJ`)(u+V1@QWB9`)!W{hE?POD5oc`v>aj zPRF>jCfSoUEbe*go8e+FJhJ8)%K*oD%tW&%NwGnJ5ADyuE~yI=zq47ji(-1hd2VSohUtq-jRUY(WvK1-DuGBazS+dW;9W->B3Z{s^)^ zEu0!QR0q77cx${tNx1B*Gc@`T!2K~e_}cePZfhKHfO7ITlkh zn6{eX475N>C`3YVlheIjG+MMRHdl%wvC(?F;wjxcF^4M#WM{DNn^nd8u_8e08@{or zIJw2=psGSLLgV!&Y=>I`eA8;zzt`8!z`CUOnyz6_2bPIu_W&U zW`&$kwV%8U6R1$`44nh!bweTcXj&4{kn5E5G$@(-?Y)t$$J5WKOWeq(wKMb$Eql#- z@(iEzP9q8J#+2J??e%|imr|}XNFGYjlN{y-1_BvviWZGXsdh-$U1e7|QcDh){jS0^ zf69P`rOOsGot^tw*G+{uUXqa)$gp8gCps>*d{=9!xMgiod0TA8%^X-E!Pf9O%wTR-lbJ)0d9djS$@UoJL0Ky{K@N_;rcJ2orYwisd^u4|5;PmIf z$M2%m0e1Y3kf8=^zvWsS&Fd}>x z(W+-w;|KZsZtIymf3eAgd2EsDC9BlUPXXkQ(=b72yP<}=*U#xqCXynJChugOzRM6*G1Nz>w{vD{OmuTboD_(-k7m>wS znKo%wOOvI}9^xtLj$wP##)#lZn-NY$cmr26{Pa6lr$TkMdT`XOQk+91bgqjxzV>>v z(yg2Escv&`$aO0i+?*nPU1iK#sq1p_S7|5D#%UrKyx@}95`P@-&Z@S&={ojUzP*ZIqkGk#!bx{{@=Fl)}f((^N zt-UFHeKq3SC6V^MSh1nn`kfIq3^XL@2z&dnZbn`AiduT5BPHUxs(2jnIiSzp^qRAq z&$<7(>aExSljg~tQMc&_q0HTw>i0_?{*TKrnyZT$ml5Bto|*kk>!CrS3_eF|9KlbG z-?sONWu8E5>`jS2*G7D6kPE9BzPVQRSuHgeJvF7Aa#tl2+(khpfCnf$;$#)Fow%C? zr%+*)lfVd7rtw$g8Z(vK^f$>Yv%v=ATaJl~X4~^}8Zc(L~m&VlPh3x1#Slm&mO zf=F)zlm?x(1)K=W1D()3#ON_HlCm{nHsHZB9kEyfd6H3`uXGEc#zvCflDH3vh7=@o z(MyUxt(`d8-lGs-TR4#LM6u%_1zJz}5DI5RVPo?ow z$HX}sKW8SkakA*)0ClFci{P@mFT#>QC*)U#Islu`c$GW7K8!`XE3C(dD-gls|aVjG}dXZl6l z#S!tJH&hz9-q^bm_K;#~i1+^J%L61`a}2U=S#nWpXOvT*=sAW;(K>yVDDxkCEu5&F z3~m7`Uv&IuI-W$9=wkGS;W&|5TSk6aSP(lt7h+0PvO2w?K3!sEONn!|Fbmc;`TRFY z%nT(NUK`}E_5okwk{~Sj)76yp$H~ln&cl^XQTSt>9&eLgvfvxkvqkt2D_@XD)TDHb zYUM1o5j|}oFvqGg6lYH#lHp2%PQ>}K_KhZa<2_bGmgt9?u$Ri$T zaMBVU>tQHHrpdG5?-`!|S4fe>jZ!7nR3R&UP3k2@_ewZ;%Wk5sg_nabyO=La zW`p917g*o*V3j3EEy9LP)r}icim=P?Q{aab`QH`b3D|8V72w45hs6@iWSn_S#i5&X zSHRhSsKNa057uwZG^_MKlhs=qXv{Qa7~ z9~ry8H7lOTaeZn2=iHcdtV7AA@m9Q6xMk7u$KIQE*`&p5oz_Lms*c1b5e`NAm-vGZ z5WoTlKWT5mck3&)@%~8JuF{R&5|qM8uVwOLAd0-t^7|%9z3_yj=*C<5;-8!sWXI+g zu7pp5Dkpruhc9|c{m`);8skr1j~9c&BY9!U2V%6*a^KgX-TC;T+F*f9mSOUiJt8{Q zYi7{p{P+s`YpIrauBI=G1*WI28IPWvULG<#!3Wl9{MQ zI>es}4y`~N?UkTMpHcWm>n--|^d7dmR;x*R;F9(w~4U`}g&ZVrDNq9=7Sy;rlC z81naXj8Kq=;z7@kSzC-jxH*kK2DUc46!yBW=zrCi+bYajYs+F)WunuyHXr3y zS2=VdEI`ng_psszH~g5A=~vRQvJQT3GCL*%yk{P$&#JE!6dPtuz9aws`wMT$F&SuGsKWf!4}RwuW=e!Pnf{7_ zg-D@RHGbyHg>NX~v1@FANQ|sbksfA+x6z2KTYN@#vxcq-RXDrlPLcJj!oAj)d#Oa0 zEL@Q=R*CyX2znA*1$%B*G*>s1`187IYY8tGAMqcie)RR}!~OS|iaq)jzKne7SQf-` zz{i!GEDBJ+Qk2B z{HP61+!p;84;acg@H!fqRbjw@rpcdoc3b>Fx3aq76)x4q1hn{;niBZZn@?oKY3UVb zO}qVzFOL-Y^;^U0i{AALM+RTIsQ6;V;{e;MH%Q@zFotG&Jl`Ef%(82+vS~orDl(~1{uP9<~LU=NkJbK_J-KFdUlH2H3YU&qJ$oC(I zQr{FIMO%|1-9~kQf-_5|IeN&f4ObG0tr$0~2VjZN-%yrvG5(03>d zwN)z9BvQ!n2Q64Cqx`SRcnyuTkAJB#fxtl7BqtV~slqqbxlMGs&a5a&z_Tk9@{!NR zKgU5%uh^3m{@xrrx6>Wr0O+sylkC%VTJZHEHxhf(+4#P$H@Y)azr?Abw-MB1_PHg> zn&mk`J|1iQBjllI!qwJewgMBb%{H6l(L;H2U7BoonO zrfH<&h=jy~ptJf>qPArnp2&`0Y5RW>1Os&AWm5s#Zm4uxeeCqH+R8AgCTmoAmFify z&~Cg~F}uw7hy{{9)3YYW1e=XdX*j_ewP;+V$eCBpqJd(6`mKXGlT;r+=?BA|BC$j0 zIuniRKtX34ICnD-2L4H9woM9GpdRJ7i<~+F-#yzjO$1>ZzUO@~Pi~tKZa{seowp4%Jm*3> zX7Cvl_>R7tw@pI+vmWC5xn-5ANGS;tgZ#@H`rG^ZGy*tu`Jw5-*3A5O%~)^jf8%eW zf78Sw{|kA6(K@yCp#A=`ZOmI881$*R4ftDWyRCAW}jpr1ibD*6D@)_5Fd| zV*!7a+gG11eykPJb+x7_4~zZro9ksg!#yysUgiCHyu3y$gC{V&CN{)dWp{MA8lGT2 zeIZ37{6171<4f-U(tTfjrLFOr^}Sb`op^%kR~M=E)BZrn&N8k2=e_P_hnSyl{@uX0 zy#3d~P8DyhhVT8Xnu{+)Q!ExFrwQIZi{R;f*2SMxpMGH8(WJTUVtH<`Ri!S%|DU)O z+$1E@ZsOU$2w!Waak&o%gvKE+$ECM&lrHAq%kROjar$Xh>C4O9Hl1?*L%Frj=7UP^)Cp?g(+oq2h@3Y=y{lkrnp2Dm@?GhgM5Rsj zr&S+`^OOVSY7LGcPd%XgAD;)B54V2FOdP?Urmgr^!Qf2d^d_@Dx-Q|QlmB_7ny-3= zXl|1u25XA(L*}mqL(Su?%Y>0kn5yX;1!C=5>^>JebX7P zt||igKL}^^AuZ@`0XdeN+{*>@U}!6KK~WQD;v`!b1LS$Cyp`|^JPd$3e?kzRc0kp= zf8d%up?~YEx1~+_z3-L(M384H09Nf)-sZRxoiZGh3!SR!A@E5R01pthQ+0Boy74Jya!dsK;b{xeMqk)1@?yOa%IV1#>3PX|Zh8n5i@9|0Uge zON1mjpKy;!O{bepDQy2HFE$^Y82F0(#E;K;tlS57}TvcoFvCBk>)itD1HfPiC*N zi|h(t!lX)Yqb^Ogln_{=#MJ{Z2OH2!$j}HdZ`g)5x&w3)2hv3sknI`+0kdF-aUUmW ziQvMTuq0bhhWhloaPIj+^Hh-6X;Dt2#F^IPhgud0@;k#C0m?1a&C8O%>y2F<0eu^h zWV=aKDt#LPieZXhBpnYPPloUzgmUBhM+tTi{^iq2sjz$ZS%tRRTFfjo$%EH_<> zMc7d)mw~EoabX1`7 zX_+W7QdlGWrk?}T>Xhm;e#vS;jWoda{c`W-pxdEZ4wWx1om61)U8?J)v(1L3M&_J{ z1DX#z)#VfxD&Qj zz|UW5ib`?YR97NAbpW!7%FYnPAb0zcMG&4R=bM{}I`*D=F5;hW5?V4wg+g88ed+yvA;j?a{tw{*}NT zsS_a^%$MNOnUEt1$&|Yzw6h95^8(zuavD8v=_?ow*fcj>@>5K!LO`?IR9|*(2p+YT z8z`2Jdhz6nGS2R2LG#$lw=D zvM@lft`}m;%*m;WN%qA-Dw6%%tUetutK6NbEd6kpUl*uA!|A=j8&o?wxdrxHOlcej&2y?vr-I1!dmc_D8-iRU3ZvW_2ur(+s zk+Z{RtHo(hxQ-!VuTEGy<(MhPn^J=4!7ty_g?t<4A-H_2j?(w=Yisykk5Uac|Bw7y zD*d}kS2Y?d&q5$ZE!h%PEyW!2UiH2@oKLM;QlUmfpT1p?_;Z4?hjP6xsYxII$^xGC zI*^1Y#D>HdC9FwnR-o)&70%q1>bZ>XR}M3R12z(OdK2#gFe@3T$N%Q+6!=3O$Mtg_9aARlYOqhAa!;r2AMDN4JM+yYvdz z1!soV|6~^&@Nr*Wkdwh*a4*F69lcRmDqIms)=^nav(KLLuKW!w3k@))^n12j1vAp6 zOp>q|9Ir0qQwy?*DNZoMwoZh5VojPU(l78lTZ79f3tBDDmyRA2m@&I+0L#1xkp+X( z$;ZqBe~vio;4Z*VEd;e{AJrO4hPCXXE%|i|IR>)EA)AwSCiS^i z^B_)2zhC}!Q0>!V<5Z?v5%UxY?Jl--dzCKxs(0^UFprzhonU){b9Yn+gByX~nEc{5 zu)fy21Wh(HEoY5y)Cxzs-SSbRZWKD49mpg2#2@UG+wx1a-^Q{?0~>VKt7Lf?@~eEe zb`l9xPWrmQ$#9jEyx_lzr7N{xx@6*D3NsS0;CilEwF}+L4PT zUrnn$8`Weq&sG(Om2NHvB^rFRcyTPaiTo9!>5z(cRDYY3kTIl1kb51SSL|N2r^FIR%Cuwzlb}#S@C9$c6^P}H! z4-ln>1IqLdD!%>d?yC;KV57uUsk|ea4tFY>Un4<0 zJe|+qC7fKaUzgLEk!U+Y{bDemy>o!ycRVw?qRA07Igu-v@eAnCz7mE%E%p!^=;DFd zjRlQdd-wqPT(jK+%oIB5EFerLoY7t+I<8YZCm63HF~ml)}eOC5Szz#GN9S?dbQ?_*A@~fd!M%D(!mj0{aBEas5({k2{Qe zhHT5+zI1e%*;qV(auV|{iX3ijW~ctOOCkBF)h(;oD_O%$*X4UbAt&iR4yiw5pub0s9Hv8{sKlYldry0eaq=l@s~)@Ipi!gs;R_E zjwbN9G?Lda8}TZ7=QJ1z%KaIMp8i2RGA2nqnTVEu+X<73RgZXf+XB&pp^Sw_zmOL32UB)`elD&7g0|+micK;!IkKD z0v*bo2w*9`uZ`jHp(wE3c@px%sWYp0AeJ3IJjVDf9Lx^;+xi#Z)TUNBEO&!@o}*m# zHYc1L;%xYj%8kfk#_oC+$VqVCIxJc+!O8L?u*e)M&%CPAb8#~{MY5z;i*jNP-uzuCWZe~)xz0Fj7hY<92DJw)Hu#IZ+O; zB^p&h(>13QyGu?5{Lh~WhVWD*L$iBy)-Nr&fy&po4j{_Jf&OOOLN&z$R=pAljsWX5 z2U^b7d8djj{O7=-*BiXHHGaq!d--E`qn(Z3RHTqI%OQ2QUOPZ3P z_6diG8HNENqZVL$${_euRvo2IyIU%98P3y{Qj4Ca`cCBe3{q@35?twLQ;&YiPcfBu zww#S~On~Z9zl2LMr}6kL&_GrBZEO9|`))pz8j@i^c+RQd&QIIhE3LzRGGD6|>DPW$87fD9wi{(7tV#y>>ZJB+J^&%z2BGj z*hvTzX8F-qRL6uNHXOy-V^l1~25T|4aRjPaK?a}LHG1#ZAk^omQU*?fvZMYk4)`Pi zidq?dQ`>GA+L%o`D`w@BW7l)E(=G|eQ)$IzfAcZUlzp$e-_L)ua#;~Z>A~gV9C!fb zig)iMGoq2d++Y=}h)f;dl`GJuIYWKL9U$_&)Cy&Zw@}H2PD+U-5gS`<1vjN^b~@XON32eZfLxtC{QKvQ7qo_LI+Qtm>{!3C#$YiLZRHw7AT9`x5@p52 z|GOlR%jq{Bl5`6K4Z;R=0N1*QPz|a!&U3AUqWKNCnJS~FJ_VJla4k-b0A&v!!6bh! zsBO>s>e0DXFoe8ejY}E z^eu&lkXTP-{PI4#{@j?s5PIJpXV-N=Pmt$iqFp^SVy*K`?Xf&8BiO@<1P#$DiP{X> zc||k|*~*!IO1B|#X3Qkysu(iZB@5$fEpEGmXun(7b-nx+2TfR;=A=khOZ)J%2FK*$QI}V?WrznbagRBNM7`+ZXivUTwavrz@Yf|vRl#O}OYq-bV18m+N#Wo|fjoo$w1>;h5-feS9U!Z!S zJXPON->BAzs+X=joIoY6O?D*`R2}w(nm*PgqZC#YH$Zv@^%L_?W%hO~=LSv&&9#OL zd>oNf$`(a#nKyM8rnKP$IziQzg0>Z!7P*$3K#sBk2`B&NQ6nObf$Z^Cb8!p#Q{)Qk zO&3<*7m93^bHiub>hg3JEAP@CC@y9wHlIM6N0?%#FMIN{x$G`IX~Lqrh;qYL#X3D` zcI?xUe96SJ`P@fdQu^X)ai=Pg*%igidKGY3|2o^L;O>Ep{ESOHQV7(&bkGF+76}$k z#%ra2JC=}nqVTlKzgxN~=UDK_3sjaUcw&0V3m% zg(89qnN^!@zRP~OFrTt{h>I3Wz82lZa2-rrm1bcCQSam;yR8#VQ5@FR6GS}ZC3jx} zj5O4Cjn)QJ7F4FUZQoG|zeqX$?yCNn58`n>c$>>WG85kXCb#?;YW`XfUBpn(JFwvO z-qV*P%ymY2_b`|p{!Vl?Ntx#NH?qha6iufTo4PExIwf7yyE)3?`R8^GjS~1RpY#p_ z%L}P&y`kX=N*{O@ZbI^`2EKA;3YvEHxK3N%XZTK71Z7(jgLjK|-BJHEB$)In zWT0@8p2h$B42f%pSxTs`O!2tZfyTR2N2cAHt`LlklB|=jtspv&!Te)z^RsPxb*Npa zmFDi9m{`1I>t3R%x(ySfRz)U1?&`wG_9d;5TF|R-`cZox|6F?wsA>xKDK8n-wR!@9 zI+qkr0I_+Rr&bzGRfNrr*OXMz$*Mv9kyxL=;D42V`!+=*>MUCl9@-Qou=mo=)2{7U z@K}AVf;*VFcd+*(9487E;2d?u`U;`4+om2xA;r9MUf`jRgGdB{<*f@wybtyc%0t4L zo~5@ilR*Lon1U7;ql z9r{IXT*9_>HoIzDttr=IDIvf}rcu74r>h1hVXWb7YC+qI@~Jkcx#$YJS~~3A+q+n*OIac0V*GCK#Y17 zy-3y_J|-Qh)Q3#;pvT(+=Ot{Q-|jtPoVzk?7O)H7FM#G_ zvHxpYK3C(=Q)Aqv+5tP6(h;djzw4@VR=~$Cnrn5K{=zB8yVL=fP!pUJi0%pve}*~| z`_M4Rycq?sP@*e*$*-~|d+%l^CV^{&Awgy#f(dLYyYIuW?=)Mc97hN8Cb+18r^7yh z&9M-UgT7=$)vC?-ZP_QrQA#UdQ`pyA1DD#oR6TfMFEsysn7%p`tLk2RG#7 zgH(2HOG_6Vhq5GTeB4E)c!M({?P74Z6ImJ%9xrj^Va=`%iz&_td0MFh>=!D1A+{xe zx(;0rN`*)FwH+28)n0N5B!plo9{Q{)rb<7BN6$Gs%o<9zCpV33X{NMhs&AgQjAIcF^ft7lFzrN>ADKrj+M3zoSRQe6+x=@63 zbf;>0Q_`|^rB4XqjzmJy9i6kwitbI}%`*GT|m*GN@j} zpnSB#DG<<&WAI^{-BghPpwmdR|=5 zR8?y+WS^P8;U7SZ@~{+VmWlJo<%)F7Q>5!V#Upp3v(ogO+JL^1B=TB^!OdYR<{{{F zIX}YQqPwXeLL10v>+sJ2(NtBH`=9X=yf6XLT@a$y#dw2X{jyHiX<#KrP+sRSZzWeS zM8_#fw|8>VF_X$o8wJ5&Z>Cy=&I`Zr5HaxA;e5-9yDO>UPSOyMLQ#k}J$+wNn8P%fmzwWQSr<2PQF>+ws zE*s^bvwqog?gz-kh9IrJmvrAi{~c#IK?o680AyZ!VT;X04Noj7Bw-%o6>ao>T18Nr z{Lz<=I;Z6`6<8MG;Gx*Kv`(Bs5Khg5ZUheS-Aw5 zaFd5V@W99Aipl(a+%*Ay(YaubOvG41{L(uu&tOW5gFnI?>5EvGd4#4F0H>PNNk{M_ zu46!NfVss1hBwuTlTORxun-l_Hg&w^Q!cfjr2&<3k4n8AQ9U8Yj;!9V%N^iIoua}n z-EnRn$`X=Vltsff`plZ1G_fS!;P)a=3k{~nhrZ6KDogVAr;a#3875RurhLkT#eZ?n zB0>^BBq+(wWP+qpX!Thmm_ILHD>PNYEx=+Rm6*O{ik8P0&RHj-{kq39keUBPU%<<2%k7Nkp&U~wj3w?U-FerUx2L$39Jh{V8df% ziG~o1|8%8=r!Ezy$Ckd<>AhjEMHQdt4#JNC`yNSv!RwyWK>A(Sd%J{;x}PcyHZLs% zVcpoA_YW~jSlNSeyUvUryB;&tmzJ@G3}=LAZBj(%)yToFbaMCD)&9m6Yr2*SYle>h zav?MO;qqVp#Th2&13ATbxOnC79STO>qax&asc^ElC8u4r^4s6vC`AK;Ba1Y!$anP& zO234?aB@bwAC~j2=6KqYT`mO>KnWXLNuDMcDrAj%75DDzxE$ak{iBlm)}K7UtW|o6 z!9X7-Mpw=N?Hx0au;JRPz|f6?Nv)y>A9O{Gx=$8)N5oO-{6VU)Eh8phQxQwEg_o6& zuvD`3A4}(ti*A}juP7D_Tv}QVdecRTc^vD$Y1w`cSp0&r)0j9`aJ3ej!f*BM3zmT! zjd_GLx-;Ry)cR^|Ehp?Ny)wo~rFK5I z=Kyuashiy?hcCuThtSQMgr_Z( z-x>UrqTD<5!;8;I7M7fcsLqXV`FpSw3ws6%sp;Y0_V4+Lhy!iH7tu10F%K;LAgVWa zkSMI*m-T%)%~u8d#(g)ikaveTS~P9usJn$}UnWwE1Y!NM#E)8c+9aI4`rs6FtyeL~ zU&9a@^#fOf0F|U(!q&$>m_K!(;A%pD4q^EMebK7t(%@4fU9MAtre#+h9MD5ApRy8J zAmHCo3#V+&!gdMYKSQlS+Zj&CJN!^=33#JRE_HM)g%@4PpFz~RK#Y2aGcYGnH1}oX zvmDcG%0*+lbmsI})_+(oNkf*-7tvfOn^qvbd|>}o{c$r)_gCU4s!{4n|6hp?MryG| zj~TBgYuEt<7Bjw=N1Q$g2+uS&fx}&#F+U6Z9V?USF1jnomFw#ntewj6BRrTV8Bdfo z<7gSf4w%Je)W1KlymH54@_+pz>7&cv_6_fW16m2QH8cToS(SF7i>a(@Sey)*zXK;> z!3n4Q>!U&{Uo=`O<0F!!cWmh>oX4hiyxbvYg1^#l(R41SkVoy3S5o7`6!V*+Rp+SH z$7Wy$syA~~#}Bac3(~JcDZ5ejx*8i0`@23{H~{TK7*rF95l6^b_N0$ZcnF!#P?J!l zeh!5S<8Thhf}GR5 zW8SSgR0IQvBH*{J9+oo0U#D-*DHK$T;`G%zN=L>3T4xU0=Ea!?AFKdEi5&|!QPh*r zgW-d^XfAg@I!eTXJUA(!Gx}^m&^Ob$2zVZ#Z$mRpRMc;aho}}TinEw${Ww9Z zF~fga;A>4iX^72hJi-|KVa=b>-*_!ZRl3aTqKtr9n-gCa`=fx>u=o9` za{=GTzJuGe95hiTvxB;qE*sox2u5qhNmIJ5qpH7300Y;}>7#dnkz#do%N5?JYB|uH zU}NBPENA?0mHT{RYtW?Y0eycCQ|YwIru{`9ifKJjOSG$*NiY4Vk>6gvjoyLt=L-+t zHV1<*BDNoEd{D2s-9GA@>+cP|+u*uP|BC8l9hk8kY*XE?vJ{NkPSz|VvgDB6mv6&a zpXe~7Wh+qUIt&-MPE-GSbjrMaULL6)OCFSXKT?w{-2xI^Za+0+>P^IUMehQ?=IKn| zACT}(cdo>mc3ZM1j(qImXg2%>&;srqeh{VyCQCF z(L_^M+e4Vs{?dm(hj0IlnLb&Gk`=1$5Hef-o9%-;cuC>!VwHaukS{Hj9@Y8iBwAD` zKBlwwztkY+c=gWR{QyXqbON4Mzf&+A;4Un5-FQ*wLJ*Q%Mox*1Jc+x=@@m!i_VR&)oq+iX3O zF?p&cz&6i>_frz`%#komUCi_+$9K_c%N&Z;4-opiX{Jq)??pS)RH(~m-*7Uj$9E_{ z5;v7^esugRY1w91HK|of{T(NBOFck_)yRRa;*!$_F{V#mxrGmp^w_x6(~trS!fdL@ zyVaw*#Nl=#sdmk8*g8Bt2qo1`OtpU6_kl)fQ7QC1$($#`?3{E)CF8XM1Zfm7O$do# zL9&L3g<6vg%x@Wqn-rTsZj1Lu+xcB772fY_cDLAE9{$Me3G3ff8JcCreK*8)`}k*N zV+j6uFS&e6L^ zQD&pWtk@p|qU)V;l1ChWQ&tH}DXrbZm)gT=*6bg!V;d-R!pQQ(pa&b`~r z7L(KDX0_j0_H8yZv)M&X`)%hW_AZGKcAUvjV8G~**>qWGq!^TLh{{0Gv*Gw_d0?pB zx%~Z7pOd}a>}>`xr6hdzgVsC zY3FKago@B#+E($Jw~F*_hWvv1dJdA@Pd$gsH4WTFhCK^CrhDmb_3W=!V*G$8C+36u zEq|^8^UOELboFgNax{vQMh@>i#x$un4w9MMUga!F+6Wgg&ybT8O9Pp1j$1z(*4w2h z2<-c_K8*MvC#Hjluhr0F`pHM;gY&P^)k4+dVfKGqDP!lO2j!u@mrpB2QHRV2{a;0o z8`eg?qTDOJWvd7PDdvNUFQI00o`2%rJa_X2i;p2B!{jdiQZ1D#THji~3A;l#IoL>6 zo(PJihBXXLzc4ebJwiPJy=L=-P43Smmb7*r`gf%YB%WzK4K>+Hvl8B^ z@o=7ECdKsXN~H4 zDl_t`iHMmD*K!XP^@d{P>lJDP1@%i+Mvm$1L^YNgHCN2dxkke)Tl=(S`te?NDmv6E zReyH4mT35R%M{v|hem@MEpv#Qt*Z0}_a6iO6TIRky9M5`iA=Rvq)zJu)8eT%kKpvp zdJWrueVo^O6-wlC+iDxC(MV1>mM<_e>C{bzubHlyjH{-@wpnD8C;7HGM4E~?&1O57 zH2|^j-I9!BYx}zdqSEEcwc;IaE^1FJQ~G@;A>4S=7debF)8SsL8dfFSJu=`f1;3?e z|I)`_8uuT~RL0R_y=BSK3hNf#)HIUixNi`iHQm+5Qsb`>msWB%U%JHc=>#*u`?Q*jr*!DuVTEh>u87j5-Xu^rm5GdTC9 z3ms{b^r^XO3-SK2Eq8T_DLm(3e{RQ}bO;gkW@)2SG;$uAhqh)JNy{!1FwBFKJ#<90 zHbfrU#&>4Di}o_Ff!#p5Jc2kA%`v-1^yk;+3$Ob|b5F%$XQ}L8t32m)hJ;+-#y2;j zTuItM0tV-wY^}3437SK^NoCiJ39CyVNLHdHDg4tsA#?$dInP!p{0?u6*LdM(G3tn8 zP32L8OojmsDz=BoFvcrM%m>NJUUx`S;USPbQ7Eodz0gujh9Z`>jje3#`>sOTR@PaY z)uB2ocMwBiXRD(Fr$}~1qG)*OCgCX+gZy~jf}%8XE%zW~Br`HLS{a+JWkj`?b_g80 zP(Bb1D{EwbENIy?VF(1h6ITQ^4GrkMLGO7NJR7f`kG4bGCf2zB2yEq^B5=$g{V8#6 zO4CraZzJO6=bOGC{jdoyQz}yg2{Rk|{IY7WPbbSuJu*U}T}&eR#JH;-jbyK4z)R3s` z7S#;vAi=nK>6aPKL3uMBqeCnazMN@+&N+$@Zc=Oe{S=I0j#2n)VZfM*wP8Dv?loT% z#KkImd?V+ZeiJtd26<5-`DjHb2a`p9(#mjXdQL0#dmNfKq=UzXcR@jM0w1-B?FPp- z59=L=PRl7vhK@VgV!=#LqN(1X z>@p}3BCPD68#xSkb)bI{+nlf9dNq`}*b2cb)*69plO~BF9dV2Zx)=$UU5v8 z@XjRoB3gTcp!77BJAj?glnmnBNCo`C&Xev7)WC2Iyx~gulg1z(&n}YQtCk?X8hf~% z)e|U>P;ZEO8t>~rP~7Y_Lep4DdVf*p8Y0sbid?o=JHj4GSEe}NVJf(L1J5hmKUcuB z?L&ZbI$vjuT05j&g;79fdMcM~n%_(7+{QhItm+m%o{*m(XNf>pA}-ZNC9dkIMl-CtW8=? zd#gZ~3JGUssvMS~C_FWf-Z|mjuP2@`ukcRW@_G`U(>wu-UOl`^!u5jCH)5a2EcIo= zn@Z##vC7u%Co5O#nq7@|y{!JhdO z?1MiFLh(k)Z!$}LWvXn}gucgsQW3XP$or^rqH-gi`^W!88-*CWw~fKzPldt;{Xrrq ziKwz2X*A&9TVIz^dSaW?UT6R|G^3(W!nK&8baRCOkiz*oPrmL884)5JOL-yLPbN)y zeJo0<`N|-Dc4V2q!myl1>GjSo@>BZzwz-?(rjol)4L(XAr81d?HJ6?SqL)8Ayp0sL ziT@}VljMJoc#cdDHx*@u0Y-*rW2j`(xlJCH{Gx4dxQrime2TKjuDkViXNs~AIPT^w zl3us%{+d(UaTWo}q_Js%=XHL^T7*4aKQ7W<_}&E(upEUdmpxs}{V|Xx$ed*BFdErY zD>gRJo&}f2O|7zX*7E95FsbNMWaeVKP8iKwZ)hJj9z7Rw48oxVdR1)gi_+YvvNpXs zG2@4v-62Q9fp4{Hql1 zYG)}J(NPky(MJ8J-|9dwUaPLcWp!l6^sk@P=1qO{)}8$PL}rYO45C$VS_M$!^r)>!|62XL|w7q(a8fHeCtyRy>d9hGxfTD{B!F zv8`&x--3+Mn^R>3SnU}CN~G#YpUksquK) zg8q`|+?lhpYB$Kh%~Bkmj_!w;f)`hZ=0;{_l}z+%8OZsWg^dW08TRfvw{C|G|2{CB zO;vhHWdy-TA05(~c@QpVl~MOO)>KbU1toIH)n?6s=K5d}rLvrs!5cHoSQyjd3a~>q zIDUHcChngBIuG%fZjTd>2D)`A6t!nBWw|lExQ-25BR59v{^z>Y+t7l&($tjqxi|d`gk>Lf@$Po$U;ta#xcdjL>GRh?F zK5mw0W>Qb6Am_dBM7hKcxq2EXj=@T_6CXg17<(q#zu6Ko0$$(F(z(&?OfQ`>xFHc| zOr*DR@e-@7SQ@lTG3eqeVqi@!_J}h&+Bmq9b8&BM-36&&+DNUAR;;XTZ;I!SN#j+r&o?;-edsGjY=sk>rrTc45nv#%*z^jEFp>Nt$B-mk7JvVy!exuJUSCF04N z+1v^WeCVg*FY+Fgy#(Q$Y>o)w-&k5&*S0pZ9yCSAQonJ7_2i06llO~yD`P4s-!bN3 zUS?LdC4gC2_DeCvr?P32p?4mTRhVz1SDg$0p5kg}rX?D!+&shMiN6c7jGDGZkXsC@Bf-}mJ@m!P4Mm%5 z^lq})c7e<3W5~8+qfs);53*z6E!Sti6=W@4Sl-^57XYS?+jBHF6eiTfI!__2llRAr z0asp5!LEZF@Ws~A-BuRB-`15)r9Oj(Cv!!FH-$J93JQTP=&#g|o6|phE$FY+pPk#= zLoB#5G^D`16Uz*cY2>=uA73LSKeTcz-+XKvL6z3NgvO|S1P826S}=mVjyofA^LPJV z>s8UtEjct|wl&=~&78Dq^iDZ^PDo>yqRhlX564(R6b1mm3xnXn=7&WC)cZy*=eO$? z1jTk_y%ovrVpv4LcX7@ITcAT0w*u3eqO~5@luOCjj7Bjw!df4}Q8&E;L-4r!M-X}X z`(tzW49PP#2Tqt;8bYM;tSOZ+wZ@zM=b2~?tuj*UW27h7QaMZ7OA^4|$xz40dZz6G z#o41*9Z2aMiXgCJl=_F}5V*KS{!vEg6nh*HksP`KC?^#1BU>GWIIx!k=GELMA-bs% zsA%=}g`jM*ihcd#Fl`(n0iY+;@_6^bImV*;1+Z_CO)DwafWI zFe&AShGCNJBS1~GaYT*wr{?&J95X=4=+ycK&|%iv6hWZWDEAI5Az<1>1Bh!?@`EqY zC=UNJ-~h}*Aiv76(HI(tpB(Esl6A82CLpp=Cq%LV6Th-CCroGx1j8WdJ>s!v@`FaI zNa9jlU^3K8h+x?*$3|p$E62Rqt;U9EaT@KbLanz{#j^C8wmx+exS(u&yO~(;$#>v3 zEF`60z)}6~!KHetNc%_7lDjOZPjrEuCv(r4Uc!&Eb5L&A~n< znj^kj%-1ovV`YtL>ZMKHSDuuo_c@?srf@I;AsH}h=_UR_gJ|{+ZJ-di1^mcO=oI_n z5EC4h@<=fuvx7fqzB>Df_V|9{fx?bb=^t_cA61=y)NdFx2DJd<6H563XqaRNL#&t> z`T=wE*jzNv`Se^|&);uMS2CD-A&ww=VUEbV!H&?op^n&>-0cHJj*)7nKwox`8up|| zc8Pz)FpN5f76{;!VsB3yLOZ*RA4Cd`;=mh1QoWKNdvd^>hUO zhzJZvn>q+)8ijmc2@IM;2Iw#CT7GP`;Q2KUR+hPP?F#>hDnWWESfTadLV3EqW_h}U zCwaR4XnDHBDS@u!&G%pAw12BujSq0(HQH2#*lHgYL{2(&g~)8@;zQ7J8XFvu=pSZI zckY z`6FVF{Kx6`uU(r1iymR%>TX+itd5UxSvPr`NdKh$R)NNQb(bp=UaybzT~{^DpMkmo zhiJ80@S3*8$#Wt{p9N~Q1N^NLjrOgwF2`SF?sR#0qiFZ#(o*i(e{|o!I(gG9`se!F zIX#8hqo-KSZ|4+7FWBIUvecwDZ!2ZC`wi}HI^>s+t5jF9s~2{PA1CLnrL^owZjL_G zVMiS>^W?NPvs{n=6c;DY24KF+m~*WZ&6&6C_7N>e>JcfyQkz&BrAX^+ktt~sZh`j* zEg|0P^&(C#yE)%OKaVO)h^aYwX`%Zas$J?VWpJ_A%es!JINxC7boq_Sl^=rgk5n;d zYxD$-%2kB%B*3j2pOtq5Y>zWeyU7aQ<45thnFXy6WP3g-vPXjyLL$NnVPLI@Mbz7< zfrE(Gj{r=j9OXsa=I=$)7XCrp7W_fd77b<0zuX|REc)(;J6UJXB0_yr5oPIVPj5G; zSi8rO+mgE#`SG^C7l6Mtiy9*E_6Qa`TblgTyb~DnmTYBn3iH~ z+~x|-)0M$Ar*s2EJ#vXcUHO z5R&F9`OyvrENoYE{ALMk5Yo+Ix(M0wuKS^mAR+Q}g;*26k_FqN8}-V)8<=H4P@&*w z;bHmlzgOZTzRkx5zAeT^{KP1PUw5J*&o8QkI{sb6)Aex{bJZi9<4hsq5@@E^TC*rN zv8v%zk+_)eQ{ZiIXoexg&KE=(xv2L!aMB#O{XIGI4rPFK*}j#LkAK&8`&!n4Qh_ zXK*?@q$0qoR}T`;tQbI_p4CS?wIJzy>a1^Z&n#-|ph`K9`B{queX>ijoatvE=+LYh zfMA~0hr_z44~THm92(LNfqoTOhj7!)Yr~S!Ef<7LI-4Il*5nf-0Ih-_mHbGrxs=Jv zPY`W^kK6p48H}UQ6UZ1lmWEV4?O40!yJGS+_X$*7?rUhe-1}hA+~;88+{a*)+*9C& z4G8)>5GU`J1iGRgVRi+*M*B$m_4L^|&_vBg_sCtLdh>&G{^mPr!VUKoOs@xbIwTox zf^ZDopTYq82Lp5O7N(xeQBYqVY7^A5(8iFE0&61$3N8*>pi#mH8YN_)Q5v89MX7W| ze-APVMy9LUH$V-u=B@$)RR^?fE)X#8LIK1(a3C#zX(6P$YWzdo(CY7NK@oP8`i4JX z(Cz|2MZDF;z{Yw@xuxL&8>~QY&T9eGi@}(DUcBn)XVwdh4S5E|cWA9nB#P24m_)>W zl!%%YEP*N%)By)vL6VKUx7MyRO>LQwchPqg^0tPFiQA?R zPY+PP{-A-#oo$AuTm+)Tn^mdRL^G3AP#vu^2I308?gz&vc~1%HwA8eB^+9KTjSV&E zhY={~2hqTa1UV+wDN?1u0rLO&0P0c9ED8`nd3S21cUYNM=OY86_iGHWFE`UuTI}oi z!fN83MQS&c0nIX;Bpl-nqcA8Dt|AyMfZ~J& zhHcUMmx*7F`)uWz@3>rd9#EO@D}$&k@?V__m`eyuMdM#)?HJ%P@hIl@KstuoG*~)h zj#}1i%t%$*h%5u=9oQ{tCRUoM*eYw>3_?3x^u;+whSGv0ed$9_H+LDK)Bg0(*kIsL zWP^Jc*(P=&n~}}o$e^%30F?piWl(~|dWBX9$uo$;$=)BH&-zzX+k|`#rdjmR8bY(k zp%t`doLH32hM}~KP>)wzjXSW1hJ*gARO{m6m zwrro|*L~*!DyQ@V~P{d!%eO7bGxRu7&PC>az z8Zhd#=XjL@u*GU~`D%@TBT-9bUyZZ-XBJnSyxu=}P8TTz?81NX%HA34@;*;2eWkC{ zd`BJsfKR*tgR1>xllFHf46OMH5_XP+cpqBqL%{z$wRmArSX+IHMT`F>>;EZRUIvJ+ zE6mJGUcw~Ebbg%67kNd5-*ARaFbM9-yEW{}!&b+LrV^(03Xj z5LsJn>X`EV7Nz5?wk^sou-|j#?d3vP=HcKz7=g1qZ%x+gzyDB-Q*jQ|D}e$lQ!>;p zBKd6e#%-g0ta@7FNyl@5tcAT5d^Atm{)f)`=h5Kf3@Qz0#y?n2>C zoIkl#`mTy&Gxp(eD4fU8O%M2|llQbVb6eK>Bx%$hSR)t|F<;PMt$#VEZ;&;ouadrX z0I;^k#JPfLMTAFi{b_>92X_qWT6`_)tNw_;8}wd;(nWtdVVh2Sx&>aHfJ*aLD=f?4 zD}h6`Tn$XagzGZ|f#j`Tih-X{as97n>1vNLqr*Mhto@rd@+xK4BgzoMMnUZ1goICa zpY{SGqr{)wU3CmmrHl4L`5fI^f!+eVEC;RsTr6^Li0?~$eq<(c?+I1J{%-{_$G_;C z;(j|#u~6_bZZ)jxMZ2cYNu!pSUWaiHf^qSGqfA>E*#mrhjJ?B&70_Jye7`~djl-YG zh4_Cd!=1^M07Ys$?WcArn=g?PRBv)clpc^G=}k6=?~XMG?@lyFi{7Y`Z67%#i#_j| zRmqx6Tq=_(l`&~>ri`ytNXN>WI5MgQ1d8f0MdA32(^x$HYKl$*n(UnJtD}s(-qd9F*2Vo{$VtM%S7Mv-H51uE# zI0m+lYKh~)kP2L&pN2VNOYr~~=#4bVGC32;s}<6vvL=nrR7o{T8MrwUM+b@!FgQLD zq9XHY=E`Wm!tn$Hj+7bwvCB(hMN=A37vsyTUr?*mdzR6^a8l4=&>9p2P*f@9M`8?E zrl?`y*cKxN`>G53Ci`m&t`1s(Yx5W2+B_DxHlG2m&FQF==kp+K;)A~Wv$VJ1dm7bz zuNN0qrU~NjE$B%lxABN1YhsZ~ZX$q)0U`>BIHVH%a3HFSwl#fSn`LbUob@ZlmV}l1 zk}MJ26_@?VS;_V!V&L5sJHfdtFn}cW*4WZWErZiMr6S6Ki8f!RRHPZmk`OXd8vt%Z zO->K);;!VAWGiEgiH`V))cOa=5H#GC{xPFy_IGWdbh+~R{w*+Q_r;*UxJ&r4<-+Fg zV7L1ClioETq`AxdLr&4_?*l;*bd~#_bz401_qr{g`RBcsF9H%@E0@7cx-dkIyzDf2 ze&2RmJo`tm3(~3T4>l6-ckn$d`VYQY?xrCQ)-!5d<^o&~{I#mvWVWI%g>R z+a|!wJu85a=l3$&gS}t}3G#m#$`7T%B;Tcg9{V4w>?^#J0ojjtm4Ac_j5^3aO@m%w2){A4s?>l7KP^D3yTn9XWRi^sA!7gB@3e;I4$zV?MU7FbW>E zo^2$JlXbw1sevJomL^gkP$p6zv`DfxjE$__mw~MPlF6T&vL}0I;P_Tk?lzLDoU{VBGcey=NN_~2Gi}M!D zBtq|S=eb8Q^-ZP6eq1i)*Jtdz46XVsiG~dpiN>;B;wHljW0T*Hx=oHhzE?@68awtK z9^iSbQX+}@F8IBh(J0NSaFR&Pkanq?9QP80_VfTJc&s-644{a>jxzZXea4<%cI$1kguKg-xQ{3!??Z-v^sq9*|odWva7iu zWtWH#T$ZdIpXg#^mzm;jY}X`K=M1@*m(Ul7azx&xs{|D?`(djKE(2pfr!PZ)noSHv za!gy9WS_K9$v!4#56SO4p$J|5KTKr{-^nFe~9Xs04Gp z)c<#@7TmvdF%uz=ff$#&S5l{oN^Qn*;4-=g z$V4eTrQ+t5!1?rw+Uu_EzkBegp--}sfW8QR5C9a_-ku#y8R~b1fXt7j8rcvK(Sqt$7a7*iw5bxI)P*6984+`AjkiX zYjj8S_pFHb%MhWOIl|m?meHK*9hU%Iebn00cj2(93vN27%=(8f;^uTzdZMz`?O7}E;8QHlk0y#y!ViYbQQwOy9b zD}X>BpW(g`6hl|BZ)6gN!(9XfvyMu>&k8t;d;Tn6wxiHLkb$7-D)Eo`gKmEp3re@6 zlJEZt^g#K1`AB?e;Qfv^TV9mOcX`m2@lh%jCtD8%Ns9KPyYn3ylwXLkD0Q-vg~3yS zOA|Ch4@K*!WFpXOk0&Zf$q{Sllk+#o)i>agtDoP4MiBK3j6%+p|KV3#JHKM?x~x=f z6sf+OCb{PC{cg7=(FlOuR&d=!A`o}o)OWne9s0qFvhW=dc`)PaBjwyKH==sDZj)4ALJAmZQYRkwCmW#kYMBm`cj1`G`U zNhSkBhDcUF$RGaL@mrq;q47ubgyuVsZZvwyfoI)9BkL0sLn_dLI=|yg$yPm~V9T2Z z;rb)+^fA%|+DG{iq=Hc5;icX4XbMAyQ`?9F(Tse`$-s-eyDVA2~*R4b3oD)I=ops+Gh(;uDu1Lt<&Hk;LFhz*-)4`a+X zsyqloTG+3BwFb;y|6U+I2>IIfJfNE^B-}UgBcByaXv`-rjb@Ni+>*W(In6OYDz!2; z8U$9Lx_ncuJA>Inw-c5|^>V*zi3zm5@PS$HzUvUo8nGY>2f#V;Jc<{e?dU2qR-?S9 zJHKh^2OE{2m?eu65a32jCQtG*|5o;HXo;@p*{kPV87Er+lNSprD%#(OVc8C!S&r`H zG2ijO4b*g3lsikDlM`XovMs5)=Z8yO>eb7t-@)9eQ_N;kaV+nyHOQsf*g_Tvh#1J; z!QYPk=MvmqLGBw^ilpqypFI5_H?_O*w;_{ZK9q;)5`&>{TW_h*-b;_9sI~K{>$4)w zvEk^_7ncfIyWfEezkqC{>r>owJmlFV=&1I^2)s=y#$=;wHgzP_Smv%D9L<-F?g>KHpAn~=p#+~Z4i!PP@^{i*idgwh z0&YxRYOuGI3Yk5wHBCn1JvL%-k0-knos5^}feJ8>yR~7&n=UMNPemG+#EY9t+Xq4t zALMEK&7|18{pO(8Y+9144}_BF=<%0shE`A|&Mh3Bf`4zKgLX4|N~Q<_@4Z>RMYmpb zTOgn7a(H_pX_Xf8=`eR@6}f?BHO&OzPb2Kk0FR5dSQ(62Vkryyl6hf%Szo9AhS$F? znPcM#A9R22-#^0@=tC?;k?aETnd+~}@&Y&K-V>12zoKFg|tV{KZ9b4+g z6N^QMQHyM>i9h38xWFPoqW`g@?hZ#tc`u3T`(-*OW5{G26$$d!WDHJK@z=iK4@@clXUzd>uYFGWVXF?gQ1dNGW)knnUCoxUHUo$nz|U!$D&^Qi3J-_qUX)ZOz>cZoiH5eyYr+D@nEH8wsA?V95BaV>&Uh@+mgo2Kxnf$BO0OZOboayI- zvR&RUJ5j)ai6lIP#lK9*C2*ZNLX}V{yE6S2o05dAg9jg`o$l|g69Hw){89_r1z5H$ zU8~jcNKJ*Q`dZSv9byt*i3$=OKl(T~_u3_wphp{=Id5ZxQ14|{{6GGRl%QQcI?0g| zGD2<*kS`4&wRPv&;UtM_39(&7`xOm12311osuW|Xu?M}|b2sA9|0 zjuf08j$U!*j+0V?+{8yxZz$H8)OV<@uTW??w(~FX*0V`dfXQ(JD%|bZRxsS0u!^(7 z2^+2kjW2Wb9iI@p1p3u5ZiQJ8X>+HuCYL1faWih)Q3x?K@T^FLpJI7uwVHVXQ&T56 z_Ry`iTA*WZZEit_vkQV9fu6j=IcMGO1ac7kH_zq;p=qtG6r zn2OCX-Ip2Rm5BagZD@^~HxvkSP06#)lj=iA=mUH(FPqi$0pS+qPl+svmZWO@M{epu zN9}2}$J7i;dK~V$D5Z#D>)2ScwYAX)_?YJ#(4X}rW5X(eH?2NtzIV{wwtc%MW(haM zmgJ&WkL<(r#3XJTsY$o5$9i$yf?!LuEE~rXKl?}-Glwc6=&hR_`pN>em2LfP+^ce8 zj99=B-dDgs#A;jQi~1=oFKEP))Dl)AI2cFFSR{TEHLBSbN0Z!6XB}Qnq<|=%fXiC5 zp1v2V4@~xw>(3^2($XO0@l><3V+?xGneP-p2aq_XX`l`^HYvA&JbB zhVQfmiHD6Y?rA7Jw{t7;@uX_+;WVs9J-=3KM8ty#@}pH*UTxw47g<9ey+zw)qNn1*0xHByEY1vazwHRM8w)nr4fd)xi zceKgH6xcoY9KIhW3azbFpP>s$0gQH}RKyySAnIt(lSsBF@Dq=5?u5TTy%QSe_!3Y^9UHc4c8F*%A;d^oew!mLaM|8h-juvpX4Y=RQ8GVpw~vpjFp` z>V9}~{-GZD`;^oT>*b!9=Q(;iX!p*|FICPYs{h6R**A%Y8~iLg1Wt2lIiV{;%Z5yR zu=b*bdB`hucgucpSaj`$JQUse;MU0LQGX>XWjU4TamsNvZOcFouH&c6<{q|@o`6Le zcN5cRJ7SrFZGhOQRl>5gMi8T;Vb-rE8if*k8nPyyd!DQSB6>-X77F6pBz(BMx~*yA zq!|2M;a?zgY5|?Wheg3SNnLgPhXjHbceKZc85rH>I*yr&wvTBBH`!ijHaUTBn{q-K z2AAhq7+#wVHEWkzzHI_<_+@0yYdH5IHHs$v#5)2b*9AwPnQ~60~#ZAbBl<#V~8)efpfYan{AqbHP$4|t77CBM-+kM zs&xwhlNv<=^{mY8(}XZ+rKs>QIntUAq+gov*u=uT@-s}pL-nCQJu)YobA2$y2D=KN#OBDOp>0r zewY;t5-da$UXJl$h3*Um8E20eAopq=ht>xVP`K&}5bi42Z%fl$G~oL<_Fpk?sBmK~!}IWL4VT}7j-&?wCa zAM-JNrlg`JM6b@qJaos&^7|9Uki33t)^#w2aCNVsDW534jb@(+tUCq_tuGvo7^f&U zieE=+UZJ|Q@eH|>4U@@Rr^p_!xhw2-n}QbqiBBSg%#aG)N@~xZ*HyPXF*T)JWfBno ziyBElcO~&Q8|u}fzk7_YB3?#FG0!_=p59j|Br_|3f8!oMbbynbMl-D(oPf(#P1`9Ae)PGY>~eU$4oUzp{w8WhJ+K-u5pQ#f4_8ZWfr}e%`JNzUrALKa;TU`JO9zg|k$BSmw|0MLx{)p#k;r(5hJJwrK2jRCQwf)K!5OV zqEFe=2r&>b6xKCV7hyo0?OA6r*QO}y(?t-ZME{2-Y4t-NY6iJm@9&Y1DkK3$Po*J3 z-X0j!G3&g6O|l#u`p==@`)kIzQ>d7|E**ic)|Pm4>gp)1KLUr_s-hE6-80B(Kf8Pd ztpc*6#V=!6j_UF#_IM(tBwoi=G<&be1YQX_v{ou>rZ71Z3F*2ohEcutd++^c!B9&7wecPNLymSEGXZoB`%4>JcH1QQB;UFX-CM4UloPGQ< ziE%aqN|LBPl#6kz(U|W=L6D{QsSFXrjfugq9W#W{&;ohGAO!MQRKjA{T0mRy1W&R* zE|`u%rb_>htP1mZzdGkI?%oSGT2Jj#LDvUZy@>Z|O*ilTbRk^|emHfpXoWZS8~n@c z&(>N*?(oB7E~y`B%MoyzN-;lPU+%5(3}}0n-pq9ALxmJBPRLBbl8xBiy^o8Ze?o)()tCz)k^;P7y*q(!bEg^?jf)AKoCgu=w=I=sOd8^q@5BrnK z;8$7-8Isy0>)aWjgF61ot}qp>qOO@#Zp#!py#Mm5xS_%1LEVvzEQ$QPsRzXdrIWdA zi(T)Kfl8gDML?2I<4eJE8kVTDYQWrV?F&n?q)`maqmw8P8+xlbVtBMW(wpFv!Dn!` zT?e5tlizZpsypNov8>+BbP2*v;w3wpKR~rTw9dl#K%7#_@z>q^X}}-aI$(fo`>YHl z?XNsC#jwThitJ`b2YfiaeXne7aYgS~bn2HcKH@)Zd*b%QauxFLG!<^AZ<1Z0@R>9w z$o93hm!T98QQWNS5NG2et5%>yRiWi9pLeElYJlUxSDVtp1vg=oaJuzm2Gl6Wj5vh0 zKLZTr4`y$#8v+oT(dg~MpsRmZ*_O01E$HuaFDFkTeq=&_WrY`J*fcMZNp*@Hl5t~= z!r;o}Qwo6g5y|hz`+rq-$xW+j+vK+|z=VC-5DwEd=wkAy`o%{&ES1nu`L5kr;PIu)PZ3Z;K}Hgwn(5IbU0JrLf@y0{rnMEsYk>CNFq z4!6@sXjlY3Bcyx2O6mL^I8o`oH*0W`Tgj_V6HtD(q4SQ^5xJmDv~z)6=39yg`kK7H zW3X|;rCs7v2~E#aZH(t?oQlUGe%{D_dgO}q^JDg~j@0vVX|9B0!7NTzaOE_T0ODba zU+MLz_8Ef@(k0Z@!V9c5f#%7-V43AEC_+&WFB_sl40ykQ+_DX=(F4Np6@n1 zH;_teeZ!RhkQjc+_`HI~hLd~k!QzJ4Q@_(FhlQ#qgQ(`{IHc`M(Xg(gT?wBx>Ha3x zq1!uWX7$JVw=91lx_+?&B+NGmzWz`8OM>bMuqC2U?GwIX!y zecCax&+2qq%(Ltc5{_}siiccc`svC_&-(j@0rPo)-RGUMWk_pr#|TO| zY#_^`0WLv@LOin5+QP=2JZXnsa^82_<{y6UwYeQOh33upd3^Zqbeys(=Pn#0`u%`v zPIfQOlK#Q zyf9ag1Ddo5lT5a6_35$69!M&onF|-I3IoiIiKY@@*$}h4JJ^kovK)W$)8;4To^<-k z`;5E2W9!Re3fg%FojlOw}-?BaPvOi7z z&{FN;)oSVNh2^~mXbPL4vIea{GPKz=$ zq6wolqNa185^q@tgw}3W^PQ2MV&Gim$DsRT+IWSqbgJtbcW_*uI^~+e zdd@o^(@)2rMR4b3Rf?a7ER1P^f5Oa!G)MF^I8&G|R=DCk@K_OsqADzrH@UvMmtD;c{y}FQ6TIXEmcZj^tm@;Q4k2cGA%$j{_Q} zU7CFuW3g20EZ;{3Mi%!w&#S=n&e}Kf+o1i}ib|(G1(HRI&>>Nof0NKcCOf>~^ze5F zE6n%Nx?9aq4)sfMoLH#NYu;z^D7G!_6iN}!*PUOr^((%?I~=@_6`ejUny>lx#$izj zr|576PZ;h+v*HYz{A~5r4j0E-e*r5kz?IK6O*HGN6w~E%1tdLkajb(a`9g&K{yA+U z^Wy7g`IANNw)B~C=o#N$L!Qr@^4ktH@cewFU;6=LV9tPJJ??^ax|dm5%kmO_J#G;5 zOTY!fafB54b9@?Sm7~olDUL2ok1`W^@PinD2a44cP-)+kx?iQ0Djo`RC12kkC*!~f zmesv|hX(VH{G~q!VY9Y}_*f-iU2C(BtiC>NdeeYROA3-S!HhZ6Qgaw6Ufc)CBh?59UrkcycMz=~(TBe--GY#6 zQRo8%HF^btdSniXm~e6OMZIdrYH$Y3h?`4iSCX3mHu9l_Yd#>@zpZka^bU&*j5BF) z4N;3|(@k=)zL7pczLh*{0HG$SFq~qf{Rxc;hD;;hneKl8dO(H04|Z?y2a2CMz0Y{* zcZ|||K7WMIK=llh&e~TL`R{||m&1X-A|#VguQGWenYgnzC7lmb|I%VDOm$+>_hm?d z^mATZD|=!kQ3@_MG&ManUbu)l|A0(5WK84CR*bTQ`{g7(j;SL*4P_BzUxwX+(<6vs z4^QFLcq;Xw3TzeD(m0aBK>s9=hzZ!ZdP3sv*a`=Ha|x1n-7>#B1IqwhJQI7}{GZr7uG3_&p!;`drY!avZS-D#$8d#GqVST)y(oBDO-;9TT zM)J(=!ijshR3!8!YJBY^{mb$Q6v?)&jvL=D0{U35TW?7z6~~u>mMi;J5$3d;w4qGI z5$0dkpSn5@23Ian42yLI`U+cj#OA-q?vAH{{G4qUPW(l?Iqg`?4`x8V-_0;L|_Fnq92x z0>^mE?LGDdtnDHHf($~5cXF9hd6~G{<|ahUfIAl8nM4Yb1OdC)OtYl+E`0LIrI~Y5 zlNRD{?AY(r{a*Z}Gg<2(e)`u)yULli!&tEnD}v3vSAm81KWmP{o}5vf+iVFJprSr< z&k?JBA}~m)K#Q7d+rn57;+#TOk6bh5Zib@uME$G=<95Tee^c4$m`iZMyqEn;Nh_r=s2YFl3xi)35SIkRQaqYf#;JRt?+;EnP z=1D3>pSysM3^37f`H+BfWh~|S(L*-b5gx4v5?l6dgwk|vOV~yzKPv-68+~-?NduhT zshKDPNAB;|%^RI*Q{UW#BZwcy|5?}=nooa-A9iMm1GMM^xIInlT6Z_j+kk}u5}8ja zNKk6qkVrgm9!kTrSE@x&WdO zrH4+@WP2b<>Oe#55iAV<#qi)LT@mxdDLOJEbh*ZBEgYk z(C65147Eb~`M5BEL_=W&hn(LK1ZPh$*GTFbWR*_4tcX9WbgJf?ryyW4yspu~SZXCT zx`936SjBnr0}D}hYe3A)yv|x5XhvQusZbM(~xH(shOt95(YXN z?o|d$TIFZxILEK*-#J2CF>rvHj9@DBh|Xxkxl|x>4%(xU#L^rqb>XDUu97A#vCD+( zafxHzpcadn)L_Lfm*=kg>JB|S!2e*8TcM3fR!(!@Rb82% zpE^oUDJn!D4(Ny`B9VuGV$T;eL@l5T-@Y8=4pr=SP}sT&K^+JtXE(dP^gD(4KKbg+w&rcg-sF-|dS$5ZOLhBi+RN*z|x| z^1Bi8=Pi{VoUA zEU_9eS%^a1*@FT4J!O`5uYC=7$>k!O#n}JFDudA6!Y&srO&4p_*ap(qvgQU@aU8D# z*QUXo_cTHeS2wVsy%ziagj|QhmddjBr@X7M%30iEWdU=Yrp~5Z&LN9+jYMw#SjPLx zB)Lz9n}`x6t%_tjtQ;6p26E2-w`%;JD#oZlXn{OgMNAWIdsnv02@f1*GnBtDE!`6_ zKAwtx^R)RvW@ADjm4v8gFyPxk| zsm8>`?tz#fMFHR1+8!i@wImX=d^NR^RofaI00X~__6K4;SRxk@oFtbuCaOA%%=91$ zy3EVjt==SH{W>rK+}%dJqXh5x1CpQlfV>Y_&9Vi`W0buoS8&3~r4lYm(qlb2rm%dU ztmmqZOq>#~mEcC)BJABDnm85}OAP;mN?CJqQ9?2tSku~dBAB{1vXNIVTC-|n9BQ>4 zp;sya$wY1EdFUq&1C%DmOndrvt3v50{9W2W8E%-Fohve=7SI~+_5~U^IjYc8Ks9Z7 zH~sEdf)|C_s}kQdqM|F6{`yHk3bY|gLRc}X{%C;EX;dofEE?l_Hms8@%M-HihPYcqwVsci>=n)uM$^6zF>-HvC;6`@mcG6 zs~FJLPI+5>Ht?N-y5!7DdeDZd!;-!4%E#9$l37cmg<|Cn(EmqLiJ)}e1zq@5OO(Hr zgxrRV1Wga@v*BDgQQQ1w@c*+4B8HK4h`F39(`3;Qzm=v_%0MMBpl6JV2MnLheQH$n z^CZ^1dfq?=yY`Fv;Nm4hQ)OYb@*gSaV38drBTS63uXjs;80rEm<4P?bP&Wczg*kIA znDjr*KYxyM2r1>X)1m*KjHfT3OX!LSqAhUV-E;hn~}5+F6^ zL$E^u!Av)05ng5_Iy;6w@6u#48t?`ME8M|P)gaUHBvS$A#8~UhhWzvykJn{fVV%ds z*z`Yg`Lk>~#aGoQ^^UVwQu3hrL(0xegLRHmgiktQv>4Agd~^Wurm7wu!np$oc6-__ zu4UwN6O^fD`X`g_J0;*F;50enwMm4UhM(>B5OVJ+z+u0NjI*oxwrJCk_#n9pKDIft zb2%?z(b7iz5ya+I02g_$u#e4e$SlZU>U0YpPX~gdEFj5XYsjISjZVe6P+g4=VFbDp z4a%jurjF}8a8oj+7C>S}|yA<5K z=~k9LD;yF_>lbI(l^hV+e(^lI*!Y-x%e^GRZP4E=35IW5jRTrz9Nj46DfWUp z0Hc+6vik2NNfw(ENo4-p8sv^9J0&B1ISA7521(>h-&{_mzq*<>Z?6;zZunl!M-5t- zruQ$iCQ#GLB$!uV9`a`%CUB*KMslGy4)0Zw3C9d3nH@~xGbP6YS9Bv7p4wXh!#V;| z^RzhKV;PFsO?|44b{{Mh3hJhoPkil_LW6mQaUtS+1NgK+jcem?aU`Y7^+t6fk#0kc z-3+WC*yOMO%|c$KThwO|RLTl3|2}$kN08gZpxCkzr9TaDGhI-MxD97*vX)CM8qz%P*Fs* zsV;5+8?KX16*=E^E~+*c)tP!w_(wx@<;AN|mngf-GS8Vuf_Zoxq{nF{=Xk)UPRK=6 zWLFamyFN40*-+(p*;I7KGC;N@;6gF0TJQ4RUv{Qwo1gdnKgirm;3>q>1a_e|u8U@B zS^qQTiSXh3ho7DoAL+FIV?w&jSc;0%W>;WLoL zP4)p2C$s3ZR(q?oZDjv#WNC{e72GzolO0*3~eou=v*gP6cFNugAo)HsJp@nT9 zYdW+f4Pk`NOT>&<*;7wGO$2fNZ-x0vl2ryN=2Pn1(gyIPQfzpzrZI5SBwRPe|?5ax8VT!Si(oVgH&F4uv_XnC?)G(x~FWS02(L!?^sZDXy0 z<#blkWdXz8h~&K}C2QE4{7Tqfw7XPkL^SXteZRU`Y%0O(bJpbN;^5miF4o*xhB3aJU_lgdvW%#C%`vc8A2pjnSg# z%^d+oMYtV9##jT*v?r$R+pE;wsfIriQGieG8?jB^Oee)*2y9b0c|AXOh*hjtrr~^a z=Sj)wqYr)8Uwmcd29qe%gg!eg>|nctEg>JV-7=;@4zQK_PGzyOH1tGqT`KinyL1on z^lo3yz-|T1!Xy2e4oADJC#iWw{fhH0SVV-6e49JmBj}MV$Aguu)7#DYl3&2gEFKkA zida&_xD2|Oo>4o~$*1^IiTY(7uE(7$*=sZ}m)YEJHKi}IN)^@*YM-D+Io!nk=D;~T zTk(M>4}i_D1f@3>n`G+sVIO(P8A`$<9Pz4_ zyLe#C3_MV{MXUw+b<<$?yEWk>N!CU>@RW^@t@B8EROE~^|4AW9jmcwL1~@2X#dIa` zCY+kxeth*D>)vi>d{tulR9;5RuRa)pr`h5%je|tViy^WI$Vs4&@F;H zNwRWv4?S*<_AYapau^L`_{=i_$56#4n4}J^6(7}S1_e*tQcL>;5HIDvX> ziMBF>Hi$bBn9qGFUtl}k!^{9*MjJ;($M4JDnEQQ(T7v3kzcJx<4(GOMc!ivtiMyV6 zNh$S0y!j|@OM|D0-}0Z^rV?)97+T6o$v2tBeZsbX*?ZPP6#GwWzW2O8LV}o_{)wr+ zD9u1KHaG-s=tby8)l~e>N8JJvb80^4T^I5eF*U-dgg5WGD-| z5-#p5m|5$TkcSMO9;I>PP?o~m1w$@C@h7(20v@CP&7u5?&SKz_mI}mkGO)vi@B!yV zAdJ^DC$X){tkjf%=aQ?q0^p?52NT^cW1Sn86V>^}%#~K=$I9O9_V1RqK3M7a$u@us za{!TW`K+d(=|255=z2q6Qra_e68Cf<2;TwvCX|Z|?Ow=EM{Y3O&@aBvJpA&6v2Dm^ zK1|;ufG&QzZTk#&p8vHaf-D|;)K2H3e*@1Tp5mePQl7)6a(9K{5-DB$FD6vt4>*lX zqgP8|pnU`vBdjcNUi~(vJhf{6)!QA&>>gEU&bX@Obd1B*7OiKXCXgxeK{dTLoj68I z_G>7J=$C70~KYp|#EU!5y#Yk4&{cw}Us_n8Ud z4KKBO`B2WWT|@I6vJC3~8V9xu`h1dqkk$#X^PqhXS7%^d8649Lv`Sg;$*e@S=(`}Q zv2B{#g z-8x?KEh1;fwS%IL0cpNd^D@FJ$I9~j0f^Rofe*H}DGnFHKfu&GR|WMU8oN-SSLxa@vz3{wI}-;);x6gkJqa#P(2%?30M>JnP`5S z85U{pL97(dO_2Ad5VA0P?oz%y7>4*T;7Avi}vdK9k9QJc3C0f^V;P`?i>xYD!UTKHuQzy ze3LLo!y>Ya>G?u-c0^*P5hsYc<+$_DhGyh`_8+5vAwIgeEnza5!{eAMV=d9=Q)r;y z$-Y5y9iWM;IeZ8rEGpA&tTRoJ73iRJoT5Buakqf|jMKL3u)p`KNRbG9pdz z$=)E4{R8ED%`WIhF}E(Uw=L_$07E3zH@S8hntwqCKnH##{>EN zHexax4_+XlGLJi>oX^Ct*<=tg;0tYswXK!6K01 z@%($qu|6WTJQ=f0$gwBMSlKM)b4dqI5Hkqz>0uA)l%q+Z788*Z8MN8tt!VMePlZcI zs>Rx`f+Y@`d#Q6LIY(b{Lp&y8hUdss)UcT)fYo&|<@rD-mxRUq=q-q7*9MYCoQTf| zk-|aiCAYU2Bk660j%A6ookEZmE=Sq6N%9&)s=y0LGS2-bAH|8Yfs&YiSVDeju&xTp zs;duaR}{<|U80DuS+kyo0LEFH2>;7h2b3+N~{uhnYo-Mcn6|-K(941@Wl% z(cS7$KCdQ^<7($yf(gjK7C3FD^ynJMMO9*P(Q78q`9MWkNa4{w488|jmoo7AKZOj| zD6VJOL|hEzpje~=_0hBN5?_AxLBS#pGyFQm$<8~z*PF+APNGNe%bV47T+hSk_H*N< zMEvJDzwrayTm8}fxwySf1r+FKM3_G%LFaXzg^H_-aS>w|&q&MEYvGq^k6vHd)gkxE z!cFgOP)vh~`O!e!3x>4*ZnC#X9DnK$cnQQC{(_4?+s1fp+tX!`1ZjD|9#KE7@c=~; zbGWkcFh?Q{KecrwPIsjUjI_aCZTCFzJmJ({_kd(;wn;gNJfo6@kZQBl-mDTdJmv+p zXw!nKWuxIIELzej?J>pcEl;2@g(yc#=?&do;(sD?4q!sJcrWQ@0hNp0|EfFu6MZo- z6llN4jevN&alQXEu~dxi><3m4!2I+zMIa5{xX0O`J8PA~zB|OIeIQ|Kin{>_X|Ys;15>0Ud6M9#@6cDni>{AQf z73^M&UA(?2UeKf{+%VnOW5^&$&IE1vhd3sBw<#q@^sMSm?3YmtzeEp_8Eqf&Y}t-S z8aMYpmDVIDzij?ZV?}s)((rcnc1KD4EA+d-iOI$59j`eIqF0vv~vgM?1=i zuZt*VQfaiQpc(W1^id0EdkjFHdcbrreo-MjF+1>Rqo7@+ArTIRfNv;j>2;Q|hh5;$56Tbojx(gw1e=cqVGI`9yuyLE(K_DNdNSJ z6zDVf`-B5Qw2RS-q0M~R$C9(p8GQ58?da~L>OjP|^_bry7#{rnvV~1jTT-OEX%QXz z<3mvAn6p*Riu|NjU!|?$7+#*KHms9gEA|_;LcybipCKE{=G26rY6F{Bpndj zA~H+dS!iQUix_c&c%V+DAwND2sxzskQfNcne!XfKo5v@r_kX)$|0`V~CYxp}BSt(# z-wUFYUKg{9;t&)bI61)aV~h+}OJitf5wno2Hi_Fz3$fg8VB(}Dk7UX6bJMB5`L$?GZ>Zi)K+;XfaJn7NnXTGS2tkEsT@*yXTB_Hx46LVK%Kw?{ch-D=c$ z8p?nwIyHpgu{?S`3TFZSP9{(~graLhg-~Q_8)~L%|2Ogm>(BiN1wdjEZF8KqMW;z) zw_o%azZ=|gj_R)`;ft(ZW4RQkKsg~0K22W}BM~(wldM4Cp3o_(`*>KbL&%>)Y22~` z(A7YmQb3MxkpGTU7_*C4INL@lvjY_uXzG-}>$JI>&Tu)90SKN6COIuKQnmkCl^}p} zA4#x0E$U>KMtfQ22+})QInm&)^SAa4SA zF$59m;wnL?dg7g}gv}l!_?ZI@eiUpL$CW~c>Q3YEpXCZnJlVWJ%vkCt1efyj@Cd>? zlp*zOUWwbCc-*+PJ|*h{9~w9BN$}i)F6@j_gMHV+$gi-P`!VW+xt4yDq$z%gM{>&Q zSj*hBq?x2^12qq&9O&B0d#f*6{At1(i^u&>w8|sLX|v6^XXE{|q%1?sD$yq`l1$qS zqYm;HG9nH-bWnqzD1o0o&dRdSUnO}|YIK~_YMv?|x;|x3h|`H)Dh^lo7X`#37v-jE zG%oaO7D~28RoB|H8=533<`#_WsA&1xcJuE6L8hVly#<_%Dtilo>0jR|*!7PkQ|aIx zwxgRFZsCWrLt$&1Jeddf07VbtMl3fwPBLGkP7VKa$SF8yF#+0jdk5c9!W|KcQ^QZ1 zQwV9n4;5imgLTwZtJU1k8gbfcc1W#UnP)(z#KfjJG94XhdGZmra~P%l{bz-{1x;Sf zi9EXGYZj+WiY|zE@D~mzVPgNXzE~ST22^-%VLGnObPdv5AP~F=p)=}Im0?VyICXZR zupa(F-J&-MhVeHOmAC9>ZFh-ZTx<`{9v;0wpguYY_!?Ijb-Z9}A0nj&%Sk@1DQ909 zIlN#s=lC3~QJK`6lQbH2Vp8rcl7^Wn4fPj>te$cL&rj;LVlTh(1q(!qwC)Y!E+mf(T1C$wq zy?xNe{?(@2DwY%+$>NOqLpu;>T0VMZY+hDiT?Ii&Tx{{hH+1Q#hWh$w?S@Goi`bdW zvd{c}5i60RiTm4Krcc?*o~+kFC8wXlsQ_u6?>&Hdxbo^Y(r*NBLbtbJabb{l(6f{{ zH%O=RA1;OJmH!`PXT2P5#}fyU zV{TldQ`&kCGuUFLI4#>lA;#Y6P^ZZvSs=0=fdv-1$`yR5ja(o!=^JIXiS0_YP-vjk zK;_z8+4XU&bNpMKb4l2&n*lW0?z@y&9E+jA9uw~M5c!};C0UOoAFDddDbs7mV3F+M zXoNs_c_Fq}Q`gj4AzCal8~{GEyB0C5~eBB~@|zz8ibYA-y+ko|W2n z91XzCvQf+PuD0Wnm^d(s5X>Ho=iQ~MUz0CFaD>AINsK=E)-FWHOG|WBg%xZ-nBu=4 zlKV}d^z4)J5SVLP3_{GV_G1g{@|BJLLnsx?FwmyEz|Uw(sd zr<=88G{NaWVrq0XB?CS`8O$}lHz{0;b#^m5u9(v!^t4NE4X$7K9JOa5P42&B?IbPo zRVx`gAjWc^y#=4L`>&$bbAF7#2~ke`TDAQ?!ulqJFDZeOkh;Y%GalyER(Zr=f2aTg zmA#AZFfEM3RzN0b?vgnlj?mQo-@0RFqHKU~%z+$yTe-)Q%@Fndv;ZBL#(AwTvA_6e z&}##Y)$`BKO6F_4x8^gR60|24;70?z*u5o$Cm6gjRAXS|=9M|K64cP>RO(TlX){}gc z;Tz;}>p*`zaa<+gJ}6-#UXY)1AiJl0$f0Fcm&j9S+Va_kQT_|Y(-%O2TtueT2BPM* z>jb3elNWDwO|$ht4ea6KUZd-BYLn^#D%q&bqkMgacx`u4zMIlZm6FLy%MRUV!qg;V zXHpz&_}@j|@6CO`&B{1QW?HB+NmqOh|LkVge=?&x|J=T}$zo|C*O~eJM$4%zuNcdENm5D z!$=$VXFNLAZOh)Y-5Q#1c~k|bIctU8Z%ocwY4pL5HB8MK4PmpgBY7v&pmEBu38R{x z96Ie*dLEX59amMdIF9{NCuMIK4igucaAhRatLNhOd0||{6POh0S6*eG=f^GQO6cU5 zBM3qiKvfDDqWMX_#`bK%WwHH5KKb5oOwcVy!y!O*7j-i_YvzW`c9d&x+EXtz7GpCB zN8Oo!A;eDjet!hZX_;zX3&&e2E2b;w4fRTv4qS2(oLZLkh%TICj{PL!^jyApFKGPoc)eR22XkK;^$Rsj8oIsL49~Y96wM zLB+%wsa}QuF$?m)2T)JQdHsfZ$`vox!t8{Z&ZhVD-Mf_*rHY}>FH~oQ0C+d&X&jn> z32%gh=OrwQ?vN4w21?@-!Y6C$&fO8t4&?if*>Kq`8Hc}r&2qyBN{!y?{g7ZFGF*?e@w`H}%?HSD zfD~6p7Sxs@IT!1^bb+azQ;fN9=&ayWjHU>nxas$?Nx{kObb#>*eSaEzzeymNq)i-M zO*>teH&CRn>Ok?JSPvAY=IJ=K=79u|>#dI_^l{kOgu!5LnmevP}};3Rj6< z`DPzKSK$GF55T|9Pn=NwqKuQpDmXDCscs_wUNX$Dr;%Jq5cAPLTZ9aCquBw6FE(mt zxH7p0JRgWF@)S6pGG~6OD;DP!uZ8j3EcReD2Rlb|X!7Jm|L<+t%)A-}NM_O(=&wI23C8Y=PHU602i<})sA>6!L>Xq2M zQLxPUd#_^bC10?-SBqj$EHUshtQXS;>{T4mzHsm}a;_9=rJYByo<0o^pB{+K2id`o zi7MM+iHm3AY?J>2G;)BK&9b$+Q=s+J8Eg~qs(SCsZSM%7NK7$>Bxm{O<8Wuj_bK?` z;T;+!u~m zy?(W?ezF!5K&|$R`K316_gA{0_E8{=D#xRY-}y7w&~tM~VewQPiNXx$`SXY*lnKn` z9f54SR7H453MaIhWpxjAzJYKZD0{~y7Dt7vY|*!@}tMjB64df-hJQ3sLf=OX)& z?ex6Zv+9wTK-*EDqh1X|fr}t1co62>@_**iK%v(gm;((d`mjww1n<+eU6u|MbHXu! z!7$c`_(=fAalAP!V3PinNNHK%Hke*6tOTuO=qg~Zq?G6}bgn7}l|>uk0?z`MN>#;q z(t1Fr=DE$5jb_S>?9B>ii2jqt*0;^RuYxQNvG?nHCoV$=HUV)eyQkhD%;e*V;Q0-| z3#ZLv`u!|7wz;tvyaG+Rk^m1RGQ3A?eUW#VO! z_;hGQQ;zciYytFy_exJ-PivVpfbA@jf>&*Vfk@CVy@hI^q~m44euPo4mq4vTO7_uv z9p{orT3usCHg69tr+;S~%;)+dy_hK8Q2{qdi?uHQaENq@Rey@*bQWpTgC)fd?iWYW{uThXIy1n7q zq4!{@m`hZ};8SZ2ouKpUeA~cJU=j}|Wc3VV(;jq@62qF$iqg*AwQ{Jgt#Ipun)Pvk zH(>eBJ=Y80-34UEwmax)S$jyTiR!SjvrXq%nE|g?j@J#JSPBD3GkbPf`2BO2G z)H-Bc^V9X%1Gz-&R%Y_aO$Fl}&WI1I%xQ++-Ciqm?s;Ic`{LTP=`bX}l?XUIc3-Nzb&O=`KqjkSA1w zZ*^wBI29*tSq_k0d_v)T-ZPgC(!`NI8M}Aude2(P%_Bw|D!2&`d|gA!Wu{a{AxbWkZmv;kKg zD^rdx>L8+L6~^tTHYf11FHnccK2vKq{|C;?cLLhqHr;24Cw$?_tjpYFmh)k?pq6!Xc(F$VVE!KXiXJR! zCvQYEbC~3b*IJ<1^IxZERAudm!MrGf{u0*o8w@euGkVoR`9^yn*Jm(oT3CF6djrMN-Gmat zZ95QFn-JH(CZhp-OC5_I5>e0CZb1q9ZfUy)Pc{UmmvwJ*YBED}DHb3yQ)Eq#LlrA} z$zm@gN1XT~b=RDrWei5Z8ncd#BGG+%OTLV;etd~~KUBeg_(&h$sX3J@h6m>>sCP4b zah>jf}i3r}G>=P?MAtxcGsv%0(x#MAE(DL)2F1NYLMo6oXo_Is@*Ye_4(1|9%Jm-F2! z?a#hJ?QCOE9d3p+h#PT7%(Pb&P%K7nzDE6#JQ=mNHiYEs5H+Cy=#S!9Pb&_U*qz#n zTwg9P$~GXM$Hq}CQxGDv5un!kKB7@onR+y4aexRf9T?{wC6Xx=8>s?@oD2Np3xd?Y zhm{jz-#otTy&V3t*}|yAa|`V`lgV_{W1P{M8VV(|0Ciy(8e#@h}edbOHu!G<<|bucy*I zr3k;DyI14u*yD~ZY|iWOK!dZes%uemvPTwKP0`=u$YOVDjMnFjrx#RigPYW>`nmnE z@Mm2JiJDU6^rkOglj^OyiF(A*WcG-mlEE0>yN5T3O5m4N_JdV2`Jqbyf2I)Pu4y^i*HMgLW(U|RJ=hMY$U&| zhXUn_1@KK2zJHO^15MF zBzjdUeAPYvR>KkS>ryRBUuAhVYk}~*OzbD29ws!A;SHp zA19qgEE6WPY6rP|uk(<B37A5BD6v zDvQ;S5U7<`8L*=zkpxqp80UlbY2#LyTbq#^i4uAQBa-cfM;R^*vW%R$M2@vYcVYUz zMePTr0PR467vi{#Fm;9<3kJ≧9k)z?6~Cz3gUi^u~DCvp54JaMjt1ALg-u%;5Hl zv4$8rV@!f*o~E&h zDv*u>X>3}dz2S_VTi^cFO+c=&K@pvRfjk?=j(A^;0z@LS{ixqP+qtCqqjEkB8fzG0 zMDOxQM1o)1xa!}{79>FxK9zf?vgbkR@W%2$@(o#_u*N=qIF#toL5Va>-u>>J1K@%~ zfBj5D&gAMiyInL=pUk1jnWh}9E+3^gGtD}93g&;WhGil3c1xFZ;3h_QqW=%S*)4Ti zMjX`XDb^=trIyssBl%xUaK1ohU=ddE-Rd%nY_Q&vo@J&oM-(GqDAKO_e>X65-N0d_ zD8ERC859e6wO30>&1c)(z%#PUK|9L3V81rL^qjTy4boe(988_KGlMh=z}$0+RzPz9 z=#BeHbD0Ys)BWo%+%FBU8ZH76^rwauv*$JJ}*%tR;LIhvja#b1u`NW!tb3~RXK^NW>z%G$eSaYq@FBH6~ zvSJ8!OSyv~p9UoQ;Z(VjZ7l=O*QQT`^m->CbNDH}&w+mQ5Au`qZ_qap-{FwDy?7*N z&%XwY_@1oQdheJhTA2H~G=!zrwmpoTfPa87UNhw=!BTs`u@WXKS%B_h=yd(!6=PAP ziRaybvPh5EsxdwC!J|Nd+#Bl40h|tSNE<9EY~CxuUO^->zgvnSP`=U8=ePP?IFtFP z&o@Jz_Z?EwKWVZ}8c}+{F2?Z> zD#eh>&ARsel zHZc50=)@&1U%@Wt*u$$c>U6~)p^YjYB4$O#+724}p{AD)krF$ct{~Di)plXhr13uA zN=)VcdPB3l&`ot0wp?JL$ltHk~^6b7B$>-{Y) z`ct{@IW?eX5vTMHtw>rHC@H>&Io-Kg(gc-O-47RN-?ziE41||W`mOz${6RIho@g^1 z;Uh$@^!=ptrE)D)IJ3DJ*#e95KPU~y&wkgpz1^I)Xv7x7PSq!>)cjdYdmVTDQMOGo7+Aoevp~oYC&ASyT?1Y=Tf{Ex z2s7tVJ*?`E?P9Cz$Ig+56VDXu&00rP%Rsl{J_6|5vlMX-a%LNZz5P?$eZauZVz=$>I ztqMiO%C{7uUf-aY{k?s1&rG4NX64xRJ26Ys^y%hJv$2yK!!JoOmuBw9ho=&R<)r6~ zOaU$<1b&f=QtOP=#|dRMQWi{I%aKoB<)Ym*ThTJEqw>yzKgA?p;Y^`bpD7O8PwpI? zStLaKH82VuCgquR{Eq-Ov#2*t5SGydCW*(%?*f1Z#?#V1i~3ms_9bP;o&8wJK^e2G z6Saz+K=pKiuMF}BIAvz+f_~!I;4|<;Er;d;ZGFpT^N-QOFt6pOC{P;bZs`FbiZp=& zUSt~Wv1;1CJy9Tu(01M9M>ng89je_bVovWr0t;NJ_YfZBIO@$$w$k)H!EM~QYz15? zg{}_ga4^XAEpvwhH{12t4IUB>E`%Kn{z0nqbu>)Wt0N3y5P02EEgEXH8oUlK0g}zn-FG~#@VRke_!9_LheD1sRKT;5r7rNOEGOZ-Sf8J z?bQN1Gj z8N>nJ&|6${@lDO(gvSQG^AKMGgJ|U=X>f$Vlz4s%Y3>@>UCb->f|22<%fk)iij62M z|01+666@8A_j#b58tH5jllp1uOTWOdaunaEvk}z@kq56bU^-j@$~GS0W6OFJ`{kUX z_$gHemwBGRACs8ke?s)lHK{=0$Z~>trt1j#hbWK&ki%M5E`p~`!?-H|pup>5hOSu- zN;ffnPb4sBu*C5k0?f(SCP(Y##jQ=L&s<;uUGEcGM@bZh)N^P4SH4ONZuYmNgE-q~ z&9qdTEkcY0;NHK@HWya*m*?xd5tWys-3TgSK}A?hKckoq+?obt{u3Q3b5& zME>dE-rgL?7M?S<7va|X{{^zFN!1HNS0d^rom1(CJ$ji`c<;SWHh2M=HIqdl6-0HT zXJ?jo4x$ROXX|x^z^GhLSQI9P2l0}vqz*>KYW#MF`oBuI&0Tk6XA{}}vWD&3Pq^8i z1rO26?{fbQ)%Rd|^Fnc!f;)n!H|nAv5PGcIJ5;m<8X{$ZCS>Ita{pauSkE^Cvw<1{ zCDJ6dR3$Zif@F7z(-2rk2;nmNdlcrN@lmnXSq&H4(bT5!dZC`TrSpTP-()r1y7T6Joln#Zg*UoMd zgy#UO!+2^^EDTY5{F8k^3EkFjM1UH_VRi^a?q{VS>JRUsg$dv;Uy`)%?-UC++>L{E z!43(<+$#_=iDRhLUXWC=d<*qGJh6)YlTAW7xjMxsez65WBG4U|Q!ae58nuznK@ovh zY2=~?%VGtaRr(RD0plNB3O6M%DL1d^@Ov1)D6Xqd@>bQ%C}7c@_pKtW>>Cu@hLOBk z9n9gu6BK!Z{n1}=8y4YjQd7~tpe^L zS3(hs_9mA+ZVg)qB}=fa=)o+fLtAXGfX(rGh1d%P@e2Po$#5(|^U=i^F+sy!j3#sB zZ#&E_fS9=38*HclI{yn3jrOL`Q;D>>bm~jL+|#vcjnm0~AUmlEcPsSO&t8!18N}>b zwe-qv$OScNBaK}I5qDruWYRfEC{<++r-HV4jWNU<4Tos0Hn*dlpedoD>VlStX8`pGbk7 zJy7~JzuPe^DIy|8)m~|?cXkz#LR?hc_8M?^b`Vcm(0vTm=*p3+F?5x{Ew4Z;2fe&c zWyRi;W0J6I6-ey@UZNk*<&(Hn>*EsZEzChfv@TtFxZq+?7A8s^9e$jmQT*p{t3~tZ z01S6iIbk?1&Yu8KK(D{D8vk$dq!aZo%Q2nLfI!4(j0@41dP*@iR_xf7Uvii*QoPZD zxFcnj;YNf6y0ctPO0Y!uwA-l1;fPaY>f|*K0{a+rK*n$_*^OfFQ0=r(R|qQM7Np!u zf1vouW5Vh?rdj0)o$&}fW zJ-9*6j>tu5EGla1qITpuk_rS4e?OvP`Bc12YvB&-`r;q^x2e~XG$*;M(=0HKEaE&aTv?1VS0ig=u(}UDsq^3O`O27q zekG+iv!94v>X2$-o%NRxtKZ-1Pt6yd(NlnqB0#gI^luci?oE1dHh+^X_5#ttcqoKTCj4ne=_Z;1F^-wI$G_f+P$ok;e_^ax;w$`1mu66mhwgowH z0I+|3&A#o-R@Yr*9+MhGdv*CAo zTS&qs9&cFW-b=b(@Y^DjNNXuncC!=pfI&5u>Eyd2BW@PInNl@5?V$b2oG{eFtbUHi ze)rJPOyJvOO>hbbuNar)(Lrfs-INf5+77#++@{}Akg&~AG_JT!bQQZgM z)S`8uQKf#)Ni+Y(Jvd+~OEo{uF~l^kD=lmYj$6P>$*q-keX_?X5v%s6=KhrSC2iKf z;Zw)&!e1qrUXaJ4V@92wIJLZ`b*2R{#ILib+TjfBRA+1qsK*pecy*n3GGuh8|I$?I zl$&Dt?Jkh#i$i_pdJz};(E-_1~XaP0V>;O?U-Xd&EEguX^>(byiX%`?xJN7 zU>4ZnZWM!Mp}Qz+k42Ok|4h2q>;fNxhm3y6Z-ohs&O2|8F!kYK`Ell93gyl(A)S>~ zd)}5j1e7S{$XWhwYV*?1#ZD(2rYLaLJL8tW3sb*|`bUwD;-m7wslS>$xaru&+=QGnnR-%Vn1jkf zM8|ixWjifV;&-zu6*Jh2v^E|Gcs2Lq)pXt2qiOTb3#_I`Aa5Rznz0ftf?r z#UesihKiOb=K<=q=VAHE^WeLdBk!Yos?cyhzv8yZD)9nk;hPKSm_>=R0J;_%gn<8c z$#TBLBOP_Q(`!zOk*e74H`Ug@l>mM1zSJDVc@Gq=cS@aJ>XC~%^qB3!`e@~pQZWRJ z#yf`-K5Ts_Tx;4f@6Yf<3c=^*nOj$_<_y{ZtGRtXQ88lPmhwJWB<=cZt&g4Efhhx} z$AyMbaiaxv+`CdqvQA@jEEy~R%AIu1ZjTlNMLQRRA+O=grZ{!mY>x&2R?tEP3Wxzv zNoMI*Hj%k$P&>eRIX4@Fw8cRh-3peFq8`o>irp`bUt=r_Oh_J}=72|;%U2wKYPUGc z8;|VJeRrOvcVGX_%^=6@oTk}|q3LuNpyZ7_qh7rwU1QRo_quLjYZ*5Ku;z>hjtm(Z zw6uLn7?iUQu6%Q;FPjiKh|=Y6@r(y0shox8r+c#(?iwixmkI>v#ut1Pa_0r7bqz<( z`#c%x??>4tHII`U7ZJp+=k7+S^WnOQ;cGMkwPaU~gb^T9h^g1}b2(Ev&B2<<-$RL@ zbWM@?5RjHi&3wICORNBbof|)fEy8Qa7L5#=Eq|EH+J5kn{~Ts1w1C|&`(`Z30pGpI z6S`=~`sm;k>WdaG1gR6aN9O64n>Ac$?qJ6{?K{b0q?)P1U;2!$OwDn!8CseTh}cWH ze8$2fzvpN))fP<5OF=Pu=rv^=JZC~!yOy1!F_?|IEKvPreEj2$p(!tzk}}`q*?3cT zRdf@nUjbp|yJjen^!c{By1wp>PLi*flOR_>8xP_@R8F<@s!sY=J!_fI!XhB-n2vAi zfJBOj#iecKOLcsEUaFxfW6;|b;mUNWOteXr$C!>!O(!3m=3f&g6UH`p1>)Ti@kFa+P)oPIm;GGn*L7nzEQ?b-ZIq+H~y+Ozw1Vm8>= zgU+*N357I5y8*t|XdU&tsVRB+2sVx71b|J=im4rF>Yt$nwvuNd+nQf-HS*sRU`8zC zP%VT-tfvHxcGgeeoVhDdq1%TTaL~4D~eWlc| z-G3eP5m)V>QO?>2VMxmzQ;QD#!XRas8(Qi%jK%v|DNAdi1s${^I#H27*=|M!?psJGTJkr*i#EeGy7%a2_=wKB)gM4Px&cRZ=^1PGG&;r!k?-_DZj3o^w-14OkQ#klxK;Xu;= zh)kqh4230{3WaH#z6sq>DWmECQG@~>7*D)F+Tib9KZ;cQ<_MS8`k^EBAB?^InTH2R z%-S^>VoE%Bd2g|>A5d9oR)Dz|I7_~=cZFlSVRzUI z*sEZP9Y_Jam8~N6sPfGBTH>G6sf{ytopDS9hrA%R+;N~A7iLI04pWj0im!LW^AA5# z^(9Sv7wV*ncoFGrdJ*Xkc49(rKkJD~dA&=d!eb0|LmxBVw0{3SrjkdK$y_MEgmv^5 z{%$xV#>cmP@6mC1XPYtn)Lh4QuD2QYSd}{;L$AQ_ZM!OUVYNHvO3s)#5mgIsMi3Cp zi%bgdWawFtR;|FvLv9YGnVUPJ6x$Z5o=d>q5_#^iH8mai&7bbq?3QvI;RiH;`GCDO zEM#^P>E+6Pvkm_Y2LNg2L~fapA_P~;m9-WJ+qRKscf0$*f3w^(QMy<<$MjTrJM>d? za-%FPCoI|_t9sNVRdqZza z)Q3AXvD9-|%_!nOq08okcHkd(7~xdRLF0VI2x6W`FRY;taWN2LxVOg!j!@IYYs#?Z zUj^cBlDJd^7tLXn&dKFhez`0FEx5eCCh;Kt?0MX0gu7xni>doC&S>wc`7HI%jAqTs~b8JxGqJMZv5}kkE@K}AZE^fYQ2_xYn`z0ZdW&bk8 zCz4t?9YC-0m8Vfy-4eFAQ%WK`M)?pbDW%?G($WTbOAJwWDWjZIdp-96J_8 zOw*!6tS(ot4D&q7*k1ud#W2%_x+h~yiTH*nkfubBzxBV7%%&x)KuA=upnIk{G%laG zsb#bPmQD?90(ar%Gf(%j9j2^ja5Vq7(+k@Y6AvR;a14}q8&k0^{cpo3^F}+6&Pru% z)Q=kmO$w(oxw#ht9yL;tUee^jJLCon4zprWG}0&?Ls!JDkY=V#=}w9YhxjHAYFM*= z46v(X+=D+2zdZdNQ$j4QHcOoU&;dYo?|Ylor*fJUTK+z{st&5uZ?>mzbkp0i3GWA2 zvxnjJVNJr&FnFSOYbQt|S)|jNu3~Fc+a@8!iv}?Gbp@d$rN5_^vfD+vVg|M{Ni)(j z8Uxc{!(9uvs`|CJd-~kCDJ?-(g#gD7vb+V?(|tyzV-l-;a|LYHwY9Vg5bIsLGC75AfNp0+j87+h{~8qk1~BDsmb&sqwaVf&y0pdd;D>SX6PmRX#hq7Ia?QwK23b= zdzr)V)V4%M7<-nQb>^Hq1to=IFVpp&5FkOG60aPiHD#;HT~fmkz2~XDbN=lH_glDR z?wwJn@x_Z}t%wdNE$z+_<4eJ2t~t}8>Es~mECeI*^b1+Nhlob0kAdpmO#AxAw@s}W z8Dka1*k~p7l`WkI0_l)VBF#rHwkXDZS>zBbQlb)!d|>xS&iFU7IR7D(Md*ZBH zLfWdS66>offRj!qRl;RESj-8pEJMMseyDt=^X7?&TM3v|nvcPX z3Qf?4$>k$*fy>=2n5mY7Z0lT*?gVW7*C)au?66UtGm88sa~li{$oM@6$B3-#Ss?IS z88YIKmp;d9zOYNKz!OhW%(rb&YFnj71M)!AAA!~ET9|*VFctgTrLYf?7){o#3pC#s z-SbM8(C#3bAhL#ia?wB(ArQvV^HNDwx|dGhdIGniEY)~k!aph6jYAEOI`5{L6;Povjb&B} zh1yx``pG=HS3t^GPbqT`HJ9TN%ZBAE!!H4wZW|XZd*82Hq<9_HKaW6*-I$~% zKB?lGQ|^y+5t=)z|40~p_DW*^YM5A$R}#NOr~qSKD{6bJ3IN+Bz`SWp7@V(nS*!m1 zPgnk$If$Aia&Im11HVMr47SN#Z(TH5C<9l4E9E;$E;+0`iO3@oCK&9EIb_@CKcWg;mPd0lvFptpWxYqcS2;okuy!EV9jeisQhx zG^;0MdAx&H{M!lAr0hc#?r((`awVNfSL#|jR_~XTt|nUxmIOx9%_C;DB}pbrOtzL0 zkknlrc+&9ssfYHIB6RrZnS~}@#fOXPgCh7m=flBo!7#I81p3{4j$q3LY{VsTpJo?P zrD>zithfGbWaGe*Y`RMj6V8IIGGqi-%mXHkW>I1rDs=l8Ztwpok-oz z{;0j*_V*$tnvTK$J;2kChNdS`ZMU}lv3*;AQt*J~ydXLHE<<)g`9M%rgs<^9jsSLS z@BDD^W91ym{jO?xTLkygn`9f!!fWj> z6Xo2Zxiw@GsMRTWp{gxwndvsB7gXngoU4S=H`VO1%XL%-UWUZdK3UxC-_A(0MrG|w zH&Br0RjOLhWbIGLqWiF<6L*%1?hPIAVF&VK7|+d!4*L@N+fO-|Pm$~dOW<&(kh!CK z#gd7w&MwhBvy=~t6m@=)!twh|Oj6PqetdX&Vf8iU&5+^k?gG+@5BbW9T}!U8oFUu! z4`aKB2KfdjtIJnU&lZjiL&i6+@nm_z0ySby-vpZLw%vDi%id--QqFSsOym#}1^{7q z%ZBQfuH4R0i}nUh_LC>1M5_iGg!wHINCV zSLY>vrN4wT3iN1y+Dzyeg~#dS7{)3$c&)QS09%Jrh8XG2rrfY_J@r4UDF=Zf!~hW6 zsomGiwqn$M=GY_LNns-623=tUx(in78Et9LTsNhwbHA|~loZm+5|>;s#^f(^|( z4dpP=-^adGQqW3yXt>!TyZ^aHsvaXNJ$fl6B;#US}zhzItu-&BTTpA#dC2Ch7!LH%H+d#*HpN zP@+Pp0Eggn^+-CIadT%sH2*~O>q_R_{gf5ThqMO8AfdvR)h|A2Tq&@fkx7@v;GF}H z#x%&v$z*!Hfew~N6irroub-?!CQB3?n5o^P4z6_q=*Sk@FOD=#wRE&dl|Y6ST*A)P zou9CtpAA3|GZ^~=#8ao~eCXKjbGM)w;s4|pVb2AzD-Y*99ZRb7?B1^Z*^_79Yszix zvfYdC-u!vriY2_|+oQbJ2{M`>lLdd`V(+hUJ1<-;gaD;cLh{7o7p(*7VZa@1j7hie z;>gYLvIvc8W-4a*Kv(&d^0uJK<@GoX1$AdQzY#GWUxQPWo@5LoL4y`alVU zzX~!s7WEzsxng%KpZ|pe2n;X5-GxpwFS5)7d7G-UJ8f(p)wL2fW;%e7y;IHdJL<54 z^eTQ#V7!NJf8r`(zBOfnt6*=ZK!5kdB7p7L_O$2-OTl9FoD8=TbN*D zff=2_Y68RxOJYEpRxvpI&fz%;p$K-#!l;*>@Wx8&P_Yg2wij33f~?OhnU2T z?rVeU-!G$n$Z&$&KNeJ{`h{-35Jp!7N*iPapI(h(_9}EQrD~x*RPYp`20{3c?SCg; z2Z>48MlO(mO}-gF0XA><$pGHMrrGc%eDQaT4L;0KbQ&hms;)@xtQLrLUbbFofiZ;6 zn%{3w-D-FlZ5!ezT3~qjvcK-*a-NSXzLjN&|0R72%|C;|g)ChWY7{+R!~&r68e~yr z{~QOkb!812(iE#(N3QJP)$lg&`%qk~^X#3$;jjxZrl4_1wl*Xp0T*e_zI`|>BhMq#p zAaRC<#tZHtz?kS=RsLsW?TI;r^LjSG!KLCY1{S<-@ulW{MV}BRE9x?M*@Gd!SZbr! z=jxd88foAJNjaZbCp7*km1_5r03;C2sm1@Y5}>x8aDj=DSuJe#+Re2}W3%b|#oR z5;bBm%@b zq6N1+P}O&M+@H-1#p9N5VqbrrPB*I{tCR8}ddi2k)&H$^NG;ICs9qK?kPF|;`kocz zcUFWfbpSz=Hg>UHCw8C93}SOTCf-0k^94^_F7HU{8y=`N z1r>ebYAPDRBRR3r!@{kEkGeQd?_c#~pi$gR@Z7s_3!Pd4?CZofTu*4^j(KtUi(BS< z`ju^{JdJ5B#X6@W6*h(eoc0oL+Xzf zQJzJ=-R|&uU`V@GYWe1m3$#A=8yd)p10?3y7JV=cr4boZu!`WtmK;n!4JJ(VYBik= zg$TCybDlL$CJ@6ggh+NFTH)36r~#?_6q1JyW@C?UpBYCcjJqC7MaqG!4LY1*pa7 zZ0(;HThBZ40r792voqM2>2CIlg#U&JJia z@e2t=SZY0^r955`JW2UR?WeG#kh!pM;br6?)$k2K_kTjJ zmmD1v55zSnwT~0T^E+!&t9Q041rxj^s*LLjPy|YoKzsl3Z zm;;frB%L$vy`esrnGx&}7Eu^ZdO>roq!Mag4dvoN#n+5 zD@8v9a-8y@y03g-vB-?aVLIGM$Ha6+;ZLWq0(S)SGS0ZU;Mw;GHA5Nj@8g5*Zo zzs&tC%7$5_4CN4!nE@&ENb|2==wuI2Ltqi7GJZq_KZ3->f>RCk$xU`Y02?xr?# z^1b-^B;TQ(sLWS1?_yMW5y&`VDX@C)R)arutWN{tA7#|=(;+J6AXdbT^abbWi|T6h zjs0V>W0KnBPqnu(e&~`?##-i@=0$v=7XYfqj1()@#r!&TUNYwEM}I5dmtG>97eRM3 z+g7AdYnVCU|tORfm$~QJDTGRAD+I*rxPA&5gqoNI1bzT!W`9FwItpE|Yc{T0zNF$Ww zK$U#4bcTw!x~KO+hfm&?OA!{Ao zelaox14l{7bToJWG`_YdyzfquN}Z|M*O$-XlBxC3m?nYCa70?@FcNa0U&?P zFrI3^aPJk_;IoLrCc7Ls4cSLcu~d zb!-*qBw54;_({g;n{rFg9@|53F@pp^7VRAUKVCga;||4Jl%nXbOM@q;OEn=HWWn+l z*w7)ksap=Fg&z8QTp&SW3R#@&*`YLkEzg~hJAFzs>|-`VWOD^}fqo#Frjs?ouJ^B> ziAO{(`~Xhyb?k4RmIw}5-;WXFY>O18#^PT}?^YPr+#Wsfjq+p|OHGUH>d3FXmhD@4 zMq)q((E!G%6UZ+9N&fN+&{n>VFgg<{(Rjq9jHNH~oMh27`wz>?o>Uxvi%mErKwyk;Q8`XtRyEbU}wHxcjvswQxA9`$=Ig-^iN~c?z z;?c@q_#gnO9}WH~x1P0g##{O@=io+eZU!L#go;zf!7S=lbbvB^cgz4cD-LR$`*Dih zPYcYSfUoKPlFf3~IROC!;IrM_VTcWJQ=CO@JPsvrdH8fY-ky_zEf|WdxUqw2&v5d^ zzUwv662}R{z3gVgkS5Q^7OYIZDZl;w69>oLDkL1U-yOw9#pI3*%^~m{=ZNN#l(i zT;g7D^DBaPaaYOzDM#tGwYLgM>!VNC1OgQH2TVydcGpx>#8%mf8-0AAXAiN^`(QBbcl*x z+C8&{%d7{vO{MVwk-l6wtaP0~=pY z)LE8tGkDyiJ^%HUvh;}!d5_n=e1k>Adn5V}4-BxsDaY8t-_%M82VDou|DQa@{;@#x-snBfiJ@b?jCnBm{@$`Jl$)~ zy_qfgcik?#-HaPEvXTV2w!>>|Ij5Sxx%L`m9CU{|!ltq(+x<#ab?*p?Go(ArQni9E zJrKtI58NBsNeCRybkV{hm#7`A`rO=iMb}ajR4$FHB78|$D1NolkS;9H@$+~FKREUhdCD}vnKHmkLnA@A1 zFE~lBJ>8h|CRoWK0~~vjCm^QMHZ`+ zMJCJ^rZRgmhes>nyg9IBnca07j~%GZ`Um|$Gz$8(81yVif!GRPy3(v7GgP(IPk_<2 zb-82l41wF)2TtHk@`?azX0WjFs&H2ORAkF=_be9L--{VmAapn9az_=*A2n%iW^O-B z&2oi7f(>8dUrN*Vs^N1zBrYIZ#|8hYZEAE(sgx>7DiD%8 zrTV(qli1u1$s}R))JC3FN*w|S!%3o`D=tcwt(%=jXt~oka|#Dyw(Y*mIpmYD_UCPW zvFBfmtAJ?!cy(UPsZ<68TIUX=&lsvEhFI@!2qxIV$X%S!{|n=Cwx90lOZ&tDJ26KfnzhdEbArOh0k zE=B9t2AGbb@gX1PeOp@?|E=AXb(xL>R5>SVx{tuIQSm8g++4gTeegoL$z;=IXl05C z;s$gRJVX}uy`_*22Wv-n)neG}@ZT)S;G9d}UGf6`hHX^BVs(sS>95!ZH?Ft(rlB%H zJWV@C+|@0)th~QorWw+1z}t?fJ4TgmcZ=jQaKA89flUrCtCWj~VVj(~2Ni#Xhgm#A zthK2X0{k-{u{ky*i$n%VtX8wEaQ)akZ6@UoH9Lx%F zb$$|2KGMv%#PbWXhZhX8c)91K@&g9_Yxj*9W9P%08K-G2Ip+D4vfl!N@2X>?!Vh%k z$@Q?zal z9rvGN%r_pdl-KZ>JNv5e^(LqTZ6;!D=5Yo7k>wlLcqhG>FrbBgq>9I_a&MPdJi}I% zd5*9M@zj)aAoNW2!<<3)EL-;U9JMkeO&?r$pdHz^>uNd3VNz)~v{6#|~JT{MOIsI55gHKQ9 zjG%o(45Ey;uf1?485}P1$h=y=?kM3$4<6{8EsM$_#{3F73}ReB82GOowyHG9s)pKv zjW?R_4VZsUSxKXzVLw;@(0}=dLM;fm@dsD8*7ia>`H{h%MnH)C=X6=81!{mcB!V%7 z%6&0@9drVzc`(q+DNtz##Ypt3<*WrWM^#qa_h|1I?hhRBQkdHMZ@#p;1RUDHk zOKwgJ(zTu62M$qKV?Gy(Ru~vq?O277jA*}}p_xX2WiUq6b%157&CMJR$=f_rnS@L(WiIG2>fHp>g(1pcr#Y2@=(Wj)She8;bN`vb{ z(^hm|$o>dkKlZ9Rfx!%o;w4{kGVur5Z2YLNAxsc?@V@v7v6a?fcmHS3eLP+h-AAaM zmr}Z9Gbh^$q_e>6l4jnXvllWKg19hivT6p0>+o>ak~HfbA3NL6!rRva+6Ti}A83IG zZUcAOfS&01;F8TwRCQ58S+>{=l>O8Q8(ik@N5^&j60G9nfG*dyB@Mv9-*0f%FX5{D zx+UP4*kyf(q+2~K6j&X|;0u4*Nhn~sj`Ko<^=JC8^WE-nUowN?VbQT_14htFH%5k0 zi^6>=_Z@5@TuCqvLxA@6walFcF!fQG99VD5@7beV_1Vr-)FwWO=(Y)S2@`;C3LcL> z5P>Y=1EKjHPQj?1`z&xk98TuAT(vD7Bi8OWejHE!u3Zn(PZ|56rqxS3v)ZC_eVk2~ z_DYV8hc@u=>tBy!Wu|;dUFKRNY-(nciPR}S^@YMOGZWw#?^S-D;pOa06LXsG-IKZd z@ZzYDf>2I$P4kg8L$AZ10#QAiW^+#thm_4)#U6ACz{L~EcO@uMY}Fy&CX2m9kfk9) zL=CE3h z+aMxP{GWyxGB^-Ia!~}ysvYHO(n{ft*EYI`}~2AthSr44SAGEcjy)~VO4oFMK4#_0ZIF*jRS(CBQnAJK(W|`W zFbFkcIx`S;9@ZB@`mp!5AH3Oy-M)93t!EVpu7lf5-K{OH+Oag=vDUM$wX9Z2*sy;!AR+wNb2kp5xQrK>MW1*LhPsPl&MY3lb>+4AuIv!#gogFN`Jq z`2Po`*+l!%nqrJ`ZbhyxUllgG;es^`-1Fql2#t(yxAftDRvBOz6DE>PY@A^nER!9j z*xs^?%L)-MxEbG4w)l}zfx9hTw2Ej;>t2$**5<>IyAP^UI zS7m#cY!}Cf@yN1l@ABF4Y6GGUBS~?b+)78_3*#cPnwl*KCdHp7b6kYvu^>;k2h*0n z;a+@|Z8g`MN)1aHKx!MK{Fm{csPKP{I}_rw-F7yb90a*Q9qkMtRW%FEMcv1Rqig4L*(E^@!jcNs(x#05i-4$ zC&i)7L5>+jkcda_d6xu%l@Bkg;+*gY(yp=(e$_@jRHVw7Yx42F)A?3zw-j_cL##WZJDJ2r*bQLnAyFfch02AqFPK(WXoxMrD>Ea%iN6Rs> zG-wmDP~S;!y2`^+slf69+mIh)4sN_XfD2b`yUb6JWrIl65B3`yce4NVo@TXK>8{|% zxbtZs?y)>UFnlM(D`8?N#HamGiEtRT)EH?EQciVBNrLB4bl~AtP3;o9UK{ zZ|A-Yb=`x0iGbKZ;UL(&P?6DJgcmKi?N#O_YwLcOS1y_yD!@TMS>{!q879Nif*X=^ zBTFfs3B{)tZ{)cZv>~l8S|QfJhyuw^PK`9-UU@_s@Si?@K?58ayMnk(LBaov^P`Zt zbugICJhz&ToR$BUFjHOfT_2p)o~uiaK0tRgQY=wAE^c+VO8gmw)E-|cXkg6HT8%e- zS{|Bd*C8LcSb>bQX}FKYCip&k#&=L8zMf#q3E?5)&)t9t;T+fXc8IDA^N*z~n)Er`Gb{0>YTbtH~yp@>OJqjvQ!(ci>l>r|*ijR8e8Bm82*)&{lQGJ#%8r@b{{$ z@lns#91cZ~t+m-8$lRTBCz_0kRr~^yyQSoTYgXjr4|-$XoUeY|^db1Vui_fRJtaEe zDg%!_K3>Z$;=^)>I|1mz?rJW&0km)#es594uy%EDrPc-rk8p|~OL1!@U225#h3IGh zV`jN8=m9?90s50i4`v=KTa+YzLi%JK^}Fc!I{mHy#-)qXF0}sExk^yrNDRh=89H;b z9t{|*d1B;wGK3^aSZsJ!F*rp+ARr(hW??WeARr(hHDfa{4o5~7Tjm;>_oayq7z2`= z!x(*AbUiRrm#-45LkrC1sRYfrL#!^93Ig%(g=?i`9G=MTa}k#LyhLjE6ojqP;t?+( zU^SWW>ZAg*PTVFCy81k+%>;%bLzCI|6@j;cWF30n1gOxLH{pC!;xyVD&)y;>(wL29(Nl(} zpXaV10mMR^nhdekeY0Y;fAFo3yu|9;P+Xv&V5)+vX$}DHPj~%*E62ol1H28$oLQE3 zIqwYn$xZ3E9)l)~^2LwXK>Lsr2Xp+eCFgH?vUlff({9iH(}n<+B?YS@zUNO+t@#zH zmZ&q;AE1&Em8Bbkzmvh9 z18B;=6#7~D)eY>uFDHpo-=6!GV~J z&}=%KLkDDWP&xN?CZ#Lvl_OYySm8yME4V#Sfj~B%3|}?_LB;Y=uhtFN^WywtMJtNl zR4O-5c7b~)ikV<&LtngTg6hT`n;J)*7qpi=uld{?zjOA3i3z|_ged-6#>2sw5e+hx zh3#S!5NHj!taH86y8?q8ztJDn=PX=aA0^L(ybu#hBk#g&7b~da=G*a*L<3W6OJ;-Y zsb;&cHPynBCU%!8|Jr{ml%2xm3FSTzw(g^F3iT67iLpGP zoti^BiYv2TNOLUE%>98M9^lYPr{wI5Jn*ZMsS7%)d-}nxo?>~Y#Nzd23h@XN5q&ZF zEj8-#2wkgR0#oVxY}Z4RTSnc*8f*N0OA^S}?VV3t*&c5xkAzrXJEtDQ?w;SkUYLLO z;J%ux%&9?q+*-0i2g()|>m2JOhoQ?nQyrwY3jj`NZi$|^yv6J$tX{)e^7l-^%>DR8 z5)G|uyMco{tbmIFEb!xWyk4Q8FCiCpT33#8jzBPBNH&&N3*mErQ4rVr`OgWMFfc4o z9jJ$m?2=qUnxvqQCm}C2yJ=DG6<>K| zu`f=qjQZ$hcPF3eZZR_Pc(7F(tMOtbe(|1t`QX*9iuJw@L-l)#A#)F|futA=vX>$K zSUK;%H5a9Z+M@?HcqxAWeI(Tv>Z8b1w=PdD?t;O`F^od!**eIQ-q>veB2VP)C2wf@ zFHlv#FYZ{WA#8@vhLbaA>Zb=)BzBa*A#HbJGd}k+S8r~ zEQsMy9`pVttM}yBK#DR|#R-Y&%@ZRO0%IUQi2-Orh1K6!J%IMzfW5nzbl*WN(hy$q`j+a`Q`dja` zXmeZJU76`hy-G?Ch?Txohh0^d&gAbPl#R9xpGdPu339Rq4T6h&)7^_TIDRu9^0U9r7~G*#3jMy4-xqQ$Pmn7ZSgh2@ndHY3T*80P=ZnV z;aGVx`_v>{V3RBQ-mOVvg1ba=f8^WD<`Quh z|Jpm`1Jp_!uvdjb24|H2ih0uTJ>YQ;{cXN%;vg^#p!gxB zSl*eoe^cGOLvvY*u_xG~N2)KWz~Tz=mSQv-xR3eUugzC;Ns%U});r-X`H$B9oh23T zmvMjwS17>JY$RUnQD=${M8im(a-fe@ibB-UoU^1y+Gi3{xCi?UewA9&6Q-uDD-oY< zq_ZvQGBSDVofPFEBUnTCbF+`zT5WUGztkku_(!kl??P*nAz;uT{bsSIi<335CKHI1 zS3l|MW0Gzw{xF|Fzw}Wr{nARxjT7qF%=pFEekp z-Szfec9nlZRA2A9JNkiTtB!WQ_9YkI?)7PoVj;bLU90TdDgPi|B`#{k2)UX$fI~WO z*yNC2rL`HFR?r^15r^x^9M893DJC)XKXG1u$z4Rn*5%KD3?rm0`2`n# z5TZTC$@PZ95!sLx02i}YnjCdxy8SDC><+*{D)V1S%^fIYASKF->e0in$Rq%}#8CAP zr&*H)j<8_@w@7|>ui3_(fLlIliVspUxba7KdO~KHB^s+R*z%F$#PA7==4LmiZP{ie z#6r54&0iAIY#`6vDmw~!wG*{owU99keR2$tphAFwscF%`(B{TFU?4Cz)TICag3r@f$tFV5rrZv8 ziYu6UH8WJPfh^3*4*a{#)InGd)`48JmZ4g@==CHJoj*|57Vx#N)Zj;gTEck%JwU?0 zBbRVuAOtvrxnnxZhSrw9Jq#fPEJY2zb_RTVYF0O6uz@jTJlAbMK)*EQT(y9H&|S*l zk3RA?hj+qW3XO&%ZnFtw$Sq8ahZKay2=I8Z2*z9s8L4ul@Q~Gm_AwmCXJ;x1;z9ym z(zm2Wa0RAErh0}Gqqezp3!@8G-tpe*Q9ER54~#mL!K*zm_mUD?Vd~u&Ds%Ttzb`=; z7b`*7SU(-;g6k>K0!5X+`S4m|M{J!G8F2E!W~AA1l4c0mwdIDj=$(ZK;KuR_k}y8V zOLf?e01d-u{uq z(u)?00~7diT-|O5D-u}-F)vN}p?qpr59#BjD`LA>VIOt&Xq5%gh7aHOt8TBoWaK zyx)MCfR6XHN>C#{s;q&VxWALuxPPc_nTPRQ@?xy(X%}rM++`%OsSDfX>#o=j~W3MLjHmn{B;@)VPPN>oHiTo1a9>5~sF8pdoInBia43 zKBIo187*~E7F#oY&ZHou!8x4tPI-n}G?0xJVp`@%zi=AREa7{zlAm6Op=vM~t*NnU ztR@}8^B17GdZE;#b5H2jq_k%MxHi1NfU}g^<%kXamWDKLU9)#U&8qwDui1Hc6^u&>r*Jv0A6^DG=aSj?n zO`XUs_!Xg@0hJ#O1xY%Dk(hO7?6p6vCTJJmJiQ-@mKi8*Gd|75P-VvdvW&yg@Z{v* zAr6@Qz-rC0gq61h$?a2^(w+iR*GlBkJZ2I+C4({SAtoqsPJH$+0}^IOBWO_=bhusM zDzk*Fvf>Qa6^m|bai>;vAs@8UEP+E@82c_eP`&t~$Z{Vy&uwBFu2DqPyvQodl>kyS zbH)L8MYLD75S;+iTVp*{Ko4UZwkUIh?xQLM>Q4>b(c)pE!=8Zb-rMZe3v!)38Kqki zgrdzIDOPg6Fr+e*u(oeG9_AWalTMsdm&j-JsIJDHg}VlaV92aF?+%y~y@5ws8$6q| zrv7^YsxMaDRcY*$b?v5?xA3i8{5pcV`*G2Yj2zd^7W#FPSEBB0jZ*o|*aJ@>$UFP8 zS9uGeON44SD<#xjOx1G9@xBod+S_H}e~?-t!o%QluQ<}mi5O}yd>YpXH!7pk z2W*8d&ArMq1|~wkwPiLi~^NN@bmqMSDorFkpR1OPy>`BNB^Q z!Lx1vE_O<{3o4{&>H!#ETD))zI@u0c`5B8>v_F<+EH>M|*husq zYHDj`gyky(QBZ3tlzvh`CU?S%Zf-u%=Rlob?QUU!vTuk3G|&Vkp1yraeOWevlA;9{ ze$^S%3!_TTkA+zuzAepWmaQ{lxlNpFOT=i+Rmh~&q~32v9@L4Rx|bBRq%tl(M$Ean zg5%S6d3KE4n@Y5Na{h-oI*J_0w?HE~I|fSf zkGJ`#=6=*)l~Jmu(G)Pmsw}EP@iF_p=o!xG2u=l54if-ECM4L17J(%!FC5 zN7^PfxERm?;$xhce4nf~+(v*BgJV0xch0y$*RpOj#G;5}Zkp4N%4dLpeCh0_rRhDM z&nYueKc4D5eR<+R@iDKb`pAMHas(W95aQK%x0+1kFq^{?Q-i-1Wr|IyU9EBnN%^?#?9OfP0V*VqC6Myx_4dD z(9UC!+N*ccY3E%M0-v&3;yWFkzMro~qh?}l%qs|m2ycgE_{h-%m&kK16jo@AzE4`J zfrh8b$mS|rh=W7olBo^qlq1B!%Y*R)oi(VBrM}DR1N@xg!oO&oC*v7%_ z*KIN{?#PI14G(W;UDkFTV*%6iDSmlASh*RLTR5>gZvLrV4hs{?EACN?Is68rF_X0q zzdjJ#2rFxM7llf$(hfo-xcZeUK-$v}H;DDiG$qDgBMrCa|50T16EolbsWhhq=C5j? z%_kL80XDOn_~+05o{n@$h%$dxn6V#ok;D-#7wuzzABIUN&WhcBm5&P9+gw>CD_g2n z2Zg&-R0b}=e*kS>2--cWwXeh5=a#&g{ln(p&IjbTiHWvO{0JFv;16NLH#}omxMa1HlRr zcI>?FuF!U9NzzI9Wf}Ax@)f}%5N95DOVvtU&`FsswvLk322&2D?rHy!bC&Vpj|eb4 z4Zi&;dsLi`v`v#9w@Cu)xtiX6Ee%3}!6T8|&znMICF+KiaNTRfmbLpU+ zuagIYfCFkb3nJ-~uNHHYXE|k_?7#mwIAOgL?P|8Jh5NqrgF4Pl#`-|xXoXsSUF=@4 zfgaS<`=Cv1^9{4ge^r_Soo#j&uw}}G`g&IKtnS!A3rL~Uau3o)msm$wuzpq96rV%D zMGKq)Rozl7mqBKuj7*3Q`Qb?h7OPipDitz97R-t?a2Zql7Jy?tAGaRhP0GA()>ftf zRyS@G%$d#359_U3aQRl(13_+~P~Nz6W2L$N`oPEd-Z`*kjB$xG@*Cl`y=c&o>s1?Y!bARipvvJXaTb68(h09i<`x1g@EhyLtmkGvVtW9t(zZbG zW|ND4?J@7d7HapyMU7`{T`GQW>RRW=Z)V+?GNL%4K-Ey1>e5k{KJ|0TWY@F^&#Fo` zHqlTK&%YGM z&wAn}{g?5YS>h=j;=%;pka357d{T>97!i!22xvjgcKbYQEt#GxvB_k9uA^1WgEb6S7PVP|QHiIS^L7|oDz1C8PMgvOuyD<{0q6jRuAsCuCOkYhsY#KznsK$NLkYA1ul zUoRq(O+3NXdcIaCM=={qliGssPp|4kt;>Igyy!@p2@j6g z8bO@kBGxCCWyYK>vxP6gu065GRX_X^c`Ltbe|FapWN7~D)85UV&1X>LO-_$D zLa`F%^fvX##e%6Yz@GHw8N79_6R*d5_?dewB7I|~_pMI*<{1D9DrjkMNs7#lwGUb-y{FJ z#3VtpN2})Ded?jqqQ9k_Lfx7yzW6~k6j`*Ns3o#ftvYbteEE3G&R3O$-xVx#SC5Jy|`@HLEER$ zJ`@(nKzzN6RwKVKW~5|9jSf!{Uqnay9n=$LsQWB9h<)qNUq^BDx!FnI5BEAcH&oSX zGiBkJ#orCxQV^PYHX6YpjCbFv(4i7_v?==VvDna21!J!Vt+QEmK+4_$aP6RNifyxR&+lIr z`n(k3Y2OP@#L*VQ$lY>*Vo9)+UJtlM)m=_b6)wstQ1x z?7P+%_HojCn*Mlvp1^wZh#+)2pJ6o+fR`(7*{KK)&6h?9ho zLo|(-t$4PFQ?8Hfu&Y58 zFAAuFT#CBXHenjh%6dG(5}Bmt z)mtp}Pi>U8eY)8+{oYE)%MPA6Deq2`+%`21*3*{;{Fwcv9FmJl1^W-P)+;rBmd z9*7%^qxIPnSG`jIHGkH_MgPMLm1GjP-?kt4@9SS1Kqfg!M}(lzhPBKq`#UywT#uYD zjThWft+H7mwC`tx4)F@(f@J7Nj6iucT!0&)i(!$ZrE@sJwb1l0k4*c$p553Rml))_ z0gwm;9UPDI%%2Lz;=mDtG>E>%G|o)@PZY)qHA|L9xV15xZ%li?drB_^^WOZ-1t80` zm-tXR4wbBTm6-R@7(#S>jj&__H527I9BmD#ZI?D||Wp}bG zO+k9!8l6A2Kf*B?PxYJPcNZ64#9&ZWqe+RCovZ-K8ETJ@N*M~H*Hw$}k8Q_2E9^ld zsHKq0?XG^)y^>T9)|5VIYni^u0|djV*JxOupyQ+{C+P_;j+;~0aXo(9eZ z%F2$Ea$H4_PIl`PVR!V2cmaB=O@T_tJZ}G0rpU7`Mf1+wTQXj(6xzQ^jRjxVI$u^{ zrWI2Yl01B8a5398vL$Ry@+$yw$VNFGqdFJIV_to?iBli+IPr=}^h~ysv^!U?KPp>x zqC6g1T&SUN44Em`;8f=5VBt1|7{97<_&c9MbAHPv!dX!6PxC^0Kcu(4-Mj~hRh=RK z-q#0s)i8y9r!@G{&Cz*|IzeNILEc0;6N2=%@?3e1+r&)E#5Xb`9# z?7`D;hC)$U2+(rLB3M{al4vEzsZN|yb2twdWKpLGkJbWU23;O3_7Ewgt@C43 z1iUh`)u1KyU}!aK;k_nw?Cr!M;mQ+dUNM*?-ceOaIlff&X??(F6Y4#JcTUb*Srs38Hf4i!?OPWBPLX z3uX&mca9E5N`!nR&~lAl;c8o}i)(|RpBRvaNLimf)%3N)@hLL4HsM*9y@+vImF~Cb zA$;I2ojN;hEG~#r(aX$xG^GXN5-v6Q>i~85N^?6bu`^g;;nM16$#QCEjh~19xHk{o zlxk96HK!5pIr9m9@N+%)EwKRkgXR$Kx1So=b>*f>h8&`-d6*6#%emn(#woEKZ9S>p zgABv>YvFU~q7nfVAnEcU*XC93*JAobWIo9p zu=eQ+A|YpQ2P^QRAlV3Ef>tG1u6b~BAd;Ozn&I7qT-JO)8o0x$zj#&@`>$%(HAx3| zy7BaDY9AC-IwHjWY_$%@9MV1-&jm^10=U~*lxK-l2fe#TtUMJi>{M@_(6H5kWQ1La5+Jm-Fc?NTS##>h!>|#MGk)jm zPByW$guuXcCf&D~M|`Do!bPfZiq(>#<-Jl`y9lVYZugYaG=^jLMW|si>KjRV@l?yT z1A@FuJ4K$mJ{&4^p$(8t0{X6WBJE(HRrj?fXrcf~v)9q$hf&>Kor>awt=2UMVqb&D?Gu3D?^FwT0Cdi@{}pmabs z3S5A!#BukB(}|ap8Ut;v?~K0s=@?_}tCh}5?6LIXmI}7APmtkRo4zXW?0RD4j|fKz zj_7Rb>-pom0X5~DW@HClr`dCLhRwZ?;yW=GeR}}4?@NxU$eu1;tKm;1_~~5+8iHKf zs0%m_CVyvB)zhn(0z~E7=ZxUgNSs<|_~B?zkxwMMrXO=_;(hiAbqJ zmi2p^#!?Kqz~hNo<=U#|yGjW?g+yo1+>`zE_`Wc3-xynvL37uuZR%oVoc-Y$;G_Vk z>gcXn0yFGTT5zLSOK#S}vPTX`f#1&sb-d0Rhc{b&rrs>S+Jh31Y830tKi8^4qHDO% zAJ+@7Jb5A*9y-TLLg4^t z8UyhZJeo`~<`$l$#fVe+Ucp6pM)&dJG-C=ZxU8^p2)yY>ag0bv4W$AUbWcwqzCWS= z%qFqn**YnViqg0tqh>N$0H=akU`HHSY790&CK&JN1`i$2j!qHY+Ee?|TizHrBNZ8P zdhO?g>Nf}~z(=Z+x#R9>HX75+QW&{kyAd6uJgh6a_Eka`QQ6eIOE&2eTh1Qcl`YKn zk!S}pTK6gmHkfk7+s1mr)6*j#ofz@tTgrO*_P07}YB$80U`1sD3m*Jah2G}du1eU9z{)!MeH~a}3_nI%r55=8=ZIn$ zGB^6csw}+8Wi}zEnD2K@jk$z7LRB7J+z{I~06=)@(&eZx0Ps926`Ck-VruC5eQ%AF zQzF0jo2UZD)I)k9LAXd=*f|NxeCk}03}7K`9JceyS0NW|WkGixDRfT{A~*YG3&C`6_oNi6byio_2-Az2Jl%Kvi=uIIM7_B*DGTEkXubFFmX@w1Y~gIMk3lsH|RXVAl`J7?%s50iRu@LYzieG=PU zxPqX@!8`A3Z6Y#XF-&e3Gg7J}323fA`HsSulFx5P+m?Tkhw%~hjsb_|0d~uo@x(Uc z#~1v~!rbu8+7Z?;N&5g+D+qRssSKEYN~?zRE=@nk^&lR-^FPTB7I$(W2e(Sz{+jVy zxy{`QiJBc@kq|HsgP5ZQQZb>(S(=iD%|4!w;qc}wL10w7ep7bD0SHF~OyB_{$3!Wb z7Ua)>w=gK^5c$(Erg#gtH^kTRV_a1nfdh&38t)18Lx(q*7*i`hbm2D2A7P^((y=7Y zB~ExvM5_ACg ziz3QF&ZO=_;fYqjZ4er;S*|?LG;o=35K$;(Ued{-;=TButW@!nngLdhGP?d`&n5^N zMT08ySPOe1 znZq6!K*V6=BpAXSM&;_0!18`yr0r#>_9K_0E7R>`jN>VbLyM7we?em!=)=SB1o=&| z#QM~JkPZ2JAaw@W2snY#?>5d~a)Z*YUN|)rDuR5Aa+F57+XouBvp=HRyQ)mmztXBZ z%=olldY*vkgwiC=UqE6tf~-633t|y&qE_RV=|R*I4#!v(tMO~PhP?q{oDX>Nwbict zS+2zQt`PqTxR157;GQ^Rov{!3&NR* zO6$UyIrS=R%mAYstH!huk9L^;)!%;8CZF~!`Ju+uf8pUPcg za$DAqDX#X&@&vDxbSXxm+a{sf_P|bKA$r0i5@P3nfq5LYZsiSF3~*UDV`;#@2?91? zWdwrEyC4KL3onC3rlR8D+}StE!{JTDp<9Ca5UVph@|^vnZ=wsEULL+!eb^Ltv&s6P z=iyuMGXWdg@6DeTgkq!v$rDfvxd{3-e5J_5T6R25+fdpBP9S%=Ry#`FJ^5k$8R^)X zzYzFEEATdp{L&Y<|$$!-r=-4jCy;g;|;*AnnqPIhsjp!^j z6bt=>K3!N^n&k}y8z&yK?SJk9I;wy&)YBmuak6|7aZ&35eihnZ!WYhrM3B>u zuep_2VHU!*Rd6L0S+v2rM(YBcDhWSFss*h44@&@)+L|>qmDcF&f<<~=C5{Y3-Pn%) zLN-YhO4#T{sNi*;%YNwm8Ge*;l1asL>1&6pAIRf(F@Q>DT_@5=i;u?qHS_(kY;YoYy%dT>SCVyA+=6_6x!uc?B)}q~PJ_&`CDJ*n- z1yG8Uo3FpR|3geo;{ygFh5FDVc4qu8YkGv*P{`B|k3&M1j4`6Rhs&?1y&*m<-bQYcFNUT4`zKeyRpb|U-S#V^{uqQP%H z{Zf)K>*aS@Wnw^lp6k@|QozboGG5m2dc8 zdRhFH7qnJ@yXeC^g59m}MWxL$b!RG|yy$-*-y`tp5*aKzui1X_2#!ApdGVBN$W`KChY{eZsPHAnmZS@a$LcTW7mQ8{JrDB-S{~b!BT=v zr0YKjqdgX`JyzN1>*#q&_gq6*~s8bVhz zc}WjS`Cnuu_PP#C4QrF_RO2Kxt&_?kUVS6?VVQf-4J*)r}Kj7G2->|i zj=M@_CwPew;CXY6+TT^Hx)2$Nj+M7?J}3#!Fv#`Zj5bOg5d3g)3I~0bGxT$gn8i6Q zgtD?sH#_O{n^Nh|J#F|QzF_->wCQIP7VP+$WPs+E`8C5zl!Nf)w=X%^ak078GwwA+ z4`HT3IUP_;FdVTfg};kXVED*bpJGnXIZkMRC`f6QZ%r?QgG|=V#t7l2e-$4Y& ziIi|ssklJqjIoG!`nPrj(Y)7SCN%RSxl`RvUFt*x_3m2JnZ7;*RoxWOhh7Q##vo@< zx3^Xs$r&D&+Vb{ek^BqiB{LtOlYN%0rDbmUl}*Qb9w2y5^fVJq21QxT(fNW22g)r~QE8|KegAANa_lfhsHZbE(i2 zeVW4d@uo+dFFp z@wSTV(A;pE_LMuv91h1DiQw)t4lP*SiBzln^D^5_<`MlAAYIHjF|$#TYD8C+Yn!R4 zSpgxfp?8^luF}@@aT0Sy@*$&G0BpXdzWYgd_-+EeTAh@63e1zhgVhzpATA}%rR1I& zP}*uGv0twl(c(48!4v2#qz#?}Xf3oG9pFF?aCX#kt8{g(Sc68y` zz<2GljD1|tL9Am`Vv-uL*IWM1HNPd-H_W%bA*45?ZxO!``Wmdg1vc%QOAG%bFtXt@ z>0z;fJ=EvA1$jZZXuFv5=Lq1N{uwS>W^5#%vc&(}%Q4o1$436~$ja_3oLRhMd8(5X zLc#ES2b49nrN#JXy-8Q{j~B&jY8bOz&84{JzP^z6q(iYN5$^{f%8!M!&@(ZWcNxm= zKNC6p&ZN_d*(5~wo>_lzOJoetrG|~uqJz7H;=$(HKZer0j*#VVOt;9nC!M98bf_p+ z{azS8Ta``24WaqMS(Ng)A7wq z!og;!PH9VMuSXJ$@f3BQW(zY)*$=EO=R@(T?~#6=`aqb{bU zhm!$=*P{6|AV)Pb-dwLz1LMv6unpwpMntvBE4k-U}tJted6oIxj8|27M*XE&)B&o`27hZmp4!f?_@ zW3JVA;pCicmxj1)^Tc+obz~xrE9?SlG;k_ zSA3mzmckwi@MP@}-rIcC%GUkB%Vho!L$?Qt^pKeH&L`F)&-|plZB+Xufh&6`GUH+F zDbwAYb)=8-Ek_Y+f;5(x@quP^mJF&C>(rnSGQvJzUKFC7fn~O$laLC2t225LfkSVU zI#Nh*5`n0FnO{Rf$B|hntDFY&mg~K5G^KF93#FQk#o^vj$kf^lC(@MrwSNt8)7)xcu3t{Zv1*; z(UjlS3^OQ&}R&1k!=FIBeUmi!7hB9XFSb8Gwr z#&lAwMRy=||Fwnem;PIHVm(jM$5EzA90S72D`WwTMk&#g%kBmZw~3uObgFdw=XIi~2#^w^$&;F|e&*eemgY|;k(n)pM?Rg9 zdAH{XduVs@a19_9Y2DmWAtv9^wy3D&DF+xN8HaMV2b7fr{UMUUv+c;W#XZ_S6w1y& zep-R+xw;v;?Noj4Usf$t16GJmpCRWSF~pF{b{3|1MWP7%lp@I9jS-IOHK(ni|z%)hR?n6kCjP z5@xW{u&^_oYzqc#eHGqgXe81j468^>v1Lro`Jz%t>W>>*sw9gR2dM)fefF>DgmTB0 zSQ)Y>Ugkrv2Wr;+rJIfuJeIdNN9?TM9O3kktMhZ1AA_*g4)vde!`3fQI%~1HAhNT5 zy!Y$_5mpH=a|lzWI|?nNYP@u2txa%hM$n0I)Cr|6oR58=GV9X*u|3+SP=DHqB5-h7 zC)p>kw?THQIa}bTwMu1md&x{d5_GKL?O0)-QDgD;N>VLv#~4H8-ZM=P3?e5f)p$B; zy9$9N5qC0x#-5A`^~_W0{T20VpNdd$S9Ii{9Uj>{BUARD7Ly;F|LO)g!2htKRg9nZ>WCG38T5J@yd&}l9r6({)y$9{PECy$CEW@7;r{t4 zIXQHg%6o_!AKlfR25wpXOxojrI8In)Vi`$0!!gU^6!5dhG3OTlgE!;lU?05gG<+E* z*Tk>sI}BqotT8#RS!bl7eSbYxg^$u66%%Iq8(t_PJ1sDJKBYepj~o0A3R;|Nj|%-D)=o+$37`eg%{0SSD#im`#U z?y!j_|GxSaIfWC(XtOk9}>}wXnln$X-mOdQWLZ{2Kl$~Xg<|@ z{9>rU14{;LxdP7;Q1Bq?Y&xpsS2H9k?-K5&Nm(aR+v$cp*Mth(o0WJNX>aa$vK2SM zPJ723z*(NsFszv#0Vu#8;7D$+XC`stZ2xHb7~JS{bjp8LvXmBLZ7w^NC}UP?j@~W! z--_BM2!)y$Z}AN{KZ`@Gg)pCvdMVGnY(SuFW_g=Z0A(b`+Bc#?)ID8FYH8^ZgA;!H zuCdzaFrE%x-1K5dp&)JV*QUXnU?TLl}G-d9&c1OhwNo(Lkq ztbqJ;iV?+w^gq-=no{C#SOUICWW@>>#;a9eODeoRJWFb{oW2=^6kq>MW^#!DjvoC0d!|`>IzT*4fl z`x_v{n}ED#dmyf<+>{(sXuW^>moKAx7O$1s9S;;Cl*uzH2+l|S7L7N*J=!y8e3ER? zSHxs)eXa3kyS!0SE3U z5uU{cN^SZaD35Y4pLhSm;Nwr1BFKit%6|_&W7o9)+9C)Z-`W!TPM%^@HIbayP*nUJ zUf!cs7=eMP^68C^kDi6um)Vy0UDbEBGdtx!uE8jK^S-XV|%5i1b*Q97X-`*x0^N;WI?}0>xVzI7R^y0 zw;APl#YUQHZO;6Q5$#UrMc`EqB84#6_TO~=dQAobm8$l;%H=H-o`@?lS?F-vvThnKg7S7=NF@!0)RU??(5$pr2?uArl zAxH*e@i>|mtoj8!BYuq2qcJHYTe);H2rs6Yj>IH&3bDVhnx(-5za?ij?0HY2PVGwJjejJ_A-hl1NTna zeHx<%`_O;crlCNl3UplB#g;;!Juc=H&)B!##e?wiaoy_}&#R6sLXm_0{b}09YJe5q z+K-`WiZ%^`Uxu{xW!1^oeXuF#z;Q`4xts;~3tox9N`ZfQ-K_(N>lnAj8;e+As|?*g zSLKGqpnwpB``z^_TC`Y0qUeWA#J>chdoj`aH9lwTBB-$sw08s-^`w-)Up`*(!1`4Y z3lt6HgBRRQrD_Q=q>3%P>${cZ3T!Kstx{<%R~g?O#&9CwfMc*>H;UL*fO_OueZPTw zxSAql6@>?Zy-!xbcVc;Lvo1c<3Kv=b8v%6*GnQO)un#AwmbH=`zRy2+a&Y`iq~JRK zO??`0|FJ;LkYYy697EQWY5pzHb-jlk5cO@D1ag0+01f@e%kbs~G;?#(sN~OwX!u2^ zGhSG-I4(}88K@4+GU_gRuVvY;c2ps}(ugpK%S#Q!>On3S@@qlpd&=ADSs{_SAytK- zQ4)AJaBYPeBpCQbQkH6sNQiV3;6x-|%qUm5cahH!#2=&CiAt7})>;*tUsO5QvqKCFTQPMvRu>mqwh_j@vN>F@QHFfqjQ(N;_iK-`6I z#hUf=f@ZiiHxpoXBlMKJq36r4=6;(ES)>#4oT2oT@D^9`awdYR?yp#W&W_gN(0;SV@HkOVm*VNl_8Gt@OEiJA#d*iuo7jeKid6 z*mUU~5KH_S_OFpOGDwC+g|Lnmx8stzi%*#aGJ1%*&I6Ph1Ukl6ZST5D2`?bWI%u}n{>yZX0aMQF(9TdWC{4BeeY&&sHGJ%OodTvNU~ zcfiO7w;XTix40B7f|%J~r+TmC9p?OtVn#*21}s5&`7vMN=vlPwXDk|YEo5SU7WgXq z00G6th**4d`RNxuXsImX@&VQm^IoRHJ2d~y&PMHJ!?!8<`Y6XZcZJE% z5mByqTW*G*ATHQvz4TY6M{AO0RRDnQ4;jnk?`gcCkq~P~UgTeQvsT@S4Dapz6ky(v zCEsU*zSgSnKOCXH{QqY{M$o)AAc&P*P^kt@>@Y$xM4y5frlcZ_%WiGu?_NQrH!{W! zrl{KvWC>-YKV9n2mi9z(40oUlD`bzJyPMpN{__3Y$mWw3UXT zeqtZREap9q4(o3>bkGVxx*LMJ16}&ur*MJm z#?sV;81+v#zjww$kYtVJr>1%r>Sp?cDEunZd!EGg-HwUQXv9s`*>C!6Z#;rMOVwqQ zUlhYA?UX+sm>VaiWz?NeddYJltql>eO#1VsGD3zzz5Kw`&{ZG77g%*Gqf|xrplf5_ z8ZC*V(5J)J_IdyeGEJ?zyR|_K0M2_QB9fUF0LN*nrF9qaA?^x9*b)r)=)YWqbuDjq z7NvUv7heDLE`3t5ijq|ze8%1u5ol6z)C%g;9PEJ$8xuxJ9-0Ixkbp!sq6rZprpE<$ z6wKT~mOxdK7k?-OPI|1O2mdn?j0c#T$qwnzkjI;erc-fGkDYYn6i&ePIp{D6lzC-P z#=e_oK-F_QR|}m`9qmj)P}U7*_&5{DOWCrLl$cmGDI7{cSpPm~%!6whX%FI60u?KB zkZoy|WG%p71AgvPY&upLCI7x&P%YHmv=1tOIMP7eXDifDFfM4ter(^D-d|?fyGsz^ ze7-8jM4<&$RxpAc+9;3NqyG?aCo#J|_2?McUHr4>yJFj01}X-em=L zbu_gvclu?CW%hD|(hZuE-oEe*jLY27m$Io<(RTo}<;F|Bv57EObHg#le9l!ajqAkg zsyRUt)J~l8;VCVCE`@fc6%m{tux^?}{o!Q{IvDp?mky&Amb7^}qL^Rt=0oIEfr8FJ z8ibTYsm=zu4b?P+*c2Dhxbd9Ii}xd=;QNh(RH&VRT~wu+_QcN-)olfJz5hC1SOTvs z_3+NwVZ|Ax;m9(MP+E>LFWYzODb01h)9w&t z*kgIpMA;=Lew6k*H=l~|#+J{qZF;-R=)wFP&VI@^b_jf1!>ZdqHqBZ zSAV4U_K!{N@*Z%fSjL>nA~u0H;C6dZvjJil+7UigWi2eVVO~qWc{f#g2wx z{z#dW?v23GMvJ!hBPgr@?r6(fV`I6)MvHAfPFTaj0%XHL+8D&Q-fVKtrVUgWR#E=n zFg?iGQcqCSkH`(~+n%mFVo|~kSzyr_)5#4amviklZbzBmf>xm0Po~dx*B;@?4SBfu z-f+%IDc>^EGwc=!4FEzwy}$coYSeYLcUB4vLI-+yq*AE_hWW?6L^JO!dX8d2!2VVXxpW~@GK!(D#5l7rko1mMg=Zvyo$wT=75s1nmw~r_F zi4rL%y9M7TEJW~Ih4O;E`xZsTRVEj9ruE!;YdLhlE$Tn;@-n2*$?yFsc1(JZ4sm;g zRs%Ljd!J_*NY|u(0>-oP>Bo*lMKsI_QI6^e3B{uEegUzgii zGZ)zZR!VW_1Fxn`OMIh*3%VaF|Njc1BB;(x`vOYFkbXF}sY7>=Aw}t(k3cTs|BhJ; zfATs=tX(-!w>gH_v3yz{(ai$2_wT4Qq)_$_Ok8f*dncbTO2N(YAQ*};lGamy5W*m< zgJG%fZMP#?nm}{dL0c$pi6u>smPI7ujdB3B&hv71lQM`$t|pQg9y8I$W`~J!2#6$4 z;9dTyI}D8tLWiA(PMry^L;`|0a~#(JNKY?D>Ga@LQp=gaFRR@ zC6kBH4as!b4A6CZ)+QmK$?>C1M4%7b-&%Nb`f)le6?lcL3&Wt{Wm1)?@*TZLZ$~rX z01m$Hz!Uwdwc(Dv&>KSvLKMynil)U+u(aX#bx^n$dfPebtw3Jm`ky0sB_N83qQefy z_9831t$pU%O3(97KAL7HqAf=$VkTCjTkSEJVpEtzi5BgsRKuPkI1iPNcM`2p>-H=Pv~REYMTvu;lk_ab1IQX7V}$`JpDFt0Olx?ryeYi!-$a|Tv*j#C z;IghPycWOb0o%mAJL0MGDkY_}B#v^3-lPP9dqJYi;o$&mcG=c-3dztB&{1oxS|5qM z@IqvHOSqA>uQe6-B8-2^LOYus(3}jWwormN;|A(t#!5N@Ki>r*fe>!s#YL1g)% zO#J+=1r)C&E||mO@@DiJyvN(Al~^~Q`adndGPKE}pO5*eU(c(-Nc)DdzuxQWNI*A0 z(B(SXR5`fpEB?#`6Br7#y#@{NYNXy3*i zL!iM#(|MeIS~(kNiFEdD3AoV@vNa+0|#) zC3(WTr{6EoNv9s*n6r^=<19&qt2ey<`E)d9_%3mf6fKFczt?>PN;iFhIKD}CJ+Q99 zrKv2v*gj9BH`jNLl5+zYEYVd;T~5a$b@}|^l0E$OT{>c&ee`$3jrBu7KyxX<*e*aH zZ)hxsZod>e5hq(qh|&5@lz07}bJTH~RkOMBK)5jzR$cdG2D^S?c6lfkP;I(7cHJ&q z9wpEW`v{0psXHn+784IVqKaBK2ArU!1ATeXhc&XGPz!Yko?9N*tD9&hHdZ_v3gNi? z4FwGjTWjrUHZ18*#CYhHX_ZGP0nmVajbNL@+7>x%)5-15Ci6%=v^4gL8cD0g#9fD} z1yZSPDL#(9X6=*Xfi{QBPu?sZgl8UT@7r8cB(0H2Z*6t5I7;#zl@+?l2;G2perSf|87UEwg9_IgjMbQn0yL z?@uv(v|WLBlNT-9V;~@8_&-0z!K6NZbM&BIauODFC%JQoy--9k64Ssi4wap&mgZ}H z5s(mYu^qmQMTdMfXUeq`NhYIa#EX5((?pNXL}a5IOE8oBE$Ez>73V$&l}5=tw?q<{ zxPHRq3lE$5c4gaE8tacEeh|bVZGK`Gh^~Rcokr*X+g6yJ^fK{wkMbvUnXcl{g}$eJ z`Kb9P8YUk?NJ~Wt!elK8IG>mM^K!s+T{@-VEg=8^dXYgy;i;zF5IG$-Evf{Xx`UWp zk@_YZx$l?L`Awr!22~THcv3ZWtB!F?CgU5(r>C`v;8b^mStXR_CyI(I<*iFo2AoR) zAUC6El#TVVQdHE8Lq26gk>j*a-Hjxj zxs67_PQQ`y`~=!Q_}=?zO~AGHj3g1AnoiU%>9WM0?_Jkq^AZGV>V{=Mu_&cN4kn94 zJ6PR93LTYJ38kV3jsI}hVVgcvHBGlxq3V8vA;TRf*DO4h5Pa02JF1Gs+0{xBuSUUJ zbPsylsGLCZE56c<>Opcm%0E^yz^G)G_Xtm2WhUM-tU=wJTICEHpws>Qt9K^e-xehE zN>%f7`Ol0b57vv9XY|$YoMr#u{VujC5iUBRjQP{Dujf+58~k+`Qj*>>v-z$fnvumj z;kt;26Q_XD%GQ^&tNyt*snLtK0z#2EyI{GK2cYm3Se~`Xk(!VB72`Jg&NvSd{bo?e z+r|q2pd#Q7bzeg`%o`rGMDZ{yJ}FaB0F^pxI$veiKxW+;fIdB=_EGY?{L1Mo`hds( z=zUk$5ItF~gG`f;(&Q3Z`eec8+R&v@%C^?E$>K*r`0fjOPjr zc2m$Pr6})xc%uisX+Y(WzD8vR1HQ=uv8Ja;^#0UQJ9GX!J=T}LVN?PasciMe7uB+- zY-G*+^i`mRh>)Z)L(a+XX@v8zyB!$eVt$i@n;dlKzXMM9h?7b-FGJmqI;O$I-0FC8 z!Gi3UZ+dUVu7{&y2%qi7WMGcTgTVkA9po+CYf4$6aJRdMgH68kd#6TyL`ZtBIr~w# z)3BGbTkPz~CL00`|FH-ID7g%%FYODyj(;n*GAb4#; zN1kP#MQ@V!KibX^bG~zPW^Gq)=87gDBfjll&)_JY@YyGxoD^voWX?ca=OOTzDEpF3 z&&cLWIFkNDl1rznkg4`+e%9s`43Rv#R7lmAe}er+wMLwQw?mR9iiYA6FMPlG1@95v5p4&h z{{y97KLAnaaGMGp`4e$#lgCEChpIEE#}ZuE5toh{_93s zTyP(HrO`yY#eAs7`&d|Xj0ttcsHIC}0H$4~(`3JiekcKiG&AhuUXd^V_>pJzJ~n*v zoQObKICR|92Rg@mp?dzypvSTkN{+F(5(n*1NXN^lZ-+HF`mUM*&e*sr<;+@GZZHH> z==#T~hd4!)~aUwYb60_ar#v)w6)2#hByko3vcbGE=%-RAv2esiERTf-$PL(41 z17eN>v?`{Bp2#ny$$dq6zRgLToBO4wwCB=&kX~)W=Axazo^6GyYh{t|p$;&9g^hze ztW15z#Sg{fRpqPARhPsy9q^X2;__(|I>yb>W2Y52+o6Ch*7#9sE4ABp*DBy1ay650 zZ`ge!Lfon%hjr_kXYy_lbon(S^WYc8SQa$3B%-w^_YxACgS48v5X}qZ<53SD?YB_@ zMm|F4ay+xCX$We&B%4|x-zmIKfF7j~clBDudUP)(tSVQvncXo6iN7x9_h~Z0<=w@L zLQm?)pQ5Iz-7ej803>9Wis!lcJWta-YEwMucUIF^bVkDxd<=4Dx&>bX1z7b)y7@tGGQVfjvU{3;u|4tEb)FQXTb( zL)hWfLQ7@;JqT0-5e+R&-xG$*8>m@|RAix3+l4|_m;A3v98nQ)YAOX&icIt=3|iQ* zn&*$e?rFbzzTIYa(HmSos|D^Ac-}$)OjrV}bd<`rDqM;jW=D1WyTa{=4Kr<)q|N=J zGDmaAhQVg&SpSNGkXW?LsdQ5s8lV@Nxnh! zrvu+@!qEygJOTp)zkzIndQQ3*S0AhORIhbHRRsIBZ@#x!!&|66RoOX`xq;NaVVS>O zW@bMbA|CJq(;5Y~P?*;ADwviWReg@PU8_v*#D;3R@#Kxa5F<5j&qdco!g@rLijfRY z$8HQ`$pSaO)buCp?0tC0)V@nphw*&UyG@h?#d3Y8kvrqkE(05Bx2^Iz1Fu64t2o{) zPm19N_2OZNxS^p;QPJTuD>`f&Ygm?oi3Fn*1}3a-Mg{a*2&wui9|TSq-in1aW>JE@ z?yQUd9n!^!kI2y|6L9M}FTSH!Re#G9@W>3ooSLrc)*nDyO8(*!41-+s+mJXg2F<&e zB3H#ErBXS)n=kw>Ru$nvGt`o|hJ_CBuYm54CFw=Pi1pth8df-|nD(@z`XV2|p@(6U zWU9d?F}ds-IkCOvO@G)4vMQUz15#3XEuyk?)qizaqZ-A&evY^~>J=cXf0e92Cuw=} zc(yK5PZ9{O^|oca=t+17fMe=7O-GyKnL#!g8{Qd z&C+;4{l!!5kv;ZU$Jb`v$2<~|UY32)(6heP9(Eijj~`+y3cw(L9h|PBzFp7gbA?(H z3t$BCW*OZuMvaDb5}RjD^P*q&R>^^uukbm#yrfPM7NPS5-bCavC2PfZ7N<7ZCPQ`g zD=ZaqXoB#m_Ps`QxKihE*RHm}pRzAZNh|t3Prwqx3*;{+v2yuO@*+PQL1!y6DbJ9K zAQQiI4~=k*xF!t0oR}SIU@4mb`?C(F*hkpB(OtMTr-#5wmt}W2fIbaui!Cppal2+u zl3zL*oJv8^0q|i$U#D5W5bv+Imqxvi)#KK}OGPt~FX|?+ukT7QH+g|N!@DpmbAJ1W zS1@?gB9goV+BRoS_pGGe&zSXTrAh!T^uePwRqcOVeeUAvVB>fCoggUdDI&im|L`{R z?MTea1rC|2W6l|s>yRf9&;ZqinzEkQSJDEE`7FkgO+R6lLq<5V{gcK86)GLQZx3Dc zwt1=x_d}KVha?fAJHs6p%d`T~9`NVkdJHG}gn%Sm6P+X*a)q zf1qO}_fq!EWtb-o(c4ds7&&JFg19D@lIxh1e#26q}2( zUXh4cOVhP|rLcu13P>nkFaz3d_VA-Z&qgTA+BfrgmLPrW@&!n!Gh0GHo~%cj$cH;) z=mdxjxWyA{!?Wtv&_&8esC0*gcr_ihtGl8T)~y)m!pp0;?Z%qwWC(`?;4Yr%^W(kY zHJB`L+$I;NDGjGb$ARhHkJQiSTgH>S0K#ka!D3o{!SqW_thho9Dc@{>b&Hs!ft_dT zMacIYn79dezoG>A`apN|W55X@&@VZ=y;VH<6i97r?7n*pr%6RbCi7>1v6eXAE^VFs z%^Eqtt?F*bMD6%JVNfD%H_`t^tBJu71`N0TO6IkwDVCdHOT0FJ%Tc0TlGt~B3mjq?{5SK+JMANW* zWHsu!W&3X+XU8l@hh$N-L_`|@b9Y}#zsI5iQpUTzrY0dMc%?=!DOEw9*1_g}+of)) z`juZ8Zbhj+f4BEgMy*VbMT)#+rY)@wTkrn2*AABi?D3t3O((sWXxRj`@5UQaxHxA; zMrpvJDu~^a>*%D`C;MdB#M_RZ82oI9@EY+vbu=X`wB2r%F$0t_ydK2al)j>Mep*#rqx(sONnx@q71+00Uqm? zKR<5_PM348IS>Wj+y}?+D{Ay?Xm02F8asCDGVOTV14r5fm*>)O1fsLgQ3jSVm?B=K z52s}HA)bQU5e!vZfn|KUSpO9{ew_yHT}nQNtQdO3pW7FYOQ5H03IlS(Wzl}O=QMi} zN=#xFma#o>Cqvxo{Pu6Idqvf?Kvohy<|{k zX>^zBvRGNMtD(YQk_4C``YujI=L58=v?oBX)JZn|8?CE`D?lHM0Vk+mLmAYRfjApMG9uO_7tXp@Y2l@BAW{vtW#gx@G%yORlz?k_ zpX<%Cq)#`Kp662FtL*Q{lb7&yC;@>FrMh}#y-5|{f(gH$m|7fK$h(*;)=r6A=gBm> zaM-7%0E7OUSxr~_KC6V6#OK{iF1)9nHqNfvFpdg8nhbh*y0<-^9a*tQS6)##3u?X_ zrTV@BJt>x0$g|~OIhW0O7k8a*yt5I6c{tTvQ_aW+{&NgES1T)-lzHnqy3YrvnL;{X zw{V>6cf&3Kckb6J1P|Wo3^D_?rozEtpoAXEah8j4PIbkc-OnC)zD#1oz#hqL1Lj$2 zAm4BIUDHrgUHxMs#?w;RISjQ>&*4(ND%>Z_V3nVo#m+RPJ@u~kGL<*|&lvZYvh!0#2?~r7D`;O6{enqWTMCIIr4p8n#2-o2Fi>9%6 zNoKk5SVkkY6f|l!%GHKWelPinns*583LP9C-jV4Co?2v1UpupLogSXduog zss{ybrNlPbo)KW5UW)a>4aw#V!NMAW8Lcvrp~bOBL?jMF^!O0C(cA9Yy#wd?w9bbn z#8;J6+RoS7l5s#WHtb~$uduKfD5KXZ<9n7bMZDPW3U`5TOQtcW$!4>&48 z^1MH1;wk)@tDR_Q?C0ta;^9Rbhc9CB^SN10eGzrz$X!Dr(!{4>3H)v1Vw2Qd?}1CZ zxCy8O4+wYLRA1Bbynr_q*P73d{*wkKz515+$|&YjKTCCEC1tncA^0cnyCO9s#odarRm6k-m8SNe_fGq&!2%?tn8D zYyJ(Jbhn{sTf{{s+PqGg`jD8IqO9{x5+p3u%>R0y58NVON|F8Ai9Q0A{GR)A^w7%d z)Y=Y3$~>lGW6J|14;M_^z~N8sH@6z7urAFf=0Qo8l+0F7x#XpREL^*Niob|_<}7&f zHR($FAbwy9h!%oZ)Z~&RaT1}1;&_d>X_34Nv#|w0mUn}$0X6(VM!-PZdy0;p2cfk4 zVMfc+Iva(-V2~j$PHP`kZ9WXd|D-j_KZ$n+K_xxTe>!Z3 zN%qAHFypASYgw?+8bGz&nAy+iU1fB2I8p*F?!4u$Q3R)9T8HOpbn z(U@ydfm53rc6S>kesvU>rOA23*L1{Z5Lex^NabL& zY-dAvW)Na~m6rNQ`W-Dp29>O(@qSQ>0I%4Js!>_)RM;I3Tv;n#`3?b<`-msok)r8R zb^)S8pJyO2jfcFN#B0euDpYBe=TtjCgK9ZajO*ghlkQ7gyG8+h&+FtLE~K%@ARMO3 z{z*7fI;XSzS4NzW19jU0pOH+)-QHyUZ@~7$cp~3VBn=OuW>;u>a1n6Pmzz{XTLkl} z9mBGDhSCyeA81Ji#033llS{x~;>!U(BqMcZ#XSdT-W8N+tMCiDM)NE;xg>9u)nU>r zl4}PIvR*GAo=g=*T7}}WFic8IjgA7T-Br^I0&=|6hJRdIx7|Z5A4>v<%(>Pekpz@5 zT$%$bW|?a&_>tTf_V)u3Kii2Vx)dQ_dVLNXtxHrJQGs1XWW%PUN$E$>9d)W0G}NdO z`P;JG^nrQNe?FZ)D2VV*z_ty>Bx?ERv#&Y6%_Bu;A4k*L-jR4>+A3C+D*CTrLhJ-3dISjml(^u_-G)s(mcGwfI zD(b_)91GmNhT?tVwX_nAZlr-kvj$&lY5gqWjGbmiTsmU~?OK+u;p@y${JuK=PQ0BV zuEL;I2y?f)xoh6(FN5Qiy1}e^i_=0he_PW*vcl%DM1w9Ho75T(*@hS4lXl+qrbYW= z*zAl(a>8S1&K;AQ0P)CG5MpN(L5zWVUAb+N#NT&@vzca)qg}UT7fKCu!>p}#-&1#R z9l4?bL@LEG16t;?WNsd`E=t9X+}>oSx0P)XK(^lnmA-gtnLrxrMu>l9_)JhL|2Z47 zrqsn0;MD6yUfmdf?tEi?fQ@#$Cda;$P4by?rAkdGj`83*g%%oOuRTFyDpVvpcCRLwQ}%|VADz|6g0#< zI1hr_2D1|GynUDVQyd=f>fiGHxFa^MUqkdzrGz@>UWHLE=H^Y7|0x1#Q&S4juFoEv zGphP`hy2m}G+!|_+?~kS> zlwPH~_PS?dmW~R>d5m6uu!_>ynrf9WKkgoy5y0VnLXMJ}r>fnOt##Z?5y0A-!L(M8 zenjhKTs7$Uc>i`yB34$JeLUR2^?sIUc}|(>M(q_Oha&etE_Qnyr3Np&4~KWuJJihm z8#C~X^=sTmqx~-mL?RRP;9mGMAs}DWT zVz2hVdsesU1g7&{w>^y9Fuq30qP1V=hn zcgS6BWsm)!#^M21;nG@ZIL+nl;590I542%}OGYO+8HyI|E`itmCgvBpCb@=VolH~<8e!S zUZ~*b4Db9?hL0fo#8e=vpJ)s%pv=1bzHWs^r32< zNnn0wMNQ*fbslvxhl=-y#7SRmuKIWgsE$_DNBOud?8%y_TKO`78cNXTvMU*7)lUd# zOvmh_kqjA58&HSUrc?HnaDeIon&D;HKaMBqaPAR{7fl(7^bVfvZv%Pvdd*|P0Cr!5 z%x{1Zo~`XbZ)SqH{~VB41N~3UNJ`atUUiHyZ8cWzYgKGD`C1~8ShBrovJs&3XH=MY zs)EzHfh>?P#32{p#;u?)CgBI}ab~Y?7%4tnhS#=Z-t7>Iw4^3bqwnjqIYef2V6pyi zFgwM5YW}~4&fv)oxL&V!gb`$qdQ`vfE@Xl{k+*-5b-(}fFFJ0T!rM$KLxRLCR^KSK zDooi&KEQWw(LOdAzQ170NuG~pCGi|;POH;XxnPDQ^QA(+?N&caDNj-r0Mo#F4+?(? z&J9*-g!zHxSbOr4nSfO9L5X(dc~{soq$F};s7eQ z*IZyAh9v2I^kWYqvNczKipHgz^#yzs{(KmG@~2r**4B$0tm@9mv;qrnyRk$SCQ`W3 zU~L7Aq;ryuP>f(l>`zls6|<3Oc~R^EFOhI3$Gi!^i8LBGEm~)G%*B4{*m+ASk|izS z2=(Xdw1|kR_z5-1jcQi4(tx;(VVl)P;5R$flE@&Qk7Co2*?R?)oB@lt10}NW!rQAp z#sjlereq0&tya_j_Kr9sHuQy3);s!OcA=w**0v1llo?=(We%Z>$0=P;w&S|fXzY(0?)o0#dKaOVdKwZC{? za+Tyccb78mW=aQ-1gU837Zj%SlB5Ms@HHbbkz?7!T-zY~VL{#RRQkFs@3pj=i|ny3 zg0`UB{O=wHUdmV9dffbvqH4nfw84K}&;eF9@O)ob+O09inNOg>htXSkxrGC~TZN_T zztC@=wag01Po62dIhW(p@|_mu0|hS?^ZN&Nf#(;nZPW3B(vH;Y3B~WD_(B8BFk&aY zG>|S5YT<&rZWp8|w+BmDVP16A?dbfPask;)*sH125+7oLNHTYk00;@-tU}{k`iwwZ zth35>O=Ih`F0VbZ2Fy;0;`2n1kpt(}5^BKe*>D4 zZ7j}C<>7a{mVuadnCN4D@0u1L^-S~E^diJ2B5JJ2;K$jMnr!nlK1~~@p<)V-$=JmE z97D2ur2USA4v2J7q_;wWLRbo@(Kyg{5uG1n@VQl?g6Pxq2@^AvZMr>uU!S{ud;5~| z+c}KyDsrmiWCm7a#qR$%0NH{SGm6_bhS*p4Sj73w6Z3+XUhs1+s9qPyZ@AVnKe_kF z1hW=i#dnC%25~EuBxX$q+p3AS^8xW;lKp;Tk*?=sk`;6T!X=c`V=+0F#UuXmanjw$ zKc;nIkxGt7(<86bI^bMXqsB98lX#wtC9Dm<@ScS(?PB8S##1cvqo@=_YRTwE$`86p z0LFzg+P4s25qUj`Boqk)w1JzRxA%-DdmfE1RygLvH`>mLf3UX9zkN9u;Mp82Ngb#;SBzsYBCa`5h~vgZ zo?}!lJKrc_#_Dji$Qxt2MF3zO7QEK}>Cd^OcYVlqKq2;4BPLs|i6i5{9ZL@p*!xCS zRDLkPM!j}Ju$n^47$j23e3OaNJ+PzP9MT*XOBSJic+3}%XPXT?;0Q)YuW_SaBhGLt zWH!n?OO6$re@#}=J`v+mIHK=RR^qNCV$PegO>r{a0fG)GMk$`4xzIvJq@6I z51%kI2NKK1-fVeO4jA|TND(Fw*N3kUFU=eVFrN^K(w2_K7;58=+s5|DQia za}I%bXPQlpxbMDHnh+&O$|plbzz%ZGuly-2_2v&I6fy=mZ5Vr!~m%R>&qOPVbjBja2=QqBv$Dui%S#oCA|l#}wY ziOSRo*Cx*>lV(|vaehv2<(oJ5>?8=1$lF>=Y8S2g^QzfBV#HLEzS&P57q(Y$`(y9{ z-;R_*2O*yQxAXQ>Ug#aD`LIOD+sDhLtQJ=kTGom@5pFGe8BI+0iTwC#02e^$zock< zK&uY{JT2qxTyM3?k@w>g<}|U*3P_AjDziz?Q2dPCVi_8Q4Q`_WMQEQ=2N!zn>=q|D zVF>!4E+^QrrL6K24<2R{;VTf9QASEfG29}&isDTheS}rEJ23hi4Aua#!fj_)RO~qm z&Obl9=qRlzn{S| z(ypdxLg|61suq>sgHW1ORD)HwcalJVihOO@r027FAD@|{VD=%+Lbh;IZwaV>XUq05 z61zwUiq$5mlSWeY2zwn&2P$RHj7EebriY{NBSW31x!%LNf=dsZ3Q6V`__+ivO{imq zp}xplC~M#?`Ksw#ZWhN6coC>LzaVYranSWB#HHJyaxp|})=6-(*{0h)%iI}VZ`3TZ zsd=$#99PIg^v>wNuUGBic!2^oQ^q7IVTaW7seYZsF&hTI8`T6wykvpCT@KzhHP0TH zFgM7SS46ccfyzl57l{VmWH+^$nz!{=qM4i?DZ@wf1<6!svxxjJXm}I%FcI9>)D-Di zaM~ti9Gv|&ic%~IJs-T}lIRvQ24ZjFyXcmU*fG@-icWX@8m2dJwIRCz&prx*t*>YZ zgcB~QEzs_$vwYhKZq<8%0t<&_X<{X&TXbDW@aV%}ANLE3X&-CEF#=Mq15F4)c_tU0 z+ZV3pf34=MQP#S5<~F|_?=&w9vag)LsqfgF#M26|y(ck8JotLMeRj>;gY+=XaYvpH zZx#*eKl=&YZKJg=eol^bAK1RI#jCcu#C|Cl{yo+n7J?liEOkD_(q6qMzR~QZ#PYPV zga;faM*^-SzQLplJcRj=x&KlbiFMN$-VS_^90(Bs{Saog&fP4x$Wx;Oatuxl6y+7 z`mFH;lt!+ITdcS!9?p`=&e{bdXG@kU&@fF<89)WC%6ps&^1Hrs`pIRLH0GDax%rze z&QE=#;_<{5f-Wx6mfJ-f>!O(+eR%~XbZf$Y#`27uPVGum$1G2c^o$@0tobI^CMk|A z6n#DMFDBPfNGd>c&h`qD_?+I#mWo-Qq4lB$!C?4{IiU!e3*MqUwO}YZX7O2n0KyaK)%8A*E6}77 zGHyn4QxaO&8rE-Ik=*_k!Ow#$IWTOaZNkGezX873Vc3|iuL6-H{8bD`d%0Idkh5gm z^GW70Z0&kqVxdN`fpOmLW&y9{sKM;^HFMLyT{-p~uB(evNl;>qSGbL_7eDKKTY>Sg zSI7=0fR2wJczx1{@{bkQkUQ_;-p@-W<0xmCCqx}^nYr-i$Yt0QHx$dc2fS?v+($q`FLLC7C$s7qrj15cfVXvUdBs_Vb> zE#ZtmtK*3(6=22Yl*Tat_zMRR{t&t0`+{Tu`k#bx6&q{g`-C*qLzl%D+FJMgzjb?j zJ!F>A{1LnVCc={D^QCv!Ne_`@nbOw=xR)Ax`@JYF0>CeR!pL;c9gTln%T@$))UR&W z>t1XMJw8+dT4>^=Vf&j%MU-hhjUg~g#30f%Eo;ybTS2EN=42h=x#YH`Hg4XbR7sua z(@T}Q!tjMNr!JK}5D1^HWzKg)Wt(ekJrrz^H$%YBy&;R?xt4INWNn^$Gri_GZ(tvX zh*`SoR1rTJ1HbIrQ)babbkDmQp7?} zj+fBV-jQBWE%sYb+WO*F=nB{HG5X-b^gse_H4k_3ED-D><^YpK8~%=New(}#IxAjN zh_r}J-<0++HXXt-&ugJA04$?s!FJxwy0PzhuFJQ2aNK)` z*#xjINUw#VKycJ}Rran4@iWy%hu)p|-x1rfa)ZN-A@Pnb)X{Ly_*uGgAo3dB$3)JB zVx@O;W^1(?`VoDXv)+#PbpuKmtRMnK)8|I2stM(BdB2tu z&m2Nzed1l~RrBY-{0J3j{~>=Wuw)WC_)byV4!fz7*?bB5dUE4u7l za0tv1O+M^NT}c1rV_=7j;$azK2X0MT6UjZY$b;`rg{Icu5B73R0?o4nR9Lc5IZDPdf1p zGIW_bPg`qYf2eyVTafpjc2 zuLx_(?!HF<3$>wOBMpXrTjZydEBv)qg>macn^@D0WvWz2P|XufRqN%A|LO%QVBZgL zXK5OOwCoC)QFZeyHRFway|wE!#dti%!UiFtke9x=>e0}eSy=E0b|{GAnIUVm)XFa% z-7XOK>{tk7>rc&YpRDYnh5VF9F7LsJl?G#KYk#uBLTn=+5+R7z6I;fDkNf2v@7rG@ zogd>$tmOn9exRHCOV1we?kV;==17qRcQ1EeKa6GYdh>k@}WW0~v zozJUd*2nx0klGC$ebB|Z(1wujL`k6JAdh84scg99bCinLKAeezpQeS4|a*-St5^z@lcYvdeSohS%F80T!XP>$-x5# zV#w(O;Wwt%{iu>;q6%7aoW>Ql@8*A$Z*rhI!(#J#oJnk$Rw9(K$62zj9x$=!y;(z* zvXDzkVbm^(wNG{Hv&9xH-$yWF<+?p!UL`7pZ}YswVcRxcr`=GhdWx=8Z%Ea_T2VU4 zXVg}qTMWbjwCP|9qPbm@nK!!C!{jdUte3H`z+7ozJ$o-K%Z^J$^w7!Z&`7gWFeb~f zq?ZQD#S&^T6JlF2S_&A)L6_noNkqt0jSVl}p%td3^M$^K{V4rFA7Kv(AL<>5g#Pew zQWSC}zMfdaCjmyzVB*(Cr{^Xk8dIh|bMFMZDSLjgvw9(W8@W_-CN%@Zt=jH3yPbd|V53E%|p6 zNy2W5{()ChH0KttMT$u!M2$}6s2QYeYtR!eloF`G&MYq;5ytd(Tl>KPz9{%usVhiC zz?t*5ypsZ%#XE$Njy01Xf{_RqrLUAj>3>AHUVxt`g?;NBSJH@Bn0%cvJm4mE!cT0d zZaxRgGaMVZ!x*%qoB2?k7D<}_MNlR23Tg+|LgQLH>&T}Z(uZ}ztW10F4h!m-eK>pL}m)UJ^ z-xr`wit4LrbbO5Kr_N#CMD&iZrh?9VLXoX2c@a)NrnOQDTyWYOI5hgCTDpz)wwK~-c{%nFoLMyi^UHE2L0bRq;oeIF z4$q+XpRIZG?md>Zucv5EXPJZ{uaSLz%qHIg^(S`q~U2VpgfoZMR18BFz>^I0%w-sm)*`W%Gv2mBT)NH}(oTe(3HV4-t~$-y0;v z*;F>3A2k7diN`$=NUk{;vEmyP(NG*>7OPONcQqj!Pn$YUhB7>A%@OM=^sla(v|OGt zis|{R-R55@UfH9O${#5R?6EBsgN|Cf`Iog;BZZ8asu zkc&~8J65J-+Iyn79$K*l8ONZ4>u?v43Rp#^jfVL?tu|@A*4-)Q(L$k}{ckg>y;*Q zl4A|~Q9;l4xR5KECn2GZ)$Uu>*Ln=AGrFW2Jrz-1(*x~6YlVG|Aan}Jr4rA;N~PQ+ zq~A!YGh)id&nMKA0udE5H~tw_G|RoJJ=!eodXc{J>m##C`cie3~Sh_@5pm186Il$H=#qTaJ8OdB#122tLM8#;$rQ2PfY0> zdf4J7Vr+1ZlRUEF)+)a-ZQ|(grl&E`LDsooYBOsT9Mg+&O57~xx z2$V6CK;eu2ik_|w5Ts`&l?94O-3rhM4h1&m+wqPt*9H2KBWxGLO(*lEzar2X1KeLX zB9!#>M~JzEW6sCA=s@GeL&%#L=EmVwaUx>mm=h)Q%Ea6AzcA)+Y@S!3Et~LR{;7$;N!R!0M;DE*l%C}M)4pW5@I|4F%Tcg zZ{*%GCW3t43=WMOV!pBkS`p{VJexg_h${Xxz>t_tF`rf3R_5z!0L9iz9VTyMZ1DjT#=;$@oleyki)MEf)o%PIPv&&sRB3jL;V26D* z+}O%3dQI{b!<4ED;R>7&^U0|jm7t`)>W>KfSxRP7DRYO5pdZm!6fK*%`GgR&R`@s_ zuh-KAYHffsTTf{VLE$Jb-4Rf-&0jqG5fG6Vl9Al8 z91M|&4TvY095U6VmJ3M$q$y5uivF8PlITyv96Ud%$@^WH)w~cw+1AHUO^3G9q4@%qe z(0o}s#Ef()MU2{rzu$d)9Vf^*!cg#1z&A9Bl2kbAKZH^w7OI!<7{(lUd6(vZ)Yhix zkGO*xa!k^Iy;CCl&nPzw9GH7&n=+D=jcIlf6d8}8Kgz$+)Xxn z7$pX5m1L3#+3y+}fT$h0AP7&7@v1$%;^k>kRQWV>e}G;j&zduFhE2mmp2ox>rCV+i zdWLiPF&DC{cYCtQ5ScmFg;qw^gy3lcPl$F-;Ta%YmS z$Bx{=b6{$`fIXbz0{kw>n27eC|Cc$oD>n!^O(9s8;3!(xD^0p3iGV3^pXRRIX;Yw2a4nejJqdP^m)~Me`PcNu9^ie8N{;Rm3^G^ycOijpW)H1gkOHM#mNMzg}Y#mLp z|L7376!`B`bpPv$(_7pQiP6*#p0$>`m&EjQ2m`?NO4_V#nlg>nkb&sNgC(P89%yV3 zr_UJPh-+M7i}XdF51}=s$p-IBed%|PBY`?h+M7D^Rr5gf1&gC*7@CxW;T%c&ZJix? z82>{2id=1%gX{ijq#5zZ*{L-~sd$=8Qhx(NWiqKHnpBBbdhUcS|od{NM+?ty6CG{GST3sSV_|nH{9IKvPy1lzIV?UjTgcPF}fhWF=lsE%% zHZ^5%&e{ap#QQgUpgRNfVE5{!x1EpVEkOA>$+F2L;C|Ectp`{~3IT7#4A zUHdr1H!-~f$}my@r=wuEpjf!=*_T;SvQw~{K{5gNy*~~<6*Fq_cY~>JoYi%GUsHwb z31U}Wp%Jjba;XuT z`qOj81-9st6$!^a&N4N?f&#U+?{$E;!lh2R0~nfvP#F1U08v1$zZ`uKk?i^1sQ6W9 zT0AuXbLrnPaladmIZY%YO9anXEHooW5Tjzfi&>9DnF-&Pu6w#@-p;pqDGp%eVJvza zDM9Q9?O?`2nEtI^;=k7d1RPOE=2r2U))kzxh?z*1QM(k~C)IKNHrins6stAbb50gFG)vYCy z(^nZ*#^<5@-Ovq^9FJyB zO}d1Ca{<rWA|=nA>V|`8om4{X8cRM}{hLh`6T={c*8Q{1A3{x}J5$ zhz@jE15C(cm?wmJ(*qzfGFUY_;Ao5sv}nc-ewc@+$(uRiQn)QVJM3gUcxueU@KZ|P zl<4{@twST%DL^mhh1stjiFN7yucXM{Yo8KC$7&zxio~|BQM;*mmcx0!D!*wz2Ne5} z-LBJ2ciKcZbRG9{))S3BO~jRtrGLYyp^+QEB6B#SgH-GIcFrDLzpOYUM|JckE0IYE zK}WXG6>uE9x;#Q29{<_E2+4H~z)KF_x^Lc+w*7VxHL?@k3YmCDEa~;zKo30%h9XOH zGMqV!qFQoQg>HWa+9R6QnP;JmTeEgPu?~*RTNqlH*4MCY5p#DHt9UZx2H}A!-64e1 z^B&4zUYeJQi(U>qlR9?5`I|0?f9)u!&hJfxZnsrIuOz%B@6Yw~fF7-hej9&i;$;jp zFY|8G%S2B7#-1sU^6uEzRmCy4;%{!Mps(`&UJ*#FPTF5??maH_{!^u4zgsSuz ztD$duPq8$woO=ttap!i1S$p!AyrdLUIIS`WP^;O#3^`5cfsafY*rCN5&1KkcB1$@6 zF9DwY9;LQcn1a?OdFJ;(7_xMRfRRBDQath6*pI+>Dqilo*e@EAsJ*!Va{i_=tm9hT z_-0^$uj?;wXQq4&V_SAo6Nsc!4$okDg2Zme<~^J$avCWPhl~JD3T%IO6WWetrS;|q z0(LwxFTOC@Ut1iE&aOZ4feT2iG1`R$85?o?< zagG?@-QlakP03`Bg?JcTpTULNvFV>AWVpbg&46}OzE)6pSQhf8I6Qv(Qo(MZ_dZWK2#76cBWT# z%(c4&tZ+!5ni{q+7jlV~)iYucbs`oDzTCad6Ps4e-g!9zc4OWwQpJ((8-wsK!L+R; zvV`&(by7~h)XGb|#!zamagO=M?fR~3D>e#x)!RW7(nnH_M>mF8K1J=#!Q|#uV)CZ#1Ea zsD~5;708jzR@^rd{hE9k+5}z>8QVza-&`Ju)P?~QJGAwgsGSh)hkahC3e@?1B zXN_q2!$tJ?@8q^iCDSgTTQnp1xLQThaxk#^yt*Eb;y$XSvKKX`7P@N?ef50;y?1nK z_wS6Hp_}FH8s<%^o#c62zce@t`Ah{((+E+m&2h85ct)+TcySRO>B0WR4ns}?wuPF7 znsy$$_=<|X<4(%@h2da@m?7u(V^# zd{;6hR!g>7nL`tqrSx$9vJgTUX_vDIEh}zd8&+7%LbZ_gAsvx4<>PL9JA5~y?SbGF zb`MeCvuK60(^5z*IpLpozc7P?S-~e)QCo}XD;1hY_K1@+b@s*stc2Y*nA!ttK^@%a zRiTQyx;q*{Qu_NPDPrK;5I?jE#>Fe4mlU9ycFt%F4Xpp_8Ra~ZEn2Yc8T;3M(QCN`v-s%%Jk*TnxV`d2Urz1fHPvH`1I?4Fi9&}r zkR8tu(XDh|Cofrgj~+zrTv((%*sAK-|EyPbym9iW%dWF-o1SWByv?+-Cc%`fzAtR@ z->tXMSP6a$()FY*Hgh^Aw@@)j_>JjJ^UE36 z+0ouc*`GuY=7kWVOCWA9O|IUt37ft(TmXe}7XO8^B)V;YCE?jtC~eg(71?S(h{~)` z9B2DD#&$w<3!z+>mtA0R1R-=&U%}fgeXf*UQ%NL-Q42eEqD~l%^(P|?CHXot7W^<_ zBD%nKfE5A2h|xAQ7EDz3x`9p5%Y@;yZmv>ZxdS+U&ufRJCU=^1Q7N7tnW7$HF#SCH z1V@KthK7YAdVkcFmT3D2N`|)B2YiHf?RX;fz2mB$(cq~b z3(C1~TgC0K0w3zwaR+JD)*Lb=Z*}5pKCDI8%DCY#l5e7^LUQ|BAC7;3sTgrakM|49 z8Hq%m!ltKSx4ow{WfZxPQ+sn1=3sWFktJoq5h|`nrPd9RO9)Xx9B5 zPLmLuDb7z1^eZRBjF|TCyxC>_@MFhx{W|I_t@8vg1C@|Bi3nm{2Fh=QKurfBgF;sS zf}g^^*+-K%7gvoX6bP24TxCSFxuEVIw~7E=)iYvX+U%)kG}C*o5J>K7E84rF%VA~>}_68TVW)Vv= zy%4*pX}rQ+3`zL%fXC;y4IRG-i^D_GuaWaB%oEa=pJN*?-|+z6hOaFy_YN~h7y4W_ zA0s}#8Wb3VgCl3m06=bp#uN=KE7Qnh2c`id$SVSC&kwHLxmPS{=zMsKeu&b97+OeP zjbtj>9=sw+XAd-ouwCeZZs)MbvLe)x_T;S~i7XPigf>8asIWa!SKp!d(7dLojHJmVi*XCwBZEKA~#=uvTBn z0IE=H{E_}@zj6cU#cR>MUjmVCXZq+SC($7S;WM&b=O8npM)Z@>BsOI;KZF0EID!0* zJ-)$#QX9?t1o?Zpn}sStpKx9Bia(XUn+YQF33lB5o0IHVcOy4C5Cg>mODtGwX2iSi z($H{pNfp~NYM8Y>?4=?tEWVy{JIz_J`+%(+y*z`kyJ3dd z1iHRhBMY39RjW2AK{4rz%Rvz-xo{3FON@UwUbHFz(49LgDbu$1^whet+J# zMJAcAtgmmIwWVo<^m92;xA3ATaQ_6C>~zyfV5|SQqpZj5S1Y_O)b>Ckp|9OdTp$Qk zEB3xP4zxH;>DQSIll`kbFmX5>^4e7|!S$v&k$SpQFXlGUv78<2qrp2Cx{LQS>l!@& z(yoS8-k7oT=Fd3Ki9j$WUOwvgL6V;w9n|BN&j@U+C(RHrsPjYy{QH(pUDt<$l%mPYSl^P#~FDW90!SU@=dd zHr;!~9LJLCiv?C5l4fr^u~(WvJ3yXWc_>u!$=t1{7#H`8t_Q|Zj=|T==M&XF9&oB@UK;>7d1V z4AJS8!s1282wpSl|Ke^(bRfyx`uQ$6X;wP++gO*6_kOS<6-VBn8zpJ**-5%3S%bSY zlhlN%$^$AH#JpgE8q*3gmw%IPLiJaWr|@&?9h;r|n`T`=TC8&T%!1?YK!}dn4z7Wy z8Bi{m(!5FKz}-qKZ+~{KBMB(WpXcsM9-?GvBe(%x8qmAQCaxh zK0~e(UoMY`5qg3>JxxQUQE2dUFGK8;HXolaQ~H4y`X_xoQx30Zb*Y}M2R6+5>as^$ zN0L{x;Xi9?PkxCG7~8OWX8A*aF;4|g@d7>lZm2vkFI=+;^5Nxz?`F@{BU^1T^66Y8 z%U$Htlk440?C6_ScwXQa?XbK$BL;VNUBHGeGYv;6wn4nOkOeZ27HC_k3~{xA(70~B zUUgJWxA^d7%SC$i!(NnIqr!YUr$xD%k8B$HMn?n73U}h`%3OB<#C^9~4Jt&Y4QdLn z;@EfU3SHEt&}rN;+0&=5u2vD^ow~uT0M>bq&dx_@WC{8Kz$M7bL>ytLkpf_k=(VwN84&V*x#0M2TvN zpUYlkEc1mF8Vub3yE)NkH>9woNKSU}=oD}^yG%fIk_Z+BjOl%%eI>d%C&i*S*aq_m zs}N$3!PBVV$g@y5^<8^bXdTaz%CeZ}h?xp1y=7WgsL?qk)N^1FrwIB};Hlhw;ToQ} zx1Xic#4AlswP^LDp)%DfZZz#UjSuAfXI~vSW?S{LDL-F85Sj|; zsE$y-=u)JaU|%W_2nKRn#Z2Odi{5^DMiiDnVz;*|!a4$Ml_f}@9ZRM#Fgnq{N{88( z9xb&qiN9SqlGcvWy(&NY7t#Z6_SSt?{%jm@*Jc@x_voVz+WT=HZ&(Z?psDCO%&QfG z6HfYC4j@2zf#hft`-WC`t$ReAa8oVo3m_)*Nrns@_dhidSQ5A_%#u`)IBN%qqa)Ys zq95E8r}V2FyVsQ&Mt7()Ph_IZMU1|V;xZDO09I9K5 znXAlQTbS5+@ZcC^&L}^C>-?==4*nlMdeF5T`k`K9&AXb zeN|1ypI_{^8V%$5m^EE$B;6)tu=m&v$<4xNfO?^evgz{-vMJ`9)kJXUQ>RmyjHaz7 zi;isz3wR{eojNUUsM3!LO;WTg$r0fB>$EuD!EzDmbsFH}p09%afrjs5&a7dXYC@Au z^$}K=wQV-*VBakH4ax3eoA-7?mwQk=pynT9Zz}6~YNG2SrSos;C{a$`+|4$z7|L3` zZf9%`46(|%S@)~zO2ji7uq1Z4(&LUEtI;{N?m-dX{3NSiU#yntXp9Y1n-o5wBqf+P zE0g00B4On4a%zIM4;Zc|??jNUb}_+XsOf+@+Dq2o7I*ZFs==?>_cQwfi?__3NJa6* z7f###z3Fa)fY%wp*^s@$2n<}L0>xDUs0nm| zSvoM+_$7Va_=(0TCx5_^jghNV4YiVl7(fUWU!dQ(Y!=I$AGaRo#029XCSXKj%>ay^#N^SijaqjF0+=m2ficEfc zQG|a#35sv7E!*NUcuTQkfIB!i zZ$t+1V(zkPZ&fov(+@%GU|KDJxZ3A10M0Q)z}A3L^Vk=fC$WEV8(#Y18(Q`XU<#%K zwcz&CtW)9RXa39h^Zd-kiW7p-aF3Hrd{jR zo7(f5SvXFX22yiCi;H_fgF4c*9qX8-l;J0J_mXeB_VA?s91O3+&~P?5a$5As&`*q+ zUX+-)x$gRM10&6{@9`d~xcct^;h>Y~&)B}$^Xg!F52%OZ^{U?yG9%THqhKDwS{9fC z_iWhBAY;;?aFMnrPtmI0YbOnuur+%nU%7K{@AkR7x_S3?t8S(logjg#(K>%|FC3jh zm2jWqB$=YSAh{PHg?6djZ1@2(;q=*?`>^mN*tF59$0zl{N;0SJ@P3UggwZGpo#oA8 zSSvRld-~OYkve!TYWgpJ4)}?e0*XH&;%QY7z9KSDu8BjKrow)P0Sw0jMXm|&-A|2G z@L)NjE(r#~vREo`$>ORKIJxtU0oCLoBNehisWiC?!WQ#$z}}8={>m|YUewCP%w!sW zsqIJ`Xmq0s()4ZdCXO)y?tLM502cS7>@7{V{EU*lrO%TtiIS1#lBaPsK$a8yqA2jj zqwr5%3kYm0CLs19Ej^K^@8G-SbHVdB^$jx>d2FSP;5xMAbQ1geN9syLYKc{%I8(G2 zliatfZfnO8o6j%wq4Pmiu{C?VLHV>&6LcEj?s|(_k+Q(GJaH~8eeB6>U=j40t>{&L z98gq%Yi+ilXYkhHr|c{~i<)4E5}ZoNy?^0sC&{;ex*BLxfeFbKm(%i+#8}|;-OfDH z&4`tPzl(Wm(MIj=19+#tvN`nYh+-WPq?10REHQ2A;V7&n?CX)kUCyUIoYdh&nDhNUopp@O`bx**yZ-Wgc$=z$xMYwd06$u5~q zhmO8_M&lTmxdZD8K@{a`g=$phMb23enIHP{>a4q|nxp79{`w)gK;Kh})Id1befVz7 zvM@%$Yfj&a>4;^-m=-AVUIeMhebGw*h}YobXdHiSQ^uZ3m|X4izwxPSHV>jn?HwK$ z?|WKe`_g%D$f@mwqSL{)jbVjY5!581v86c7#t$9DK}uGFb42j;)lLauWhS@#NY$sq zUKujH0DR?A%tEzVje*AGCKgQ9D zt+CRxzAEr3HZ}ypW|a33E?RYMx5>Nim6lIQ-uz=Y*-h0if<&eNw%(=d4=p`Pmu zVbrp0YvBO@TKRN&LbRs!~ z`C~QW*P)84ahL1*Dn$3={F?Y6uJnrRlnCp49$7TmNhIu;WD?ub4nd-xs z_pSXuzG}~dJzF4L}U|$t_c0riJSgQY~ zRE>d&Z@3vlCb*DF&Y{-@?~NTUb69M6Rxvn5LLeX@AZB4OFd!fxAT%{GFiY74-@6+W zi}8K=V4-Uvlp#E1#MeudrCYoni^>3X<@Ghb7v(XCN0Tl0awTI=fVgumn{K+DENMc3 zMi)MJpHN!}D&pC*$9?o5P-sG(onqgiv$!a$V59dfbT5{y<_}vo>^_3=UM4AM6KF1t zh2wQ>mUemt9P23`^BOK@a!-5sff2fZUc@R4K?FWLL@Uo%a?Hf zbL0a7h_d`O2cCQgu0ULcXAo!ufjsc-SNH7jn#HOkY#2s@KhB6SmYRei*ICX-xfs&p z3_TQ@I)a6GJuZCW+6k0sx1P%bp1pl0@j<>x)0wj{>E=^Qcau`Twu?%rlSRR~b~}i- zX7<4O8Z8*&1fnMh0oqTA* zS~=OC)A%ST-+9rg-6+9ecz1>WWY|VP*~`Cfx~p5Niy*)nWTx8Q3LCG_{qm>2u^*2I zDml-S=RkYf!ISk(M&}D^UoBr`NKr!)18z6_4iA^tMgG1>`};u>#k*1YGC85p42^lw z<=9!w(H`5{6bh3OzV;zi9Z21MJh%eF3Zg>??S-$Tz9L50Wx)B5**+2n|3L*tHPJog z=8ViZrxn-W8vJt%Hxkq$YPNgWrba`z3ix zfnCjpukYVH`fK#LOsJPTIp2E9(<#}wgC&{5x-))eKus}DPJt|wvnYc+-t7?9(%)jB7saMl-!A4bdmp~YNq1F_T_!;+x;YTjxLmlyd9aR zx~Gw?7{9OKpfdpe9ig<>FTA8g;i{4U`J{LdriFk~XM8QM()1o^>~mp-PD(C=rj+P_ z4a?2FG2i4Q?n%-|2%1Dx)&t87gN!pz8~}Z!_RtueR7E!lR!(oo%eSE3;djyGl%SIH zT05ma@fF~74(zWa;tR+C&)GnAS@z}(ZM65af#Q^|{;Ri-c+4c1WO%h9=^+w&`zP2J zpX?&my1|@e6NwA}z3%jDs(T&SEl%WLAKJ^Ei>R3H_E^%nIfB33r|-m)0ez;6+`}Jd zILod>2fVBuV?A)`a`k~x-+>(Ii+JeC7hcWytvV&DgR>qfiG41mCdCrEpRfbgEimwEFZpEk< zT^hyXH{nbYc_TAL6O3DY%8~f1q9X)p?u}X?-q}jXgO0cK!dCn3R?A8nBYqT;9+AYx zsV0mG-GvSw!2eZ41nZ%k@rSbf2Zgf=H>ar3x%wg-I&yb9rt$g)(P4F0v)T^gqvxg< zW{7|_awUcztxe!@kBb2lK&c7cO$u#;K?6X(K+x8NpoxQ0reo@7=FHQIwDmUD7;2F& zJ`Zo)P2@`>qyn@DbYZTD&4<*JJ2zJweL*?cGQ3*AM!*+f`@tKOa;(9q=J8uwRb4Qk zscP6wbv?Jy_7_9G^GEWuDaR!hr5V9Q5Pk?^IDZH)0LB1D2J}z)Cai`q*Doq(VN@_s zfMJ6KQN4SxhI3?yb-dg2*#sV$O-fV9WBKI-O**C|(v4ZUW`;yeL>81vX@&Cc&m*Ffw=_aNTfw8x2%bCYLMFfNTEVFv-GBOxf42NfVeq zb71Y4I7JIs!e!5%+w6TRxW<(@O#-&?g-i$M0UlbrmSO4c6#(m`QO5h@_DwFlxUdla#! zZ5nA!4+ZuH{N8Mo^q#IJy{HxvNb)#B=Ok~r86<}wZ(~Au+pCos43Ci7WwEjtMFc9! zpmS<{|Gah~+8Xjy0r1&KkDb+bt-bww-e+R3tf?Acc=xWGm5q|;PC$V(ED(E_z7EzW z=F~+Q9AG(kU~V3w+Vn(4bgk!*R|S}vA5=dK7B~s)`522~Wvr@Lb~HbZ}XY$+NCH|{k4X{KO9cXi*#K89$PLy>NmV0>l0(myI(KVpRM z^pdd|TSepbD^K(E*P(zt<2Vrj&h!GH%#i_Qhvd8OW>M*NuXte;Rs9<-Di;8ts;<;9 zJ-R>o8l;|g->JzeC{g|%?pZ79Eo{1iV}&iYy=UvGPlcyBv(_zDH-yD*o_qV5`Cfm& z)9dy-VX7H`%wvvKR#VaU=UZAnz*&gj@DHU2l`T!jQ=xiwoNhTFfcuxd11W@!!(P3o zbjRx$8!U&U>FOqbAm>GidO(*)oK`WHZLa2E4d5Xl}4UaID8HQ;*+P!JIxN|X}xLxk=Fk?CP*{P=4U8(w=pCb`DHAlJywI@ezcy1doefvLS}h~ zL8Oe`!1ncFU72-G$4kc3|Kj6pvpl+~FGyN_^Z*(_<-dLF{g%2lD#|q3qFZ;SCPN!W zoB1NEFqs$vE6UP@-{fvOcv~-h9SA2-H*mbpe#*|xgAfh49c8&mJBdW9nMX_nk@3Yk z;JuJ1?a|gJJTjD+ak{gsvt^&eb*JZ(yQSoART4MGbsj4^>EDP z$NPBXfoEExtVH1DH5?~tE_lo>A(4Ut)*H~Gjr^5>T};)e*d$I*m@{wsXgRvt)U<47 zDbN!!zIt7b4Ju&4$Lxx!#sFhV4(YMpde{GUUm^VKoIY)N<@3x&hQXY~mcG>3nWLVk z)JLwR1QjlMo&=i~(F^O;PKvAP!@vOcyZZ;7%k$dV&U^GJbPXmmnkATjB8M;)dzW~a zQ$H{o-qe|-t4cJKs@`Ep0}_Lhexg@!bHk;E+%b1wVTQ+(0i3Lql~~IMuA@n2(O7d< z6m8KA#Uo(^>&|mUMOpV$u?JV%#(TW)b8Nl=PYcV-hgh zTmJ{ZdqVSGy+)@WO)NuDr8nsrB$lG2 zMzApTFiMwqr-X%MeMA{UcgZPH_Y}LI(Aye&y|Uu-bb9R2JJi9v$bI8H<3cLcBx{ zKzy~W{pHWJR-)y~Ui00{nq}8RpfCUwXia^))4GLeFE~h+yPw)CZ<~3cqGrHc9|E+A zwSzmV(7Q884K-#x-fj#&Z;Z~mIT-DcdgYhKU;n~xU(#e%Fhz&ao-T9OC?3ZhPH^k>|6Hl2AF6}%JC zp+?V;gt|GB!Z^Q6W$`w<38RI+84HNr|NL&xYFAqDT zRXOW8_Pd-0kb0TwfEqoO1?`7{kS=5l>+&+GE(sm<{MIl@Fqb!ZBj-YqNTfqL0*8e)TbAsRzWb1$v zK+ExJVNs)go&FSOmZugrx4TU0>75>x@9@&{*0S(7nt3!DPDRguRK7wDh<=4$Hqw;b zdel&%B`f7yXSj~#=IPGb&mJTkPM7JnU;*1l$H`ig4?QyUG5h2z#{73D5=1?dCJt)Ucq7$G0{ML+w04 z6~Vr3r4t#8mZX0Sx;fuUf2k?R7$6(aO2}UK4=gk9`edNQ2Z#5S#+Wp z!ir2{+O@&&Cso+N!R?$y*uR>p+iM1+u&B`QBlK^Dl;AG_E=ZAs8tXM3FfghGYe`F7rS3l~q84VeoH4NA>8+ zr{c%Gj!XQW#GkD`ef@Gb6wbPEw?ZGrkG8NzXv?1!`V{i%$Xu3(LkA8jG3Fo7sj;sG}LH{ zvf;dZu4JGpBFKriMETHp_QZ!(`89=OnE>scn4w=4AnII^+m&Mi{O5{{{RvR}ez~m? zKV6*9HG}->^e5l`lVRo?s66Sf!vl^fY{nBeZgKP;VTh7R3(lpE4=u)o(chUHXA%BL z%8+u6pls048I2K#Qgqjbx;9Uqi71m3OLcv!8nA`?+=ADwpwn4!m5XoS!youhVu_PW z2rYWtU=WczG+kh}QnLputnVggdL}a!+G{g3V2@c4 zpwkb^p;F|ePGxXz?V?b6+fwDN2yDlTx#@}>`l2f7cs8GOD93s*(1VxDsYrq3>EMBv z>QjA)Kn&4ir-C68QoRX!l@smVQE!&Bxsqx&7*CQn%Mu+!bsUI?S5N3!2)XODW=1fz zX?*|Y5XygJM~y^u?CTjQ!5T5Y^2A@n+MeCTRV#;8JH8wv!SC4`PFOZy*ZI1b%_FaX zh2R^?lKFkwb1R#Z(On=4z4MO1YUyE#|5jzYML$o5O_@l~R_G&4{YljTbz#pbtsIQO ze*W#f!JrNd#2!mD`)!W^ic^ehirz;B$2LQznv~cx$K+n}SN7B)*2+Dz;k2IK^k1jf zHq{-FOQlm-Hd9kk==HDW`=Tg?8h8S&AUioP|NW1rlM@@-&DhGGiKTrukalX#05r*V zf_OCLEmA>5Ctbw?ERBvsO9?6a$b9LA^?b5Sn5FdJ*v`lq5hM&R0FN03y{RpGfGcrl zB6FE$jrg$Ye)=|lfZQ1Z<(xGB@xQ>l$-8OL`7;YQmE8_}?zIy?u?5oK@`ZUAFChXE zSZ^PqIPgWw@)iomoUnXKNUaQNGLuogCy&-6ZJ5|DEIyxVOq#g6*XwKH3F&MpL^D0< z3(~Wy25=pyo-8%|)*T6|UOpBL&vVa<=%b}PHGqr|7f?YK-D>J=R`>sm=X|N_7?C+K znK%5gmNyy0h!NBDvQ_LFHUeYoF_C1IjhIxiS2mOGB$!8-nZQVt&}oQv<^X4huoDua zXBd_En_=v5rr$&z-sWeo+-Q6U7V)+8KNe2<>97pPs>K>3nw-A9uD?8};O&3uy9=1a zZGeXS(~_G}-7|Z$0s?7Mf#Wc$HOCWC6TQ)tp?N9g7oAe=;D3Am%%T*=RPBQW%bIUkb8aVaO>FKi zihSSK5yL=4tSw-$2gf4s7YU2$ouPzvz&VP6so4QotV1EMVl{MU+1t8{aI{*hFhR*$ zZ_~v_3{Sbrxe1k1Xu2WMR^l&6p}Rakc&XnoJS%RZMp~oV{?DM6^`2c>Tprl*3YW{e zA@N1%^xl{(e(yNHOCT)f2E>VInKaqBDw|MvuX2;{w0=-Wm11xw&asPW@OmwJEDoRu z2(T4=LUk=4BU)HFesA_kT?fSA)0*az@!41nCcnw`3-162Np`;VpLX(Uv3iuRH%@fZ zTK`Qspf_00YPDIymS9K6F~!N!r&u4}G#Fx_LSwIchl>fZC)UvYsaMK>#=(3xt_$j^MN7q5#0iv!8Ugfd9r z-G#`w*+O%c9OxJCR{NCj29%=pXdA@th3ga3A7;g6qVVwnyCC~}B6d6|i+aUGX;FR! zXF&0|x@HmuKL$nBBuMh+HIi|Jt3nIB8|FkmCJPHs198eo2A`y%K zGqIWQq~IXD(}p@^a^zTvyb{%XATWCMl z^BKsqnzr{yp%-LW;bOO)I!cHL#RM0t=!GBEt53!79|ngZ$J!= zG@yT7+-(;uD!tL{aw%v=>hfi;`nJhs+FZ+x*bsb(WbnJOuEiDqMRX?mHw6s!Cf*qv z@jz5M-2}x4)7!3LzNOCsfeLF{X)XUFH#4XdPSkL{ur_iFN}$VbYqBALH^7B0`0;%Z z5Osgua%dP4hpSrcbke}G)yq{kWsG8nr){g_e`efVj)jtFs1F(JU&wZx!pA)}E`?51 z`H-7^Oudjgl+A>ysUTRW#et`LUsDta_yj=gMGn*P+?ff2ITCWw9BcD@Nmkt9qr+tm z42zxDrLXZFU($sw)mz*LnlI>@6mI9$1FhZ9;^;N1^gWi_Wx`t$(?l9#cnvgFf!Gy4lMU7*Q zw`%V>ftBl?=kVXAPRZpse(T7xR$th!ky&51cYJ5tIjU2nR03XmZMMT?tnUh+N=4VU zt}&+bNi@q|(MdPC+dYxV%wD~?QUeH5oPJEpoxX;QJ~(+qKlv?_1$4iy_M9}p4Bos? z5&ugaE4SRRvsoP+VaIMDbPbzk)ZY&7UAf@vAgBEUKQZJDqWw4>YoQep8ET}a?0alK zf*sm&ZHF;IIA`xbkf1-?xGK#D5`u}JBaeR2l$2*6gFAu{@=tLRg3xLxKW6>f5_(&2 z4ZKWIi_z;+{3WNi^OT;WJ=^&pFoVw7HTJP$@PYG!bH_{4p41a}l|9 z8MIsyrfa*#Csl0qePtIZ-xMw0>a2oMt-4!>7D%@Js3HX(P6k=+Ms?(yvSGw2{4l-L zn;d{moL>kblg`5&80d~$Z=8U>R-|YzOFV@&WmJsKB7AKQrPp-a)ex$ymtb zcJH3TBmd<5qD&sgu82ABR9ADUQhV$Yvg40hZsWJXIM{$lM*iWhf5I-C4>gt%X|%7+ zL?WL>byttVnbCm5+)lHcD|!4)FK3~W0_=08r}%hBcCxP|N(IWw!rx9>1nHQ9J(ZBN zRfR>#>te=Fs8~9^7&zJ#w{EQ>#-+djp|`$+%90R^8?I)YZB0_jCxUvLv%s^q4Q}O1 zDU1@5j@5V`Oz=q1YpGmSX;9+l> zlBPfpi%yU)NI(ya%MVX-B$9nQ$z*Btt6g^W9k1p9djRY?hakdKSL%!8E0@%Mm4los z?_(3B=f@>Eo`e{B+Kz14$&C5a)pi4Kg|IfVxK1zF^Kb62#$gFkHE24yl4*)S9^;O2erQBH3O>a3w-sA*HG#0p68drCYTd;dh| z>>j60_V|5f7P#oVF0F;%>`CpzLua|17xx>qczY=LcAlUKh-~Ce_SFvNgjktv&f8S+ zaQ^XCb!ii^=2c6V$|)T`kCHqf+*lLjeO9yy3-^4XgqU#5{q}nb{t)5euv3$=`9Qs& z+n2d;iPH4O=fAUOv3>H-0IeqDPyr%yw1TH=|GZdvh2LHyZrQYd&rYC_PN#CrWJ8pF z_W=Fe1_R+~3APqn0Xr`LqQ3-Y9{c;2Apx)u(WG-5ubL{8-+&dYB-9|NxXPZJafBMh zgDs1ItUD7QPEF^Y0#TZEy9MKrSjp<9+XRdw_P*DPV(zn4_M*wDDzMR4e*b`4+o)&W zJzbkz#xqKDWI_^2#B%%Fysnd7`{AF=cczAfBeRIy0Mpc*h5x}qwz`D*9dXqT093ic z?lWhrJekU6yWprTeATfvd1bTAw~wVHq_1)vX0W7BY8o~RLN zZm?aOWJ1klLdMMZWRS@t&ouG83EYJ{9S1~mj`XJGjJ*_-*;U;rV~@f6CcdG@{cwQG zdxs9zzY^^eFl8tJU^Mx#df+q6e~KN)+E?tbj?a?u_2rA4G=W|qwc+&3%NSD|AVP%O z^$1L7QQ%9^ZNs739Cv^HVV5T!jGz=0<){Y%`rX0Xl9rGhM`u;!D*;B1HwibcUxI?< zF5QY;Z?{T>?96=?@Ct4s;uyG4cX#113~R=Bc0*jX*O+j5Lv>sf?{wQ1j!(nB(05?! zs&G713Imt&JqfA0zvE9QnHMj|!om_=NHb-_l0=x0Lng(SsKe6wJ>AU7V-AiPKz>rBR|(?ebM;;&#_y1Ig`1 z>gNd|Yvi6uz))FI4Nqy-0}Y8DSSU$MR5EGW=41h9$fk;gX$Yd-{+ep2!iv|}YRs>^ z0p`sZB@ozVS*|Ktrwl|zDbA*TkN@fMY@yDd@5{GWsj>krdxOeJIk8XOU)avJgf!`s ztT9<{_g#+ryRKG>Jv#8@$q~I=wQ%`{c3NgXbm{O5M1jOMY0`_r6~r^_$L)~JF`aMoELXtKV8vpqIkOOOo{KUWM-(3 zv1-o`$gdvZ6r~Co=veysqZ>Gq8NkYD4Z61mwAb?bVstSQR*;8Ao&pW`IV6oV?yra0 zU+d=KDC=%10>tWe{f*Fo&^pYNX>9;VK)1gD!AD?_CpqxgL4w~+pd!D=w8KLplNZWc zGh5L^K8{2n@}k2eHooa+mm1#17a}(?d)$AGo0>vGm*fXcJ;{gQb$f|1 zNJ$ydkf}yGtlin*RvLv&5bz!;Vg&=p zIB{kC{PlsO>QmIp`PkU_wwmSXz6M7U8&m7S9O*5dVCyqS?Peb#Gs^fx3pFy{#7#i6 zyxK?Y?@*c*F{7)R1=1Q^l&7IeUbw`W5jNyZ1o2qZr6kEYf0M1eTjhPR0)!-Aa7v}_ zsx;+EyV3Thg6b!!nXsu0#S5}IHf|HHc8`6GD(H2*Z4CL4{?OF#@z z?B^zC>S}N!$aiyK_bNtdDoXQAO#6zg0{a~yMwL6ykw|X|7h8Z+!%?tEcFadmYg3wp z@dbyO)rN2}h1KFi)VrY{K%SwDqDh5PRM-t7aH_;8Htkb=m*B4<=>lEQxS_=X7Y2f;7``*iqK6jqn z<5%%lKS}KH`<#v<|D^;Mv*p(6PjAl`lmFh)0tHovT_6|G0DaMa0!(&)9H+7jf01Hrd+5%*`m- zWOnY3Z?`o^xmbWbJ{hrj!CRa&ajS*gfldrN0CrA`>W7v?9%J{!A(%_6eIQ-+@qQ%_za(IF;Rz%%) zW?6lnN^{L>#qH?lTnjW`MhpnG?MAv^q#y+2Ve-=03DbqI8`-@i=S|}~Mc|3`2$}t? zm{lULKT!H#`B$2Kr?Q}Y#~yxwmUE$GbocXZq9b8r9Zk;D1+K2|#xRfuHk!znNg7*r zr%J&)5qNkt ztlm2UmpNl=3_pM^cHLxKiG^?AHKis37fQF@r;KfqIZH`a z0?+SKw&}ybsPQc7h_y;q3?PTkcl10yLCET1jVnH1;~Pc!#7mfssI!=E>fc5DE!#J* zfCjEY&SEw+g4z8c+i?%;l8KP9mazd(%oaQ{wAG?$0(9=<{y`0*q-ts)`Lfa)I2aNY z*$aQf8Z{1%$jY}71O1bmt-#a>B&T4el`+F|=~t8r?O}P+i|!NNUR8E-zBV2IgC1YV z=+(=m)D}^+pBG77w$Fx|t+-u&p>jkP@)SQOObefCG%6!vy0(_ABk}KNNA2!1#G-{(ahNHEb$D88`PL8K-KygG|+Bx&D)9oz>a$SJR4&1gB9dCn1O-DJ=Lgnj+(hg`R|umsY{Hv{WaefYW!1<)Wk(Sg z+mXP~6&6=0;#tyBP@{|MIMPyQd~njX*E5PaP8pDAa?1~FceTKapXcwJ$W0$Ypk;T_ zjdaWESvF)tXBSd3$fKGqOUwm;i;=$o?s~BZd3DVqP2-C)?v{t#+~XRQ1BIr)C1lGZ z$7c6Q7%1i1A(5x899$qUM13elX14tVOCCiN+G1VG+()``LY+xsx4`U4(;OumbF*5M zOB3q=m3I%v29Qnz>wK|$nbyg^3i7~-7ZhW(YPBD|v;sBiZvFY6{oG_1lr1~_0x&1L z#fgA{&@V>4&eQLgNAQD$taXseD0AOzn&nZBl)^uMp?W7arH@xuBrA%wya=TClTn8r;s0Ajqn|StHocf`y>g zo{fX{+Xi;i_dY+!%73yIu)e)HpNqm-5U-= z(9wQ)8*+kq*_lxJu3+Rglh~cubEUMB=B34g=Io4aL($NTH8St5{o} z7t6#EqatJ~C2`kBDbwjbPHx7AehnCl)SWw<`xUd7AEaYysNDtTBBHo}xu(`d9M@~$ z(fqs9&a~UV`DB|i(y2Eg{Z1WB%l!;1dMacPOrrI^kFfBrLZ(YKVYY<}g!yOYS-_cR zKDOjwfb91k^J}gA1XiyX;ESXZKHDF8(tMGo1gx*X<#@2|!~bZ{U}Z<*aNLwncji0W z912H7JAtrkWm(bM>{MFcH4-58IG?*NgstM|w9c>;NKC^Livz=D3+Z9!HT(`@?BF`U9k>W)#$NQO;!co8Yihy zTX&+P=VXX$>Gb+o=Z7O^M|sB0Md!bHBZ8PM-vki9&mA`CN=;D0tPQ8Rj% ziWg0^E<|tCnrO=Z9rnQWXf~29_bKf-Kkc`u7z^<5?E%r56{({)YVmHXG~zz^X%!C9 zZdnv($Cp(ne*Vi7Gl;4HyK&B==x`@CZ8Z?`jt`cD24I%scse#o%5uf(o8aq~U21Jr z7q8KWI@oB5j`BzYb%GcF0cZZu6`PJCQz=%VsH4_P3(HpRw!S&9)2nEqDgP!b_Lk_MOy1ngyP8 z?TH~m$;v*y zMl2>rMIu49mu9>*JNLAp%=xvyy$a3^jJ|V-nOcm4-)e{9wlXKRN5zx{>i^M9<9T#T z|7pGwoFk9WgxeS9S12r_+nz!U!WZPi+V=KYv}@%lyI6LD*-y;hz%^5kIe#KlZoEx0 zq!-C1Tw*xI1vE7&!2%I8MUMs|Wte4>n)di&vbUTzNQGarCqI4E5#C)^@q^*xV*z}f zE_c~~ndq3vTq#V-9qqXbKw7w|5T$$O_Tml;Ro^)PakqlKAzh*A2)1YS1`TBvjU)mt zP|MXB$VOl7<9>PqLI0EFUCcwIF!Sg7@k&CfC5p`m;!}$2Kr+^-O_(Mm$K$;7TGtiD zj~KGh_jm_)MF2DAHo_I+Y-RR0G^uV-nvRJpQn%-pjeH7`JFt4w)g8LgOzFQ<>&$oC zjH7!EB6&Bfjh0{iMw8%5Z`D0~xd#ES!q)v@_djiY6~;ok^`o+Vqv$WWj5^irmdCTc z_Y>}b&ts5-5v0Fgc}PF#*m))kBg@NIt`|#C{wd&4f~XolWPU)48bH~6G^hb0j3OmG zc`Tn=K^Vl@<)?qLa{913jMiYEjb{9crNu#iAnTd_lo37F`NvDJ$kbYc_%)$?rIdq3 z5h$eZUBak_c?Fqb=d3RI0@Z6ukOI%}F9X4~vu{U4*Mb~aOHODvy5uRlryG6ET;Zd3 z{m^yyA-Up+^P1Fo%fxctmPH^mh`Vd2Ny%2RSovIwB%+tl`V#tH0esfqMX?*FTR6X#LR|as6WpeZIgc3yaG$l@+X`M(q8RI!I)t zQNRV6Fy_|i*kYCxKzk(y3Euc$mzM@(>f@Qok*8h*{AsFc>WaC{=esw%^4?VM1$XsF zQ2?L4Ijacw2;ENdI}Hantv{`5xNQ}IT;RZhFCTI{oVv^ zGItAu-Ku>kY+=fXf%zHm_4lEdf%NtntT(y79P95Ho1o8;pa1G7;V8yza%a@ zoj!N+=t(Fh#;%7uN2T~XE&3WSc5z~G#(j1&Pz9p+^w7d6%nl$D(V${8y@MwJelUX1?-cD!%XFRoEzw}f@^it6h!@>wrhgq8gM?JEK-Vs2iNNCdT;0M`DhpAXls2*Qe8!glUY*{h z%r08(CMEfy1{0>uopSiTY~@!)Vx0&Ae=x+_Fv>^j_*oz7tGIl*-78<)(Z*9ohbM3N z#PD;u5pb8$S?dS+)){w~>^>`}71nwD5QZ(@fowm?^ z;fV9wbluoLIf&6HY2Zm z!8DqljZUMIpHhN-`jtJx%Em^e1po!r&1PtrK1`;$HFgJeMB5GUyqO)Ho$;oI^oLRi!wBA@E0&+_kpsD~wSYF0Sc%$|OgP)-=` z-ux=weIeZf%wV$CGnB&~!%0B!;KzV|+!_*9^crXh@r1LUiE!L-RH=2sv+vEPaEEhS z&haIF{>{vqi}@QW*OZPYN?^n!{{0X!Uy|XDF;+)BStob7w)%WH;(Tu_>0$3gTCM^k z#Z>7|_}frNPa<~sF1Q5nBI4EXVK0UZL2|?%pWpzUz^c&NcN%*MnbexqO(0}Je-w=| z6aE%R7R?F->`Dv1*6niQd2k)E8KsLt9}(pj%k+D>0{6MaV{(TF2WvVhrxIZEaQI#n zsBsB*O{Jfba{NRJj<2or5T-{i1sYKYjYGUO4RyVKpI56Gk_Q3dv*W3+V%vCT^bp+g zenFtpE)+BR`^}vKtJSl++3l@=y3ieVitwBJ$!yGIFsk2DC%0=1y=zJ9Ayi$unvhB^H)X7Ma&-g54}F2#k0Y@>bd&m|-PaN3Po7L7KZnzKMCXrWbo z!g80_V&U;;uV_vhi_J>34FtJ9-bDm2lXcNsglTvVg|^alJ@v2moNnXV?!!i!w#RX_ zX?QD%!}Ow1nkZo5j5 zO8iPcQ9m^Jx^3269t{M8^8}ZChtd?D?k?ofSQ2x0` z#AG}-9EW=THC*@(=B|>pgmC}hBiXNCNZGF(A*7{H_rt7LAuQqeuR=@tfb3?c{LYgH zqU28{qM>Queg8+&sP7U&NplpZSxD-H4>gkFPZkb#b_JR%_O&RGW59u(I;mX$ZH`?F4gry0lZS znX|Kzf6b{SAj45Sg`=2Z| z>@Qh!j+@G?XmjB5X`pd%Xnn{Eu9Mh!Q!21-%&?q9(sS&F#fW)G=I=_hyBieqFm|@s z=q}zy?tCC7XID4~@<2%uEzr*erd+35CpK#=VDW;j?@}c!MYTc)TOyu(tu?@e%2x*+ z1>1BI2QcV}$SGTi6a4ddC%hSNPLttYKmdzh=K(^$N24w%37oJ`*G`l*NIv5@>RYve zOn#TBGn0MQNpPB~KY%Yvx}rO~kh`udlY>$EW@6x5xWnxWku=6Mmz62h+oLPpeb<%c z*J*OdT-=u9^d&h+ALP!8@F{Bl*}jRGkIB0}tans^)&bz&tfV7HSESlq(u13IXp)f1 z$axDYs>c(hDq$92jl5_nU6QKct0X1A2VtMFjFlMBZ2wSfyQf-dz^eO0g` z`RN{vZDSjguF%`ZoUc^_z1?1qis1i85n&bE#J};U6;5MKq#UfH_6)9pqSv&2ow1tZ4OyX-(yy+I{0BW9j;F{O$kaQ+iSZr@Z`twoc=O?+tHQ9u zXF&3lW2`+XGcZVgn{nhoDwD)Q@u@(9HXPMY-@5fgSBB@3wssq`aGSF5tUKkDpe+^7t#(Fj|MG5CWYyrrT^;D%*BN2AhecHeA_6EMSA+dnNyWR~!bzUrz zI~tvU(fb7MqoUdnO1t0rBq^^~M|_D1vpy?>62xK+NPNdZFU4F=qc;jVP$CCCTEc!1 z!9nD)bI9#pR=3Pjt=leGx9UVE@tPU%wkb%XyJC=4s|V}U9Fq^`kRhoYjc4GZUOac= z?E?1}kROqxpeew2)Exss>+?#Vg2@963Rr!?NyW*O<1tb&rW_Yx1y6!xFDQf-#ye`* zjnf5?5;CylGHQ@%r{!Ism<|unxc^?KYL^D15ApZRs7iL%#o^{2S(AdvXRM+`=A=7s zBcu7atH4AC6BS7hjUs;>$x&o{=RVmNGU154i^04Y3v-3kA;tLVk6?cl{i=@d@e`(EY%vx7$7q9;N~086wS0g- z@ELgmIi%RlkN0n-UQv?w_C0%0n1Q(rKG|Sgff##y7do-SeaSOJslX7_uc97Sv0;iB zhGn2$bc^T;>bq_tO+rz7(`wz5VAE+LtZu%R*&oG*@?wBi z5d|Arjk;j3c!Pk1cY-E8x^AIOs*q9;MHb$O5N#jrKpjg5g(mGk7E4dBO~3-;BRem_$-K{1oO(U&a{kI#|8x(L53ZAnBtZ@m^+Al; zL80w>?CMXok2ZJUbDYA%m=5ByH2SH!5tIM`l&hN@ErD>M5GHo0#6511_jXN2oev}) zy5O4uhu@g*B9dqp!FxSp+NYj%)wb&o}EO3oA!{$QqA7=8CpS=THzFLH2Jh?Hd$ksG_ z$!Ab$3}J57TE<>x+4!2t0v-oUjH;IwVZdzfpiE+%Ot&J$o;46#D7*a zwck^hy)L+ssYATkSl)xaN`q{dWv6!@4&X+{_|eLCp&Fx8NoR@Ztb369zM_z1Pdr_7 zpYN(t#>%|x6Vt4@@-g@g6Yfb=Y3-yG3S#B^WL84sJ9X1AFuX!f2a!o^tOgq`&mN7^&%w~-~syVODpB)R)5269K|`wXotBaL^&Yxa(Zje zWIC_$!h;t3eOA@Hk5~1;)MyIX2LLrAX3_q2gC3~hPm^SWQRo2HoiGndri&x&KS1Lh2&G!5W*>9)M#=DHVrpk75ug`qYpg z6}4{x7_k+gKi6ZS#{(XNGv} z_kLmgy!Ub5i^Y;N%X=rxQqZQv}%f4!?sd0?`~W?oDAw(&i&1m~8&ou&VXj#=43#ZNNS^QiZzJ z8%FVa#9Vh#EN-z&FF}ON(9EbV&%3mWG+(qcJKrX*LnHFM$I_5rmw7kS-4oLJ!y$3K zf`Z8E2xkWQB>U=$Sk<7PW!SXzF{-2>cGu=+fm%t*2;U+|TP=TU_6Om31w$Od`EeuX zf}Z89_Bo!!SOL6AG7WU?ltOhno2d~0o0{xFiW5npDn?e_q4rOvO`P^omkuW zFCz|EYmE!OXB>--#u}Czb`Q(6eP|$6e$JlZHezx zafHoYJb9h=yUn_aGJLBx5i6y{KRyK9sNjhs#Ck1JP~=LQ#Mxs9{42%0SCQ$F<^-ih zj7a5>^Zai0yp~wv1s^E~Y2Ml+39$9Edq@Lcr3-ZmEIi~(>p6P#ZGD+yEO!f(pe(-8cjkh zZFyrLI1<9a<8@0>nYQuZQ9>mTk5`6&B{jTSDkQs5Z>GS=`rJVrmk_GJTw@Krge*=I zSL1S}Jr0_XyC}|*FI)f^#-ijAG2qQJyD3^etCyao{VWGWU&xY&EGMAginmD5j~`?& zznFZMN9%Yz4F;jaW(fgh{pCCL+DoF3xco}VveK_I2%3S87H1j>&ZIgRnUcqhPyzm# zMiCT}a37UMqAR$qqO7tRO$;iqRnA4F!lo89&{+JUy=3q3p81|kOhqsB)e)if;)Kpr z?rZ=4;tc~6^G~Q~X_IIDT3HnAPdk$sFH&Wj`!%w`86^nZ@*SNZlC6R5I~he8l_>$( zw>x$XqMneFYqsvGBRab^kVF9_%GLJ5FBvSQhml66!f`yLwfUob`+-74p^Lh?nk53 z8q&>baUZLKeUKUPsFcwi;G3j}wdAIh9S7ABQ3H{KVbEZP;P?Zvyu~uXfbg$u0J<7^ z`6t20qzD@jzV?aqq)}cCIaEHR2;*?9Jss~*D=`Mm%HkFeSTudO<4DkZQXF`y@PMEy z7F10>XecQbWCGS@z{VKd)G&*|peqLIkdT-rV##qFgjvN6Y3`JtytH-m1N;(|nClae zO72B6Tk;sevr|599du#k{N~uW%1t!Cl#H#N999<0jL3mfh1Y_4DSr9pbvIWWsG49P z+!h~K-0SC@v2s3v;rpt#woU1KIw=p^T~M#yjR-E~bZT>Q6{8nk$QUbh+}W@)`%8*_ z(=hUTsbIU($Z>00$|WP@bmux5krVl1DIcT;c`pzFadsF zJ^(xR7wf3)y~)QmjF@5N)A&<(ke`)$-Q2COWi2gKci+k_ ze}tF&cfH7iZb?T$jy$jD!~hsT=f6;}%HV5tNdkkA#~niuOvors<27+0nR>dWH~94W z?EUN(I=LnGg&&qWp&rMvlFA~=K@2eVlVl$ws1Dx z+h2Qj))4gL3+hYzbAz+|Uq4c)i0mLRbjWo1Di1KC8H}-!p(vpakSxcbiB7=}Pm@!x zo?4z2idl;NCHUrz0u?_m5cTb}jE&X0*b6)mX|{2Qa%c%;A5YRlW<4*LpMcD#>A9eXT-?RJLfXJy!s zb&Y+m{>@hQvT5^N2FUi-dv=`DjCgd-|Dz99=71$)vY24qp{9Qf)_HhR-rCN#DF9y|5CNmpU#y2=_YBi2;}TCw&XhVv z@wy3*@fG<^-<_dwlZ0E>~NP ze8C3a$7TgsRKgW`NNb-ejN?Glt;oLf^Pa&Q#$AU;Am#pmR&qbK%!LRQtL#^vxdp!D zgj9a(hRaY;8t3bho$N&=2j{G)_!brgQR=TIv_B)_HkOg>8eCVI?o3|JUdUWdPn%9|)YwyQi>RL|7MRv*SU#|$C2FiIBUJEts!P#bGlUi9yn1gF z9=zo<@o*eX4Y&na0wv+k`e-}w`aQP#)-&D5o9Y_KPKfiwUjVnru}nM;S@baM|Ci8_ z%zBWp^WzEfL=0dOuezt;i8Avkm)?9U>TOu`lJ3Ra zaDtSx=}1n)@4wr3I|f~oxYcaj1LD{A!YSnhE@V#()LMrd)_mllpy!(=ilHYjravOl zVm3uq0oovJf1&(pFvjbR6PTiFZZ~6jRqDG9Hpu;(OVq7TL{{S%hOY`g@aD zzbIbnvU3t3%F5xT)ced2;?6C7YzWZj6}OS8uc=sX1K>yhPLKkjdGPk&zl`f7Vh7Q9 z1JsvDj|XOaJ)k{^Wo1XdddnBx!Xn;w6y_plj!*Zh`$&M(fDR!3J@6+~y8-9MaF|s< z7}&0{=jBuhj$&Qm%AVM}%If!U0|L4Hf?=m_*GtXjlPhQfvGa&HDoKsI&S~BwrKz+v ztx)lhG%50{xh=oBZ$z@RW+~#T{7{&-&@(M_vq=bXsa5pI^{#JvN;{f^y}`;z9Tx(g zB4L5X#D;!;SM)E5W#;k`xN^`KMy%rw=)-I_tsl=!0}=P(-#c;*&lT==09?z*%i)H? z6!O)eyulYo7+q{?#brls32q*?fX#q-C*V^1`^^Q6DH#Y}wS13;Rumoav=@FEeR#89 zw`bl2-5~$-D?Epf9;%Dz9f=|xJUOz^i@QPw@_F?$wAPw|n>jO`Br$cj%!C z*|YTn#3vN)(v~BqWEvPT4AL?G#2{_mP7HJ{^mB?8QQ~Mk!1PN(6ajW|y=###pgxvsOy6j7(n1#-CEs#;5qAHRI{2>B#Q6@vX7!R`yoNqx2|`wc1iF0cwFaJaa}kDY&}Znid|-V=EL|K8~c*ZXr7y zrIm>`zzXRd#KThpOWc4dS#y`zA zteNYH!kRCQG+*JofJtBXQsl(^Nt(FqOD>B?4x4NOm)%T?6K1dF4DWVwKPR!xf~$0;XT!}KtphD)6D2lRgyi8+ zg<%{2F@H+jC&Q1#YM``IvXg6y4kT29hM<=J5*c!q2sb!Af*%0BE9NGEhIm-}#C~8uo4H{@B%0Y`NTk8O4=d(L zOsHzu*R+lxdm*@Cg_KI-bU{B;)2nhIy;MZ? z95mc1Wh?Vm5mi8yq*9tL6(`vMjp9fJvDg{_VVU<1X&R zPO~P_lF$zoQ#Jj8aZKc+m=d`VZ8JprJ7S0B&e$=jVU%#S=BXEybkg)+gyxH) z5R5oP=yf1o9Gl`QvV~vP}q9aFj(yjUgs zCZh^JftZ^{`IiWe6@}*|_BYjI=3JvH#j7Vem9O?!n+FU3PWqB{9$>H-aBf^A5ZD=c z9$BiCk8Ql84|evZOACR(DH9GO8jM^qG*tuFCkt} zDn@yxFJ%H)bVWzc=51h+!77TK8J?^uv4w#(q5}^OWFY0q)g#VwrwdnyfQy+vCxG^^ zBER=)tN+)4O%ZE?j9IjA8dO7fqrg$|zo?8_zTUA0_$}VSM9=B&98B@*G7YP%f5LBY zxCs?#$(N^e1_{RBaJ>;Da(NM%Q9eYLuu1*EOHh8IW;_R?@{66S9Ra;|*4CGT_E9y^KlBHTn~gaD|HR&|%e>^XcV3W- zi+^m^e`43>Qbx6JhS*wp0Vj*{tBfi02N(&Nz(%sC^8AbJ$4bcm6`m>kqs0Z(VgY!C z!G48TRWn>M0~Xgt?FfoDm3dW;i4asOPc>NCF`#l^(@=Nao|@c^L&Y;MELU0KeNtC- znr}Za_9F--S;LHiuSyac^ zSAjxJEGayW^|OJf*f}8f+PjFMn;>hQn;>o6p(EpeBtFeqgfMBvr``0w?qN=RS^v<4 zH2i4(0A@ZwV01TR;frj(Pk-d6F03tl^Imd{g6J@%7H9RkznxZigQMqjIV>Y~)bm8X z36Z=SU|3VWP5)hd zTj5ckR5=1OPV})WU944hR`s-jj|Wit1BF`V+voJgguZfyb$?RJ4C~H(WEb%!2`s!X^$D*aN*>>b z+M`Vt%EME)P6_8ztav|s?iUGT#{_TtbdS0R3z(aj1@EK8RjK~hlnV@m69-bHn))N+ z6I@|qKEaX-CQtF=XA;5PCW^t`5(h%T`{n8WG4dNC5FMBe@6>wJl^f;{R0(Xg!AAd!kCY zQvVuHw3-vlJ^$f)YKN!n&)i-78Xv{(Pl=H8&Z$-C0-S2|^}WZIcD-S>Ei$l7hZ7_r z+4DU|xCS67kSO3M@PG}<^m|MiP`XO9xm{0-7G#zfZ03=?p*+6K)yfcyYm*>*C?M6SNJO(+7h}`!y`>_09LZa=xxK6x)T}*&*~d~{3lq|zXYwHyAtGUw)<1)sBkWD+ zGQ29467IwV^AoM84y#MfCM^n}q_^s2V2K@s85#oP^1jr`VDa!j0LI^KAvPYxlEwj! z-2|sKWX0HKMenkcZHAiFcH4_!`;>5Jux8F_F%1??@E(FGTM(@~Y(!7Us2;F^lmS8! zR+D=7j*8YI9G{jjoz9(+o!n|IQe{Ts5PYTh(LLiFjC zgKHF#Ko*`em;rdBT_7_JXt^;6;38*Tw2}U+;ZSou*jU0$cfk@}VpiI6^(u)Q8fE#Y zF^1_&kDml+`NYpIey6twUqO|g4SEDYz|VA7JMCp+IQgY=K%{$Faa|S?zP zT!0y1CmKWl1>_!n*ZUxcb^}!Uz$rPfl463`BpoZ}@|K3UEI@O3Of;RkNEmo55196K zBjSd~e}UTjEOeLTJhk-0AI?#*lT@RHcVCBoA1(}rml^qysA27YLu84=IeYPVz}$Z_gje;{I37)7|I2Yn zQri6-3Ee+02ibuBV%lqtgBPLD>8ASMpb?e%HUx|W{kxMhIoZHswP&FK7@5o0=LC7R z;4EQp3(AY&P%6D{_;$@`%1|5&e!>+j*Y&5)Ot>e>TN6#l(TTmh*s7@ryWdsvU$d8? zbe^IQPnSw;m2*Ji%0X>#p+|PcC?nm@Xhhbm4Jf{E8Fe<(72!$9jLNu^`C(t{wkvr=jRV6JWc~MFs!P z>jSS{y>Z+Q<%q?fKapNbmeS^+dgvvozrR^&o-5h%2g>t8j|~2A?GnjX=W&F~^RR~M zp35YHA6vdNnAh~_Y}N}odmCBq{@{QW@tM9Bb3N$c-lZ1j=ie%7+m_!OD_TO>R+mt@W6a z?i%*SmstA|N?bpO!4#VE5xhIsAje>6J&(-)FKCM}5{w4i>zU^%O0!{jwF;Fq;XFtI z?G?b3LQFjiADA!Fo}_n8reD~WNr8#)?Z(r<_AVi6*ykvAO`i)MnLIj^q{8T~0K|(K zUfi?T76=pRYkmx1%#ZOebKK*Brt)FrNVB%-vdf*Bo%WU6=&8yEnoN`6D>|_nWHm`h zfe^X{f3r^IllB4nx77+c6dN`W&W{fuiCmFo*&A{N!|x;FNUkq-Iv|tl^__Y8V&x8d zKn-5X6!+tj4{k%jNcI(mw?J2_thDvEtSpuJ#-RbntJYvH6-K5HJ*C9#1-$X{GLFAN z*qq*Sp*(yC3cUzn^E*S~9x*d4;33Yn=1h#*8o2pTZBAFk*~XQ}rq z29#tp3v=K4n#r#`q4J8Mg^%V86J*IV$r%5Oc~0LLwHl{23IyzLk8iekHQ+vhM#O*#&NL6iM)~qPD0M8cv3?yv7*S@z~AV zVGXt89VB0nh(fec6iw|N{yq2g%z}M&PrGH(Ts0Q3-iBjF1 zl^N37*-UYNJ07-9kRT6SukRPhxEff(G`Jj&cgbMyJ1@E>CDkC^uWE>`{RR`n|4fEc zjcYIrHsXop@ZU;=4bIiO95ae|I|MU^=s>pCdD}TcA{QWB2(VG7O9aQCy6I2Ehp#CB zRzRu0SP4~f0L<5V)K)U!4Ht$4c{XQ-L0y&V_|fvNXow~$gHyY1^0HEN6A`B6H5;ny z(5P6v=)!BFStUvS@GW86DNdDmxtcO!*E^a&&^--n0NIp6_S?sEzpH!P7k?e+&;Qaf zY}OTnb{2{jr8rl_OgZW|F$Uv>M3{v7o4U!jQSC_N6{X30Z{}IMHp*6b9jWWLS0g8* zbt45cf45Ah zJQC%3Y@oA2z6%5Vl)dfA*uX%1c& z=yRUiiUDf1nb)vYmfupBilwD~@ZT@}_)t{6eqC%~;WXYk2M8q~a>uKy)$FWlxoT7u z!hZHbK3|g*^%>3(O}WQ0^6!x%D*Nr41+t7 z4nGf%z}XyI@w?FGA{Tl&$HADxG|L)oQfh;?g>`s#*5oE8)B=D7jVzu*0PO1TDn8Aq zMS~Q>p=)_RTrua3spju;M73&LvE_7*p4Ad(rqu#QAIc)u$C6;3urvOCVI2tESs6?i zHX!F{s#?qZaY_aagiK_w%~243yWHx*C3Ru}X$j!?p*r6EG|7id3{c}maE$?Vv;~^z zmW&zHF!nS|u>*Tm|7MtDtJ=?~op(Xgs*m0zA!J7n(~8gKxZ(4r{i3=KR#Cjr0yMyy z(|L6}`D`GDQ^1DR4A}d@h7E$7D;zV^jMAK8i8hzBaL% zrYZ6!g-&)6LhLTldmd3g*@KE1wxu4?uA zkY=JD&2UagbIP+@a)*S7lv9UQAJpB#V=WRu7^3L~QW88-yH7TezAL)@u5$e)?!4uz z_09)LDmvH48gr>GmbHl=>%4xSl69NtK^vfqz&%kV^0cyd06gvPJ~3oAV&yOkMoWLx zKrh`5m6P|GEA1Vf@Ul6(ESumh!@#~i_B3E%K}Y72sdpV~Y%?e*wi6m|ATtG4$Y!aD zgR;1w(w$sZ_$;5_>$RE_l=$4E43npY4~ zsUg%ogSEmQJ9v6F2!N=ygKr_7Z~x2(As6um1@!gb+mlmHWtdl3d~sS|3JfVBBB(Es z11Se<9)xMgq4R&N4G$lfWZAXP6JN`RZh0r2_L2Jyc|?jv^=m=&e2deTxY>ghI4q9l zujVkqzDRn}BPH!4mZfMXV_vxWb!$(%1yKW+9!JP@uGOOx1>Cx#*UEQ z)K3LJ+k!;g9bf+;ejQjKSbF0X`Ld0TYsg{RT&)0_f8cbBtQs5Hnq3hfLq#5j*AYi> zBN^dd08dI_%`UdL*UK_~!Key9(g16hS<4TAh38=au0Vct$AItFyckQ*3ztkgjeVUx z=b74P;lj8bXdc(z#Jo$H6`PQ4K}n7nRl6O55SR^Q zT8|KWE44K(?gr&dvgJ1x^&52n;JCsb{hVzpAW+fLrpHDk8XE5&ls@eA#nJI`@&1p zfWYhviVQs`=BbTzUl$~2XUaEM*(bk~^ljUc#=4ErPmfBr9scG$^cOpY=web9S7G92 zj-}GMqz`T^buruA+C!{jjFfZ~(-}RUD0z;D6ZKeJ>+p}aaNNTpC%B0x^>5JWvZXe? znFUAM9^+ zJ&I;ow<%FR?En+rtbBkaCkDgAdyYi{1{{|B&uBf#t`N|rBOtK8_PMAD9Gqyg%~B?6 z^59AC-h$9K7OcQ!Obn+l`C@0>xRX2Q@CM8KSFYZ&#TN<7s0{-E(b&grCbi@1_~q|F zVt}Z_eYr#bfx;~|dGl9bWFl`4^nUc#921Ty8p~&$uACn4GhBD&UvlO=gxvf~smZ8; z3!9us%0U0Xecc?$hS+c!Tr}u%N{=OyYjz+m6&EajzNWx`DIeRBISH`0A7E7vKG{O4MRYPZ zA}9YkT6SG!h)(-~gs{~9L4X+k@;#|K$iOD4bY&Rbz6(gC8efhoZ0puZwidspy;i=- zQyQ~YT4JYsJb2MUwC=j$CpZ~dDW7y`5X{o-nk(nn`nTCMu>tOuZX=|QXe84Qa|+A4 z0a;5dK?^-K7tliOtJTl7wEk$x_;zKjNe1?;iJKOUxreaKpAiU4$(X|R=od@ez{4Af zsTzNJ`!j&~r!w0v;_;-G^=abJNDzSRE!xcbW{;SX45%N0YamsS48#>>Sltz&mP>K2 zvj+Sqq{)kl&RGJKM-N$ow%Vi&Cz}2SF}TOU&t2IrDJ6YVHWns#BTcGcZKWCgP15_$ z+LDWU(=h(;f$ZVW#opt*1{K>e_eNug(m zwKJQzXMoig9wyB+XaS1ue&oZpB#7n+12&E%u^#j!>lf0;_xU8Caf0q1+JmB#Yvo9f|f9Om#Qf~a-4XskjCBskYpkpJt5Ux3b&3K#^D)f%2EZ#YjM&TauSPX#gTVh?XK+Muv` zfnG{n=mff`p7UKL<_<2yi$zXYGI zq`k%h1UO%hJ*WbG5|cxVo*3HwN%bkO6rxDH!CVuXhv1d6LdY!W!jBWd=R;cQRz3Xm zh6nJt1?$UVjgDS+#I?d&vjx^&>kb|1wXa(yrcZ!{BF3!Z`CsmEz)WO|8BK#SjT|wm+>6 zzD>6PIq=J(s(h!SB;3LRfX3Sa?eAEPkCqj;&0w7hLtb7Sdk%+5`ywX9r<9J&SK+;ckDndHMF|Xez5H0 zm>iraGmuz*I{=z_I*SsjN}MOalnk^ewi*?Lnlt8tIxqzKS;N;O2jhfp!2^4bDhBDY zstHqHF2EQcm4zZdwNHEL3vLdu+w?>PND}!i`tr*&vl&t_3?@9TTMR~v;(fx zcBJm%oqmtRnA&qsV#KYQMC9@k$IBMe^b92a?I3P-J#}+P3 z6IZ$jHR7(Ub(!pH7}A)#!r;sKNCF|LLAB5Kq=_7U_QYJ02>_miRo(BJ&W|5IupCUI#ig6BUy}$OhtvQ zKsIG9+z|_O*}(58L1lLML%J&y<-SE1!V3T79t8LRLI-U64QDRI**JiFpU~N$?R>?2 zq9N=Q)0uA=rVSabAF1_^!WM;>>$bOB?m2(eV()>2=-=}spmrV5BD`}4!JRH`uSEzL z5GPpqFBTo0=B6_7WAM8;9m$9t6O~obSd%}N`bCmpmej|Doh~3YgUezQo(LyslKL#I z6~bl`YlWbaRZqo_m-vn_WD<^_Pj<;who;r;YEc(``;W&CR5|!ajV_CY_UF6sZ=l)8o5x7;u(DB;JUMaSd808q$)lBpkTMJkrLyxnK^mhwpuW3-NM>1Ex zpmgkqw<7zK{JJWzK9tJ1Wp+#1LtokGMhS1a`Q1?Tr0EA>x5xsDhRA5sQ|@C}MzCb2 ze%`GR|6X5dk?QIxYm?1YYIf=E=@#7T16#I@fo+qR8u@$}RN%%PJShQTZMcIGnF|oN zz16aV{v7(r&r*rw3{9fwxr5$E!7oA2v`qR8#>ebf#b-CpbhTpd@j$TgvE>76#@d|ny9tRo}W(zErq zH6%77bP{?DaSY4>A{3}2ARQIi z`JI>aq;_O5<0IQQw5VejeS{IWS**|h-wP7D>(?XpI0>SRutUi_qx+PCXi(hDkTX#- z&iqDs){80R(xi>kvgB?VRD0IkiTLCf#1#&Xy~{cP`{DEBakWSoOh_#kyoK&JA zD(U5os-#yiAVl1PGy~^oKaY3!jMHApE|sg=a*m9)sWiE?vcwqlADHpLZ*kOH>j^JK zKKi1gQ?L8J!k*xe_SF7Ajv>m7Lew}HpzZ=8R^D*5>+2H^< zqE3#?d>W=Hf#8lP;FkKNQshjbnB^lFX7?gx3~stcpU0a30@5V-K!~2_3olT951Y7{ z*np2rfe4InM4tsaI#<#(TO%x_#D4krIPCt4K;{2A-XWdYz@_@xC2K7h-h_*JNoHEV zrjB5yWI%E*wX3$4Z;h1PG`7rj4VZvkm!kILGm;#lMD`i^*O-Yn=Kg!2qnF~to@iH~ zD71I%loiYRfgm2M-CuU(NycaljJm5~6f8|)+Uu#~J=Ee2v$kCAEOi6v;yd<<`8XYl z1J58MH7BKIfUg8i!uf_%fnT$B1lS@suoQ6)_2%sj$}GbC(dFxj{%jK8Brp$I?J|sw zw0DXI;XAj#xXj<|)TAr;yjV_McBF%{!K3^5ET=Qwy8%Uthsn6EKX+Sy{qyM=v1uYc zkUNc(78cixg_ODmdQ9xC#gfL&Z!?cex0}K5P?xbtU{G;@9C1cH;)rBMMuU1H(2T~y zbAMp;D`SDjfOtv}Daq42AGag-T8-W;0cgAFz(1ae2<@7W_H5X>>VD+6q$x);H!D+C z0Q=WYvKzIY9T`9jHs^g=2$K3a1i1r@N33DHoVcepLW<#c{S_WNdEU#oY|{ee$z_0E z%hEi!SAvdZpdoU11FDF95*oh!@zIQMpoBr-Rbh_ZPmZ$x5p8YU>>9Gun?z_*l}TX# zgIr^pkyvbo)$KNp4Kxe)kz73{mAoi1H>FXm-;`p^4m{xKiZoG1QQ4?wZh7z}J}H-D zKv>pw%o@_1%buA2xB1iB%71j#$!ydQV{dNAW@ozWd9ZNg+85s~V0#@Mg1Zz1o(4#7 z@JTI5cPQZL_l$p0@Dmf;zxgG>PKLim8{CRVQydwv%Y<+6xiwQaP?s4Q39)Y{nlo^5 zW)SOxc*0A`JgU zDc*^G2v@%dj`qa2(0BT-)g>F*C?l;T$nDzOxT=6h$ScUQgc>ogW<)<`h`k7V#`@{c zW;GeRwnscZbUxR{>kJtmMQ{vWzJ>chW8o($*cnq7=IA4}&(_D@GduHLSUX%knGuV1 zzHS$7jMt`xJdh&au-H+&OEYRbeJ|z-I!zq^)Xp#r5mB#+ZBe31J^gR|#equKx;1LU z5)J5J$H{9DQEZ%1w(n?eZ?QZK68`EwJTnzxlJh!stKQS#pf+ ze6%rKNyEy=3u>n;vaU@bI-ykZ8y$2LL&qKOd6w7zOvDek_SrFs8IR;H{5KUi2mX!J zqxgKiRYTA+L>zq;E@Gw4;o-dA4U2w5#X@A4V~ZtLt(2vt4UgbqFf<3Te3L-N*^50` zFWzsWh76Y@d6T`k8ucP4%NZ2RPN$tlmgRAOd7$QXzbK`fl$be!cnU%EVc(x|5g@lx z*ES(ebKaSsjRkv(lyGnfv|FwOSVbK(sdcja_$B+)xe5gr%$O7phl*|Lo^f6(&Nda7 z?D8`X7;y`=bd!}Sc3htonH~M;I}1DANt2F~OLszN108?7;D3iJCK_+b-a~{Vv($Wt z!IREj>_!1LNdtEmhIw^Y?iMom1c3EE>q*DfYl>QK@vjz%d*bri#FYAgl#DJCM}hIi zSIZg|AVh<{Of?p@IW$__Cd9C0I}jA{9oe6e5X=GYjNAs^T!GZs@`sF48<>;e5H z!h9bZ1EZ>WcHJ-HEWE1u#Z&~$iJ3lEgb>t{+I!1z*w+yO7Wc!o=>gPyc<9utp9SVS z$7h#3QNC{{?aYuT;X0_MR)Va)gzO+mZM+I#-lR?OGj`Y6cVjCU9&~U)U=?qwJn4S0 z>ujsd48^Q90xQGe@&Bpl{bs%c4j1fAPw@7yy+sF^GfPkPwsMIZyE?mwN|@avRuk3L z=^Eo#t@AfjfGWd)`2#GC__a`vKeWx}$BLu#^Gk;D2xgtyx9#M;iH=F@@Z>L0OOQ$a z_5Y(+A#r(;!5Gs{JLaZcHRV5j^M1#-wR=Brup#&=4M#FJNd2|Wg5Oemh42ixiTvCZZVezz^B6F zb^5o=BhZ=T_J@ql#KLALOYPb`By2kK|G>OI)hi87>mi!T1P&gDzeOJX|ChN&y|HKI zRq?WYx07%K$C~rb4}4cw+OQNF)}B&MeoM>Xvt44>_8>Xr5SjzJkY>z-b_Lcu10)GG z(F-94niA2A??}J}9Pe!4y%uSzT8~L=JuXb1XthV!ByR!SY;GUhuydyaWRoT20 zy4V2`T~G%H#Ew-h8Up(6s@!STBPM{dQP~SB)OpH5aP$dIx01`aqpzV6^VA{}%HZA* z{_|hE2W74bxOnMm)~1O~A}YMUL(s zWbnJSMN95uxz(`i$q<5+kHG45s2Eu2X|F7yD_3~*d4YtT19M8SN4Zn!n5I&fNDQTF zk*ffJ^Tgh6)LIpVvAc!HaaGsGFwM=Hn0xn9K!7?aJR6QJBmhR6&pFb$oL0^e@#)EX;m~FAV7H}VbgKmF$ z+AcqeV!5V*gM4NSsaBi-Vz$2(1yhX%ZEK292=;7^z z;;Bm-b5Rpqs_?QGyokabzEL0(7QTX4*VSw=TDq}pPVt3vqg*md2m$S?)<&bQCf5`4 zV;Gr-pP>O)OnvKnXtPXD*$ozszqWB33e=7O2#dAGHufSE*mHBKm7PlsNt@@ymwh0q z?l-AAPTT^nC4Ivjcc1a3F75lxzzV}$I=boxaKQYfmP$?y$P@C<9hM)2dzxpFi+cozaf@Vk zoF_Ti)3d`dy%9#6Q5$?pJB8s_QZ?nt#fgEc{@LTMfP9l8$%p(eX~tyIN6U7g2M*Oy zn88MfGokLDzft$UowSKOEPbfz*!O1lf1#Pfvz|rD%|S<<(teM|KQr2dsMy9HSTJ(| zqhe?j6Y<*W2B}7maa|pu9`G_`Yr{%}JW1p%YjV{lfcP&*Y05qzb7pQJl|1n7;Qj5# zlW)HGR*x;CXMiCKR(tg$@ZbJEw7;W)WRI*aw*hm{H|Z6s48gJ9H>q#}>=S9`m)^N* z3B}~oq%er=VeHq5Yan)52 zq$ZoKS+sqs^#5TV$jde!^vJ^bpmO6{B>`cU=_ayd4q3@HWBsBI3cjm>3WQjlL;$#J zI|yzm1Z z)U2PyyuiG7R-*DOS#J|h6qRJL&TKMcWkdS}`0O5JY~c;V!@PM)LLV>fJm!3>TPvFa z8Mx-4r5nradswT*lsGI%UV6Y4BzYn^C?5c7ien(25%iv@DZcRk*QcHRJl3eE3YZRxkN0od zl-HN4J4Y=UL+B>2udB?d7QaZ~YG4xp+8DY?CCn*$E|?AMh%|F&&)qwqZfTU}WKBPr z6eoPrE74-<7dpmOE6FKm^25B;$l8Q631d;fTLlnujmB$jss`HD$xob?Hhg~zT5)( zCPj{!nzh=CjeFc7b9kz7!D+Mt(0$ydGHRnNBn%2qxf`vrvQ3W8g?+i>?bsxWc$H{4 zLsh!ml{)k{E2*}>2@QjDU2H83*R^}~XaIFT(w!yw$H*T85c{uBk7cydHSRZKy~bw@0x3PyY)br7+Rav`uk-A#Qi;YHIp5v*4$`}GTYn2QEF z{@;5MAPMr|=(3cASsP!dj|qFUk*QW6LblfC;!jX7qiC>9V%8wVIG>V!J`osnrIWvJ zznu-kN!ln0jL+Dj6=rpJbXVQzWN6X@9+2LZR7h&LcMhjut_8ZkxP8>f@)^Hb9`!+o z=CeuXrP;^;!yP%<`@!u!tF^zUyj;R&&2YEO-U z3XmS&jkg$MKlL%YLA5+(hW84F2ZXt~kUaRd{hhxRIlF?xKfukm9u)w5rnn1g>e(G9 zzpurGOtgb1L5nF|;X*7^4zj0lQ}k(%?Vl2u0JFFZoTvGoZgBBx!dO(^{ZfpOW6~VV zFb96Cql%~m?a8VMM=Kd0vGd%3t-6+`oOZ|*xXrY}7N4*%pF-GZ+z8o%4`WbY1qBik zwxZ}{)kL0s%f~^aipr2SxPJ;wX{3Q3xQY@=UGW-ti6^wx9WljtLsJIv`xWP=iC5NpfB~a#9n>1m@f?;t#HAAbc-cKs_jqw z7xg1t0_Rw0v5k(-?D*}P*TErO8uJsCSb3`HpDO2+NJdayR8n?^#&HhxM3n)V-+ZFF z-Uqt->3Bg-&qIZc$#mde=+oenhsY8g@x7rZI9A^B1yPX;c1OzI*uz8sZ#H*e_a_y4 zClZ@EBo`4*SePUY!%|SrtW4y6L<49#z0uUV=nnRdBy6KELzBol?#4URp#{nPWF)5- zhAR%MJRp`_GFS{OwVG0A_Q!*ExnP;F!LcemMIF_jZ|sIZu1#IUSPs9e)9`7z4)A%n zR>T9GcUo|xuxKH)-_wDHZg}1Bb}U>mN1#kl_jOd?4j~DhF*2&&8Woa0FHTT9gfi)g z*0f&?RuVy5`oev%uVE7%vp{V^6lbsJjBP=0ICY*N*lle7;)VuzeB{L+Apd^XKapW) zGbWdON6i_QR6vooV`k8ig&4^-Q*1e~7Ay(-A?Mbf$AB90#0)23cJCL~8q~u|#`51L zQniQ*%5KMz#;NHU_WoK1e#SAS3m1~C=>;CJ{7#<02kcG535cr+-Vh*aK?5)1=6n5+ zj?F;`wdqF@%GmkG`<*j(c^OC3Mi$`;OVS5OzA}}-M{f;uW}CXaa@sE5;kC>jthlDV z2vDfn=L??TLU#9e8bHG@Z5mCAvb|Qt6B?Snho>=g``-_@m1Ga=6!>=Cfykp#!ytK4 zdPr^d(_HR2hz>~Cv&O27nj(HJjXAx9lo6st3uSct&Qgv5uB|M_zREm22v9$bLlY_a{BZriq;j|1h0wE$oy6V3Fl?&WZyHwot>Z?Rn|vcpS(Bd`ves>`U~o= zeL`-ouy;&G{pHZ)SbcF&>xt!OJU?No3Uy^5c;Ce{B5`R1hQvo{b6F?Y|8=!ET8)CA zU}VS@1xhTdx9Ac`idUcypqC$8pILv_!-8qbLYt1Mr5|5Bn3QmV1*=0iDwp)ou!2#qyK`}M53VW@m7dWh zM}|y+PSrI<*L-L_Cu`sE`3&#f@+C%+>AA|`0}Js5bk{;qwC@woxA!_6Lg>Qu)RV=x z-YTB)y`=_xZ7r+85xaoWC*sGZ$Rq*8!cYssW5|-9w=48ge5xL?Z2L2AhnCTNned08 zDpk#*S$3B<8wy96?lo45kyHK5Gf3V$DXkoNYP$UF>P64i87yKG=;@td?jx(lkR&Hk zk%RR8pwTYOtHo01=ifezewgFyh+W8(_a*Tc4}K{A2O;y>G1DU9p?SGfu1|=6=6$aU z%c2t$eUSvB%c-{=pPO4Os=x+x!(mL6S{c>nZ(=V9uw@BUnkah_sEFq=7_F8)nLipD zQ8#Rg4ez>j<<{;{BUj{_`#7-tu90E%z<_PurSzd?Er9UBz{Iz_;X-(IAEW~hh#!U% zUouCBql;G!{&P(WG`UC|RH>d19{3auI4TTEjG;sBw)RQIR5l7yoZ z)u4wZ>c~>_>lUnc+`An4jr(|d^PmCIB&jy9(9FZtfBC?X3Sh+HNTqq-!|mh7*f9v! zUdaceWR((TRH8sR>RI>L&%^n;FbB!tYiq=#TBKbvQ>`b%;|TNf!;&Nn-oynxylalL zd+oab6+r60b0h@@eHg3#FN}2iGnTX#vNYrdOPZB;AXionMBd1~ZXat*|N8D@nVQWR z>)DclRee{cff(M?Wm$J#gKMAeRpfW=)FMvXHED=NlJu^T@K|sf+T7golq+|`E8V7I zjCs>j;SGp%;fdOWJKl-msh8NDU39_)GjEVi19^>JcfhW@(s5huRQ?ya1QrDp8T9^_ z)G|?p9Q_FwSo<$sPjwsg*A=QV^MDM~?CaacYcju;t!5?99Zng}{>TztV$LRula=Fu zu1T=TJC_NF$mQTd2aO|poqXw>J15F05;O*~debXG4!@q!j^Olf1eRtGDO+4U1{I1( zOMQS?_uQZVd`;BrYp$-f7UnH78Fc8Uo4zWu9_GdEYTjYsWXGV9AyJ`qL7VeD>!ew04_|ojSO@8%K2HcUU zgSCu+^%GhdD<{7M950*2@&P&A@gdkwPNG6iQ|fJ|R5CYr^5iz$bczx@)p;uAh)aoXn4fhD)~XroikayuDI}^Uo2Suk&`2*k~pJk6rxI z#MM0viQ;)**PeEuB3O&2ErI8GP;8gsN-DVy21H_HYmVHN1oJ|a*W3T0=+5O3W?9E) z$`rQwSfN#<rlC22CApafM%N>^5I0>(0 z_Ci(8oR-S4i#ww#H zm_!9@Dtfr3>T6If!o66_8pUACim*cokZ~!jChu=!qZtLCW;ZExL7VokU!Bc;alu7P_FzX3UbQuHpUPN zc_Ky2klnjSWFju)ix(XzG9;%Wx{`>@Kk1UoQyFFlHK4bk=;LkU3Cao^W=&{&R4f`#_* z1Z=+ZbGt4b=si`LNMA+<0^X>(8}Fe%)%nNDj?X72%f0(XVjTlal~4ZHyDNK)noU%V-> zo;|dHiYSN|ILs|B5V1*iAanC9zK698dUrV&gs^tHULIKV6|vF(6S7*;koq=*S&qJX z;PC)Y2|Hq~Kk!))ut-Z?AEt4~bU2)(r6!udbO~C1s4B`|ct0&fx;#!6fE6T?A^ZAX zD&bGAK3>+03RG4WW88GZdhUEOZtldEFhLmqic zdZ)xDJ6OmQuh(kj-m+N@z6&=+z2MVEQ6Dr-Zl1+8Y+r$Tn6USXQiyQ}=`Rh7YhPep zcDwgcuF;W9pHjXLeX}p}xvXvwygBoE=o8C9AlsKmI)wnH*BTWLUMSWt9wbwf97Hd?i_UU<<~GP&A!{A7ZS2*1d&0efVg| zUbV;&xf&m?qNoiK8nikuKb;Bg?ipEC7ppCv-?d9+FIZ6Eo*g(d)a8k8-Sav<0XWN4 zE)g*RP%M!0re!|?^|^c6{%hBMG8aG|ZKEdGXwLDFiu@A|reSt@+yK0{_?=W=fTD}M z@sZUu2*R5m4sr{dzAwUg0UK;t48kUe$Y<0LQ@?rD_0OY>7pmP2s&_f_Bi<_joVt1v&Lpkd94F@!uM{Uo;MIuLz^| zX6MhH}a&I+87Bpm`Q5B(R#tzsPDhMF)*&5Al(a33U33 zZhJ;6Z_gb8ucOri?jBx1R?( zQ^drfIwdcbdvbAgtvp80PHPG4b%arHvjTfz<_k{1y<9rZs5-b_e2doc(BvrITI&qzycbCPu5t_g4AoM0kE{aQM8zf4C;;Nj2OB_B0WK2{)NX0 zy~=aNWMC}s{f?eiuiw+}@{4XRM;kv${HluO>u-O}L~jah)roQdi`3-k3%`np6N6#YhEh+qmb@!&>585XP5;oZpvL z1GjG|nR|QBg<`w3j}mX|x6LC4rW|M9tij=;D6IKcl-X;s%q?5oMcq?XqQR-4lIc}v z86=x@1yUf1WpT$R@;C>~gi&Mxxu3gfz#tZjVg<^e2dbm-wjQc<>AE2TC1^-hyr7F) z@z)OJHN*;b44r&jprplp^SilC3Rw0?y>=Md zCrhH<=)_DXt6<8CbI`YAOl6;jq0>J;3;p$WNYWA*Joi?%d!nI!h#l}IKxqV6wX4|G zsXx-Ka2~KiS09QJ=WemP>YV=Pzor_a2RSZgLRcq`0&gF(v#RF5bU&G zmws{GVp%k@1`N@%?J@I2Sl?IYQgZ`(Y1byqD%p^>d9dw3miF;hn!WLs6VL-z!mMk@>m6o6v&4#)+FCA0|GEn8gc|0De6E+)OGkp5+8&VNOMM~ZW zqaW~G^O-@bf@HDl3z<{8ugUf2nru5H_uRt^bjd;3vQU7r1-~5X_MT9hXV|95{KVWY zL1N%J71);#q5c)>7*gXavnriW4 z8R=}S=oZ8$<(Mt8wC%CFy4sWrz=>t#$My3@Kc$pUG-1fBlziuJ{r3UWI#a=?1s#ox z?tf`H*xz6J#F4%14Kp@5Dy>4xNLmo}ux+hzw)4`I%;;1==qSJc%VUu|QMJ@MT)S1Y zJ2^qh6of$C#N9EMt_$*-Z!Scbmmt?f=4kYEG>M#gA4|8EPbTA0v-5sbggI?Aaz#=K zhKk9#GWdap817$$n;NEDaDnQKrdtNr$+#8lgo=miFy^dSyz3NvkWDp9s@Be$bEi93 z98Z!6l})&dGFod=Y<#=-3%hB)FbW6P1n(ZN$|keq>V-oP4?9w*-2j< zdxB=k5O&<#v}^^;r*!dUFYw0kaMZXrp%acn$Xv^kV)=4zh(4thuyOE?0rcrefoh0s z*0z2Wh)Vp9=@5AzGg(9tV#ZT zbL3R*ik$=iQ(GxfasOoeLX8D{jQs(d?FxaK_FLI$qmNe~JUOKY*gS_Idve~Tuo6A^ z3|&%_#fO(sZ|E7TER$V<}5T zLGn$g8Txg{1TVui%7XL@6g0XEAsb$>z>hSi(JG2 ztFbp_5be>j^r$#X91#04_=-Dn!`G^@8qCgQtI)}&{PzlHF67;oaR&hxP+m%zmdFGg zKwxdhf>R4mIr3Z<3ILrw(1FtQ$5B{e1xcDE)cQT=$ol6>9dZL==}S6}QsAXK1!iYE zxjH*r;$icPan}FNs#63-HP^q&ruRE~i^s9;oMqBlq;v%1sPK}&@Z4dpf+~7>h0U~b zVaUFgh{j_akBC7p_wQNq1#IYXpZK#nakT;nb@B{VU>STW9==TzD?TlTvi&^bLT%35{LLY>gDFelj!AX$V$oiQw>yqrp-L8Fx>r zwl)V;bT|LSq?~5hf81|j=F^S@cMAGZp zUAz3#(ihC?8gc`C$q7Q8LNi&f_UsGyxqh zr2xM?Rdw%)M$B8_lf|@9dZzsrKJ;>#ONfRVT;rjX{Bbovsr%Ln%LTSBUHQuOPpL`_jz{Qqc3yVe zvKBcky&qY{Wy#K+Gy4Il{RvCGZ*2qEs~zvq?nAZyUEs;tAtEL!ml(q#f|t6o#N|y) z#Z#SP{I#~_%KZ+E*gagJ!g;TZ1v-bzv&g&|DMn6#TPo~Y%JQOwkIUU2M=WUC%Lhc@ zT71igHiriyb|GwR!m#Oz4y zf`}Sgx;$wGFQS}>M_xGmX7`aR$xCwwVmakXKy>;)aKHme6lVDV&d%WDDOi3tD%RVE zwVeBGNRqueR%JW+TNl_r=*r8|pMlsZt@`X_%Q!1=E$H(3n+jbQnPf>;i+w&-*2DZ< zk;lC6O8P7whjmx&&DgvDaYEj>j=7z8hsdpzNc(p$PxWYpuc`Ift!q8NKkaF!0@4Vj zHUJt}NLt_PS!LTs%?oMOOMl#A{0^dQy-6wH^H54Fn9ez~=37N&XH!x=g$r6>dJ9Cr zbOP=I(NoOE<#oJ#*4p{&X;hT8oV%tygL^l*wnJ$%Sem;Rc>X-eNubbv5?WYsvnaUj z%Rd4*scq>ry$mR!a=r!c?J77xF-bq{&H^2~u}K^(+K^Ow2C9_A@5D+!;6kim%@I>b znl72t&J7RNI4;gC)uO>G(9=}FiFqQ~w<{AJBh``mBl=CFfvI!n`xD})=jj>iJ9p3I zfNm_nca7TBW(g=F*}uzbgMKr}M%l)k%$Q1{ao~RKsx^3bC$qe*lEk@~N)}ejl^dN~ zMjyR3l12~$a|J~$D@q&`2H3+pkRz*_xwh43m@2~A+H%Ij?fC&mKxQ%ekg!dyuliHK z!>yG+H5ay`97tn2X?SM7X4b095kk`iE0M%=Q?P8WUKFWTP1=h43nwNZXRLb%e5u2J z%da8G=x~5&6N@eVyjrkWVHB&%Q}`uGU>6y}FFsR(!yrm-8Xs2~00o|&hbKi>R`_&2 zge~;;T`2`4p~VAhD})fEDvT8HH_G^6|>F7(uWjR0#ID+MZZd~zzpFcvDKs0_a7Uq|kKY&1SG*>N`E3PP zT4`+!ZDbK)dHu%Esx0y&Ik>M&bM=9U@LDG%Q6;nadZRPVFqPu6eL3~BEJY&=g@1m8 zPfETK*8=I|eYR=uQ@4YgX4E`E>c`&A+=z=bs7f3`I7w4n?JY;uFp9 z!s0v;TwawL&0(3{w)%Mf+>+yKS)ltqRG<2S=rP%?-njk|)cx+C4-m~5@nC#xJQ4c7 z?S+w#8O62CHeh0`>gx+)-&LgQMjNFt=hXT<0gumYtTC)caj@|Fmg!lp31YVUW)A-{ z^s(l?zM_s3aws=y=SV!MdZH1L%q$f$m$ko#la9Cvg)!Aa&ii0vR`xn`wT9^l9foAG zZ-kD^v!gWG0zyn$D3vSWZ_Zm_aCw6@Y{Dv>Oe{atlOA@B(BbDoH5vjtJ}#=-jma{| zYtkL3(#WvY0x&E!G)wcIuyB{&P+YR@>P)VV5C?_dgUIv`*z0iSe0;=0Ul zfYbb#XmR+U3AQQ~G+nJHWw$*-?~c!gvV)f8Q`PP##kjlbn~_lxU~er1fSwKXE8qYV z6wZrHcVQrIG)lKb4}(9^ML4`^#j0z9Qp|kr7Fwx5_~(+`j4%+}#gGOPNKkc-7D(2~ z8--cV;OBTT0S-M_d|7}_9;oSvP4~}lPW`%Z*O+Z7BWLZ!l-I;%0BASVZ#=uJh%IGP zB9L7gp(;DJsWF~?6qUrWPV!9?<*p|`G8s39`kV>9(MsTl&R=+fR~v(gB*Og$X#a_j z7!!RNYD;9>xTmM|MASNb=3?mz$0=M?ys*c6sp9-x1&}Sz171exV{;hML|4XU!_i+T zQW@1`Zfa{8hhnaM?!q2oJZ!S1m33Dv7ntZI7;^!#<+NW#8xTFe!%~ zC>2IoA;P#xyX-z>%&v{Eon*CYq0x_{PB64~Q)N>M=UCyKA=H7r&~#JXl#&ZVrtS*! zawu>%6fhJ0`~#noX5`@_U0*Bl=A*MJEVk$~0IEv{P)4S4)ca4_H;)(l4Sa;knZZVz zfW2G#GFaDgBodgZdX??eO|SMnn!}C;aWrs9TOOT^&Mxh*+#zZ8aPFj6)T+5Gg0)nk z!*SPN0Q*2>uwKmL>3hrO=pFMFpowTLhzCDB zz%b;9nlj`HwCEv+fvOBE4ukQglkqLFg?`rx}LaSN{ot*4-HBC0gL z6FU%cvQIh;|Jp5U>u8MFdpi8?g9w%gco@rfxIt(tqyh`mXsy>DoHPY9d|CtB<2^y zsr4q0>x={<*7Leqi;`g)9^)ZX!$Np00c={`>6vOR*&qN=Ty!|I57rz|GY!>V@E1!c zah6=DT#Dvn)VDk+B&r7S8=x@qMkJgdGFnOH98OcUHPl`f+9rCaigu?M!!6TRDV7EpOLbgY5>-AV@X4 zk=)~r9G!6E&C6Um*3#H~6?9N|ZKbQh4*h|m^Ar%a+WuSo_xL(fEp@m8SzMZhoqP(%2qC=~ZrS6Ej$?9qU{+PblOjI8Q$n_~;a@U+hHPU2YQqns)Ne+Yl(iL-iD z4_|RLCK73}ouiSY)+W?sV@-w5db8`yDzF?I*S5!tk|>N^u8WA*A|Y{Rv)tuhu}U%T zJEYMO=YCjJg)EFhC$c&DPlm}-J?|z+BT$=au>(0TF|Vt$uks`cvKg}LzoHvXi3s%> zs<{g31sGm4-Uqs?AHGB;|{C{lOKB%61w zIoj>5Hc93Fv5=25Khq^>8Nkt;?GLs2QZpyH7;6_RC>HLuJC^hW%fnac!-F{|!NvxP3(kV8UcrbMiQ) zWL-==KwM5;pKRU%mw-#=P!Zt)@u8I}Nzmf(d!3NkglFf$youi$Ks0q3P`2-gS%gA1 z3t{Zv4>vruCj`1BFFwjXry=yCE*#l{>6?Y2CUY<1g>)0bGS+{4Vu*YJ!Y*(2%T;$wj za;n?~eT}LD&NBDPv3aDs275}{KZ=)MH}U%pEXF6EO;cjd;fz-znCilUyF)Ny`ihLFu}semIT3r{X+8q@{Hl z*<|T9M}nY94!7&CoSNG?=SqNhls&@#awSqUsOm8!G4{838_&N4|99T^N>U+^#}3Cm(o8J7Jc%7^EX>~wc|uw+EPqwo#0|{Z8L)X~@a_=yC4fUBNPsn|p?KnU;mq ze@{M47Y`d@Vp;2bocEEG{ck+-W9B~;(tKj@iK+l5B4BDV?`ic*_AIYr*!uNsIG@5H zv&en^t0bm%?rJFONJJpk_O1Nr6&13$i=N*epv=gcdf!T5aHXL~$%i#hB)1Ng*puPot9*B2N;EbDtGj7pi@#JBuyy7j^)I{KI(k zHNmK3iCFX9AloM7na2p5DdmkmmKUKk(OVRG(c8hUG{||^hPI_bHm^gq%Yq}1;-DW9 zTLI0#Vo~_Or!#Bj1}=(hZ6P*8_oZCff97GNa-e~>~^3*7CG zOTwdYI>6~g!cGMys8F+Kdn1zgGWzvEJ5k{KC6N?MmxfK{LTw%#27v%?2?7I|<^Nfq z*gS@0_ZdqupKsY8r!)i~0|qVhvmfQuKhzO=quAGGR^7p~StbRKa%K%yxg5yUEJ|VC zbwgjLO8y;yQzdHa1G_b%8O=RcUV%_L=*)MKI-t}lZBe0L+lVLCCn~6Z(KiBl$){H8 z+FJqtYhz*Fj^xsud#@@QX6gq4O4%6!mh161@2F2r=a>{zv9)?mJqhPX1(L#?Kf z!J2wK;Zr0+J8_8q8i{sn_jtjm!<@$nP?vwGpe$-C%s{`5oAYzFN0=x6Kg^E}bC=P| z=$L@e50Ym>HyHF@fqzPgle4|;-ApHb9(B&aAlW6*xV^ze5u#7L$0Q4{qK=sn~pZ{T|4TPvIU&W=piLX+UMngL|5$8`!7~WuzZ|&?qEs;Yw+y#-*@triu#%l`=WBFbf-aP3@QwrG`kpU)=W?lh9mTBZ16v%+WkzJc!)&&*>;Q^o>>`x2SUA^T zgUKmrZejM2LCr%BEVNF4tC2h@$vFNrr_k5cHLxne$-!7)^ALe43ycL3?QXBo&TF5Dy!nkzMD6Rr>rJ60mfb7eC)yW$VRjra+Yx-nD)jM+Z7beAG8RE{dzDEs2DXy96H6cR zJKvpfxbmMgUqvnE;t!Ze++}3KnzWp4WYBci$vXE3FhPhgS}RW6@T^0~IvTe!hzhi@ zR{GsFO`;qfh?SxmuqrxloZ`i8B$89x!WFk4rE8KZxAVG7kLGuG9v~nWZbZbTckV3= zA^7!&*Y};>hZ)j9SGOvL=j$ju_odwzHDlG2#vV>6;#(pc1zqr=y0*GDmt8exx1&(~ zN!WX4@|D68^p&lqikC~zLJS7Y2@+GzIxsiZnC8;9z=n5;p%#W$W}oJt?N!4|SPz_~ zx6);hXmvbaZPnBW>lkO;+ZE3U2CE?A3)Z|Nvpga;Nzb+@cS0YW>%8$GR8;2`%!|{P z7ilwu64?s2+`>~Ad!Uo>S`Jni|8piFUmYlxo9hx(#1#AKK>!IjH0>p+3hyzvW#Wf| zeDdTVy6xNsP5o1B#@R1%Kv@P&gsl4MpvnMTHRS{EV}?wwzp0)ImcRZlI|_TjdxS&g zAk&Uwv)a5-`o#(vwxZT7mevPQ$h0?=soRXig4HTo4qt#D%Qr<7oyb(W0^$6?`h<8* z052$auiH5spKgeN)AL}sCe0h}dftvV+>?Ru>9m=(7Pw8S*2k$MFT{Ka8D4-p6A9)B zBN=$rz>K=>lenzf<{#qo$dE4)Zdu%5K~O6(V2Bt6A%J8g0C`UPLD1iF~V`=sKhu6tGREA~G^zOV z^hAL;{={6-Vu6#`T)f+l&Cwf=@*nfKY)tZ2SH+Mw&qFO@d|__9^9KiDs@_lrx<KZ&Z9m!MaC@p zo-nplbk2YP!J-v~3J>;Q2t+1;$fn>Jn5d#IrkR2DxbFk}YX-cbAHj!=WYxZ^LJ9eZ zn_N*u{A(Gx%v3p@`gXAj;sf;NHoMmSG?&Vj3fM<{<`4Fx!5b|P!$7(iHf-lt2?eqp zk`(bzdVD*VzM>y}+(hGxhmsh%pjyFD;9c_)hI_Z=@Z5;Ku-;cjpQuM|Ix98urLLZ^(C0 zniaFAp8VDV13i~WQFeJaZ>rDdo8dc5Pml8!E?*m zuie@-6IKz-d|wg1_J@k5l=%P$kR?YSMJRpeME-Cg^AbjWBO!+9Z!%^8Pp2tz($(aR zZY*rJNr7(Ee1I4O0TZDoZIbV8G7S{%{vWdWTQ<6x8AlbF!G+c~1f7y}gsOfku_*LS zm$E3_ka}6w1{P6YwJuPN4os(dsF|Lhp0o>b|B1~W{<(YDa7 zGTpnnv_7*W28;xGJ=QvgtZ4uh-1>4xog9#Jg85P6^!sUN6#KN!cda5Ib^lMiZyxRU zW1F-+(6h3g87HApasCGqjupgflZyo=9h#6-62e(w`GbHrD*0-a-lo;3|Dn&}YeQQ0xRG+dbm72{Gy%Zsy)DzYT&13|7T+q>Lt%DMzGd)p|^%?^Gp<) z5`)N<3{3{cqt{c$SVj(6F-cYHNHjQHDI6VZxKgxL3*_t>fSrPHLm3HaXeI_Co_l|c zp|EqhuC<)fdI5%N)LQjTS)>w0#8J}Mx%rd_ONd>%G2ihLN0WHdx4!WpNsD+O2SOA2 zXcgSbE&i1t`x>_x%31_vxJV%&Vm&WF7#Ja0%ue`UAkmp4T4@q1!vE_XB(#0Fe-D*^ zUrRb7D(>=8>$>wC<+@Uxr2#v7pNlp|(!<9d3A}%$(_v@7eO1%;ykjJpYqjp-h;kLn zhu3n1ugJj3=+jB5ryU4>f6@LkyRmHtk6+n;RYwJ>r`Lq6^ZKj=vR#CXfMgW|^bwd)8&65H@3nLUnRO^A1}4$`gDl&(2hc>|zzZ1?Y*S(rBS1lQpCAEYglRc*Y# z-J$MFEIPQQ23}tAF3~0Ut5GyPubcqDxHb`RJEPlBj|ahZ=9V~QY-vKP(`*_D;_(gK z?Z*MOYzG+uomGhqQ5o{oeYMcS;XKJ!xQZhLxW z`C5(~bhu%I4f1c8F4zvMmBE7sG#qHkjZ`~zwpj@mp1N-gs}w$vPOb@Uz-E6O_EFl2 z-#`dEkzJy*=SqH7%=aN0bO0maqm9)3b~sF~ajag82O2j!`1Ghj*3Isj(Y^FUe7y*+ zr^a<_7vYb=;V51YecU0s%skNCOrh&ok1OvFbR7evOB7f$ij|F1jWQS(Uk23|8C0W z4u_U6KM3G5LA;Xyg^!0ulV+P7^1_6m`05ZOkOwY2|7S)+UWKiEv{s{3uw!Z|P&he}|tj?UEzx` z^+KGsw%PmBzG{5gBxCzoXVsBkl4I#H#Fg@{PVX1y+*To%BI+AoAUb)pFo+#5A0%y- zbWL-}N3~C7#^>eqpr)|cC(JPl=Lm?|Qqex5LXObMa(@|~%w|WfQ;EgnV+zM7unu9k z(03RZP7ORtO=Eq0gyc(v>W@pLscvA3)~~|2mvJemd{l!=Nj0smLRT3_bQ*3oz)zpy z(}x#jjEIX)QVB*e>5DD8h&1`qu-t!BzNMt;uD38bK*~qz$FO(t)`_|Snq-RO^O+l6 zRRh^Aii;r;?aY%Kf#LnH!Tr%ZJ|Q>xv;BZ`U4fTVXhWQtmA`MNo(kS*3qR=o`Tn0~ z@na5-y&07r8-n44oIR`mrQUmk)H`;YVJe)JSZy>35< zj=|IBav~I;Z|Sp`Bu13}7|w+Dw7gkNM}KkL`U1>wI{*6)+Dum+4#?aOMi4b~A)Pqu zM-&4!Tc#*^A1amLIg(mEa`xQL(1J;HE=%5>eIY8pyB0I(Ym7bpRRh63WUek9eU+!t z#Y0uNIrxe?`czg`(`c$B2K94ggctYWtVvKQ!$0s7j>@IV-`LD8hOCq!@gQ+Un9H)PPG>$%n1T@-l z(sbj)X`f|t?eI1=dZbCoHaQgCpYgr@Ph0&X+UF&4?aH-tAXc@bSW+$JJwhIXX0c_6 zCR9P*IzmlF31GbBti&ld_#^8%YVULSCxsnfM=OL{IsA-m_}29(oX^7^js|c&?v8~` zL}Q8!_u|*7Y%}G~QOU9>isVhyy=TJCiDVS$xY5i1jM@Bu{!7SXNyvIc@(Zlaa2Hi{ zvgA(fO)w{$k8O-SPXes|dK<`wzARI6kg5o@UkbMcsR)D{i%OgD>Puz~Ub zFxrU?m%NfRsHLSvBq~wYL&{39Ln;p8(%#-*y&gSzt%Z#(v7)aa;yj)?Bxv~92O|8~ z%!J|CT;yVt>h}yeY)4<+@RkcTb+?rm_nQQyZ1|@lT44A@Pm^vC2pivt#-b?C5@iDo zrcah9w;;Z~T&~CB94OCBYZ{a`T!Aym^9N~l9@e8OPKbMMG_2qy2d-4E3;nVRDv6tD zbNNoBO>ujX&0$L)ZuFy>4>xM7oHX}k$PY}2E`+X^^+ z<7OW!4eo#nXbCl0(q+0(W0z!iGTa$IMK0iG@AjY9_1HknaKQ6}XVOCNxx~kET-O1( zgS~aufkH3+ghcK#(G+!FCTmw_h#DZ%k^)Q}oMb-o4WGgiJ!sPC#y#kpR`d#bjoPL2jX3lcCUmz=uAWzAM&jQDr!x*}hCV{2cFB z4nWyySuu%5nY~w`tGqOprEj_>s$>a*UuM8x1vdPP@#`feH}OvNximlcJr-gOm{qRO z_naYF6~TvIq5_nbT zz!{i(MK0mtd-OUx+A3l@dlVZSFZ0uTdYY=);+5V3f@ zhcf=roQ*QZD*w_wZ-xuwgh2Bz-EOL^Sko!4S@S)%XN)scSqZe}3i9H^lx6G)2L!8_ zM*ksl^f|OzKe2X&K*g3ZD`1)O?c~#XaE=rNN)`48{3MZ?vIy3M@oSkxx;C)$$sK2r zkZW*1qtJfS zYO5SYO??H2N3hq_biAHkhzsUDyIiI0gW<4O<-;_=l0FH^0KNu9>N5DXQq*PR(X!Za z-)=8UI!6)?+6vE8Ivl+=^QbyCae})m%{Qj+IjwVQ^tS7*CZ1`~O3Oo+_xj)Gm*UB4 z{D${nXM&Qjoi;@^3!oc}$D`f4^qk7A^D&Qi_TL}egr!&NA1K-NYY3*khf7uaZRK#4 ztO=B@TdT_?EV(XGto#g#aK?)YJdNFGByzT7`zM%|2nZNgn&ax}b5!Z>)jB~{SaPLb zoZPQ%+zzwt88L%^{*v~f0hh}d!R*!5R_F;i1vjvc%@HkhCmPE4&6mp
    $SV%jS(TS|*P=bx~+ujg&_+e>8T+^FMpGu!~o=5o`lcn6|@0l#rKt$s)WL9+GvEsy@9fvZm;s!)LAyeOeJ% zlT2geVi)C&pI^%yO*`~9Kw|YB=|EhsjXSa|ZId`5B9+>_kt8#C(2S*J9VOV$GBIWe z=C$0nR=y;2Okj!7+q9H}Hvm&I_yb*g3K{q`&m&2N(Mu9xIB?yvpEf$1w)xDx2n00w9v*&bH-h02%95YH{5Pf@T^|-&tPOkHy~;q*mHClvQ|n(}*mas)(?|zQj&>rR{EiO< zkfl+IH0?B}$FUipV_@u>$w=hpAY?yn*ZwCh9o| zpfsh|Rgy650+~Tj{*D%fQjO(UHfxtNWREhy07#BNQrTY2&mSQtpCgLifZxhpt-^^M z>>%iO`hK%C8L4-v10*Y7VnQVXOyB;)Zp%hYxn84^ zqL>~7vJI^?(Vq{E$Vi9+5*jfI+A+h1qy8$eyX7)Vsdtk)72fII_^ul&-qQxaxq%h) zQSo~L-EsCA{bBu->t_UNl-sy6>^WHLqfJ1^d`vw;^il?QMcrD7H85Llz@{u5V0pkn zdA;ZEF$fGB>>rSz1C^>Df6@?C3yP!z-X6<8LS^8>A{u9UQLc?>)&EcteNux5CL}=3 zU3f*T1rYC-_RbagP{xTu$z?wSlpLSkPTI|lu~I$VZerylQb>?=g1?ws z>3-z-MRvnOxJc(cKU>|&1;(d)Q#<U-+W})ssrq95 z7oag)zNnqAgCaji?+}f|j~N1n-NX45(LX79)@l&UjVKG(ck)VKWnlXvkbB^gbryY)lm(^-2oO{vYRfSdJ1~A19sm9c zB$S%bYaiFyV+`((>x$>eS+e(b1*iWWYo@aSCYKC zMhAf90)L9fkISlrUu+MO0{Va_;Id!@8Ha918WY2=Z>tWfi{f?yniHH=iO!WoK*^+Y zeS-RA%G!8l1%FoaBt*eth3=*$E2_qhS_XFjGCoB-NE?dn;c>DA_2@p{-4yaR{aQi+~J{U%_<|oJd;7em~v4Nub5BCdj6}$K#VPp12D4FUXz~7FN~r} z2QP1r)RfRH2HLouHA92H7#RkLUcNU*TEC>8f(3MTwuMrJ58iT!1wWyA#}Pf}-*W+o zjDt70Zwf&0?>)hAH?Es6#BrJm(=^iPh}-mS$}t_rE$3bI8N!RG{W?70bbzK5u*fP% zl4bBtQxb||)IoI{1lf)- zFbI6=7tcg#YBWEf+DQ{^AiYEUeAS`VWRAd}%B-^Zj;4?xk*>?duM=1)O|_1hwEK^m zy^8FRF`qrjA?eu1u_=z)p%!aLJTv~cYUkp8Y8d5rtbRGZSbhfjX6}LUQQM}DtohaT z7+h~RwC=Mrp3bn)$4Kn+Pm0$g3Gitr)#zFNvWYWhP7}*4e;bG{mj0Nhb_yWpeeH3?QOWrvr zdi4eXv>h>U_so~w2Kp3#`xy&Hpd0;-Jr%UZMtK&-B9&VB)RR0A>Z!GKueATp-G0UK z!g=~jC-az(pplkEPbm$Zt+Pg1odddt%RhkE#KF89@0ad7whnN`tl=uXEl-%+jX8 zfUN7w)HlUvx~npMi90ioCQt=^K30@vNuDTD@#sf?6*5ZbV%?nF9U0Wan~`{c{@4jh zoW5F`2RSuO5lR~!A5LApx^K;^EMy|wF=^hwGdQwydu0{CUo*P~tzR!vgR9T{+JrH7 zp^uag2+`PX*Fq?_7n-7 zRd-?naCThJRsB|yQ@OWjEK_iZHE(ka^dxD=jmvVKu_rnaCVSCXUp0}o)Ght)rSrME zq&L5n2&^9`6>vXIg(hLuNb7Zy-lBJ$;Vy=!F@q50Bp+K(0@X1SA`)0~?0JK1BaAov zOjJ92cpa#iH@`dh?If*W+c{YaDle0P3=N~z?!Pr~1<2$np3#1+lgK+8zmoiA@UIB; z6JA1YSeeR|AGvZOcw#syWaQs-k?Ih9l_DLWMk+~e0JnK||NKD4u9D0mOv_dKq5>k^ zZbLUlgv&>G9RAS=mtsXTZ2Sy^n!={AL$93j+j=(`Mqbnl`-O>#?eUoul;+sUdi^fs zK868rwHVVbM+4aFj2@9+o%F;lhExD*_8z(7isK;fuQ`$-NP~A}-zL%ya9k_C0&XA< z5;8P*yAi10;_$2sj;ROg*s@SIS+?6+!Vl*HjNeL7WKZ@Zn0e{>FH*IV06tT98heQ$ z6e5cTba~l*yhmxU)7^f9qyV@@?1t1R_%>C|9;P4{!0@Q#@Xoi@627(7 zD-s^ajyYjhN&8mKt)Nd-h;_cVHMN`o#Z2bt&em$8MsQ4%+;t*WXwjQY<%EF;c;~GD zkcqZlLVZ66NNT#1RS|?bj(nGu#_{PDu42;A@-#ZrNF5WX z&Q%QSNlfk^sQ1~JeJnQ`Ne5PnnF|ekN{rYgvENn-HdT3@?i#43y|pf`Om~v#iHx)) zt}4Z4=aKTp$!STSa9iAqwp-)vln8SlP9Yy)>#gp5J#6Vv{u!)xl<1X1A^l4o<~^m1 z-%Eckj-NNED?$yQOhi{^j)ZP`EsApK1n0;PgF3n$39dCA!x5yS2sgX!_u^Gt@5pVT59j!h{XYt;7A`ar7)_AAjJ%4z z@a|sMEj!MfjOE>sMIT!z%rKuBws2`TIec7AMnaWfnSsDa`IweNM5`tX+g*B1owDEu z_u|Jeq++7`i{5wii_%zZcvdkuMM5ATARuO8Ffbq>ARsbjV=zqjXb)zD!pK@`?CIVc zw|lVxJy=IBrbOLLevSgU@PqM}VDvRaaFefOCw>-e@}6n;8%fQ-YO^zI` z%f(uH*nKsP)qN)fjW*JX-425ferPGYS+3x1a7p5exae?Md>28Cu|%aq`@Wdq`}{@W z`dG~)UJAm2m)-btKVxc{IM;Wbdt&O>P0jr%!$NXZ2XL^fX)(tyIR@ zfsdk&I{^8?fF7}C-3cK&$6Mi}a03|OPL@JIGUHgkEEyPEq}?_dxeG1OMtEU#ba4I# zkX||#L9ctR7P_Bof?}YT_;K;aO)XIz!ZRK)iZvRSlwzE9nq&)RVhH3>Lut1MI?NUReV1MGHtb+h?0#c`TVe(K&0fBHgYj z?oZ6za)p`WBqQpKXq>*#wERmdR=-JysDzomFwC+e*tJF7{X8Y?e28or za$PYJxW$<}zoQ@;R=3?7B-N?IZK@1f3k(n}?PHZ+A2Za*ecs*t(+XFL+5X_gkdmL3 zW=tsC-t65s1WI+hDkIw#n2v0Qh{X!UDCc|I{d6YQun_T?X4s)Lo%NN~U0U*dr_2u6 zy9^pj^Q@%X4I5>5J(h$K)TUBA(1MM>tBBN(r;}c*DLd&IO)%;t&7ZahU~K$VB*%kUDW>TprUw8`|#+barv`hdiCbO;us>SPw8P3v~Q`VowJh%KD z*|0E&x;!zw(WdKIbWj4Rj>TEHkE?qHz+NeA1FdJ=Sr^@yvjPtrNwA?HVv{i$b$agp zgOe|Vo2*yPFnjJ2vfeVRK$Es*7*#&AZX16o%D@=-0EydR8W(jo4#m|t$|xbLj@<(c zJ9DBbsX=-6&Yn)8|J56Ii;kjOdvB4U#}n*Uca5v1(eDueA>qX$ni3B(uXU~{Ao+?Z zcDgd?bTSqyl|A2!sZu_2BI!WavX5(oHJcB<4|Y-W5M0-)L^hOEt6lJIqtI>SFYl?- zSoep)sT&I@pEjBw?B3jvHIBpMHI%JM(AHVoPSjhvvJ(EujtFC#jl1dfY2FpSiX^Zx zkeqi_Q}0jGGaIstU1T22yTlcj6}_dQi?TTsEMaUqhOPiH41kMzM~YyX=K>)Wyk>a) zz?1KV4-s=J>ekC9e0;Qp(Lth?LFMfd{S1zkvSwQiR12qv;4|Q9xvM`6Xi$8GbPHoL zK~pbtKAC#uhI}L zsF;iU0ltFr3)qWH2`m^eLYhc(3i|w+S_`+1G}!v93E5Uf%$rGHhT)%*nTVS{i!48_ zeN*dbutSxv!d+DgS{n12(AvkU!DV-zv+<{(M;B5#2@a$XP*R;# zk9zH1;$4BGFy)%3i%zI}a8_1w(F%D!($xY!{g-XpZw3ip(f0iQ(S#2;@SCR0WETAh zM4A|{01HaW$v!v*J~$1`8AUv8DdR``-cW7O(R$P|6#KI1)vkBggE5mjW@D`YkQVvj<|XXSZ42P)j0x4t`fB z8xb22$%kS^d02W0hQ*8-4DzVq%wrjbJ+@fs{M@+#86JscS%(AIj z8Rhk^#Efb^@d$|YKEUk7;KHr2XRNNu3h62%qXJ?nL}AOMziwSHjVR&bT6p<2ompm` zZcC83%Fkj3uS(f@Bb4xNa_$MNA4`(?`GNB*Q5r5G7v#P1>*Y<7;_7@FiE~=-OadG1Bp#-u1pvjaNQf%sT{aRPX9xXKRTJDvuM6t99~! zgH{R@)n}O{#<-cB=_EtxqPlL1FWt0C>GsD#6B$PLA_4s~b6-rR<5y*^Z#$oNow3Es zwiyQ z`kZ7|S<1iCoDYEt!!JOQE56CciDW|^fM>;nZFSCoBsgfFec{p+0N47+it>XKnRxxL z3nfE%fiIIO3$Ha1k2?}I09)4$6;!Vf} z#3^QjH?<*N|EfONLE4i(x-)n7+1J^%?sT~ zUH7P{z|f`fhik)Yqg;|0uuAoM=V;&F6OaRa;KV++p<^8G+qbGMrKe4u;S7VOAc7t8 zu27Y;;`})Fm>@JC5EYgPr1b^+iYgsP2_V2Yb7-qA4;3I7n+yf^yu^tVWtNyz2}FNe zYYvAyTI_lYbg#%*Uo%edB+Qh*x@Tt$6;0s@hBKtEBpXL8VCVZ2=-RmtzDz|nTihNl zy>_GBSQ_7fw&@Th`cq@;m-ZW}G7gZ?4oSeC6BP-}{iGB{T6*l~s_GfZC;hOD!gh_! zVy12RMO)>KfaRb1@2U}Y5T?YhX!LwV?rT943IQii#KI`>sP?@^pC67>wPjUsxxz!+ zsPYPY>3XAmGzC(Q;;33@>8Z^hqIFdFo`d5B-{MJwGGFjm0q6LX6B?Q9-?in@f)|q; zOR~eGwqPk<(RtnL1?9Jxpjt^?Hs8=ZTB;0xV7i}R$)HH%8aJ6!Sjj9KJ?fPG zn_?R#q4^g|_$A#{r2t|ISY z^C<~7`H|4ypI0b<&AdzMY(3URUxAcANGelmf*B_d;$IjKcU)vRereXYBBByA0O@H- z2RJEatbBkFRWX0U$}z?OnSe;%RR+38OU4)CgR;AN5lg zM<=zC8X(YW6Jq|Tq!}SB6SE$~G1P=d<_Gj~GrH_{vyRUyI_;{#5^z2M1D#@;*iECS z&NFEhn0Uz2pK+Slpzq`pKpLtAXTQNRORSfQwk^k;sAmqf5BeoAh z;khKPBHy*f!SK1^;7a^3wOJM3oyWj7b*n%(F%jA}i7|vYZ=;MJ)PqCYo+*#5#Rke) zHFKgoyFRG%TPi><6hozO0bZ8tpCid!l{bEPK~~9JA7$cX>$z<{H;%Z1(2XQxW9gtf zm@0w|c5(%nXZkj)#VrC=<2H)Ro;CNvG}tnYKYKOt&PaFY9X#v%S(*}El*mP0T5TW0 zi3qJ+_;!6Dtzb%fiRk#4J&mEgjpI!dWe>AX&H;(wDqkp)3{s{OLMIDLUN4}qik#N> z3$Obb!OmAC)xe$wP7cNhGZ;{Sk-nL(Sz)|#A!)&bKf6)f3`AG#Y7ry1-t+b;)rPUM zkspWK<%92EkCkdPR@a;(0@ISW@WU|w8{~uV^F9@P!?3D`X+VUH@*;^YDN<)}HDvz@ zmOXDPOjxQUDS-e|er^U|ro-n~s6D;cZ~r}nnui8Hva(d4`jj|Ks_C%SJt)N6%KEYj zfgjLmea#(V?XNDw|bzFNk#yntzpUzD)HHv_nlb)2Lr1QzZ$_;m& zdn6@m52@{>@7d}C3(C!f0EcSOMWbJ}4JBvQve2Tn98sWj-Nm<2kI0Caw=5NBIQ1Ez zf?Reut2xpi4xd7!j^>)6P|6_T=Xi`d3f`SHhpuX%=5ND^Bz8>(zyxDA*04 zM=&Mb1JL?x3^}+mloa&2<5eoHgAwoRc^Hp_-ug^*!xkxvYCA$a+N zKXTYoUr;D^>I6+gdii7~z1_)n;Y}E)Iyp!mb}nF#N7;_Ap1R>GjNmV-iC(_X$J^b} zbx@GFsSG?GBSCp*P(cm$exqZSr@K(fw*E1CziTnNM~G^|E5lwGU&NIZ8`LXv02mL5 z>}9g?iCbuTghOU8hXpBccjwT`s%>uce7;MU(#s(|tup-!&#+K1FKrVLc%dKdd^bDG zhj~!^Pyav#1kjLs7;Sj@BxNX}7xn_}C$g3WBl(Z6YUq7Vs;LatUYsecI3&O8%;how za6pg0rY$rm{37JIk!++Xz_7n;4PSJs!TaZRI*C=C8dsbfNYSq+0XMYb%)T?VgHpevpZ;WBfaxkx0rfVeg%5 z3TG78+vT0!_pxNuk^Zg+R#G9oYE|>yMu~zLQY6409t`z>0p01fjqcz53+ z0>DX|P9mDNc-bXsQa3>h@-HOz{M+^0g2D4e_$u5kl6+h&uap}qzqd=s0ZxDy#$ucP zb^G5JxkxY#eN*_!VWU6D1bRz7T68qtxyX?8;%;I&B`o0hgd|hurcE$SEc*HE+i{is zjiSV1ECpTe=R4YP-8B>_-yu-Sobk|6aYeYH7*cQVuFb0nPZ{iSrHQ4e5QD*XkLj7~ zPNfkh=Q&j81^(QqBGHGG7WLkr8Z$b)mZei@Q7Pr*?(0363|1fa5!JpCNg1K%Z3YxS z3WN7m!6-*kc&^S#;{Lekk63inxOorp9cVg7xWZauH)Z-ukr&ylkhRTccp2o>t|*>e(j6R`zxrfKy00busZ(!Tznblo1B za5&Y^YZlGfD5PEh1621d0v`X-&iKBeFYzPcuFanZ}?qxl*xXcy|B^llm&(yRHV(O-Een9)qHA0H(9)T2%p@0%F{ss*i0xo6*&xP zh;^caZmKqU!+c1L8*Cv6^R`CZ6+DaA7s{q>4h_8Y_4}}JX9W3YA$gW8=+*6i)Sn^$ z3?OQaQNk)1NZ*e(T4U0nKyF^u0#CO@b0%_e>_$-FNYd*JMMxIL7E*TyF)-S%9@O&k zwTwi}LC4565-(2x&TaimXNEyJTobSfDVxbp!C+DT@MC!#P>HPjLvfrwh!;UFA*g+RoKR{pgIA4kqa6CC>9OP=wNJPnNMMDey- zU{hPr!UoPp#t$kR^*HgHar9S`L-Y#@9$TI$*&w^RUR8Q)1OOy>n!RABPhu~CAK)X_ zpol}bh6T@vDc)dZ47iQ`At+7UdJE7NhvOLAY5mrrn%I<<6dm4wVo0y*c&heufqHF^ zCpVwdnfR5>KDHT&X4VD_uxxrd6RZ7F6%5XdQ>`S6b`%nVHhN4oRj}H7ksTl&^Ch`& zl|T3@SJL0Vi&y&0Fx7%F__bPLFs49@R;4XvK@|g70$}P12me|oZD@u_=zrqm*DFZ; z{CkZXaQE>p6*Ve4)R7cck+d4%P|rT3YA!;olMq%kN)x1wDSrZ`obJGR$+S2CJQIEA z-FnY1Bob94m_7mvqs6cWV9{gz0o<4$1x6szls+>#ew}|Orb4VD-aj&KM5u#@t?N*g zfsxxzoXP0O35bU+cSYzGB|8yIP(rOn-cdp)s4m(tq6NZch*YklMgvS`#4Q^4+ZyFYkk>McINZzyCR+4p7Di`1WJ$$V92()CW{2l?r`|_{mE8;ynuMf8T>-&?H83 zs9Z`w5wXE0oK&4~&C8y8?;=+V8%a`KiwrcK9&__ zko+0POw76I#X5$n7nH@vR4c2bkU`GMhDfP1ZI!^`zcRW{zVZ$30USN#QBBoBu{Q)r zD~DZu*I!JFjK9$M7kV$xf(U{;qSt@%dst8r+x4fp^I8ulf71Onl^OvxTBA zVnKq8cLox2z9QU*ukJbhBig1(FXMT#k5U>n`GRzI`@0E_DTm*{EKuk(!yJ|>zxntv z`Em_Peuc%sE91E575n3v=feY~)dlc-A12pYx&AHPJ;<-yE!>&@oZ2 zHu`t|ZoH>rb)ReDvxmPe4nzFVn?L{Y5xBn=>T9~8|hjupaYF($SC9LL%;vDd%V3D7O2A!PJX z<+O4#2K@3|K;Gym#OkeijGR+Dd+H%&EI6cF%v9v8T|s=}li*~F2pwi**VEP^k5 zYB!*wh03em7v1)*EI8Z*FYz=fb64`QfLPSGi7t`vM>pcNsilE00{1#T^bMVdE5!L7 zaUdY=#zF4p;E;4gr0d5`2=*4Ghtv9HBe8k(%oUZ{61yFse_v0%LH2a$<>cqn#_PR# zCNZ$AHlz(aM}PMPbgA&m^EA2UQqF&VUm2tJ6#NfRIBRB316Bf^?3A*TG{Q8te7w?0 zY!E&76T`Ga15)J1%jKPJ1*W;qW7u3iV-)MVU~~W<>p8of#)9Iw5>&fu#QF&vN_|h$ zp%H~j|LJX=I)iMr(LX?kWuUAbzP-9&==}8tx0s?LQIn?g^Ey3szF5|jBC66kIi^)+ ze)dpsZg}*D9L^hVTwn~k_+Xt%YRO3KXcjq;au2A}dQgJo z86xH4%B6^jrw5u@FGH6No==FL$9c@GTOzoi$wmF~Od|5ntiwF$Yc~!siTl<3d0EoDT|ht9<6vpq3T0JB$*}SdlHW58qwD7H%#Y zM^n+|!+jeLDl7Q*O&wJ+7MB53V*QF=*vIwzbt!z63}t4wzq?~pM@O}zKxXoof!6KYvQpOd|<-12TH&^6lVPlph($zn5U^C?p;UkI)M<* zyB$NOw)?InU`}Q}z;ayLfuZnkgW|6`_<>Av_5(2y0y1J4ooX#~9RkKf(aFpj{5(t+ zG936M!@l5Mr7peW1#at)#^4^zn*_@P5A2gmIDL-ehDW-+csQ`v&lq4g4%p7igsX0b z;Sb2YN`g5$2Nh@i;P|bnIM?=ac=Mt7uONGNJ9pezcuWW5iV{f$^GhsHTSID} zVZy(QtgQ$^o zhDUQVJQiqKX{6`qUA<^>!c|6l7wxmIqy;O2@2(4C&IS2{_`W|W3EGhrN>`p0RQ)Y6 z7`8ZM{Wl{7X{_Gjc{TQK%D)(Vx@3tsM)zwipV;Xgmrdt)u(w4LHcMHmD^(5h_Vum- zn4_<@ZH>J*B1xI^2%VD?XR zgyx|_sP+$m%M%)B$JF7?Q}ZU`S$3#Pb3H~l=EGP$Yynr0Xd&^H-dMlQ#tohIny+ULmIZvGj z4>5|A)kKS^*<~EVcNah%9oMuGZGK9fC8=0cD{8^`B}1LaniscO-BipDF|w#U z$9rolix}`oYg0rVrY1L9RO2iI=8_`ro`*|Y2$6Q8Zl?!!r-tbt$xdSC0C&q{eU3!l zqnYJK!Eu7QqRHDc_It>4?4eP~QZdd&5k~aAB%hMAaiJjA<~ok4>p0u9b}muI8_N@p zBcaNnyk50JLD?}Z&o{$l!&|mhDB+Zd(@c5dg(n*5(ZItJ)*cwYOHpps zCWtP{{usyGwGJ6qCu*EK_J@Gh;|as>%pMb_ph_yH;^dqwd18AyI$X(zVS&?orSV~4 zxcY8iHpKx}%N?gli5mwKIxiaMRTJwRqQcjl^IES_FQo{p1tRXdg>loes3!Vqc($b= z2CCu!s30#%Qcz}UIW3eLJO{kqt{vYN=E83Xd;h|0x3=nrcqJx(we8)BF?R`k{4Q16 z;jLi1Mgi|S*(s-ixA8Z#61X-wF(u?ZH8)=bz?Q}YXiGC^t1(tzkAC9juLhu^2bZbG z3Gwwf2~1n)KBF1mITdz*m%%$~o08=#nRmOc1!FV)S$Qimo~xRZb=S)%Q%Jobx3yDY zjTRm~Ld%JBST`6+b1@tD5uQFR0D@Xti|oDcNtDaWyLvZ;J9&}y5LwL;vZ~8m_3FgQ zpEnCu8i?8WtZ=ELjkr+=`v1PXf}IcrTY%j-&^R=b;pE;8R|evtfh|5yo+GQXG}BVM zUt{yBIa+X4iAR z_G0@4x73obB@uP3j~>nbg?1*&=p9!Fz}loq(-I1k=p+(Jw|feHhXs}S>A$rntS-9M zQ1lu8(Tug2Q!%<9?oPXOPe9~ZTRtxa=Y4zeJr~)$#;@)0Wt0!kG52fe350Ok@dv9E z@gd>(WdBLX9olZYAmM$^_R2mJ@sCa}N)~mv#iLtj%f_sv9m#{AV{Vcn^3pG>W69Mx z!i?GmY%yjBQ6CN38Eefzdsa>c%WLWJIAOyk6bQR#LjyoDV9Im+<<*|P8=xl#K;Yl* zb>m(H3A=YCCpssCj=K!9@QuZ{6;xDh6p&>6%8aMY$L_psbBhQw(_?0Vp}P zRZKfxNJ}KuQ-;U&68|nm_%MDA8`m=Cpi-dHQkcJ>ijrUNq?LmbcOi0#0a#Q1tr6LU z{)&gneX!fooZ<6QtSb-OH0X2zb7i2DNd9(v98P#joGAY(&s)PBJhGm5TZolN{q}DX zQ6fxAiL1%|=7DRm$!MXdJ|k>FORFr-n3|FriX5k|)6DFg1c7&70o*UUHX1fCMH4;n(AF#3Se^t)m&oE(Lpz;Mk`U= zcpdqyg8r$L2n{c-OEAumwF^Hd_Z6An_IvbDUGO;o}w*dT>bE3ETrC1^u~LKvW0hagz><>{RdW(??H{Bt0oTx zN!ijqQ478AS@t|5rrYqCN%YXi6q7HwRy-$?XWT2H=zI*NWh8z>5Q7^IsgtDx6w)Xp zqt>Wr!GPTzm%79r8_&Jb`vTnfL&H`MKogOl{}T-y*}dBMQg#D?*eV1eS|Q3}T!|mc z#(0^f^JpcN9I+BOjiI)2_Mj~uHiSXZJB9A8V<18ng~EOs)CjMQ`k7se=D@jBaLa8j z0bU^dGbD2IW`MPq|DPpx!bIJE{%qJ%@70MJRT=KiD?s;GGAyo;4{LnfC8b!c23g#T z$yjuE{7$NKQ3B+_aWXfH8n<8)6Z<9?L$N%W7XIRn#1*s(DvK=jmYht zSTQ?u66i^uM>5){10_QybFjZNk2Yw-&GrWA15Zfc)i`0Hm`XDqaiy~r45JvQDTOzL z7?GDo=L1woh?15XHBjwZtHHn9{FUYyZx(99fyBPy{+3&>{6t7BshXk)d`-0AsNvll z)RR#wYOp_e?RNN|e28{cz-Eu){$8(IL1d31d=l6E4!klOxj$P%%BHF8n)h4y_bjt! zO5BT3uXb1mI4}Q##YWg9Cwl;^5c{QEg{}V6a|>F5vV^MEtD7JjqRGn0##EK+Q(0tWR%%*c2AB zF66ne+#;{PF~8OHQKSxTM3dpa?$HnM*Sdgvs%+C84mS?e@%(#fRnBfuT_z3Rlz2k` zsp3(HGiByRyP_wU^NbAdnHGtAL#gtiV4B)BO2tfIW&nlI|7+F-Z>FstDc`AEkTwFt zZQk9}tycPGyv=wfDwrKrYcQCN=D2)(&d6wQYMhtoGC#!kraE~uFQ}^{k0S&_J@ysM z(WM{BuzuW#zoq?^gy}nl^X+?Y_(2^G(GoP_Ekj(1K^a&FB6D-6@aN?=6AFLGs<|MU zgSf^4F%IOs)u?zqa7T_D4>F=*GEKA$AO9)-e=$4BH+h&sw!f(nJb$Y7jf~>YLq@

    Qk_9PurM%tbbJM&>p^cdM(78YTQv#e!(~t#K;lN(k zKWm$@P15=mh*dZMLdhBFLECY`sg|)|14Ud&Y{A_rv7ZY@w+J`R zPVb)_$xi$aOc628xF0V;G%S zYpTt^J!!oy|WtfHCmEr z{00)Ig70oy6x&n>;~R8i6Qp+;5kpk=!q=rI+~DYN1_-|T2Sal!?nqy7{78mA=6wwb z(fjmA!7NnMt-mLMSal_Rn*gxxFy8zGVH$RohNAt}S$bs=uv@HWfk$xu;6=SmvUZ=w z!tl@NJvYi4aNT%m+J-7PcE8UH63~q38sJWjDbh2%KYe)V=yMTEh<2b%NC?;x>#jW9 z);%$5GMJr%yXOe*BebwKQNQSr#IKUcBeXEdkF^aQros}Hy829p$5COt4NqJpQ+z?H z8>Oiams#5x5PVfPSWW1QQdp0pA<#Ghx+B;k%P^^nVb7X#`uX)`ASZF(0n8-}1wgRF zGD&%>{BeXo3FlfclHP`{EQ+DO(vov(YEn7hG2&f{R(I-~Px9yLT~bI4bENC()af(H zA@QP(uPR?LpbXJ&Zn|a}PFt9nEl@TUB#{rg&^NV=_@t$J7cWB@;YA(braA-5aOFA| zb-puC)S_%Vf`TzrV)+is*P%^$czb+NI+J`;>f7{j@ zj*>0@Bv+b=CB0}t=lo>@P5ERaHz?m3a{v2{d81A|mPM z&YziiM}9(En`w;lVDmg!*L5dk;7JrbMPq0|L`iLjeX#<0qtgW#@4K!HUvcDG3tMLQ zq96U6qjggzBsE0D6T?Dh|7!@975`YKg}qP~wJlaw9^ZTp@3iO){_x<0p_bvzRysfD z=%9$xqT~{* z%}ynFZurK3C`VGcouPM(x(@HLj4J)m+~xQ(na8yiY0i}x%>J;D&2@PQ?Y%_M(8THN zy+DC7;+flI7Z#3%U(JxQI>p{tklf}3;?Q&tyiyVIev8k}<3d@ojMuNwD#^WTPYKmg z3AQRfoYaB+U!>GZ8`C2v51h_#!-mDm}&Wg^V8QdL)% z%dxs#RK@Aanhte24R2j`z|9VF8(NFCyLGP&&Q~Dq8W@1hB6(UmS;_z-&FPI*0jz;e zJz8yEd%F&0(A{Glk}m(WT;Z?l`jvexvAR52(y3Wdon3DqRAp6+2}YmRJ*Zz`$xPkg zOEx9;Cj0O1QEuW%sqsy#q}c!hq5?>Ks=o7K$(&Lk(#ln_@$h)u;pgs8^su%!Rs8_l z|DGYIl^pCE-=!!tnIQKowtf_KQD)gMJtB7>q2Rf@x5Imp7|(2sS~3Sh@G(X&xqU0!5vh!a*+^ zKVLITMSR-#0z0t9F6y-kZB)xieDj2;f)o)bUku^yz<3LiM)N?&Ys-J)#T(gd{vubB zCx_w1kODpv9Y7h%Mu@9pR(B`eD6#!xD$^nh+^TATl2iH-OB`RWfoa3V8(T6NUh#Zj zFI^Ru(BvTBBZ=D*8lW*XJ+!CZ;2NpCSN1wxT*oI?QVsOt6ILNmJs zl>R0uy?>LWL;`L=_7GwsoK?k(KkC8TPAM<|3J}7y|Ca6*+D7C!=*FwvV(9n}xp9o` zjH^z(Unjqt{S_KisLb$tG$PQ`EBR;3VSPH7T2IWqX09G`i+WMs+5n-SI5wl8c>Zyi zz@`|pEn`Z%3LEng1_Es!R}rl=5J;Vg4?ItFt=-76_x%34qH;jZpER(JpNVX?>m^rZRT$$KE5|!9+yzZ9EC}?Vo zbn#`V%{k+GP?}x>meNq}28?nd`A}9TxhN?LN!l+D75?WV7aTfncn?Mn28*xdATvb8 ze;;yb)zKL1R^?g$^oJT759o7T8WycRt1ZVHQN}*Wm+^TGhn~ageFz^2w zvEE%9=kk4_+*vR;U3HL;l$v*N*(dR+py3;)t)NC*?s2+->zBI^N9rmUZwnn`L}GbW zP8t)(y3z%rpgiiJi$pDwV+h+X%yc)x4tlc;ssq34=uz|H|aQ+BLlMxfYHa2kHf=;}W13Typ zT~o4B&dZjybf<-m5Jbeb$oiDdR>1S$bKZ9Co#Xfg04Go4-J>E7!deJVI`mYAioo#h z+daK3TucOMLcS!`gp-@9lPpY##*W3*c)9-r3ZqqPWj@M!9e zZ7rur-Z`Y1`2c{p@~X5n>{2tJIAy%MtP}-)9qrtV?|g5u}sbTUiu z^3ZI#YoD?=Co`XN#0SuM+-yv42ITa?Wgm1N5lu9C38E^{5LU6B_jX0Qt)}3}a%co} z@oiZeK2Ek#f}-&hu;Bu%LujOn`u=hT18;66Rod_6)=SV=ZfwkVBgP z2|>|8eJqvJF~c(;m(K$@qcY$XJ~P8T9eZ5X;IXvVf4R%gR}~G5x$W;2JDa-<(r#*! zQg>QrMdFL$`hD5e80+C%WcrR5)?flW3`z_77%1<~sI{SD?| zxwIn-1C@rCVH4Q}kBF=8Pp8yx$MxU;(mph_UV*SKQD~rRj4bge8e|-Mk7brs>JD_k zA5e~%@^x%C2Kpn#@ax3MAvkQQUsxExQeZP22Sg}S!xFLnkYN%;VhNw%dZi-v&m(rpCuYp~CDQaEFCE8UON%Z{uS!@a6huZG zHn3epLDw($ukz2;FhmS;fnY*+)KKmvvZQL#L6x4ZJ{cL|^(T6t1*xf0T8D~})OyDe zrXzEv(SuykoVx-q5yu~Bwq1l|^5~CyLZs0n~8LetZ?}VuKl^4gfIz z-F^wVJb88|-TqdBF_gQ+wO2bupE6noijn%IInmAo&J9raScnt|tO=OKC%p&FbA}%t z0Vc}(Q&9z1^5KXz2bTmFw8-ae2OPIWho*_S-V7&oCwfv89PpaZKx>Sbbs@qN;GE=hC=% z4qw>Dq-PRwwE99P30pnGd2X z=58uPuROn#a*!=KyP~=H?=M|?0@Q?eGZ4i#j|3;b*^fW!+ULynlZ<(xNQ*RfwARFE-5Hx(r41>n z(6HF}`#1t$*_8=r7@NtyhO)V3yY4?020v3IDGG2jDwcr@1f|V$#ugD8Kg%)6%(Dfj z_z?|1A0U=vPL{>rP0r%?$%55Ms*Z8&5f6F$!er4?ncgVyt;sXI&Cb#0SUc*T@(`Yg z!ARG<%BGfiqXU zi2|4NASw(dk#{Y?bDrUVY0lp`pYQL1V@{hu`#A zWGI;BSepdB?0b3xT`$rB1kxYIYLUf4ky!}nSD#Ng*JUF%)ErA^l%litVncvO^>BprKx@Brq6` z5ohb-3Ozz3eIL4ALgy%9nQHLuPDR_33aeIug1Sxc?=`KI1j`MXxJa|FS;C=zATouD zXMkN$H0;zaR`^hk3*CmCuDu|zM$^2g6K5tD99G5YMfws6q?`JvpRLYyjvN=5L!a3eotW8p1fgbto*3b_dH&+iP89@h1Q4C2x!->wR-6T79RuYH%@{ZZZ=~@#0Ay+eP-g^@6MKmE*COt z9aMh_=bb`x`9^=bYWaNV+S;4IFnDQuwca@9Unyz%I2E zHMaI!xu+TNJsf+y*HJSWiH?=rmpUGcFAMb9zq1N*Z5T#{vYQ9zE~NKHkCr4G z?eHd;S>;0n0zKhH%`uOE=JRYTy|R8Y$gzpnPT$(SsC4Qctag~&7v?=in5lcDmy)Ft{nGduMgFg@OhC!=vjuHl;wZp(i>0u==IO-BYK2Yv>+nu5 zV0BH2KYzWa1&b7RRgM}NV=u$vs*0p|s47LTI1xfWPp5e^(hE$kMt!cC1}0VPNpob| zVH%q0Y@>#~#qcO)n5xy1^aYZus3(8hubIr@>gK#XO(|+&(?ntNL~i$-3WobEW9fhq znJ4pLN|im{L|()7D-h{xJc&O9OCfSMY{#grXBht#A8xXnlX2+Cx_)Lu=-j&6)m2iR z0OpG#_Ka@-`@Q|NCecSj$nR`NY{jz2AbbyXvMr!jJ9fEZc(LV}p;0#xfECrlY1#)& zr_n?m*;sV^7-^-7m&rjub!-Ae>8hDIQg-`XfLSGlm9Kwb=w* znqRGPaT6X7pgZAb6D2bWSy27D$mlWe*36`(OVlkoC;+LyavaBi zEp0IV<71t)-DYO34e6F7>8C-h{8fZ(1wjn_Xx2qV5#_66ZmS_8v_UINtBk*?}#!eb=3rE@&0&G>Kpr8gy~N-i7d1 zigP0d5x^~Ghp{}ImES)?{TgR{bgq^BwZw(!v|WG%mJOu}SPg5!T6oyRjgx}CRk#>* zk|_Gf&3>U4jRf^z?j=H${0z$i8@7Ux9pC{J-6TBc5!-Nz}Te{Ccy%L zk1Z^aJ{xqHy5eGn7PvIU8nVfrV z16JO#I!`*eXby(I*(Db$+MyqrAEwl0UMEsh2|HxXY6#5};niy1P-dpTztBQUbkl(j zZ#D8Vw%vou9L>K127WDzuf$iO&(yx=P9I}FqzhtqWIyM~#82KUM}w8o8w7Mc58hXK z#&EGu-43eoaCT>dW8HR)Gp7d(erTyOlZ&htVwY*f`Jo=gN2Vi+qeWQAr##EX`RJ6&L{q+iyF<2U%=(ui7 zpY@ls*rBCFK=N>;rd1NUK7hfifRl(5IfIW65lmzVYv0=v(I088bwix$UaJG^f%dfj zD-MFLmvBs4#Y>>Z3{vE7E#Rb-30VZ4B~*%Y0j6YyGO_lJv-c8Z>zjS$D!6#iB}Ylc z1-NuiFD%>W%V3{dK9a3Vspy9|Uv%qp=Nmm)oJMx1JM5p)oq5C$Arzt*>J-*Dyit%9 z^O3kotzQ%@GMIO#ys2SV+tfWUwOU_$H8>d>Y!>mywgDb(>1_jYNuzS=H4jwWXIw#m z)5kNlUbd7L3ku2J9fF`so5}ZCY1=>Jv}cj{{IQ34J|PD=c?kK>NUnkrK+DHdaWTw9 zn0}Aa1o1_6@Z$*SrNP5UEI&@m_mtH(=SA_Oj8agajjP3SnGFOcvZC~yT(;Mf)%kdj zPaMjvKY5@NVPO3}nt4>ka2-?{;@k*%WMJGChF`O`=vCrgm3+fly4i(4meDy~V`FypYPUjFyK|nh*Qm~%5V2NN$OZ!0qLCF@^8brIlxizJ`LR>cpg!M-?&^x%twy?zG0L=6qY%nrGnr$ zUTC9L_=S5(diU4D<@1hV7t`F9h`kh(Y_A@lgyUGLGLkwEbe~N}d(I>r^J)cGbN8Y3 z;gBD}9KsXRLWkEV)b6@=Bjw@-d5lEtD|yxK*2es5DtI%lVtjlS23XOnXY+X_<$`YM zPtn3fqu?FpqSEz$EpnU7)h3L%RHMr*s^qt?=uBm{&TG?j0pS*FrNX@6*t%9{JqnjK z-i0TiU4Y56OiHm)R0({$aI?dgNOzDD1PjK)escS$IKV@IK9dK?ynWC5>oN=gji*`s zgMJ9_?EUyS=WgJDG_Q|2t->_j9}1~!oQjUVN=2-**%=n`F|(E+M0IGap)J@jKzKm@U0vfLl2}P^1qLBuW3S^L z>1*P~e2>x%T7WTbbAxy8x+UxJ$wKxUE)(NC=n|m`4ubPs3?7#~VbYK38y|F>ORV(p z?bwVU5}W+uOOY@i4&I1pxFl{C^xt=wE~^zlkly<>M>##d+N#6oBVp^qeMdADG#xph zC3p)W3S-3O>c2u5_=w;Xnp%(h(_Gj0&&*6syRYdi(GXyI=%bV`Wcl#nP+qyl#0E-2 zs1T6ezsXEfft92)tSKVJ)Y*prFz7iVpO37fV^IY!5k&l_Z89p0#Csk!AF~SEy*#bj zhS)Kx$cX!|37!Oc7ZPNtm$(nGuc%3anx+4$3 zM2lO5FsD_w|Dsqk^%v;QLDE&RMH3L5Teuw)lT=Awg*4IFe{SPjy2Ggs@CT>o zSYzIbKAx{H9X2v0Q@XZ7DGRlD$onE4i5}F*e)?#JpJ`=LTFT`yKGbujeo?400b-qE zbA_iog4YH{XgyB}_=zeR|4o9}-(!Ox&{<2TdwwTSIUp#sn?B#f^T4=&P03;L)ioFC z=z#_Z@gGe)O0xzH7$|JCsS(6*3&}CGLbtw4e1KSOtF`FC)q948TGcU641=EIn%-Ft zhT{lLUBn~L{(dDMD+3v+bQB2Xt!yz3CC(7AO|*sXE#kIod83`E6}LYSteV;Dj*BFV znLWBz<@5{q0@@6&KYWOa_S(K7Rh|NjuvlEFzxMhYib&?$JL?xzq#}+o<(9<3_Va-n zx>qJf@Ho^gqVTms;BLK~-7T6!Hb(aVKdoDuM9{X`)a@3a7nqDlSYrV-;;3?#kes>L zbIz*aC1LC-B|MHZmZc>uIvgRZ-%sT(MMlMivLfCATrrkemt7oxp+Q{?}zO}?#?xt*$v#VqC* zY6*A3k52ftH9<(z(7eJ*ovDN0_J3b{_sVndd&=;%eN;W)usW_7c-Zb!2|@E_jzLa+ zCp;w91IU=I#x;aFoRBF5|2?;u~ziH!RE z^||`mWka4tv;Ef(hJc-e0Bt~$zdb2@0|pK5nD(~} z(5k%R1+Zhva(jG&dIhSB_PA?3*I6Y04UN|>SiOrhs;`wgPR<(?k32QbgyQEFrw@2^ zcFJVD1cy1$^*z$NX?g3gEOu@)!2>#z>hgoD;{nd97I zTliEh6-l*Esa+kCE8(uRy{e~*s#qoOlB=&E~!WxW2Du4?z&+p*WNVX=@b4f%Bx->& zZOa@ZfQZ^5=kJKKm>Qq!AC~|&3J3sz;WCiKmCh*Lq{c9>Q)vI8!8brZ-Kz6?1S89djWSmV61Ol+dxUWhY-(K zDRJXfshGsXE>y)2z;u7(nql^+AVl9_Zc3$hmdf8heHuB|lc$nj$zXpB#pnAXxJ4HP z!WUm=G8_XHJHp#q@@JRekHUnH53MOMI0{S*GM+Gp9{BFOjX-I=Fs{Ab6%%WLdS+dB^#QMD-0R(Se&<6d z`Kru(crp?LHAf%E%HnCxR^T0RTv$rLDDAq`{z&PV=um9Bt)!*0_kgmLzp>T}r-x6{ z_LUp@@?#1mcRf^4Hbi=!li!U z^Fz#=tMS!mnWVJ14(fGYm&iSYrVT{O+IUf@vntBlgGS8>`=Ne&$+n`k$$Kfhc2`E1 zBnavged!=VSaae$Iv@f`4-D8RaWbpX^Dde9k|Ni~T2z1lR+50a$_>Cb69A-D4exdB zN9ej|etz~Vg7c8A0JlWk_6ZxCs=B^C%;rr$4RDNBai);<2TJZg2%@}*jDJw7j`*^O zz03ZMo|ox8^6wRPT~VbZb)+hFweWhATqe4fb>g{m_n3eX1KU5R86z@@IbgA z-Vu|7!9qT@?$@~WTu7^F%}=LTNC~qDYR+dc{~R2 zAx%;uDOCnXVf)%{TCM^+Qug=RbMlC)tj1j>^VzqClKT1SSIG?%TlvkrvDN)5$=89F z@sRzH7YXq!pFjg9zap@pbsG=_{w|?yY)?Z#d40s{=)kZBtc#XR%pt^8Sh}^^D}FF{{)u)H^a;QHO$LrJ5lsHaBQlFfy{C zT}}0x5pFqd%H9A_clB}Lc>>T!T*uE}HE$JG@+gq=3X%h#sr}i`T$yMNxMX$eBAMNf zf;?^5dx3Jd*g8%k14Jq^t{hF){oTpdm(Vfr`jwLtd&9XkPqfw$NueN?h52O~qN72_o-F*qGX$iGD~MyHJPnKQrt3rO9~(wR=ip0bb^A7OZPD} zTw%8L!SIwq0j;~)auUyzZ3aG8#{uSt_4-T_<8{%H^Ty+FchQhnL$gl!9Sl2R2$Wl6 zbh0R1eY`FU9D$H);Ti-Bq+B5jW z$T9CLDF>C3{VEDEP_~45MikCBVeSO81)YAgrCnlqJg$2@Ke5Q_UPaU>vz*>1GXq>N zrWG7ka6R--Atcq4#h*fOfixU{#=Q4rd;4UG1Ybe7_&$nn$mUOpWS#cHZ%HR=NYs-A z$VebCAINzOW%3c9Ul6`ehfuaEedmcYvP8kAk^?rc7i=z+g36Bk1 zzb|Xe^~V4L%i^?%4+TWyhVB19moXIh8P03Ms0PGX~7b z^{Ci0S_+0pqHBB%#ah6xA?qbTS~apV^4hw37okx{AxTdK{WH6!2K@Xt(A{n;Id8;p zePb#S5>gTx%c`4pi{^kVO$;SljYoL_fRtBDssy*OLekS1#UJM^9ImQS%&&R9MBj zEZl$i#C{4sLt^dElnfvTSQ|~GUA)N#P3T~mSmPf52n!^+%5#JG5Nw{6;+)?4KD zq|Lc1qZwl>W>YU0J)bW%hE3$R*T3%0BZ$1m*ia6~U1bt4OzwFt6^b{gJOPc5DN3*o zDSY3QTay1-jk1)RonYzhcxjvvR&=yMwz?HKvK#=OtDyxIVq;2IS+1TfMMjZWW>bFW zVG_ttwi4Nn$ISN+9>ur+KulvMc~pU@fDqkb9hh34(SlEoh0nfRHgO1V&^~ZBLvDDe zv^lfi`K3yVK9PF{C9miWqw*7(Y8~h<_wEh5+^m6hb4wYK+nsO?OVUX#Pyi}j&7O(m z=L1h2i7Bfx)@Mzx8!8FkR(Y4!d&gE5(Ax}D@VLN!j~PZx6da2IUQy=c6u4J1<7+5+g%gZS(A!_^aI)V$5)}pa!ZopxNh3ysZni z5o1c@xrTJePY$UIbD>|wGxDoy$l8`u6@^`3YMvQlhy@oEOneGdW#~VNIrDCw09%M8YIlqtV=N;FU}b zVGuaOR8nu^8C`Yls5U?-D4)iS(7vc$yUVdX+e!}{>U+VCO({W+o=BY|a#qaWAAaeu z7box_jkucUGGyoWT-61I)9W{<5hFy8v^hQVU@l zaPu_JDMVud%C#8;@E1&Wg^ILGW2g;T-uoTXMk~djiH!Rxx`Fm=`nP?e|CyT}qV+eW zFRb}|mL{Fs1oA~Ih$w;JnH{=KsI}(`70+GWn|tEc-w-W78cnrQ28WGUHF&zzXt)LT z$9RT7XiYxC-a=6IMdb;nC`hl-Iy*#wv%#AW7ckC)Nd)odDZ`N}vZdXQ9`x@@fI{k~L@YCI+G-KUmnK zW>lx!gAh3Y0z8+qCLi&SWc_Og3d57W;puZcPPL$5LN^PS&7mXWm;j>T#tH{Y2XF?d zb%M<7l8D!I1?PN{nJ&6w$np$v_OWSm76r0r2Uu#`j-cCT5O3b@;E;|zZz>}Q#RMHK z{1qBI2arSw#aKp&5uwO02{{Voj>%0ELeBJKAdvre7~w`gq(`?Qm;WMPLLZ`%p7=MU zZhk64zD6vWa3{LEX!+{#e3IBOGon(U2O2 zD<|MRe2S57uq)$lP8XCc_oFMCOOv_%6w0xahw=3%7(5g8MV*I}al(cVBQ}$I3RLNJF_VOes!Mft5KuH)q^TlXILHhAQd!MZQ0bQ@tg*o=J<)gRYS z1Q+>0KIxXo;^lQ4ixccIwDDv53zQaNVEsWThM!MW#(_Ky7Snq#&e_1+4AM#orp1O({?v7i9qs%?e6L&YSyy3m zGzdN{Xu4_d*~`}D(di;2hFjv36euG`W;2kG>YbU1w=HOg5LDSfZhW*bCLX-jN0!x= zQ=eFrkJ-eS(uYxoVA88ntL8sl=3r#VgMEC)`I^hOgs}C!WP40gd}k)S4w=Qc7jZ;2 zivkH2rm3Ki&mnQ#=0f+rvC8RLg(rzk7oWTr+ctg4spwD$MOcv`F*p?{$q z62J<{Ki_Do-Hnp!wPqJ)e2-(a2VjRRG>^*b`v>OwE_otnp85IfhrYdGQmjL7^7JF|JmV6rYnj}^9= znrbvy!UPa0a)!c6fzQ>9)$H3pn8W{7=%Vo$CVF{>b1@#9oM*n7e^@^dNL&&c90E|D_o4 zH~8GIjBd>%@}VxQ-8&ZCbghZS67(jm&Xel47v-`vlg+CgQtvRz8DRDz_MtT-pdq&! zC&0F^q^$G8WvYZ|zArVO4B(71s@n+aW_Qz;{1qruV%dZjy=8!$x&*BoIECiMykPS} zLOlz4$e$5ptuGOBna5ufhbm$U-LR?M|92p+7;)T7F&&c#E#~*M zzu%8K{Wi94e}Po6Mb&^^Q=5M2B_j^pGg201>nS%5D`eUH{@*0t#MVY%6)WRCn;-ROSiejbb*E6R6>%{c=Jzv zqv{mahM(3OlaU9?(wk@AZ)P2$nBJNwqNPonPGiLl5sa>7QnS~C#V~%V!$d0!8k92M z`Rsm~46;3hGdaL`{DCB5XDj-vj-T?VbS9f49toVJj!t(MKs%Agci;-cHUMY6%83}sHooTXl) z-WIeeCg035a!efACXFC7bSO_n>v>5=Wg9tC`Z8jOSeX^%N4>ClWW)*3IHsNVDM0{E z6Egg(&%c4vV=tAM2^WmhfYR)RQAg2>T3DA%Eg6kSk}#uzjSCm`Z&n$}o7p(mM8OzNb3E>V?2giUB8}-D_6lCG0OrM{(&B#QFGSk4@7CbgeOeh_|o5kh!u>+om1$W z3ud&M7}QTB@x+}+r(~W**b{C5NyLwc#ZPIo3!>c0EMrgvV#5$s-hGHVVFnx(%34+N z=Y-3B{0XRx=SxgB)Qit55_dGP_JZJ>Sr5ao6Z;9tX76mr(8)H@D(q0F7`T)yvyUpwj%;MOuBI| z((pT~7BkgWdXh|qXt1&-rzL)3Z@A_s_(qm1`rVz|G?3b_5P$bg@1Y5Q1!6og3+iy6 z72LnbA`4?0lEPNZBAp}w793PBV;&r}_TG|5>My#=)IK4*5krONIdJd^5$k{)^yMLKe?O!d+hm$r+&$0I!5O;^+-XUlY!Lu2Cj5nZt?nDN^AB{mI;f zE{gp-C`~)B{`rl1S52KJ*Q3?wNn-@#Us6Jbo#J;))MZN20jEFGXxgOneoAx^upSQ=_@yH(}r4M@U79+2A>P z%z?JU9btR{8$~$5HgEsH_M5St74Is>ti(kh9>C$gaTc%2vm?bT9D&OK&*?2K%VD_S zGm{f?CBA9;5#^)pu)hlTBVGJ0*~wssP3^XA9zYr#an9TU0q+OcpeylPHOXXq;cB;! zT-wWvwEZ?5{}k^oq4_?VYDQ0JVm=GEIY&?DXaM+tJW_RiXWrG5hvFtx5io?g)>mTK z@P?ApyYQFGOBp(w&CAFhGCH&8dFDyiG>~+!GRPoCk}Y$Ura)f02fMe@E~w;K=}S#Y z0yeUC2;GUO`wf2A;hY>z_c+Vu<{JVGxZwuPK1lOVW^~R6r7l%7lbV>U^KH+cfJJ2@ z=Ihk2&S?*>h|7aH<^jWNa^k6M1P}w&Rc~ki;)pj$fEJKd_tf0K-m84Y;upO@W_h{$wVUVlWrAETCL7bA77X6H zLmmh;YGvKAv0#9^gPkLQzbm&TOB{VFpXyn^CQoqMilXsHN&w?afMj^P!@d#Qk^a$v z>+qCsr457OppxNgV2nz7gY~_P8kd%!WT0hrj@Z8p(phFQ!3H&PV$VD6MZa5x7q_O2 z_jW6~5b>%!Dt{J!C_%No@nGvrGgO`rrl#X9t(8c~KJ5neBT%$R zE8)xSX}in>G-W(PXS<|}MhVi#0gi-ty59(8QI;qPR%8&qS~&i>`ldQ| z6M1SKXb$);%$<~vqh3&chpH_TWe;dBF%(=~bB7+!9tctdMUWU|M0Su=JC<*Fr0-i~ ze?bd%>c@=by?lz+Prmj9W$lQ}^VvFeLgrjYah*XcV0042WoNezTY7rvs%4!yk5G(* zBj8JQ_HM6RTsZ7esSg0Wie`Gft?;l}qe+eiEEijntxn{oS*05pL0GOGI}@t5uaIjK zr*5>9_OI_K^X*V}LIgNH>BJC9OqSfPiAiLM|uJd<4QP}{s5Lc?Y9wN2%8A$^{lIIVtGF#9TMYqVax zj*!4Bs=Ho8kDHttY;xcmWfOCE+kmVT;NGTy#Yb^=<;d=C5Dkv~cQ)RA|%YIMcRU>EgdZ%yct zfaYUeEpa;26G^Zz>K9AUQs~rH(57Rndqv#-bod+}UpAt~ekTR&Ba@lkR>VA$EbVX~ z`%m3Ou4nOk?Il{#`%~GNN5~0hW{K5)xbgBa)xyy;i9>~PHeERCFpSgRe{(Q5!e5?A zh9rz8`6mVis(iNhrj`lzbU3g+Ce$yn@^1bxhkZEshQAct_GTqPW`I~H-cV)zQVQqL z-3M+^N-QX32ImP73`a$lZn@0Uv1oKz=;eY(9;wHn;wUI-I{|b%-lGQfDodgWtyEBS z&IU=NcS{02ihw#*pAvltwm?_8<}weLoiAkb!fJ|m>UA*BSDIIexMTv-D=OXtk5ILO z#e+n1jH{KCs+JWq`Clz(aR}{N^O1KHH}Ll@U!-(tWz}r|$cITWOq#YMt6eh*o2woF z@)SV5yCP&@@Jkl8v6ha4IO`L=uiCF&tqFVJG(K$XyCwv#vCTDqc6#}Df9Vh6QkL`f zT3~N_c6hGHup{1j#Cn{PrEYj)g3%f!BkEF>o99q0x)$aOs+>95)J(`~gh_A7COPEx zm1SqSQDn+`Pw@3ONP+x+x@pG3K&1;0w=-5N(S74#=v$P1?OK9`&s$ukT>qB- z=@DIbKB6v{x%|cp3u;T~YI>hrIo(8fcN)@h??YzqYACFt_y@=9_?RsySRV@(H?oeg z_Sz7)OnLZLYB4TAbr7I?oA3Wy3U_OoNTu>XQef9?Ck|LUoop9qar<+U2yQ~wI!?en zGRv_0(Z8wyYnc81p~bI21r#0{iu97+Z@!9|Mg`4x!dUaGk_MYGb##gn3Y0MngTOk4Tt%{w%&yNYW+pYiCh*A zTS$FFU@`s9mh$Qq+GZSkQ_u*NvQ7h^ zO)|Ma^Rp|x4HvwtoJP>@;ZrDohFs}Oaj9hoHn4xXn40F{!xNWDqQISYYtgD3)(S67 z<*f1dk(Oo_C33fP4-{YNAEIHMurmPdl$Ot908sZ~jVnWC*6U{Snq*YrlV~(0PHSgX zTSkC`+N2iqpn9$BpZE5&tF<(IXGS?Jco@d40g`z&!1C!R1=FH*`*#$Sqlv}nr0mBE zLIYat@`7#|B4W!j9!Ko18+Eh|Ekl|ux{kN;B;{}k^=C2ZnBLjN3AfCL6_v>c*AM|K(_Lej!4~9MTBS5hG^#Z(9g-CW8@-~K&qk@%tH$42%V6jhw8DT^EVZ#rSD zvBxl#x)2Bm!MoZ%2M&61l8PC8+tYtgP~GW6{=T=HU2p;$1@+O6+PhxXCtKf;SDvMw z7eA(c$Is|oHt2ABstV*w0g}_(;PHi|5)=+vdCj*vR55SaFIv2%h|n)G+0PgbU>ch) z)-bhyd${MYE|t{lz!my;>HM--SS@2?ZJ(UwJ^&`h3d0u61)Xg}{ah#%-B+a3_Hd zn!&k`O3r4PB;_vr8#6rNZC{56v7D$yc(#a9x?mc!hI|hc3dR`gdqC8du;I%6J1(ME znw8)sbHp4{aYRiubQDFLHU}A~Tzb6|8HbfpqGr%_pt>dV@zd40ynZxpbH%vU3x#v?85@{FZ`n;XAfl!#fJ;S(|Ax#&4;CZ~Lh& zrBJ_}fw5l(?ZU=H={$D>P^-Kh$yw2jGl|sFoS6FU2#jj_Y$JVv+dSonCtJ_}U>1ZgaL^;}1#*F&L z@R`6R>Ff+jyRCylJ95d40?-dlB*}5zOLP*rTB_}mJ4zr4r688z^QM3#F1`j?_MvDb zb0kHXVvllaL(u?*WnCBuPNbbQh2a;RUQF}yfyQ%0&|>fyAow1|MQRC2QQs@`{j<<( z7HhTV@9!*qi(#%Yf9{6qsp}l(*&RqfmHuGfC1icRsll}|`G{vuTbO~C5GdisBdO-? zLcYsg$@(ZZfBriV9>c3WnnlmixJD#B9u!UTDCnev98+;_3Fb*Qqyq78Q`SMHyN#qzM`eX=>WD2FK74)(xP!5 z`e$kH`(+!f38*#8Fp9G-dLLmiA*9(-g^3;IC}Fu;avA=BMqNVhPj#4f-Ee(n%BMz7 zgR)3YH@xdWdYk$+1m;+pbJRNSnn@C&I1g`AsMkS`pFYSa8V>WwvEfS?BMb_mc*$J> z6KkfY<%>cX63ph}P?{Z5BWSx3oRh>R-CsZ06_R;oPSakfs61;ZJ~m7hA^Y`u*h=I@Gnv#oI~;kWqZ_Hk!`0)u$no z-!JA5(NPy3Q$&QaHM?H4-kE+cYTQ2kG7KtHQ@rhKLm~Agl(A=q?`4{VLHXY5-Hciivs07`dN950>)S0XzzVXSzw}wmR@=pTC!u-+q%`Bk zi3m``>Wi^oWYVI%?&!P>Hi2YY*a2@3bh+lSsQf1RF32-CV@1Xj;`YBx}2z zwk{4bfPul9H+>AOm6+rcvFmmD3tLQ^>JvVL5k>rjb7L)2INi$Y(mtfTG36Z5hi0TA zXl8PHIb0RdYaN-FkQ@lIgIE4_FhSz^vcLb|w5zZP=1lwtH67yzkZq)9as*=Y$&rr| zqo{vB9D{&ZI1g@bxvpmB;XP`dYdT6wamA(<+Qa|etp(5n{^NffKe%@ou$o{sRP5ne z2$X9TcqEcNpo0|1+3YEax_|MCVIj62H^Hh2e5;f1fze!Of@{M%qVJ?IN+W!NpR&gm zk659d>YL?h8iI;`#v*^=7qT<^Xtq`k8&Oztwp3gD^8-Xz*3qbtSL;pC2ZcniIltSO z@34MuYrQLV-0VRIEeZwq&ez9foK((1-PinlWA^s!f=znwhEH-F%X@8Pj1bMP1{`5k zWdaAXRJCAA1x;MK5%_GE>!;kuD@1iU^cA!IAp%yg&v^w(?f4!bg+-ePh5;lEU#U@D zFf$YJB_m%Eh`-(POHYzLD*GVla4z}Elw6K>0as)IjXp>n;=VrhGxax`U4-h_r5z~} zXwNhBOzyF5B-9UQ6Up}Sh$`)|_n7#QUMHb(&@iq=6$%m%#|ALk6b_YiRHWVAZma9U z0=E1O(RuYtf?-F5?`fYXNK%}Ve0~PM%0b``t_*6*j=7GQ_{~!)#qWfK6S-7?=?%q~ z{!Y(3IzNDI!Y2HPVyG^Lq2wX3wT0rjxo-b>wH8flO!nvtWwRsr4FD$-35o`%gJHeT zMP&nC^)6|(=Z3h6n1M{KtymYjb?}{=_bHzmDd$A%CBf}lc6_p(c36p@4vp4Hf(J_EHaY{2md4+kV`TOdC$QyG|S?xisc9;aZYSc%N0n+J|< zr(Zn_4$k`DZs3R`Rvs&r6-dX=mT&sf!gTs>+wgThUnLyk{Ns&cGDxrKwlTwE^R#sAl|D#l28 zq7I|0Jg^b<9HV83iL^>$EIgtPMqJ4!TPaUp3zZYRketmPy;RacyNjfLt-Q1PUR!_j zGXDDD@i6o9fF^*$^)y9bCTX>(JUd7w4437~HhVuW-V`6x%Z*CWhNnxQ$J7h-dz)*p z^2vea@2R&7H{5ZCb5@i8`smW_>}0)gQgp|WV52lZ{d-`aZqh3LgVJKY=WQmqU1%3(hdxJA2_ zG&U2uK%kTV^M=Bqj*>)gF9lGH?H2gDRG<22JDhCeWLg}mU)$DL*=_BVAGkEgI*^ov zXvnfr!-Ug6CNk6}H%cT5An5SD{Kg{DN|4s6TH-#&U*-QleH4uDX?yVCcjb3RqVNdC z;jn=EjDz>pw3dR;F7Hg9s$zy?Xr#ZyzS_M+YF>@EceEXYh}VFfko&k<NR%IZ*4~5)GMGY$1lXlW3FvVq+r53fqhXJq5-bhy##fB)k~Uk@D7;9ColK zwr{}yeam_y}1yS1=<-EO>jzh!x~ih9c#>qWC_1 z>A~v{#1&@|g|8H<{RFWUAA8z;KJRiY=6)a!&C%=_O2qid&d^xuk#IKQFX*tcQS{A( zw-M3C1+H1gZRyckBES5dRU2;Mh#$|RnNR1oTGd5kctLHWQXd1sOWy{npIj&Q1Z$ny z)%~UU>>wwu$4WZftZmTE`^zKTNP?&qVk%^%jf{)vXrB&PY$(YG4h=7bpVnjxPAo&d{pCLAviOhSyTg4}BN9COayik&%hQjX177f^u^ z;w#=$+CO71e4tf-CnZBGO1Gj0_PEg{<@+ke^?VN(rzccLnhemXkjNjeS&IO?iK+*c@JVcyMg zQfBF&K%CTG=rd`SG*AUcnuC&Z6ohx+E-aoxDc(w zi*fCrUU*oDy+Hw3Et*J*Fs{43A&G#pN(#xF)XSS5f4jucG8#Y>oGh@Vau)H?MWxR7<#?vpkMA%u6&geVuIa(~ zg;5sksNMarppZ`N$ju4yOo+3`l>xCvL`hj6$4|X9c?sBBfXs~uJa2?}sZhvdcS&+y{`THsc_KWM(1o(`e&IPDTAmGhtb@v#hsN24?q zQ{(1I8&@z15$?P^UQTgG?_yRSi2!6#5{|)u7~))KULtI`q?(}b|M9Z<2*{E-1tmAE zUeBG+rW}aX63DagABXn^(^FIciY6}9tGIu@yUEv%o$H*YL7KJ|DCuvIqjO-m9cG+! ziLzhFB-Au)n`U57^vRwKWJD<|b46ydHe&Ud0g{W-|MhoQ6uH#O<5La`N$3hHm4|rc z_?{PY&wB^>}5SY@VC?={>?y8Uy|CecTNj(tvK(yja9|&7< z;o7QzFe;fW<@dl0tr)uw4vQmh+Jx|*Zi9o*$-Wl&9`lSD)8F2){1z?e@7s^Fy^);C zk}lo1evB`&)GSmzb)M;<6VOkT>Ta@^7+N?u*o=61KwTh1^v7Re%1_wf;oV`c!#g>po@Rt@Z_OxQhVH zgmTUi!Z6K6LQQV+?NxW{s>RMtcRSc`n-y37vS35W)Sp1_aJlcNF!nY_bAw{rNe;!H zZ|}qKbsyJRpfkAMqTMwtQL^}pTaeZD{}n`fJV7pYhPVv(NfN#Gv6_0N03wr6A7YYj zC^R8+SFtLX(czU!*fS&-)GM^^>TsN}oF}R!?jTt}%qTv+!Ikp)rd-kFoHsFqshR(8 zItY7xVRWB&C;^&(G$4jn(cF#N`qa@Yde+_vuGk<8?+920G!!)YY#CcT2gjPww*9uK z_$mAJtZ&9x*kI==pbXDc&9ejdJDX}^Og~Cx5nBhVW(CsH=Y~&5ecjwCx1q!A>Iuyc zQ?er*oy^@!0EedXTsgVD*jXwHyi?sV7xTfryG3^&0L#%Pq&Fw3KkLUACa&XR?&RJXOo&=Dbw`IzCxrk;&`X&;VTvFwlmdnDU_Q!kXv3pLD)2uL^p1~s$dOtL zm?8}G-~Z!--z1F;fJqZC?Pk>8Xn4VF`2--Qo(&{AJw-`!=9K>OZUbID)O^*wY_3e7 z9|5;)>A89xl#|s+D5o5$_2p{Egm70!SXYUzKh7en@J9A~T z6GB^Rc8U^pd#_k345k74u87@E7CVl;MG4dM@(L_bK0?RHn=s;|?0V6NBDux?%?hxpk)!A% zxs^uBbM0_d8)lctl2^+K@KW|dDslwOaL02?^gNnC2dDQ%ON3awM3DwaXxw^-Zaw2!fYnBL#M1sVTB@{o#+}NONZ-CB&=&7Jb~Pbv68}t5`ihba<&{@~_i>)MN}% z=js1P&5KL{?@@Z~pdK_UeLK=LuZC!_u3Lwd{XYf5S2EQgh+^7BAq3Iuh!Un5ci~=n zm4Lxwj!qwSY~MJGuY%}{Oa!qjt}B(0`)MgyA%ef3{C$gvix$wJZalO|hZT6G;6RSh zxOa^{-6c*Bv$#G@2d=UBZ9L7vn~Rr+wLQ7zB|l_N=;+h`EQ>fE5J29$^k9k=Re5W|{y*RYf@PI(Xxb|kd{b=KAn;nOEiw7CK$mJlCb z7TsELx%(lkOffBrs;U#PtdA`JtO^!Yu1y@*mc5|Kn-)lu-*m<*`cOk9;K(9djo{U; z)`eRXfy5$a#JsNFKF+ri7@-?@Duqs>N&n`8nbG}@0sNdXds=`|R z+5meg{eyCFl6X|bw9}>tSAb^kElw0oES9w}wIqL2=Sy6H`g;B!Lq+*NTG^2B$gg9w z+oA#bABH-$p*}Yb`8d(E6*;d$xeo0f4)})ne$o4xn_{2gmn%k@e=ToEliyZ zk3No(;_2rXR*uuzKSfi)t^pc---$0H*9i|$O9KQH000080IFgnRPF{a$2Kf2CWRZ>eB$>uMnN8;8APQ9i6O1km zprJ4bhvD#cLL)8Q7Ak9~1JQ#LghgGcR|nL}8|vFVgFV6b=TmOC&I5zOP@^3RFuYGy zO-1>_-_$z~`QR*G=^SOSmxAC?P7qLk|J6uI)+ZVzAd5%Y^no}7lB?>ggf(D@5#EwE zXDnNWv_;a|#O($hU%8_2pW8y**I4CSU{|SkFG{jMN% zl*mgm)_!EnmEZEunqC);gH9T|FkN?J`UFr*0|XQR000O8s$wKm)T1aoAP4{eh9&?2 z5dZ)HR!2c!bZ>B9Wnpq`c`kTlW2IVMbK1xfeb2Ax%H9WO%Y6AG*Wo_NAl9nIKuIF8 zYimn2NCQkFH0;a>o15DIe!EA2?bJT(?M=A?2xUmuR`R-$c9ZaY@jCYLtrb=0<#m*27{_1~*RtPDVWocov$v2rOcAl6Yu>QbCGMLT~ z^uut_u+nQtIdRf3@i$4~M7m%_`l%TM-mDN#_)A`RPN*whC^*YMz(8;JMy=KkF1pCI9V zc$yL=h^hdBVw7~k?17M&jc`g=9GL%R!qm&RGeocuI7W9U*Rp`RLaBs#JMrIfpxrQ` zsme)IVPXXN%1^6{AZoWpRCGp3wo>B>M^qe$pO_F!@nl&GxYy-HWX0kMrp&<=DxZ{Fw;_DavNEk-kq3~d4S4iVdl&Uz9sjRK> z8@!Xfu3^EWk*UWg>7f}tarvw^^#%uYcrGA}jdDciVh>`wMc86dN)}44lw+3n;jH%o z(z`GT;XX*Qj0(mH1TlRYh7f!XqH%CL4&i-}K$N_PU@~N3 zDNYe5*5xe@#0#boATgsDX$kMcN$N=(Md<{Ygb}_ECXX-+;xrn}$3YCUc|4mY;eWUe ze!+E+vx^Uh90$7=Sp1PmiNepLCYk4qoUnLolJM7I+3XD5v0p)s*s`9cfRJDRi zu1d9%*r}Zpl&1VadpVRG_@BmVO)pvSxOPK)A&qYT0kBH+a7t}00W8EutR&TObo85| z;>ZA&O|@aE@ubQIH!(>#xcS0$nIDqF*2^UpV+B@VSpQ(>S(omZF``+L3!`f+=~yVa zE<+U!rhzip2?|5WEqAg4wM4-A`jpwGxt%9o`FP1S82r{^=d~#Jlp~m{(rY%tIVk#C zU{NL!uqbv|WfsIJCN&Er*I>5DjB2Fu5FW4HNLEjF$utjEEUps_Vyv77!5D%W)=PuH zmr*PPT%AA6K87pm9D5*Pq__3v3|aFct&S=QFysmW+7Jg=u9k>T&-`a$2VBrS~zs}y2NH2uO-Zd6mES^D}$uBbqYKck9lr-~Zeh`rx1$hF`5Q#)BA?DqqM zi!W!A#*}^tUQOux4{aFK)@juKpX*Zn^z&XuK{ z`T4fYskvq@-WgraCxzx@uZwwJ<;tU;f54hsVs% z{7+r?IhyzPaJ|l&n7?u+)_x|(&l)Xoe303zo4vWBc;nZ1n_Q!&9^KUbs`IeZ*YFJw zJ}t31u0QvTRKi-dwGWoveOoqrxmtz`_u9jkwfxeLGy3sQlbN?W!PdL*>}Qs)C!MGE zUFtV^_MxHuyjjM})Ma}Y-~Rtytgbz8clG7eyPW4OA{JKcJHYvMVmf!e(%E-SDn9QP z1z80Y1h6uv*!P=m2<8|c`Ezt`(}IZ{GuCw&qVplSKj;dt{$~H5hJ%-C>7XZ z;{&$d#F1KVnE@G<#r_2)dPS)PIf<2zpK?8Zx{2eojH#Q9*3lNzA~p1xrL2EhhK+l;ntFft1Nz{?lNuu^mqho*1n2d^{10S18vCYy}5 zY%$uva7sc_)8IqU?G)$WsF^t_9Hx>Cs4jbVux*D= zL|X3yue4a~l)L?9%C6-P4{u{nHI?Dr;x&zqdp;;^_1{PfpuDzcYJT z#l@>vI~J8l*q@bqueSIj&wD4X($0-xl})WvZnUv=>qZ*!epKnYB$B^$!-C?c>nyV4 zybmoeP}Rznv3NR3@>xrm1?T^%n{Q2O-K!No>8sQ7tvu>2RudVW)gu2j`otGpVtef? zWMnpJhHA(etETXOMq;NdfHsU{Rf1TP*Z-^80Ds zi!Wb2n`JX|-@1KC68l$0Pf|LZ!sBV<7Q7)jbN8(;SC4dDxYxy(vb~tUWmQ)Dy*KPU zzRXv*a#z&Pq~z322+TeN3ewNo?;f{At_d#u=DBN8)8U6M-^3=FWgm75niQS>{k6FD z6|G&{XV*NxQ*3!x@5r(>YtL}Ee5kZ}^{x7$gx!)!Rlx1JbJ+|+xvsG{Hg7FB^v*?0 zKy_#8wl>#EDuOHLWGs77``Kyw>YGZ-5-p@yEabOXI7oy}To%3rM~{&R;~8I$;O z5B3agK|#HumHh7RPs9Vf8G+%5=mBLte7$NnFm#Pr85r1ssTBl(T!w9pAXZ9pfnG&! z4!WkjKIv&+#h4j>J=bU82B`xAh^7ZX3fhU%OHD~b*Z42qVcKt?;w3<3JYa1wjsGN= z;TkjZl8exF+Fs*#ln2`N$%X-LCCGqnjmlC8okb~$=o;NDepF|yW?(4nVq)NhS_#pZ zt%}fCoRL_Nimo+)KWLt!ITOQTZZ#{qS4*~tR>juKHknr)~V1s+J z95Z})N*_I{w_srC1!7qEKpbl&;hQ=%CR^IVN(ut|DJUY6k z&xe~~?-4I_E8~zgreZ{9;|#~s>A}nl5kSm^60)yX3Br9@k%#V7^iDTOD6q@h{A10t47cbK`-MGZa9Zz2&9BU*Na}B zA@s^|AshfN-2%K>*+5DJfN&#ln4*eXltGWd)i}{Grz*G7(aj*EC|$eUIomR@%)>O; ay*xJ}*CHv>Khw!HHQ6(w%CF2a*%kn&oILda literal 341328 zcmaI7byS=^^eze%DO!rV6nB@w-HN+A%-~iWic4W|cNwI`DGtT0_)y%Tw73*6eujR( zyY4+}-E;nUS0>p>cJ}k^>^I50QGfpm5f2Uy?lqjZO_bJD?4^7=JRBT15*!=~tkl}d zmEFh5F)h{1C5IDlupmRt;;d0L6=5W~QArb?9uo&OTHNkB93#^r&K!}R=Kk_MLHid@ zos+}P-G`H_`rm_E{^Px1F~is2|q$(StCZ9%pW8FJzc76lZAht4!{#P{y*|6+f4^qxT$|L}v8$UWVNW^Pb{ z!Vi;{B(*WU@dgrZ!}Za`S;o=&WlsBL!<4cN8gHTfvN}@Rav?S%XET%!d6*yE2Q&@I zH|7^;hkL{`GGZJA)9+M#gaNdwUNy(bpYYi|K6F{X$1O0%KmQ<7FlCEtBP2myNI_EJ zfC(bfxixT1$;_Fd3HVNG-+a*bg!NWd=Nx|0xlXEgm$$I(#NRFXJgYPAfGE&AuL$Sj z_9oK7@Y-YVHe_C{&{l;uUl2eF>U=eloSWbgJVXWN@6EL%Ykd9|9({u*YIdCC5c&7p zj;i;)t>yrJ!AeBfh)y5i76}XC{&w=vVDH*Xi{N4jo3X zuDqn#2Nzd%8*AI+Kqrrt*5s?3TYToA)@|(xWtoFOdtfEml4|4jqQT0@Ku)#|pgDPO zs5!ufE^F23rdu3QQjs>|6sanss7WAp_H-1iLu0DZ+T#G)yY~1BNsWdDUM;Ireg!q z<~~2@V`=>rZQH43K%nTitoi2rKw=XWhqEh6HSxeN$5&54Foro94Bqv6bS)YXMbGS3!YyckDHNGZy-%bN*|O zwNVFe^OkcqfrHGi4@5m>N6s^93)poccw|v@Vn2#~BhC-# zZsSZPXCLk2ObtAfP3rc)v++5*_+#(Gl?Qf_d;ZHEAk^t77KikFv&H*(x?4**#X}j? zmSems+DRIeWsGrJyt%)3y+1g&ySK7eaK>?=)*Zm6U0y$bAhTayYR`AfMx|Swv(-}G z0jY&_Y-M~ZsyAe*iu{qa_iN|j!c{kEIfXKr!j02U98eHY8`w@J+mbSU1roXw0{c5T zwRKlM34i(>48qu>(xN20ZX!q!*xD31ksg*VD zHXV;m)24s&JDNV6(W_Ov>Yr1)qrTEZIiYs; zR(OPYSP$VzwF{cqox;)ByD>tzTyp9-?cMxIxMhkV6oGu-LQN9 zdDTx7eOWD<+bh9)DtZMg{;pfg@cO{|X3sUDl}qEg*W!9o>W$f|py0S&=I^fDANnW4 zOTw-j2C1i$Cns*KmRB1c%>?cY3|1ao9cQ?oI<8+E;5qO{SMo@(IkQ{dBp4rNy7+lY z&E3wSYVGSWg!Mn2m=?5NBy6vVN8==@xtC>JV4!@;YZoYxxNXS2Dy>{w{$)RPLhZK~ zyq6c28-aZD3xl0)Xy_S<%kpkZx;)_vAEgjqnN1c}sO;?1^SjXvs|M-@fA=jChJ(ko z?M{EZt03HBdNQYiXn6PO{M&S^F*XUY$Gq+BhWn%12<$JED1(m>Z%lG(zO2Mnbt&Uu z$}Shu=E)NPDH)cdB)E;;t_N6!snetAbQm5Qwj=D9s2I{)WX*4GN?9z0S8rK8x8d7$ zvf^_NX#deY3!!RjQtER~=dYG;__qHp>;OA?>!XzMAiwV7S;iM8U|nK%yDxVaxoX*l zgUcJN4LOvs{!RrOEzc3ks1(D+{bmwLx%pVNScW)f3{}|!0D3dw_TQbHFO%g5wQ>U9 zGZ#6TLCNoQLbEZ%S@Bd94Fi*mRaW#KpO`WV0?%p7-(PU2&@!;{v>lk(vevwrzUQE1 zMLJY2tF~?c6_9tvsZN<5vvF_L#-nhcl!poU`(+OYOHNGNHD~AP5=1NxI8nw~N>Q?b zKcsu`f$xNCz3#$h@}z%%1lFxV`ezugV~ENsh>lOlk8)*Qys%7xb)Mj*4L)fv(*g>M z*=a@NjHWw!xhbaT!^7Cay@zv$lCJvbcR8Ev)QPRW&qPQ0UHY7{ii7WN3p!1{SAp?T zE=?WhAsO}OGWX~p$m9FUnvbhcJq|C`ugg#GLurC*)wF2_*v<}0njb%kbdlt5%r=YX znyq0LS%1jp^27JZc>qT57Gh0(t+jt?SrjqmBy<^dq>Mx>H&scthVy zSCX@-geEYA%(NuC@!d~{r%N8{w@~C^OLHoO*rLhBC04$QR=;X z&?E+NZ)qd=1h^l>3azgsJUO`fwR`6p5EHccm^+;zF*odteG&qEnjK#~dV0(Y!zHU2 z6c|2pmczXFk2%`(DgV z*|P2GpU-k{gqLt|T6Xoh`RZiq8Cvk{aj58Yf4V(A@rV0jk#?=H_>atOzpQp`*BH`u z+w!Ev%7S!Sy&^Dkj@7vo!B7WXJ*!s494=4oej$Oj z-H{}n4zc!+6iGTdSdu77cSV#s9e31F`HAf_eM@2SW`!dAXZm(ix(_WlhHO_%3G5cL zDQQCanY+FNuxNY{xQ{?N_2bHq(go^xo4~_5zo>JKieZ@CyvUkL(;xc=3pODP7wYTyzgc`s=AHfL z-3^M3*$N&K+ltbO7s=k_%iH^Sw_b4b{LN0uoIa!2`nAiF@|+xmcF}!geVWbS+i|Q) zWGhqmx6vngfR-a*GPK$$Suaq3e3_N+r{$9^d(ifSzpY$3=h+*S@6j4Gdwl+F^*%c^ zhkQFN);g3oNtf40e8U$eLq2YA%w7!b+b7?xeI`u#q)-r^UdPK)<55Le#}wr!bm}1faHM49!)xh z0Jm%-5qq^$&oOdiW@aC|6=U1)dG9zUjEUDiqpSy#s&I(Kb+d>3oaE}d<-E)MNG>mM zTKn_vf$y&RX(npx&-vr6ELhc){@y9*X6ZC3o9W3;Z2BfA+xuaEXRIl?=EuSY4lyGN z8M-rX*8^J?)67Oh!)YijB=>eRl-Y|{;eMNeC(p)5lMZk_6T{} znct&bsAL__>O9%68;f00%KSZ8(W+3|bSHnBoMv8?^pxvsa=lW1HuvW-qvM&}aMsBO_*~@TgrDx?=XE5JuKczC_q@eRgJ$^gAksDVVSXGdvCv)**h4!ybj<+ z&A~>`X)!&W?J&Tj$uFcT2*y>fY!4=>4;Q+>zc*Q_+6_#^M7NeET$1zrx<)DPsW zAyZNu3Xaxh+$5Op=gq1XnIn*GGRd>=`0}NKQg)%8UoPEUSglP(qs#jh^;mt%?qZRk zvkb!Mh{M-i!*36a4a zSY}kqQB*4CSY+@TmKo7Hf=Sjy22Ws_F|8v}<{04Z<;_xLN%z}9ZjA#2u?^pA^YgrY z=SAeIDj)aT$p(~^Q&#GNk|^H5-zj6>`A+IxxYMlV$Zfg5mtKt+q&rL-Po5uz{AL}~ znEcH;rJLOl(474#ry*eS+_4ET2E12UO;t78aTy&|WXxy~F>;zbH>kQ)$?0Ibt>EcSXC^=DD*C23C^HFrq`Rj!h*!p-;f}_M{2q#7tn4cMs2!v(URkm_uH{@4 z?cN-rr_z>IzH0dPcVkjY!N=|dt2O_^)k!Vq4o390-b|+T51SMquXA2u_H6FA6ZQbF z3$hOOc&R5pg3mS46-rHb>AWs~Sl@R{JMA=ovS6A78t@Kc=G!o-xQUGwGdaUVb}<(Q z1S)P}Km#SM+^Mgi7*dfj&Fr56>9Sg@n+EAW@OB$m8!}`lCm}TNs>v^C3)i&OB-6n17^)u$n2#m z5b3L~FEL9RW&wCi!%l>PSw$G17^$NrS2AA70bl#UC?a?NyM|qD=x8Tf{=~O&!609# zssg`Glfb>d86q%$;{ln@FX#sedNi5^M@rdc0;Wtj+{U}=S_O=1-O4XdhzHMqYg>rE ze>}u~l^&*rEk#^sYx4Nz9$iB2jk&kF7OfXNZzZ~fjmNgw=4o)YBt**_hOa_YWn=s;nIUR{BQY3~+5%^7NEz>nu=`rRiuCgp z@XqB+8U=S~u=J-MKq_M?D);=|voSi)VoJp(bejOe$OR)!K&A~d{xoM)#AQciAY#1 zvt61j)ZH7$HB8i`edc_|I(6-G=bZYOdA$|bz7Cmg-M=gfNottYo#t+SiVI(KJK!K< zXwOxC_L39<-4OQesU45BqWzru_9<5X0bA~45zl!Fbr*XW3QZ@JcpsJc8=s*+(Q(8i z=yWS3>aA&O#cMS-2?JbTqOAy)*gpq{*>mCR6DO;`^!!KbLeA{_iEGhh*@T9xbn*6e zWF72vi8}am>m9ya8k%As7F0R+{B7C-n?)ejt=KhXkF?XlwVAV% zJ%5+8rkx4qa_T3ZL0bx*?7GvcJ?zf&L`4{C!2|}dSihPVK3xpva_%SIMzagW0^5q; zJCLkO1I=%cNJ&olExuL$WJjlLvt7er*a$y!_Ru*y@yiRq9qLd4eq$*?ZK&y7vG<&X zjRIk)Qw6xnlG54HqL)uLP;b|;!Vkb)1n-a1gS~SmeY{ObsHDk;+Esv6EG6*vLH&hu z>sgOgirAbdPBqLY$0r}W`~D`}iJxE{;vjP2wYAi#w2)rCs-LyhAF3ZqjH-7YBR)h+YUw)nhxtT6rQ90KZ{(z3TP>&a zl;OYIIL%n3kcbok1C1tqbiZRVk8PObTt%&|uOABV#yj;3HXnnn-q#z<|IQrDCLnLJ zU|sPV`YQEn;l@vqbg01zD8hm_cy!qL5h(n02RxKPxaS8utW37*Dxe>*@u4BN(42D{ z!dWdf^Q5P}Gc#K#aU>n8J=Phll{{~zZY593u=73oew43V^UUBPRUY*IDu`IJN58qV zLfX4}&aM{TVe2?S*u zR`sWGHQb?L=0cd8^Az!J3~C`Hvg;5B4(#Gz8RODn4;WtFIrr!6ItFYTQNxS)&+m)) zmreS?CEnx1f!+KkiS)jcj@w=?-`{s^-SNT?eG>(av)~@pG7TMX2~JV$p$@ekE`LCk zg=4vTUgI+eYSpQ+Dqo$z_#^FuZMG*CU5l4vBYILjmK5~|yUW!k>d)Z3zi63oc+{VW z6Y0N*!sqLg@!hByZ{g5>N#8JeOR0X-(F;15pt?2doIxv8z{crf4QE)7IKe$FL7diX zFI1(Adv;2zL*WjU2npO1yH!=fzR%NL#Oz;WJzD5+Shi&dXV`>Q{}Ya3<+0(eJKvcc zrP_Of%Rk#I&2q|nUfRkkP%^q)T~1vT*phRD>7)QEIp+71UXpn4AkauUik$tvqiI#0 zpRsLgoyvrjoi*zqL%$g5M!{@}V4t~RSH*-DPD&B;26LGWw%bcwo?N&yYm^NXdg2NQMu+Fb}{N-0*owIu!37O`{-G+~) zh+kiuZf&!j>L4;^dYlTgTLZT1&+p>zB8(D*y(of|NrI*1Zl1QKND>7n3uRVmr6?o7 z@g~ERDaeITH=FzTDSDZlgU2E6w~gUMi9B`p2yM=F^Vm2inkS1T4{tqRwTid-`@3iD zyFNG7;#k?Xvb6bYoogO1Z?MM)V&-1Br6@0E{h;)vDEcy<3_CWY?8*onyoGi*oX2zco|YWyS~3BZH?*LyCyr z6O*y`sOC$L)og!5NYjL`nSzw7-(Ysnod45M`%;=Je9ZtWO^`CXVgH@L{8!SV&Z_%- zxCE6E!|T+LefxPK{vbWdPqH+wE*``4I|&NMTUfg+E1LmMy>C`G zR_i-&t?UEw?rNN^E5-u4T*nXCxqKTDqBcu6DS*GlYW_=|o81t6asyw~}iR+WF!k)H>!|G-=3>=M6;o zb^Z9O`~H})%p2>1AXG*WLqOj9G>(1gVhoHd^8qfRw{qoe=f>$!&_P4@;oDbeVQcqA zV?NJUWLwBBlHRAG?9%?CVfhqjzH9ykW6*1}Uvxi~83#O1eV#T=$k9C3{PVn+b_wrpOjGi-yuUlfHdYIR>w1YUJJ*hymhjE#S%WXIkEHRSx z4}Rsh{)ojXrS2@6SS(=R3rorGuW-N^^rK z6PzP6vWA^E;u*ALOU3oS%RYYO6K#)UpJYfmZL{yYk25Jvie(SzJ!am_%@ygL@WXe- zpPXRM&CwBTsc?0U(CN`ZoUeFSr;8ubRQbBfMArN^bBz#;=v7v&-3(WbS!Y@NTJbv^ z&paPUn+;AehP_ay3GR(s4!VSp5@Di$R*MY0#hfjMhZ`^pq2fSNU*}C8&B{CNIZ9rz5PLHo$~EpQWP*I89CZPR&*_8JJvzw-4PYxZhVG|WP@S$ z)`?bvNUVme<){1aR{RW>;p$dnfOG$F+BScHHXwX!d(_RFwuX%Qks5x6_Q0~tNZFQtm*tqhu3buTdN86;#d4Meq+zavJ9`0 zwz`L3M%9_Z8sAClb5xM^`MLdm-9-4(E_|81^eb%82jz@fM<(&=f$C_B9c0v|jQs~D z@f~Z25$+;=A2DpmfG-0URIMQklFS@)BYja+?E1S^7!Ib$P4hQ)dSA#>s$=Kgc1qY_)ZGWsV9eag#Dt5$2rT`Ns*uB6DCV zb%J%?I#z|P=(`OHrp2=iefeO_rCPcj<3_?#LsVX09OFg``vD}}j7gd%ZK?nHU-Cc2 zu#Wyo!w6piIIMIKNe?&qb^oV5Gr1mEYroYpcPNHs$gb+MF56PGDIT_MwHAnU-nmzg!jawj=}!>d4z~P#>u= zgA`^cf1F1(K2nnhDJ)R_kjD0NW3A&2fbS9fg9PU-MHtLo900Fl6YO62FZr4e6Oo-G zY2&|Ba{Vu{`!BIPM}nj78nxQEyx+MeSg&mr#2;RK8Oo=rL&^u|D~DToPG`(_b$b2S zE{K~w zG>20>8?y?$^-RD0Qfqx%G=MfZ<^L<=_rmK?y3jjegGk0&E)e#n63H^>+tghY1d13l zI!vd=jQ?uqk`LAt7Y1A zERZC8+1=b0dBF&@X5HtHHC`go(DSs*c*o!GE}PuUE0; zQh9ZONzE)-A3t8RbLoueU4QICcL=*2scJx;8Cnq6uL)9bds66_xLVL*G8_C)xf4h~-1ux9G<)%%I0!$2;GnkozDhU9I%@pC7W3|pMrnr$RmE*j?Pzp| z1%g$!HBXAUNdY#s&xLkX@e@edsCz=%)KEkE#DL#a(7w4dhD}kl$9W)8G_h+-ObFWH-ZTb@n=Pw698NHvU=?J& zwBYA*$F{`dot@;Mtrb7Sv>PR$d*cX_uil{}#!0eb<6Rq3 zG5%oGycJ+wxBX{O4uArbn_l*SYy?1@kr_V#bCv+{5DNft%CF zD1vVaF^BRDp6VHn1 zaxL(AFc?6V5{HP$5U+S68e6jgSM;6slzaM)*=I?NP=RP`RMKBlfC>rWye)*rPa`!L z$Hg(Elm>D9PCVp|P582nDV4m(mOw7CuOv=>A;Do@*+9g`PpLF|CO!Ba#8v>Lq%>e2 zJp;VMJGGH|ul8VW+ai%eUI?l_?ubf!(@aKcJ=$-Bay#{&?C;U;RlR$p{_0i$%c_}K zGINu6?|}iTxFA6k3+CV}LZN~FLPNZwRaYPplm zF}(_tp1Pec2wPW*W^kuR=S~|ctA&LjRE2O-h&7^&CTTWOWTXSNp#E?z8zv@OWbv`H zj&axHJgJ+C zLh0Gn*%CIt`dk@E49^~g!dLe${-}w7$pBj8>a_*#$$5Wm^h>ZMo7_rv`?TJ1W7|RK z(~)q+mFaH_R(gI_-FcavNGr_t)YH$pF-?4KkXofWFZ$6j#LeFZMi=~d6^IVlGq>^f zrTYJJc}+LLsDdV{e(Q%*5`g)ExGtrc9g->>r!Vu(w$7J2!cH~qR6T#1eo85Z^klb9 z>(S|l5nMO@)U2xhf*q(6%J+INpt=>$fyGV$Xm1=JC4xtSRn5dp=EWIi^|@qL@GUYk z841?B;D^%e{w;!t8s62ueFikl88wV{9D-m#0~PFV<3rGUvnFp)MKfrHaHCN9dvt^@ zOIhu*0k9Z%bieR;M{r+}ui3M)wDw0MQ>|89GgQPEMDDy_$?K$~Ryj?O&G4prn=Noo zHK(%1_1@CbrpXRil-Li3*PLauCM~8@$!{_4KWjl{KBxw{g7WQ9XN#_-0 z&C<*<4Hp+;-q$xHGrx46^{tM`!6CQ!1@9py8?cn`{Jfy7SyMyVS7J8kJQ)!pV0Mon z8xIHbE6mZ*ZR$zq>y*s?b2G!yX@XHpfhxDO7x?{j=$6+x5X0Q7>>U4@#SV2e(_?8o z`f7p6aHWGfPu0JBXdj_3P(5AJ#oR-Z*SLB3!e^M`3n6t6T{SPvXU^&!S9Mkk5;1uE zz>VR|o(E}~mD+h=>V9fgU#_%!>FI^s9;;hJH|mVF#>kX^5WT%KbYq&I|Ev6B;N|i^ z10#ViqN2tOJO_sl|CkvkE-$50-!5U`)tPIAiJ4V$v}TkVBfbW*IRuo!hysXKOBpe@ ztb9cV-BslA>zM$9hX5SZL?@pZQdDCMn*TcVPmSh`ePe`FS~{P^42+}Hd|e1zsBX;mA4IUvcwp~%r}g+%3`@>=fPtBERi2ZU~OzdY~y568|59H*jGuN4xtgG#Su zW6u!V`*uSn@|OQ#1hyx5G{NS`3qifhStl%3_@fQY$p0WVzYR30h9SxM1?J=aMsA$y zJ0%RM$A|h5#lW<(2|j)NW%8n)3akHzA*#A_*!1hF{8xZF-=lW_|6%B-Im{ym|DlCb zCF%0t%$&~ZvIpjI0dvzs6C+ac)thUrUck-fFE2}bu|epCUYIZV8Nz(wMF{M+zK`FPA`kmV1(8Y7G!05DjxG&l);ss0cCu zE@Wf=&?B!NxHMq}BAcjaO7R4U9{T8*c`C%Xb?Iwjv?rIK`2#aDQa! zD2UtK`leM5hothdD&_B81tckRgm6^>kAxowpSW1gvS*G&5i}w>)&5Ju%##-fk~k;| z5S<1It7BoNe8V77RdipDV4f_}7$oLxJjZCwP9w~2-Zw}gzpIFL4t0%{hUkR=c$K}l zLA=`&P08xTr9hR&y~=o9%gA(4m`8l2dV4WYMQ^VXt48~my5Qy_E~XP34bUf*B}z_y z$8~}>m8DnZmm@_aEr@Kp^9+}cF~Za6j-m6QP;MV<`B#!OB(PGD*H1dd9?vI}7UF9` z9c$E;<=9q!S)srKPhNsB^>eUCUgA zyRk-#^W$b<&cf3MiGCIBJix{;)d86%(y;L0pjR_AmFtmPXQ`?uRVjm9MCHQ(a$yb0 zo)T#?5Q%7M8e#b&^eD@_VwN+x-DkHw`!J>t#cvi8Ea;=9`s;t?Jn{BXBjj?3lR>jT@JQ(U1bJN#Xxo!@zRX&>T1yb)3y$>{ zO*edr$21!-7vd+HR`-Y=G;w_#4^?(%Y%Gnul=Wr#)Qt$n*$iB$E-c8s3ED*EOmI)cA}$UfDwo|)j`b9{w%^1O1D!)g2>EL#g$0k zaY+j0dpy-f{h!KR$(q!7y+^V=?&GcKRw z!5z3^krji%7U*0`aTA0QHL24T)(=oyTVn$FYX-EqgNa}ZptT>jF}XxhtVj39P7TJt zUu}>xrL$T$(HWb(|IqOSe5Dwr^`uX^SD`|1(s~sD5`GU*D}Xnu=KScVaP3D>D>28b z$ec57^VR}(zEe0wEzn;Qf(W@+yf|^~ucPEDq>8q<;PFV1PVw4Xr$Z=7IyGfNwp0bQ zf53OCjulmklI}A_$i@Bv@RBsUf_MuVrgchmQ88Lx1AF$6yzS>GaEKzR5#`>JN6E27 zGkw^4Xh+I4tkC~00?d+3zq-`g`J+`<0eU>LOq7jdiCE8qou4p!{$Vm?ZY@;qtYVX0 zN`I3obcKhcg+6K%SpsvJuOXW9`R}X_b40O=9mkNlck?tMJY;XxL5)H=QvFEBctnGe z7(`i|SQubUT^f`psY#j}lhCe5qJP0H?h%GFUg!b(486QiC2zZq9ZHuZ~5- zoXSaw!KRG6TE2&yp~tUWsTZ2^lQo68i2`mc_xT`?xw_AQUdKKMk!lBy5f@$HVH3)U zG*}p$Q+QicBw%wSA8h&&_6|We!NJsymFiD%C*F?m9xaAKXIw!gEO;a*h%!Z6z6oR` zd_)m)QO)XMM?bsnx8pxd+0zA|*OX*qW zlfN&q<|X4paq`9s+Z;%F4`X?OHPsca>BOm?PUxhUvckZMnw~Fr%Z^!GSy%cRJK7pC z+|htQr)F%M6=)8CBDEN!acRL8U86bfTUG-<)2O-QrbB}bqmkN#HP*!VB8QUjbPSE$ z2PqVD!>MlT`0mRqtu(>0D(dEZ>k%^R{lju*w{!zF2hqYLKtWPPB@ZIxAr)$CJn!+G zY4yxFYMy(tUtYqv@{V%{TQr7Sf!*hhiD|SM4h%?+FFwNP8C?wdk?I6byG&{xl7FV-X#AJW1Ai?KR9u#M5;{de!LXq~2bpA^-={tK0sPG~6 z-R3W@h{%02*lwyDkEAqC8Khbe^XdD`CwrHy2ZK{GD=i(K3&LW1lymk*rw6cawvQ;; zjs|cM24OEU%hX--Capac114TgT{(+TsrbB^%;x#b=J!@aV=+_47SOqPwhAwO zW%7t6*t8GIt&U14O)a~pG`rVj6M4_ux&7mV(pq7e7TT+v zT&aF~V3p7bBVK!Q-=?!%5sN2JiA(C&cPiznb3xhsy1IM{v1xMvPDm<#3~U@WrO>)* zLD)FZFw@fZZ8;D7gSYP7kufr&c|`GsC^3j?c7Q#uze!(3Ivfyl9^~T@ksuFM6e8V% zE%u;_Kfxw@b{$ZUB_#UIKCcid=?;maB9l$%cXrOl%3>2Z71a<6N{gPl1Wxlsc_Pju z8f6sez;FaseA^x_U20-ZLkp?fd^mEs{-UjVSv(RyY^=C!SbQ*Hh8=*3sTC((SV8~%rNW65 zB8otc&p_yZ^)=InS^|iw0sl&bIR>GhXOM{ZV^)$RL}yaDWLq@Mrwk}K8BL5e1aCv%Gp=)zdg_tbh2ifmuxbYfIMYlW4` z+C34tZT!hdI~LC*L0gY=3`qikv;>O9M0**c{NeeGpy4AF~;%sVNBVefDIL$UgT?hmUmC*o!tYM6BV~{MPB4Zqfk3<3buyF@0 z42iTNRnQ~k$|9uuzeh;+e;>3CC%1TQBWDo|bKcl;C{&RL^oz0n@eT9xv+KxH)bFG2 zruUgt@$8bsfj)BRFe7Zfj$?INgE7P);Zl%lc>u4mTYus7;qu_g)Bpl_uqO)34MYpu za=jrhhW?o&gRKW9ETFE-G4TDLUNq2oS?l{BF+!S^bO7lKkICq zwHwFn>0H;j@7dAlE6l=)2Jw3oHIU;r>VeQdX5)P|!tRanq>bn^}HvNLVeH)4Vk%Vn1|HPfm2&^$`=ccPK~(l~Cc4u!n6yKlc*x zu7koP`y-@nAzy-_2bt9T!02PghT=RObfOEdd2cqW80QrtLMng<5~C59LKtxl3Eoa2 zAxP`!j{l@GJrX`MUWLe3;m3m*b`*RGEBmbo57C`0a@^y)Fx$uCw-{_KBNN}^+M6RS zM3An(;E~*EqhZF0h7HlD5Kme$$QKo*z(7!eO;3>W{RF^Lxu5j0K*`A&r2;J+Y$8`# zJfJYodurtxxYq-nQXmLl_C#%OXc}8rQ6W-1nI>pM~g+CjW%xM8= zm^{K5LbE_6~g|1l5R$g9u0p#55p0B)|OLABm##!Nz{fCmwht*WSn``{6u- zL#&zdLzy|$x`P&ASGW5@r0n`qS2aFl@w(&^iH5&}S%rn3i4k>k5X5NY$|YPN*F$5c3dm9uN;wsQ8lM4sE%yVq0SY zjKXL~3#U^iMg$xhEhF`1rHFaeWgVgI_?X$tHB2th0B>i@* ziX=qtch6^<9C?rH_;GzKM-Bk*K_LbS>L|2L(HkVeE{bnxhm29(2w<~5rW^nJ=2p#- z+7F*irtU#bd-GmNyN2Q2C$6nms{HDp&nsjk`wUUYY%snsi+a9C5@BF3)na@v9sVhi zB-tN77_7m;WJo>aZ9w%|gUE=G_q$N`blOi%pMw}cRlP7ieN6~`I{Ygpt60L+fTCSi zm5luirQOq1db4haXM>;BKV(0}-Y5|c0ybE$#I})WCo>K;O+^d^J9Ax&e-s+#}DW18*15L*U@jbPN**zrhfzw(vBxd;ta9opIMKL+r+ry?EZ=q|MD=W#9mRrW{2 z^kyrCrmg#h0+$K?Q_3n5VHeI(@64wT0>$++;W!~B#CDzdnPOyd5v*(MN8iYq9Z%#d0w#u2oi2+*UFs>yYXdLe%v8Lo?P{XEg%VTE5{FQP?t5Qv?T_vjBE z2{M3;>XKlgN)`6%g9q^1bE08B!rbvGMOyDCW+zA3 zwHvx|K-`4;NJj0ht?$$M)hg?KSAY{9ysjY{=3Kl~e;E)870#5$(WP;W)b=u8e60ln zg%k7gh9h&9ucST>(x*!HW7%OvRiCV2XiS}l>j!dK+182wf+`d%|B78hi-?SetxSd` zijwSx`I~<^%zBUt2gUh0TfOg;JLcq9mX|ot#U_Ky+D6Yc8&Fvf`c#G*HT)XOzL?ML zt^&BI?2cvIikk;|4#1p(pI?8I4(at~*A8C$CswS8$nq#HP-_DqtR^%fRvlwA)cu{f z6GfiEf;jgYDdkFEAq!hV@LSmGsbh-E+~?@);PE?@K0(#Lp_#q9Y$03hff%i=bL|`? zo~Fog*$a((lP90+Y}jvAf30#)ZG6f#vfULy0&Bh4}vT%YzE zsvyrg&EZF7lNlo1XWRU1<%v z*|FjpVIKnaU@&?0{NWhd(g+(GK!b`vU9$W-(-2;W=-ei6wH-wv0mwZ}1 zsj~RcIoF1I5$H0ffVfP93_gAvCD$1tNt4oNp46qOe`zlL`up$EShNgJJ_RXo{7;(- zGLp|e)5ARZNvP;``=wCmrTd=JROF!|OyxaU`;Ue#d)Q0F1S>3(JqlhrqaS9P1=a-->-x(E}WWCi(`P~kuj>v<<77}z0jPbV-Jp5YWTQ-8( zuIJ8@hGq-zky^VV=(6MQNCu5>B^Gk5LjZa?n`=Gg%U4*F3e3wmrGN{5Gr8{vmW z`Y4;9Yc23m&CHstsj6!os^Mx}EXr0i%;u)wFX*YnlwWyHL~h>^>;#cr@r`qxUFm4a z+69_;$iQ^SR6x2SZ?U?%n0K@17t$ODuh?k0VAV>VVJE2p@`h%5#jh4xI4#{~7dS6> z4l9ubU@aje#v7p>9L8*l&gzmI3mX{Ose6!A~!%xk~;eoB4~ zV4U&I0+{0ZZ_7ldeRJ#j5#?Wo&Z9-&Ixp6_m^pA$aaByiqxjy~p`goA8R9$VH=UOy zf>hI_mcSn`@yW1K|2`1MVd}a^KVW-CoZvI-EyO)#g28uI~kw1e);2*;3 z93578gxO`uC1%4;w@s5Pb+OKb_^S;pP=1B`7Box;jSrU))(!DGkuIcKu=)n5E_h$4 z_VN2FHX(p`1B+qiw5bIp$^{bEyJgxaF1VI}3F)kPPnhA#I*Arlp0&YlX6LBN2~av1ZHUFyMxJ)BKA!HKo82Bf8reO= z?ur?Avw7OEly_$R?4noPB*T488yz@1AlBtzXL&pbWj zmX~`%c5*^?$}ULuZNFPY$d1<>^ZiX~qhAJBPF&6A+@Jmr5Een=z=y^nzFdsc$eG=K zeUqtL{;J4}H!J^c=` zC>kx>UV5(?P4xUx)RKA?*!Ci3Wf-QxQezs<#jcK?un_}0Da+Pdz0&@Q8W5?z>v2DQP;$Rk5A9f1CnSVTXxOq$y0&~ zX6x0o?|70`PPzA&aU}ft`tl=3D6+@n%VwfL5pG{uejMjt2$V}0u zUF_HA$1*5s6zElAg>4@H=TniM&-$><)yrpPai(cC0M1N2MB)#tEa@9f?fEBTaq|H! zyoxjv?>`DAM1J0>M$7(uxxe}+jiN+0UjwL85%SCbIZWhwKryu2W%I;MAo8)Q_LQW2 z@g#WitIGV^FY_Yrr)6!NyCB27Cxl)@#pzYdwB1G`EJb4+F`me%D)Y?9>EV`r`=ib) zuhC~JfG-zry>^IN&!gRHx^uIS4~I-&I#J?nkZ{Le9Qjc6Rf1#TpO{!A57XShRz{6 zXF?DiK0@cAV4vEJ1xdfj$z~NY55;P3VD;hod77d5{8AI$j9DDvNd#aiF$u6F8VS(x z8sey;BQSeF!ZgjSmA|S8{_n*{CsV!bkEH;+!e7i|IQ#!bfta>YdxCmBpWQA!4}qyEhD&L4_3lozo@jltecA5XQ5m3GtgEAvG^o6rAn5r+SI!c8lxeiC z;m62U_Gq8tB)&#nldl>F+|k!}W?U)J{xX$4E+(rP2y(MI-McCMvjETg$GyhxUAXx= zGS3h@>2AV#=po$^xi{Q1bgoaYwW_AuX!Jg+(SUB%y{PQLWF~0r zr74$_hvcSFs%06IWp1G%+a6bbEQhG9gU2vb zgYQHB`GA#5Bn#Yo$iXV#AZO^H{=)$)cFq>=R>=~oKn~Q%^JnC3b!s8Ic`sd%G`lC$ zo{@~P4%Hlv)sgtK|3InpXWCed+q*yc^Vzd)Ma|V^c zY608?Wv6l4FG7fPzOkICsgGnx482gQDVupkxVv94Y1|i(+(*Hf3z#t6Mk+W3#y_#s zxQp_B&Lx?WgU&v2>yLgDpO8tF!wBoO=-1(FlE(2Q@`%tlUv!k>o|Jg9_U?}-x+qw` z!qp8Xo;UF`C&Z`EWq;np_~#7ORis<9z(Ya#P(%IG5j5_WfHQ+JcVZcW#4gJRR(8Vi zdJ(#@2xQk-g-%*$1)MCLmhbXWB-$1g)M)Y6THLJTrR6`R-HKxOrXqEBuzX=ugx?{k zJa1N)*nId(%AsGGs`%|Sb~s^v-`+iB;!Mxn&gYsJF13V|7f)l+cuEkhPzOQ-4e;X zHXhkf8mXGm^BqcfOVuFlpBZODG&YIWNP|d4QQGfS5$33^PM8Pfde*%-h!$OPkNWDZ zr(}DwOwvRhiVD1}w@Qtc_@j#5Hpr`N(hA*EHtA9ZQHn8A*|_UPY#ze*vjPC2rrO1mA}E&0)B zKY8e>{9};&$2)7WnqcylZENt^ff6eLbuv!+Pp?-YNy(sCignEqc z`r;?UOp2eHR-fcBn%j;z(zt3wvRNnAxG~Cdm!hdxi3ep)ith{V_iZ=2J-%?%!}65@ z&P^^u$pZ}M(UqR0`4DJ_W6GP{WP@;_6MF`kJoOip{1YGFzWr7ut`#^YxiOXqqMk1O z%N?t2Pt1oT3d(g0E_j+l%Ai*DU5^FGR$css4VD;MYqy-#d^QeUN@I4T$>%+}_7Mk7 zN_^vPO@-&fs#2)hW^(3dU@V^`{WOU3-l&HJyUa1_V2ONosNa&imQ2Y$t;+7M+2h$tNZE=qPvbYR<`=j44&_EbW#=DgJ_3|o3WtQu(|%HP zEC%VjU?9{Tc^Hwjq=#ONv;snzB^F_2aekp=@2-m5=0W3?FN$aMapqY+OuLY(HlFwwV_?zevcWXf zrK^>r(KfgzjC&jBWQM_1+QsQ3oar4(WV>#C#oDK+NF!43Y^F?azv!FMj~_iD-qJ;J z)sfa6hsO4}*XIy1n#b5iDNo+N!$l0+0~6)DQw+t>z#CXp`Mx}v4<+Jx-DEJ9kNhfh z&1~O=>O>NM;N+yX!Dhc{*Q(fnn<=F`_d-R#k|f@EX1EKe@J&mE}5=CCvb8^nVi zP8=O{o!X-0M)d(Q-Ojq$G1U?+g2X1R*9SY|R3Zs?t8W8_7e;y=FFVk^#whj67! zb0FGvBtTt#r11^_F87QTYJ^%t+q>Ma@c{6q>&}d=N0;ONh0li54al{Bmd3!q8!EY7*wMoR_KPAnUO5`wD%#6T zz`vD0BmDDCCAG(9Ad3cSoh3hf_myB@XxSF37cBs1-y#i=gGl#H`q@H=MB2?oqH zzq-3JWU8~%zFYRQAX4=}%SxzWxmGWhm$xDKI1IHhQw9BIFt>>7Y^iu!6Eiq7tzPu3 z_dXZ9%WZG>aUPIgD`>Ht;2%a5jA0(v`BQUh?B;&1{&bd`_T@`` z=cDOxPu6km3J{_5C_X+LW?evC$eo2gTPE@Os*%-n|D5LS>;+53P0Go~sj{fi%G)BK zvc^reXu|bM&|zI84&vBdSbhiRPjZ{t-Zwb88$8hGl;s(oxW+iE(vP!781!@)JAz!|d5j z;WBX*HyQwUN7|=EEip|}WGI0}#uPYh#v={r;Kw&NwcygQ~p>g#Nkn2uq5Vqu84t9X_c3>UZUJYMA#M9gF4lc>Nq<|9!oi zYtdEQ)7jFj^?MKJiK{0%Zx$j8Q^snFSgbUgmGfczmbr{jq@~(poas9k^MojbT_vWA7uUUgn`}mVZ*RlL&P)cN$bh)Hq-fJ`c z*wL}lCGR6sNyB)bFX@qY1{x2Mzdd6Q^lEWBiBDiv8}ctlybX%DJ%ByUk*)T7oN)n_ z9tA(#(F~8gjr7EYT>VM=S2E|{q6uece(w1xn``r%3#s%;g<1i`qYo|L|Axj1GmatrcLvK z|2RgleDDO)M95%Hw)rX^J9NcDUqG*7=oD18ruG;iB&wH9Gp!?sPKG9&tHouSPn}Cb zHkc@^d*4{TYK-okS`}%)cawRprCHCpxcMV^%2w7dU#%*s6`{#{VcOGYKCxr$DsU&Y zE(hw_%P-Wml<5X<&(Est^C$(R z8+xfWMsnhm*wOe*68@xbtYLhxrwHsw<45#algOvLZ8#%ZaKJ_3 zCn2~~)Fc#sMC2WXcNi%A9BMhU$#T?_qp`AF!!L>tOc~*|Uu*NgPZys)5yR3fv^lD! zSe34SMW|BgmF}B{dtNv@{8ap-K5^LFLS>=b)vBD_8UIlOjG*m%5rk}xf3LI%FN#-( z_#2NY7G?vPeD9I0@U4e>BU_9#Udkt7)d4G!MX1u*FYMKOz7jtS5iRG{aMXiZnBFY! zM7P-|FFU9g>%!(;Z)trn%W2iCM|CU>SU2kUtpJTU?XxWHP1j@bSO2=UF(^TmuWQwB zNhfUN8|2n~WzcwiPtZM>7cJ`!^yRp!te?nd>I8Jl^(*#|Ep}TB+ujNh)(8z_TqBwQSA**h?D%Wm;D#}-v0pq7_nIx|wa3Lg39h$$% zc5XKlpy~P@eRZJ9w>qWzWF%SN1=;hh=ig}ECsclUvld~^c&XKlu&K;L0;t{_^<7I= z*AQ>Y_GHMen*2r#kQQfedMTSE&PRW9nS6qWs~vt-So~&+>|-?LzTD_%@20uC$5QUD zE3`P=igJi7UC>>9IFwmbh}}Nx2zkDwkppkJTNca6_|#LF34inn+lQEo+!^;G;#2ny z+q>Sv)iw0<_Ui?00eXIq0kjhA-Ri@w22tbEZ7LUbL|gX*>5A-}Nqf6(rj7R+?E(9T zS~=egER&+p$1BD2=m;J_i%mx8n=&Sg6Wf~AnUzI09XA5T2_9ZJnxYv4SZX^eQ%RFU zA5MAoRJV6{@rpA^0`u{x7lZ9v9I@&o+PrjFyHGY*7NA6>5@w$w4KntnYEI}jeiR2c zo^@Jjpm!_cK8L#|+1bx(KP?h}=-^E`kGx>Y6I_fcfj49$LAQBcrcbzVM4$TdcJ;kz zYF6N?`NnVqd^?_YM8Di~v+yc#^@yO=_TUa~P3kH;t~4LbkSfqLC=b8;P!wD7MLA;v z@iXbCBxYx`dFl4@DM6aK+%L@0twc+*!9ik%algiU&|95UuNH8D}rq*?vVN zA%Z@d8mJGHK}>gcT5D0K+O z547IBXyJ=Oa`#(lsT$NM;fsUhlmpqkKiellSfG!$-uye-Is!4OarY{7LAnIS41x2FlSev$s2NQI~NG95AA_tGxVWj9b-Nkg6i~WQ7K+gDWQF_9Cfok z8XW5M+r0a;%GSzJLG&*ePb#tW$Gq^^FZ;xw+c)n+iIY^(H)U3|s(H%&eC34RYKf|DmO8@#}Imh#&7GmlT5{)w2!Z;oUj1mzSnG;Icw z*2lt>p0bH-*z~lbzHIdOUK$PymM0_AqND`INunT?4U6&aRJ^7?&fh&F=33PSQ#{Fv zJkxVjTnasT&pLE91*88wG3K3=A{2bDR`yr)1$>aN)y$ocso)n{kR&4%!3=U7%zB;J z#)Fq_u`#qobw)YrC0L4@zMDolLg|{+MI#ulSPIY3%(l<#iJH?o>F-VPsq}gqiqNc% zo-XyQDJfMdC||LLcMxp)onq$rV%IA-clRK|RX2A2C6xVT<+1iA!1B<&R}OypQH1OM zkM8g=Y-nsX55fAV4t2{;C(OYQiQdh};M3Oi3#ZT(-p4cEXL<#XPGh3aXN|S-2`o&3d=-*c(&xlDOu{L1m-gDX4 zoe}N{kI=QsbMyfxCmzB5^@QNS<ggmAh|Wr@X6^jfXof^95d8@7)sXOk=sbMKKS8f^)<1@16Mn*oaN_C$;vf2E>cL z%$#bH>2~QDJxvHr`&yj(iRFhz!$AI-wC()zcC||=AU0Fxg56^rK zbe*~!d+@w6H~m=E}VJi*7^J&dxiDK)OIY~10##mAnV&BRcJTSy^*Zo znIsQ}vys(li?2UVEpnHeM8&3xuAlkk+Spr|rv!%mujD*L^bbY^0@JpagTzn=+lQ05 zgTl#eItu=@*ZP_62JNt??h9P?-;M|{6WF|oO>#bPL!!WVxg`O6W&S)RzuhH4{}DiK zquJ@!do9{&?D6ZuOc>^k>u!Ez6umZ}RU=!~6hkfh=aEJ3Zu@FMBTOLZr%2ecxM+~m z?V3|geZtfiUW?b)^<3&M9aqE6*(tLEqcyh*7)+iTWjlZK z{Yx)gF}WaLCf|LbP=ZK|P`)r%?GYMUiXO25)dy)NiC*jFdr^w%BRzoVdQrUattIoBn3gW$-E+u!mN>aUx-N;Usl! z3?wI2mmLqX9Q-(bXxp9jKE&@&ZW3cn3&Lg0WB&B#2NKrHWARiNFFab0=ohSXiiaWJap@e1p&r2(>_{ z4?>_w_0k$dD~&j6YHa3st~?%iT60J_ge#kMid?J|5UEbrH^86?fS43~4~n+0;S{09 z1SO%x&$$Wy7)Lna0LeMNPZ*u6`zY!bjdHyz6o17i9DgN}q}KDUf$d8Mz$New<>ZQ$ zBmOD~LX!|8<&3{_f>3+9y5OaI!chdV?86Ts_!3PQg1u`9rMMF8ak~-h&ASm;y@sk; z)3uR)jzKJ*_!yyXO+UbJjRQy}jN<@p$PifJQO9!?V992=QKhysEZvN#Kw052#dDEE z&}R{aW6MFxYUJXH986F=a%*MX;l&f{ zC$4cq*{pNa;)%d0^?h+5Lt_yj)z3^ai;^yt5OT3LTnVhw`Qy1vNo2DG(2FN1qt&fb zfDG3R5nMn?25u%mYI_<7h((dWst02J8e%@2FdUosy=+zn#Ek(KC=l-iI|Kr13H&Z~WejNvh1hr^l3KQh0L||n?7tbXH>0AZrycVIp?=rx^eH+1* zF}?LieOo$f2%~u70D|Ba$l&n|NL_v90Cj~B?45HW?y>XmoA@VvP^XPtyx%34d}yTL z{aElWtGr_bBDkiJJp$4?FNy;&5=JNLh(fRi(~4Xo`N{mn_+~#(AXi;RvqfCdb8OiE z5r!NxktHIVAbLufD)s=OOHPOeQq?6sT*Or?JPAhkXpSx9L+ZtQA)LhM9vPbK<5QQ)ZE`xrCAkY5D7YVLdSxbOkf>;(ss#q!5`cJ1lPQvInhz5)lLkKB^ z&@8@T>67T}@&!mf@DwON0zGgNb5%~T7G2={$61qva|SmiR|AL-szsusrvraMb}Rha6G>197$Q~ ziJN7^kG1H>-%U}{&zGgcO2ybv6r`4k{CduyywXMK%jbP6u#RqI9kbiqQ$!aRePq;Z z^ir%lp8bOJpG>p9P=~xw1sNM;GqT6G3H)v#Eu#Gpm)=NXU_hgM&7j;4P4p`B0Vgyn z@_1uTvU}7#{VC0^$b@GT!`nCppZe}i1gy|i17K4WepI)Q;YFITh@t-=tN&93>be=h zfU!<|{kz?#$Teg|8G{sSUOWe7gM7>;Iz`$w@<{~GCh0i7^oBuA68a-yqUW~o#Q1Wp z+#lcNi^#C}Wj7pw;?H=xNeL{c-SxR>shTL!}=kIYc-|s(z*XYzk`SW%y zv9ZSW&95*mSU5RD-D=2F7WDebe61}c*J+3_Q%DHs_w~m1G9QPTTE;vutH#S|ePu0_ z32*4;zqE-dcGZC2orc;_k&R;4D&9M#XVwgduaM8r`*MtoRiTg#WGgwDvj%{1VNaTr z4J7JJ)-5YSX-$~x)D0=^;d4OcURewMgr|ASSdL3NdiJwlu(Tt#cifetca@wXSFjze zV(fw(=l4FZ_jwy9I-9Jh%d(E%apYsbYVcIkz^lte^|)$W6{^IHfS?86)cswokj}z8Ygkhb$>F|Xk>lHU@{%-6Ss-W2c z593)@#XyW2@1ZWLm$2}IBctHb{%bG&;H-WWVa-2|;SNosT2v?O{Hfq^lV(x{wcHZit8>x1=ed1^bqpLw$8jL2GQBZjiozF!4$aTx;96O3`_L->NAq6GWG560GK^))S-P z(|#e(gv`TXp=K`b4c`nMYa*=krS=J0us`b+GxAn35L||`QRtENbvU4#}5d?Cvx1vhs%~*SH#K@7ru*hgkC&{ZISon?_y8?=75S$tPTAa5wu^ zJ^4i|(z2HO3Vb==w?~NGu*~lwJ1GiY++2kb#7O)$^V8dLI8(Dd*=h>vQ|mo(&brT>CRoWbeg5p$$Wz zKJ#@PUC%d<2S~;igCDdBO|MC2-&6Mdj$M^`9Kzh50X+*Rx%l&Z<6{X_jC=kb>Eh7) zEEs$4t6qO!V-vfzcp;KEKAm~5$S&`%KKypcBtIR_f4Vp4AljqaUXoqx`fF^2MV^$K zU9?vz)xIOLKJpqHnR)ikpMGQruhz3U6aA{GjpkaB46+wMV#Z+B%`7J5pl=nxQ*GfX zP*EYIYR3K5q{WS8;`(tQ6aTUf*;~RhI{K)=v-obKfo%Iqgq(zH9-eHNlKW(L)uSH! zWorb@m5T?e5!d8nt9ePb!2v@C zer;Ifef5|+xTlCDD$bP;jU9bpsIAIwn;WJrDQl-$6X~~;;4K%)#^#T3e0xR}K1JV2 zPNcFdLX#NMiyX~wGw*YH4kLEcYqPm)4R$IBl!kAxU}^z@$XiZ7YhWU&MQwjN@8O8z zp7UKQ4EqcQC~us>MTVe}bGWsFkhhV}x!w4JBhTaQ-uraw@0gAxV8y4yo}P&JhWcc~ zRT8iR1BRI~qm5mq>iUeFW9(OOYZu_stB>{a4Vuh`V&_NaoP9I&#RKl?Zj&s^p}j|# zhx?g#41K$??CfP~h1vla&p8C)BL~0_&*OSs)}p5|z01B7Gg<SX0@lJE zDR4R!Gy0F zF+mgMGI?PVC+!>d_8JQ0hwA-+ie(}~jy@O?U#;yZ8c6(RFSykBKw%^_g;^CAez{a1 zoc1DhfwAjlOk#gITOU+CY)M)}=1^`gy0A+=q9YEs_URz8xwxYQ>y6dB*~=5|!%mrZ z8qI`SbSmeP_J_%P)qq)d+kP*sDh1p?gb;^T4K(gWxAjtN=4hSgyj5*~2?xz+^>wwR zMaXNXYag(+XF{ua@5TrwG~`@zzWCyj#M=DE-gL_$`go{y_dX{fmfsW5$(rm7-La@W zXixJ_#5ZbEp6gxXV#!*mhB{1i1x%wdtqz>W1xb~HFucd5W0k@;67-SjGbW_WGUo@K zG<$wY(nF`ouRkIld^@y@i4q63c@umEzH`{kmzPJK|3uD_Z9v8YkF|gXQ|d6Ee%vT8 z^-(=1H1}L0^CRat5ydDR4xO)jFz4ha!e9CDbI}!*t=V2{z+8B|R2?gv*7sX=M?EY_ zT!Eh9_ixbYKW&!qD&z;aPU|OUU`e9y44ej;c6@~w@Z_oB>A^!f)MNZCBdfgBW61ni z?6@s@%mBF8(c@Asc;-v3oE&LvoeIUj@u1P~qeJ*V#fgqk0o6yRAdAK$z7UdHMC)D%v)usO~}F|jB#GIGDGNDDgV-)I;n&q^lUrkp9w?*w}UFiT84o%Wm zh2g<xxq6f7f53JJJbDX2tpK_OcVMru9L+bICsxhBqyisZ9=?0~u#46dX>P>e1~1 z+6b#!3!>fXU{>BV>5UhsD)tqv1-cwO-!IBCq=&yXrKXnJjvd{5`*vB0knVJ8e@ey? zdnNd(ft_097XVL`p&5qSOgn&3AAN1m4p!(gq<6o0N}rcb8k4UUm@X$)a7m2r0x3t~ z8$2(H@N(%l=PyYpU8|K}Ke0lew^nKmyoct4^ijVXfo@&XTF44e1F!SqdiexsfW07P z+gr?9g%eYn+kHhJIiy|NqpB}q37`+7iX=Sxt%z+{6-7yJgT~?_z7L_=nF*{PO0O5M zrQPpgKhjv;dK~}IwZ`YfqjLbnhwTAq#{lD?m;ZV>qYX85WU|M2%jzr8)Bbwl5h~Wq zqo^!^isaZppgvM=ir6&=nZN8PMs~AmBcF6($;{_J1FN7hT5K1z`W>K?nj3>+DJR=R2GyJeX z_|rHvTEFs}%CB>zt^tE}eNM_ATte0b*7d>Xe4*)Lzo4zx^wdy&@WjvU zv0a^`9U0M3#LM^m!+818mWE?|g2wYZJ9H^}k%xk6+xzDi13f$eo81V3Mk8Jq-dZr+?$0^ftN@7*n(z_jc^oOBZV$^&7*-?7rKI*$P0Aho+Ih{6QgqBdeZpZ2ax< zX?kN-#?XlU&&zZ7Gui1|ZossFhxPu%M!*4E`hd^dqr-OhrQ!Cgdph-mQLFQ2>1f`% z&+q%w#JqydU}H$ZXA-oSplcdXadQ6qe)2BFcbVQ;sP(+<)kD`QU_UZ&WJ)#giM}_n z`$kUeb3!+-_klK_iPuYlP;J~xj!Te`j^O5D$J4Fp_T@Zz@X&K(-nz?5Fz}`|$m_Vd z^>I0*_#4Z^?96%3+T$OO;j@h3-5k^28==;~C-$D#<`vR{^?IqLuE)l87YHWO(g%cm zuMDE?Rp;!Cig40_XB>o_;OpJ<^Nyh9<=(5j^;fqiv9pd{o8IR%jyKmVK=g8*Qx>3X z`GS5NRo4%#K;OD;&EYd|4HB1G&K{Qu$G6)0nUO-&owT-Q8+=o-noGw7uF$G|akoVb2`|2~Z zOHxQ<`N+v+@1>Eq-AqBq+0xDa@~_$LO?Sob4_=7_!LG}BVsDE+i-_K5pSj-fjN6vZ zE|}g%koTtKugUQj(}5e!)N{`VGJ*n<6`es}RM1t9gS+JEJK4Q_*yhHb6*p_~j%pS= zI`NNc<~!buzIU4V2=>>@`-SV2)~fxE=kma3^m+LyCq_p&YyCy*Sb~`rCW&i2qw}}8 zexp(Qdn=&(o;HJF26L_KYO|3a8t8Qo4pK+=_L=u#$-z#5% zubH~qV8ocAeBfSU#2Yl8=Dv!`t_!l_u7?OG7yb&PGnn@p1X}*qH{AEo-f#e0)M>v9 zUXM@2wr|4E-+tovCU6y~JELS9cecpooXcC?Q_*tcIQ8^ubaJmfyO!Km+H$kmM&2IV zasx_kvu(NkN^aN2Cq7gp7zFpGi>#XOE38|;-AxiSN*n05S2_1?{7AR1k0lHU&kGva zv#!buI@q($$a|?Ap9~gyTFl5P_P%vsx#41t+n2XW)~7vc2O z)IC`lA>CsV3mqx{g=+-q#rjvIASAR~TMuCb zArhzbTkSc;8+8c6l&y~tLEhh%T?i5(7YGszNDj^|AhoCOf0G0OC&s=C5uNZJSy`l8 z)|kA&&*eaJ+zs~^yETO2fiUZT(|7ilaey$?5Jt$^rWX(=zEc8C|j%a)=niF` z@$OeyOmy?^JD5*s@>=`9wk14t5L^=yU*B-tQ#8v6`*ayUC{WjTD zQek$Q_|-H%thAoOYwa%RVnJ7Sx1Kq6KY#wKLt4oBBG)0NrHor;xt3ftU(bW`R< zAZ=@A5Y6hpjD=#M}Zw0XMmGjTkz$foKAgt92b& zx%&{?cHt1SEo%@bZ3$WVs(+a-h(z?S#p%Dk75_T>@G^XyR!dtp2B^vzvx|Z#=&VBe z=0O6Ol6Rj7YAL@Kske}e8QmiS>kl?!Ljxc7At{fNNHo56S`&*v39WxV~i z+RS@fGqMhVvjPX%Jn`k zr`+}1k4MIDc%5fc=i8)D*XM7?^u5wg>*Oz2-1RcP99EU{haQsTzWv;)>k7cgp zO*8SMskA>i-Op8=8;rTJ%CI&`e~7tc<>sU%ew*9XyS<)N>lxd3jaId8ad|MDs}_X7iwv|AEl(uZ*aKDuN~a}NUU6#Y zYwqn<3fF?@wI04R6=>|uR|d+a;cGqoU@FkuyRHnBXukg@7#zbj8kl9$+c3-VHq6m$ zooUK9;X<&#O|;L4c5T?d|8^0$#?kAL8RC-pW|sM8oB5UjcufJkHUfTgO`H?%-=ST5 z1D`_yuQMDWmYHwnnPR*X1qAz~Q4b-+`@KZ_s%Y0Lz~?N$mQ|)0-^80;W-rHtDbao{ z>h*He12OQK57@QN5#o^f=A8MK1bB@Gyp{o8*@4fs6x@jq+h~``P%+7{W697J$?!+X z?;t4aD7 ztlly>wVGHYQsYRUdi$M8m2sV9 zZ63s1+hn8Vr?dK-lJ9OH$W=@j3S&U(fOSx>4Fr@2g&yz zq)?h9iJPPlJSFixr7-?TlK%lhp$=f80ukc}2;zaTr;$N*aSU8b$bkjeRk;qA|cy z_lQ4pHxekwuqm*v5ibnz|_kz67-ZdO8=Mx2U9g6q3D({~9$OL00&3Ep4gY`-K#al|QcBsf#W z8B-+$w8Uw(BzP9YSx-X+%cJ3KBj{|S@N6S_ZKKf-BiIh3$POb#52N1^L{Jh$VG%@f z5=0}dpgft0DGlI|d9f(R2s?U!+ z0_M;Cl;VoK5B@L#B;-fA>+5zU-@w4=3%|e=MosD~z97gAAK4KWey2L1dGSFQ1|O{N zi=H2i50>#oGKta!Yx|=8Ct;G@?QLRWASEMyOh#o)Mz%{vCrn1t-5 zl10gZ)qPQ?`gdK)@;}k8Vup? z<)0MF!|cmL4OU>h)G*Ly5S3?8S!a-)X3$Ab@~*f88ak31|F}x$A$qfD%T;=m%aP3L_`=3;2>u0pDNH2*arYxn1Ao(|Sy|iwI>I zPW{_#-{J8k-TK70!|F@SHXwAt)`f5mM7W>~gmo*LU>%f#rjf*^kwX41N%UO`-cl0J zQVRW-B-t;icQ}$*I8sQdl7y*Ja9WbMT2g2W17zjl{Lv?J!y$?UB9ELd` zhMF9P2NQgfAP55xgsKySyZs%p>ydy9N<}r5!ykb(r6fjxKJz#d#r6$&~Rfxtkxn?LaMP>*N|XFtlh#{LaMtL z(Qr1@qPlv^eDrXUHk<45Iog|*&cAPL`f zg;p70%nYCBz_0|oP>y7^D~^2L9& zO6#3}xZcb!NHH|I{WqvZ17}=!(f+?+0AE{^82O(W}p&gU?*pwt7qWPX5QuPsBi;{4|M#;gIWGh7YG8V2)VKd-X4HK+@VUi zuLFFR0zOj%H>@*595X}AGaZq{EHVptCZ-AZ570b10lzsW@}m6G047eE%LMz)QGR&< z6PHXrg8hW3hq9;#IAGTpN3U(BDc3{}+VyzU11_*@h{J_vLX3N29rbz|?Yb%olCg)3 zs0ZDshh;X8)*V6s5bNJQy;;&mLc+CH-v5WqAwuUwEN0Tip|(3r^?$OL znn5z2K@*ul37Wxx;Xst)K&9ruvT7*9z>`>a<`7}q+2GZ2><}(RT=foLzb32?HViCj z-`u$h^v;O#SQxyvBp8C^!w{K_BHMy-BvW6 zEdM6wY17ZW8@$ItJ~6#tB}sGgdvUi{yobDjdk^XN`z@*n0X^N5uKquT8#byzz92Kz z|?8O`vHdUB3lcj`cDwJ*-m)JE;fXpBK)L!qO_MewCsT zYzdk>`X~$V*C3@DqI}9N1$mZCcz#Cp$}RypYVR{>=1cvmoDbB7{IRK)CIc!Oq>Np( zt6&<}(ysv_YwIwz+DDhWJ3gh(YZj+AE9f6!1}xZhGZAa8mMcx7&wf`i)LN|s@oKj) zBbb;AE!br+b!x8`gG{t<)jLGGhHiygf()!JX8=~#^5Xzjxm3>p-#k(u$GC<0-!voZ zsNwSFTEp)Hsx@1qb=Sv+f;CNggFxq>{u^Cy0o8WX^bNPPK%ux5C{A%J?oiw%xKrGn zK=Bs0;=x@*a0xEOAy{#j0>Pz4i@&+9`+nc^J>NOcIY~Cz|DM_1+22fdc4uc7;ulsA z1_C2G15rU6u?sHq)N$y@wXN@OD&2LcaFx(VQEzph53ah75p-8%v^U>plaX_VCH zME8WgkLM|-i3>F!BvaV0SuXAfS-Rb8Oszt}kqPe@D_AA96pG5|C94xfaSKyJzOVXv zzo(gqTqEz*_}C&3X0!@UjWVETvh&rzNVIp1(W)vTX_C&1^m)M=m2>D>(4 z$12cmW=R~pljWBhPmAA%j@9~K#x(?gQFR@oki9ILjCAu!(x>9W;E@GiQGD16 z-tpBHjlr(>O~|(@OjR(TXHjVTLW&Fs>n}wfxh$>Vk^*Hw#?X_{CeiSgB<7(h6^BBi zKCtW>7!q8I-~)O{(_T9u5IbKLEp-K^62eW&|%g_k98d6%d6e>YT7gcNH9rXUIJGZEm zgn7b~plBb=P8w7s!S!-m!dw}+c95Zd*vZ^0M%Z(bVHr;~4leavLG}C{qL`U$*My_| z=E!I+4*;tRnP*wpOOW+cp2D|Ue=+;4*tc9Rap8w z8e5rQtE71bhm69CVwvb!c^1=yMEfOaSfI6iGpqL6<&Jdpv3y325j>>~_JH`(D-Xc2 zt6HSd9z9^v*~QaNh14sz>PSbQ%O5FLYE`d3(8_2Rk7<8Duh525XwR4D&Q?&gh<;Bj zq@IRpC2Q0vYhk2DC9x*UPca+sa80q38+aWut|b;KwO`t5)}#h3TTy9T9)+Vo?GS=J zmvBJxoq*O;sGqwOb6c_gGtFEu0Ny1pxHBSOXNv8k=za0ISRh|JqVdqRI9-Ps`0fpn zThCkXm$y_h^tKs{bia~=t!PJj4$UZ8HG-?&3ahl2IAj#8W~c*CuPsqySm7`efXpyv zs{X{x=`Dhfy`KU37&2|G=5V7zn1*&Laz%tuEh7Vkuxv@Ch82|AA=ql;`gP3mXO2S7QNyd!3ob&|n{TeyOBqt$o zZSkuY<*d8B90eLt-?Eif*5KuBi&)-I_nK;VRy}28m-{Mz*Bp)S6j-U{nTgixBC4n{ zt)E+kc0t`U9tln}Xt8~&)e;G5{rAw4Gtm>IH3wJ(F7fRcw5Yif#b8BUk z!zvk?9G(~Du$10B5Lp!4k=3kZ_F3AT`6~vTL)USm7i{PLUUQ_TA9~UkqXdY-XTqvFK@X-AuAK=IK}RCEL!pwvk~D;Z0ChlenH=~sNX_ar>h4HQW@=h5tkF%HIiAi9=eBV zcqqlCGJ)fWv|)PqY;xKaFwrS4q5Oz0K8fXIB31m#m zDpkp8%$R1y3dp=*1PkAUH!A!@v87RLRp-StRj<{a+Af{pRsOS7F~Fq63Oc_4 z_Mn(%2OQOVAp;?%R}e>at0JZAx+S_yPf9~AC+Abx>TVM4iEETs+Cx7?Gsml4I*s&8 z{P_XGP-ec@7R(REYVFU*5~^(L)iKJD1NjWnUAI-TJf~|=x{eIEtGAu!e(9x)Yp-Pa zTMQX5)d#ZmbC*r39bNb!R73;2o2+isierYC(uhw-Dw+X3^N7Qtbzr5X!W+DR-%t#b$Rm{~HNkTvjsC0DSCS&m{ZOJ>FT$Y-uV+c-;R z&)OlW4HRwIl-dd5Y%U9G*q?#CWJ3i8g4Gj%ExL>ebFS3*j&CAm`qd*l$4 z=1ajbzhVF=l=4e|2-7Q4nkv;|f?wLF#o{DZt7Is;uZ0g++f+mf!q!ek-r}|%CJfbc zz592@2w}xa9Bt!~P8nW9T%%ZJNNK;doi36xQ<$@}<%7K88N?ogv=mi2A z(kq-yE7X0Pm=u9V=7?W7QzYhaf1|mhw~6FuX{ttYep3CR)!k}yZ~q)GxY2_={Zzvv zrG$DrqCZy9kj>$8vE#OL)Qt(I$$OmBO=r7D@o<+@7Y09SOA>JGDO>0!j3yJ%)C1@w z(L8(fhtb@8rlq7ycw|~dTB!>Ye4Jzq@o1ur*wuJjrFg<4HKc7K!QSm6_|%2;jPjR1 zaL6JW<%4@9h(lEO5%xVPDcCF0{us&Il*V3)KQr%-Wd==8?8(pVF>d%L4Hdxzo*7b` z?@ZCkqeeAjaF|iS`1exVk5z^;$yy_5i5jYrVlLWyMmD9k+*R4$wwh(B#)y9|3Qf-n zSzqm?GFaf;=GQnb%V>$E{EjY9DO!U#d%;rU_;mBU(>pi9gZyYu`OE{~Bpi?BZ2DqB zRrw2Nv+>WtOxFnz8qHa1QsqQ{k_#WJ1hBd4`_KFrnQ!#f-*g_AU;Mn0U}?~w6cf%O zapIQQBT6gF`n3(B<!Wwksak;RpdWUvof$hg&*_oMeWiL5@ zgr?6CjrauYx?5GN@2aZ}`A*fE1Zgdmds=GVUNLq%m(aqO`M{2^Aji5^8@|AoRqv#Z zs-nmftA_z>L&YB^w-v*Ev8vwTuhW+S7xf7bBN1AqF9He=oklEKacWbPeAqY|pymQJ znkdtf&c|f480QvT6%ugPnUG22QMwcv;?uy**~8pGOFZL>p}C+Y;_ zeFw8zyVb&OBi)lm`AQW`Ks#3(liq|Gj~&YXw^*r)hfYZWOCts2YR80GgJTX8u0Z}P zS}U?w$qFA?aVS@P1(s5!1)_tnc4@Ka3N#B0@MewSv~DS`ZM`WRWW#IeCtWk|9eH16 zs7yP!TA1^;aS8+P#3-66E2MweH@D)HinFhw(MBl&jJAighorjaPX0@P6NS8a{gIVn zwE{3ua7d+$&8`wEq%g-5C;Ub*wo`LGzBP=}E2g)q@e%VpN(=N>smqhDnQo!SGQ2Qy`ek(*&%nT0LFY2t{mAMr-MVVZrLH^omk4 z3SgryE5(28N5Vo4etkN+(Pnxrtq6nBW}R}qL4$ca{hAN_nd(!UC&p!`5;f>+MKHuE zwX`M-=C!W~4Zie_`JRuAIb0HcPL2k8>%y!R>l;GxQyZJ*#*XkbVY-fTzNrYtR{^7i zWTSzU&c1*Ji0rLyLOo@2Q<9$YG`MDyT{F@z_&{GW2-&Z=NN8k0BWWWj$&GZH2M9Z? z8rC>E+gn7PDysobUme32)*7RMNXgK=t)bSstV0Qx91t)kc)z6wPL~@Ci{4S<%jEa< za=~$XZ$8waWEL{F^qLJHWXa@+qwu~%N#bxvW09&ou~~u*xx|9W*08RgupD7XBfp}ACq4+t4&C+eBO`)-l3WbBG$06qk@!|s` z*GiDByMmEi*|FA#}Ojj$h zW7LbFtD%zm10^v6yiNtf6@4!)S{M|T#mn zALL^_MI z6C4i{e{P*V3%KY7-zbIYXjjM>wt=dZKsk_ObXU*R6nWICMbQeOqRRcAX@|eQkw!p)0^i&z9FKo-!uKn zGZz5CnZ#wY0ge?JP|S?yy}=){x^Q=LSkPRyQf(iLh?-$$o^+Yp*Vi6&N>n*pHy0dI zQlPR79WE<)3Rv|%OIG2nSOdu`iX)qlk+%W;*4 zv`MekZo1T(&9G5vg=h+5ybP=n(z3{b)Sa>wI>hi&i?&%K>^N9XvqFGaJ}s6LZb*H! z5%V%np|RZ6ZF=gqqWi@ge7oQ*xpaBs$#Gic9coCQv|u5F@+|G0eqQ9%$Elb_Ib`-F zU-ko1_D5FsJsS2aRz7;(k3F|79XZnF*!z7lMlDx~d-OuzuGo&$rFKX{>Y} z8KmqQy>N7SP1OU)*qgM2Vf1$yzjU%4OC|tT)yx-KjsAoKCrlXckbli1;+6@yDzq?S zrKL%fpL1whwKB74ttrmoHymzz{5Wbf8{d!y`qpz9VRJ8V-)!bv{r%MT}w(Lk~QIil0 zaoX1X!@~ADvBR;6lngc%=#?PitJgnmd|A-JO#!!G?#7=bBw{Qx~rv? zZ9M9$CY~zC_A{4m7CVl??YwiPlD$0YD#KdvM&KeU{hCd0;j;mfLNrS`k%M>%8+d zun4tP-_UW;u=>Y$rJPN&5i;YY?FB|?L}OS1gQRE03}P*@yxtV_3PPsk+=pweO- zF3ltdau<<|EuTTtKGlYcS!KrJ+|M1PFk^$y^O><_hJioy|JZbF7mZ`ONlFLk0M{^}F@c?CT`Jvj$uT;> zH|&a-W1$qCj^vw^|0rC+GqMkBK3R%P%2J@8jAns)i$+fkD=`%89_iUn5iu;mBPdN> z*hdY+dBg(jZAyITmcUs1DSHfv_-p8ulU*V~)ZcJ%{FR5oLgiTO?N3k-PImO?A=s(s zYgI{#x6lmZV+HU)P;ibT7jW8Vw#2ySUcfEMXKiB-M?WiA8cX}S1&k!6{?#Gl^@_qo z*&?gW#a&>ib#%Fy?A6-2<_rHVQ3>;}3%7}w?2{4^@+JK3AyTc^-zRrC~Bhzx){WSAUN|*tQP_pK%obxC}nO$p+mA9Kty>xgO~I zM%t;b)!8%y7hi;(U!YE8<&$s0)2h)lrPJ*oWg`F>$F>C4_`>JWo+i^SiqA*I?;p7+ z?&v*Rd9)QZ?-TD6r1rO)xF*Ag3w=>fuUhz}P%kBrRIG>NRlp|l-0*rPNSb;8l6@WK zC-!mGEe{ktJKsDq(1X?_PEpI%7FR@h4!tj0mWs#0KIu=Z(Hsm~wm}z;A+|+f>cr9* z+iFRA?XJq7{RB@69bReg3+1kp%8w*>aoN#sGz=;^``AfG zqt}ajic)B>Hh=>C{iiN3TxLu^`ik;5esq@rn*{Ck&yh@5@Bxxra{AWJHVqS_hQzJN zr@}eFBVD>Vz{UO?%ZMeeqhVs0vgjo-y1)#FE9|*T_*7?AM2r-g#j5& zouW7~jNMi687x>TUirPP`0(mEkQiP+yvy7xGNJ`7vQKiE2#rlvBGy;AkjrTM#>TEj z&9Ne~3k&WSDa`bO(Ez>l07Rz(6D z<|1j_LNP@G+T}uN7R2gUBlTcme*d~~XL@5)9wFO3#NT+F%Nni*MFy4dvR5?eNILid0 z(>ZB7wu$d#xu?vn zMPm|)w<|AReZ*98MH1S?h^-s+_UQaj*VSGmBgT7QDD%>s$AEx_zEB2t>vJQcEZKLW zsz}YLLQF*eY~?;U6Oy058#a_-ps}d{10yn*tzYSq@jgq+2NRMhv^HyI+tSL0t_Iz{1QpN2waVyGD%h%8+i}u zz-#@gsb?}mcJm3%sj0pHO@!3zWA`W{=6LsI$$T@d5O&Uq1I7qHV{>fXJ-4*BT@y~eSL}$;jR?X=npe|>@O^NDuB*NH<8zsAK)2mxqnWm{Imu=laxEnGbH7 zF?(39NL%_d3{(^sjHhVD!a*^%RK0fcbPECM3XPVKoMM>5YW(KU2U97OFQUs&3lwv{zt=lBc%3^?6DpANSL@fzTZZ2w z$x-224+c9HQA!*pVz-eCbPU0Khf@oj6~>1B0AHRK88Smkd*{S6C8i0zTMm-J-^wLW z%eeTT8k}r&IA%D9`+r2L$cGrxQrn^gUP%1~ZZ5>CQ8zu#jwk4@fJC6b&q7oM(OU<4 z_`jI|XYO@Qm0$fTjF65Gi!tffYT1_}Lx1-enc>R$Re+Qo1-Gns0B6gwg_s%+JcmT# zs_^i_4&E%C(cT9b)n#zfyt-l%6dVpnPliKEZ*G5L4qTREv}OeWC1TUf!qP5emGc_l zA@4H}Owk^5d$>dDQh)9}u(T`m6vOr7Aq8$wWJZU zkR~b=mbIkywUEXt6qnthL9vjgFc*;Bp_R3eerYZuOF(ly7)jIrL=1>c-*WQfzWGB8 zsOL0#A~b6{JL##TtlpSN70U?l7sKdP;PdYrlVPV7lX!O655n74q&-_&)LiN{);MAINpe4Tib0!9^08oJxIZ_MloSatm_MR|yiy1B(bR z)ug;n$zh8T1?YaFZmKh#xV?FuN_K_gb`h?a z2vYK_MYFv%e7Wa#*=D+~xKjn7k4(Q<#nPndcUBcHh)uW!8}8Wd)JyYyqL_;xP6(~( z56t}dioJEtY|n(H`@M{}ZC9*AA>yUHj;*k40;aQ*QGTQv=g0LxHzbKV`o=7qC9x`b zsQU@&q|C#rk}YxtU{00|e>yXgFE-SQNbEh^|Vf0Ui{F1;IZVyD6w)j3}j zZDg;CIT4^<0b?LEGtGCphCs^N(MP`J7Laa)8I@%r?&@-*E-cA2GuvoXm(mYtQ2M3R;YWt3@@jbxVg4h2hLBx3gKh3qYYJb05haqosjAW5PnCEECj;j-sr^$>}0g#P(97UMU?#aAjnu|z*c9%a1Y43%$_ zB(qZFuE80?LDr4LkDO-de_?T8MK*Bm9x6M?&#{2ylWuK8Q2)D`31eo?p+%~z&0>!? z=wdGDrZV|X+yrsLvi0X=z-^4M=n+xi&*Q&aS8-Y7L9OHDqdv!Krb2&ghR?z%eI;O7 zed1O*-9JgZR!TqX!?RNN1aBEQ8y`4a1a2?N@x9mE`aRaL`TehTn7S9vvkX&4$MZT> zqbDDMY1a=CgcdN|usBGW(C9tRG-BWi;7_m*Bru6E@k zc?|aanner$h&ZkL{Xd6T*s;7GSID2c93nstpqb;1CtH2hhM@Z#4ilHZ?uYk(9z-5S z4?o45KCzC^>Yf=K{CdP4VeQ$vd87?a+2D{}+wQ3u!(W9VwE{qcUQ1A zz={aLtvpKXGquk?r}n0)dmizRLoH(sNzW?Igf}FoU{G`PC!HD(E1j9g9Y?!rb0Hny zFF{D7hkL0uyw`_Bzy@{qKZlqmyjjl-x@YHEVXA-Yvt+2yysMP|PMm`dj4}u9#VOYF ze&9Vh-`0mNgp1x)E4L&)KjL*gconeXojr?RVRv8&utUQGE}Ea7LdjxHTbcBpmP&qz zT99)!7O?oAm1Z`VgqXH|Xf%y4$<^7XGNyLkc(v{#;XrcLfI^bYO9uB#JTs*dJrA7CXhlX*iLYAlW3VSmYU zbpty>zvvFPec$j?5&8>KlDxmtJ7khVz14}_a2RDtqwoJu;WLUY7mbedZDorDm$2-1 z-W|LDS>gQJd1G5Cf_m%qUft{r`iU`hV8kAXl7o7kaLfCP$*mh6-ow2g?DhSB3A2j@ z{oA>J9{-1MtxK4{%Tsm8bWe?u(Dx^if0z<*lVHdJe$4-WoU=VcFRwz==sRag3%x$7 zo7D{WxCn=zoNn5H*)h9T-yYx${?;-Tt?Oc41GQ zqE<#-h`Rf>{$Jj8HBNWHZ&>`o(Z-7AAl#5Tu!gP12yeOOf3oYZ7lbnKR^gxi=g9uk z2@AMz$Jw(|Z8Y$(hO<(W;~wkEhNK!;@%(Vgt3zJyHSoKd#~WWJj&&CB?QDNvMZ$Vl z1#y(ZQ0R$CWpcX zhx)VqfV?Z?jVaWwqIiF~_5fZyf4QixqGtcV0WssQGsXq)6u2<#njHQ&jw?V;rmIMD z15kd(IO+Z2dDk?;L*L-7mCeou|Cq65tB*!0kguQZnK=IjJm$^A{1(V_AS|>gEVM67 zdP#nAL9TKiXYDWds;j88t4OM=2yJ63<;)gQ@>F>FOgQs`d~I7;=tS7}hd0leun=6h zZNb}f(AzWbY(MmDKX7A8VPi^vBk@7%t5vmCd38#8Y7l$9B>*D6w43m>kucZ2CEB(} zbT)+`e(x`*b{m)bhg@_|Sm;dHcggz_LBeihs-dfhAwaGM!3viviq5SW;}*JM{~e`K z6p$~!%=y}f#|_q)uD79CM#t znQARk<3L;psd$#8id=vH`aQ*$WAV7P5A%YBt6BctgHenRzg#GvYp_pS6`op&BOYRU zbAiXa`wzwrX#uha>&MR_JXNNDqUen=C$QX~hr59@8!Z-H4UZS+ z9A!a)BO8F{2ezO`-R1!J(Z=m{samD|b4<-ii|3z@=H#cwPi-9s98di3|CD}53EU65 z%z9exw3@@=seSZrtaT)QK6Vk~3%ClZ`5p!sM!OZ||MQ%d@mIXTncUQU!_v!f)$`H! z49-^LaTP;&`{#1v`)>L7+_>*SRG>o(`;vWq^#_Gj*&DBTDdM_8K8rm{cZc67N$iJv z=x5_wqwDP~OlrO@r`KAM!`@Vh>L20BMojCNOaV+lOA zSI4%k;XAe?`B%(T07e>Ww#9wcg}P~J-2o`X>lFZfBeK9NnezIUMc@?_{BJ@x4C8jp zGOa)FsQzXm#^n>!w=!00`ImsBY`wb=`>cLd&fHO@7-_qZgVT_+_3>e4XAU%e$T{#~F0ox9 zf+BwHV%d~@X=3?MZ(H9X2imlqlLwvG_Y-!YjQ0*is-pEiUB5;1RP6-L*JD)*IT*UE zBPiKaEyOoZEU)O_f0_4v9B%#);nG>vg?*trWPs{WzHRK{P|+~&MO2*!o%@&6WbYNe z9{H_o+eh(=v)hNcx@CPO^0P1VIyO}&tYeeQ|7n$tSs+pc?Z(r)U7M@Nl5Qa#VFWGm zf1W~f!fy2qbD+%)*MC^Y)Tn?v3~ckqtUiF>bH6(yzL1lD6NCk#?h~s^vuwWmo& z!CTzts=2YV`lS(a>QQtTf&lTHn#qaLt2k0u)5o1TL}fBz7FMQ@D&H1(zaoMi=w8lE z@csY?o+HS)&VIc=WT;^=hPwbOEAN6Vt^#QG=Kk6b+iV-y>#1!*=kyHUudnql+gC!r zL*x3|6olM zQPi0%yvQ9yG|ge<-)c{#ysBTyVYbu+o=UM8SDEe__&kz({F-BhTnO(?R{WVm`<(NI zH|C$A`a0jK+W`I#I*<%*Ounz&4Yc+(r|LW(J0@1}9=&YwUC{Vc{ZiIg@8;!y7{^}e zXQ+G2782G`{gkmlqswCdqI$gxWry_hY*+^(`KQBe3A%_fpxA%P=+FJHW!~%FJ`%RK z|0q;zVjY^R{%RFhVXDnS_A1)e`>Mtjc4fX4RIH2>Va@#2SAyOIiixY7;FdT}*0 z?#f^9UkM0UuY&%k`5lw(KMsehMz=uD7wFJU`*GhF)hySl2v#5(|L4Drzgyb7^#E-M zH?)WRbu&J(H~7_dM|e1d7%VSuJn{c<&FdyvrutmTH}Jeo_4%)dF%b~>zo6&4Y(z~> z7h6Pk$q5izh_cycK56kUfbO~fFBI>&5ePcUd+P(uL8@_h(O}hz(umT5XcMMur?2t9 z2zq5ubKYJb2V(i*-KU3e*&#zj#juZKu?_+beWkh6FR2jzS4a@MT`c7A+@36jRvW#8 zLzUa8kq{;f}= zTZ$iw3q3s7>_4a=`4<)5;tfszs64ZvCPey=q#(G0tRd$thzQ=d5+B-8Jzt6XzYf#J z%+i{~Lrb+6ZC2RX^H>c_OIqaX^c-W#Ol6z8Kk)h zH1;B_JZ;-ruK!m#-G9w-_yKrzT9J4E1AXDQOABUvU)58Ca7qsZ?+HmtbA&S}vE!6@KXw1lFhnLvmQ3pCFJ6G!|7RE?Z%ga7oRy>s0X&(FJqHZQ zq(2+8ZW)n*8FA@R>1TPXXoE=#j>^R~gE_*+FC^UYKnzG@r_*_aU*S!u6%(pe3wGZoCMFls85D#OYCOpOW8Xb$( z2k!rE9(wviyGapkG4w<9_0acVze-9$tkb%n9r>1xCxFGTz+em6zQ^l$QtTUiGG3Zf z1_68JRVj6Qt<^sF}(GKrROcbBe102eI(K7n8s8-9mb-$CMYUX%Xwy3B>MHtg0Zwyw25NC zD+_uvLZ&Yjp_?uFs-b_7#B=r;I&0KgKFuH<*CbHD&!yNPo5|_p!Dc?2+b~aW9(oQI zr_*d2{+vEG;h&NS6)M3e_`w!Zs8*Hs!*?ZnaGw>w5;r8KxdaWGT=Ftero?N5k|J;pIU2vXfX$XjUGx}%ww)e%GIE#^*nB*0|zcjL8AWBZfgoTC%I?=LT=(;g{1R-SkT>8 zceVzaEIGdXO9Gc46bsqg#rM54V3?j-d`p2ZWVpv&&6`O#%~5}-2`%?w-{vfUX3ML; zXBmI9K?I$%R0&DM4X(3BG879GyH0KqRFYH!vGzRteb5wzfiEp_UA1`pl$3p8i_ z(9bSadnLwH{^yk>omHTutyBpm&NJzNiD2E^J*{#S|4dhs85>1w!!(~Y_tcszy?DW& zl6gqAPi2|oa!xAf7!SxfDMJ96D->3--2oEdvqa;;iCdq=Mh3)_~v=cufqxZQ7 zKJAgXO_k(mcr+;lN7PGp`mDS{d4x{BeFW=uPS=F5jj zaZQNimeyl#U+rpb6Zv=N2u}%Hyr;!J+QE+JZ!Godj$Uh7NIVYo$sPUk8=9!!|K7ym zDZm4HKz;I0^z7e7+mp5>)$?}TXLC;;{b(zmcp?(FXH~wer-0)o5T#q5CnZuARfv3? zrFy(mcQ<|W?iEiDHzG`u-XHsQ>@PuCK_NfObh``BUp=)`KiAvv*Ui*A#*jswX?95n zdh)o|#OaYYo3G}?sWAo|MipzPgG*kGT07;ob~=9hE<08z%nnj-lN-<2AIDZnrM{2&3D5{Hd3RUmQn08QP{MmN$+99|vzrRFF6boJWq0635uIWZk^K7M3)Qc| zDHMt zT#buKMSZAbVGERb32&C)!jxB1v@+dx(An4;O9Qm;20Xsa@ifY+Yl>E2Ft_O!ah9f| z+*~v6hn_&d7LBa7NV?!djeWas;XPm4VxM;!z@+nv3-`SUN4GuZ)GPZI%J!~|$j0WS_CF)I^3brwpcEs1*Nckr72?JCzQag08B94cPS*axLhlVa^ev%P03nf!HPOj?m%}?F+J^jbZ9a)KgU(&jq5x41 z8dNSJ6_N`OF222yyZ%(+Cu`*>CCL>bjBNkPU+QK-UX;nmk7x)XLKi8jPo8KwEp_Na zt7 zonqU8??*RQe7%A9e)iGNx;-;!)Y1 zG-|x|pIBNoV;tpa)RKZ@%~3l<#`ubAE+kC_8!5xN%olgtm9h40?c}Rp=g(JW*lgJt z>Pw+}h}=U5%;vn`RckMEoH~?QCKyi)K#M*;F5Qi(d^m``0mbz%WhtK&?P5fl>v{*x zgKUevMui7vXGUkAf4xUFzTJDhpney8na%V4I*I z?S(Q+him7cY>AgrI+dpIFRc@0402UzW6(I+;j(Xn9ze@LE?XvmJcnkT!L%QhJrYbo@SIC`t+blz_stFA@n5{h}${b+k2#eX6zS@^@hy4Yl-b z2c~2x63u6on48dP#vuhxmi>?7jP)>6T0svS`wDDPpVxiunU9&NOkdg5Tpp?&b7Uc( zl0MvPSM{z?tPdWIRT#*=*74u+9_zhgO0mxyA{Nr_9Dq9JY-IJs_sur4-EPcTsn3}j zoL!pEaWWj(Riu*O4%VsG+>ERkYOmmWZ!tCVT>(r!05*q#`C9Mou(d!`YynPehYRiH ze0s^$Q|~8%DUK|07uqMejOEl-b03BsvS_r(?dBGy8=iR$v*ioa->3Wxqi8U)N2`Xw z<5E60eaf|1Xo$t+66!Y$(~-?y+2{-Fz{?7+fB65!zZ4CBw8{_|Thv^q{ zOA8eP89g%Pnf|pk;J1M_^5FLNb_ZANQ&t?Rp0$5|jBX`tR`)!0Y#ikEc}{9-b8C8J zh&5Ih#q%-Lq`V&JHgg&ryf)q0{Iz?t)bcU-hMGc!63rq#W)6eSoKG*&#XM;!IplL; zVfK#1o0l&>N>XV@;CF{ze(MF~pB69{zXtU@>8?7X-#fT3MVvCMEeT37tofg@3Y~6a zO9VZ<7rrNJkX-rEYftXsQ^@%;Sa&V2hrPQD@LK@67Ei(XCxwY{kpG6OqlBnxrWn4t z!rj5I$!2?hmm;a=wpHR5lNZ+nA76N@k++CLzlaBl_=?y0jbK3HRaq+C(V*eTcM1cw zsi5$)?b_0%#-NvbxYW}A73$F9^pA=R*&^pak|j&sjd=BlZg)T1=Oa{(7rd3W$mS}V zR0D+iWVaWEVAOt(g(-hkPnYuGTpH2z4qi6{k=pmFZTSVW0?1ojiqiF|UlmqZ@Ce17 z(+D^irV~QKWs-jr(HX1aeWx)x6~Bj%zIT7H`x|Jiu=_tZ!{v8{BV>L$^Q z^U)PXiN|N#m&7ye|_-2W!@uCGq!yCh&+&6 z{&No3;C|Pr%exFfWrs2%qhYsWj)q#{s1lWq=ACkUOlA6)1GD$6?SqB}>h9o1w>h(8 znO;IxHMxRNg^2NF35!dhsexps%&WVm1RX76$H%Q6@sDk;@Cx%MiQ#%s8H&;ls>Ol6 zsC6+Yt6{@9UIO)gD3>n&k8f6lcZx{-%MloIqzvnxiTmWh9a@K2`7T|1gbcA^42_Y5 z^alp(`CM@Ab0^`}o!_nMkFT{gJ|(7KFSpyoFSqrGSc+EwDM7IqDMm<%x7_Qvpxzfw z7&O}$FX$KtZjs0;^`WiCq{4-RTLAMO7e~4D57XNE)x86x!_%CtHoiL_s+t5yV{J1_ijjt3tzH;7I=(m{qWypdI?P4B$V zICsn&npH8xh9}rdd!l&nzqT;yL#+?Q#1D$dDhbDn*X6GMk-$Wa1xCuz@v3r}pulUM zDSd}CbM9Z?{Jc_4F(wj1$zN7!x#B>-CDG(#GW49B8pjRool!E4bSq(5mE0&Usf*@W zmr^|t;v3qpoS-3LYnAqAl4kx^Snw39MV&R}KM7>NA|m?UPGldyV2#OJC%rUkqtZxf zQI_j(>+bkGTp#Evf(Pk7g&3vah&r48E`N#* zja(6q4nSO|DdCk*qMm^M5n&V;NbRU#F{Hj%M@n~t-ToMXTD=U_cq!@jqV}~;HD2lk zSA87S2Bo@fBqPp&1~$`}0ue1CN)BPut)!3ESnAd#p7#2aqW=qQPZcD0gujMaqJt8$ zz%-R_S*SM*b9Dsk&8x9U_rDgfmtsIjzYEb=0)W1`d3?23$`y575{c$ zzW>3B|4A}N9`mEptNyak?XvLpZ;SnPws4e>5(~ucVi_-}(O9`irF9ggbx8ZkIaw|2 zDsu_GZYf9;M#Tt^q6wOL8l`(!pKQfy=P*PQd}+&cXq%_al@Nyh%2cqHR`q6Am5Mz0 zrd4y)(0gj&^Fq}_L76)GQ6isec7)sHcW~ywc$Jvh(Z?AOgL1pI?yY?0)K>m)m7dXx z$h&yjxOjHbL$=DgNFsx_{mOEPu4bgF9zI9ulKFOH-DQ{6$w3n;Z8+bnDXUP3xEVp1T+(8I#(f z&FP@uJe8l0#9LIDJi}*mXVF=yu5EVZXcSmLK?g5K<%P*;a{Q_1VWi7F5f}39g_N~n zB3pm#jteVqd#Ro~S03l8X+F9iHL{Ihj{41JYha^aafR&b6~9kTX9_+hDg?_~Y8-Q# zwuSZMtxe#u`8wj1wC=u>Zo_!@YNpx+U*FmHDWKF7c{l54EOQaJSF8HBObSPJXWqbF z_a{-cUL-(kO^nKQzn|dG*Go(;1qUozc1vhqcs+xY86T;iQ%8o;4Hy~!^hFpjzIl2K zPu+4F}OV!_2JGa5A31|B(F@?XSUEJ4PgKr-JWvl*wiCr#xLxZ>S zLa=X8kRQ3q=!pIrO*Zuo=cJ_U%zl-kp5v$dWo8!X?Ko+%61HG&0E>AryuzgW@SW+F;fZ|_3;h7M zk?PyKfSO!I4ne>t5N9LfLW3?pS@Cf?KN5}kV%H6csKM|PzT|Q9PC5%JmKSl^XHG+N zaChN=XFCr3Z0gp87JWSZhldNcQqKt?))f0IQye zkJf_}wep*!mhn*IrJ&g5);gQ~Gl*{6f?r`;Gsj91eDfF2m+1ZREv%8HYWrmf;J3(P zN^w~GfbRw8|KaK#!y}8Dw$a$OlSwA_#I|jFV%xSkv6G20v2EK{$F@&D?{}T=Iq&(? z*Y2*p?z(GL?X|0~y=#@xW-2W{EFfR7-T+~2qKR}plyRZ?)hKu<_ZshXx30)~&uQ8a ze&M=o;<>MWa^dRzFm@9 z;n$4b$<=X+w4cV@kPMhu6Q$}a23q32%TMdOnC|s46$sE$+t&tLrke%`&$(lotRtDc zUSLjj{w23;hzEbVIo-7V{u*rWfjkoVm4k+S?%|}86wm)bi50Mdp&I>SSC6W4XCV>i z%B+FUr!Ls;}@iY8$dSDT#=xg{HRB<~LGgYy@4mJ$8l7(@h&99S!I>q_@pNGT`2}TI({}^`h;_Ip5C{Z>7bt) zGKvE;6R~L7E@q=10j$X8cIY2uoJ_coHTEr6xK@W;tiuj}!S`q9afctc8SUJp(EAI7 zVM?}|vUy$*b5jnPte|0iaaE(jbiB2CpgG%``mdIWf8)dg!4Q$`2)ZLtt-R5-7wZGh zX}AYWBsBT?HR>sc|Bf&xP&D(LDIp>8>=>?K_hrb|kXKC(w#dbc2c9$@TT>vD29qwt z!nV@=rq4%=v)qxMUIK29NC!|xXjQ@`VeNmHiKuZopa}!qRa`=UiHwp%o$CccSO+UZ zhpAG+MFtdRkwy(3_xaPwEQLJ{Hh#oofcPQUc2v?E;KAu;{WY@?CCEG{_~{7j?=B_03hEz@I@;qsGfc@0c}MnjD!jEU($!LDSbkH;K+is7K@n6U*!e}_G(}krzH}OEt^~)tR{`vSK!z_ z!IQNbK*(n(xnp;rC`Pl@bgc|ibLz@@+Z}b8hJ2nx={~u>hgm_L(?hOjAhUgti-nt_ z!h3kQj_0$1P1xgJYfd_|sWDn0i4@`Vru6&y@R*CSiSjxJ62>B}>L#YH+?#j2ooSDe zevNhFPZN6}vF^yMITUnADJ5rHNJ?aYt%mLoXl(h1q8WWyLFg`4f*>m=P=B4X1e{ueO8H9;c0{)FOI#|b%yYA z4-P}}SS1n)skhtfoZdz3Uo$dE+6O4cJ}9?uko1d<3q0V*)o#J7K=%q|`MIbl!RqTtm>0`LDmR(aVNYk)GfDmXIy8 z)5$64@xoQY`~iYSF}5-+$uPqow?US@xB-st$v#ZhIDikkYxa-53?i!qDZ zI~BTw@(j>Z99m-pAOd|~$LB*#h9kU3LuK7-*$POtLjHhPqlOtG5PNNM=w6cPI1V$f z_7fb2+$OCe*!)xeE4|Dg5J4%(qw{6azL;tgR5B3$PzfR%ZseAZ|I5W=39?uqD3ktJ zCik!XQfXX-M8bi@49w1uHQZx;Z$rFw7-E-v1wY|!bVd- zS}h(dTdamUo_$90@3Y27!k&d08m9~BI4$|1JX_T2144SoxFq@vY|X4AY->WeqI(5s zlnUnZO**Wd^Ugv^1Eh)j!ZcN6#uR_MM-I6p)J^1xQVBkcevSMzd`Gz{!FIChc-Dd&-MJp?|7{=PVM1LTG=Iam?r!3D##&F3}gyL_T0 z@G)A>dNQ@a=^??(Idkkww0LXwf$0fh>b9T&jRQ=Oex^%VL}5CWQ_uryeh^T01S1{E z1h&QtWL+)2Nyi34$KgoW{`%0ZN06V3y7GUr{o*mb$7+?Few5y)84c=XM)SS1dcTR=9`LzwqhTf(KJQ%ldO7wDlBGSUU@Mkr zx6T3nl=gmFII;w+Em`G)Zbi}6UE!64A+JKgvpDPG-dPi9z``H3C?d%?owl9(c`Pt` z@@v%z>4?HcY6*^bQqmXI<*Uolq6vTL|UFbGG* zNw=50C((j+kw|GX(ZTis!Kndo&iJ{hZy73uKTD4wbv7~^P-~>(q``!**5XthsgkqW zOvN=cUNiROYB0A8NQ;cvUlynoy)#Kl-9_el1XCy=U->h=bZU+ML<^U;%2yyl5;tzV z{f=*-v1Z0a{DX{+=nyXCNFpcf{L<4M^`sD#vqcN53fl&s6o2O`buN+!zmo2!clW=# z1C+5;ajZ}PWAn@Kk5Scd9;18w2~zVP+YULL6G%LiHTdKZ6Vl^yntZcGa|#EOATZA0 z6JRF?w{*CnUN+%BB&OWp+=g03(=TdH@WkxmIBkuyCA6)TEEeIPT-uy~jRbdF1V`R^ z7QEzUzsf#z964*FW!%N%9p8J>9gREpiAIKi$z^_7nd zfM-(5+F&IKCQn8fIdO$dc-si<++^3 z!Y&N_9m3#yb5}k)%&ka5R23e`cR(<+1JO;pAJjtTBO<4XrgNJ`bIq;WEZ;ge~n#4Ub5s9XVI`qSzs%sp<%H=i8t zsBSJo_IEu<-J{*&R`<0u2*gtiJJk2UHq4E>4(-M(1-~bs3iDB3)9_PMgW=XXv2zwD zI}lJRTBZQa#sIdNje()F$FGAW%To+XY=#%CLgnLN^QRW-FGC3PWqZRLcLrDIKAyg9 z2VP?2;r~1!pH7y7ww|8keF4YC_K!Itf92TW~Zn`+0w5FBJ`q^Ol*=}Zx5E#N7XUs z$vSr+b8bGX86k<qbftJLTh%zFu?4ok{?djSyNvNU%~cw_+!cHm>pB&EojE|hN=e;vvJwn~ z&})gHcbc$ zU#bX1Vj|oeL=bOjkdtqcCJG?z$eTyTeWSwQlTF zC@q*K`Rm8%qY&k@(eKyO?C#~6eZz1V@RZquy#JwHG62%u;yE9#ZNA zQrwNqU0b}8D5~&eazUIFo-Gb7=xHc?mB$8yI_K;UIu?=ZH08U`5X89{t*|E(y0sLa zY${R&8G20H(S@Do8XJhog^U#an0-d{%)uWn*RUHIw^0?Vo)0u`SwU%IWuvrY`=1Az zhaqz6b7#UiMTr_qGo2pb?&H~50w>-IvQ-5&$ zsOKFAN~rPm(K023oQQ5~nTIJDGIO$hsV*bcMeHr<$@k7U>mMwz!qCJ_)-jss9CMI_ zF+_7+GUx1@x=Jl6Z$lu(u=&X2-pFjG1yV}W4C_<=ST<8;i(FUZzZ&c!QE}H;z5lAX zyE}vsANiPZV%gB{aqlMZ)cp+OM}){c94GogNdIR9zD@PZ<>fB~x8hVSKS#VA<&;{~ zWB$Mj-N5xo3T3)fgL9hutQ3*N2YwtJKD8xPVpGhKDy6S&reBgHpjz-=e(aOT*{=L! zSe)l3Yn-BD^8d)icZ8YwJKIES9g?$5 z=9gi=!COpaKQ71rpviN^p6RLMH6y`PC}}nvr%dsDqeXT})x7^+b%j>+ z=1p#6n0gVex%VP>3ndf|;LbAi@~^}bRzNz!WYqK!0wsm7Ld{Dmesa)k%aGw|k8qE$ z+9jrTEE&0>#cSM0we+61ynS@Nou!0p8S^vMso4z_Yv=f(4O@#ElSujL$qgdaeZ~>u z?+f|%L;IXS^qknTtf9&Nz;8o2q$>G*>a_0QK6K)Ux(_ktDBV43X&iVYYUTn zMqis?it{+W!mZo5W_rHMHd&_?M7=k%s~&elR6Z$#=6Cf#X-8O?PP7N7LUg6jiUO*0 zrz&V}v`<~Xg5C~zkA|Wb?k8K*E}4D7oj&-)hX_p%He@nYXTS|lmFJ%p2_*o;Pz0E- zgZ&nckkUe+5t>GMrr#q%|JoMK#XKny_Px>|G^1SWd2o-|Px#!22Y23)m6^8@g9dn{ zo?HyuQ{RsJ&palV-Cyzx6Q9d7kM$#HCxp3ZP0y*J8~iUDt&P(LQEMH2fieRX?x#-m zQmge%a$Pe&XQ%lLH4#KI&zr^z%kB<4$KQ1LXVAeBgQQTYrtNqg;0wDrIW}_6*ydOC zwoOVoUtJ*`hr)}tL(}_dSzd-^Ksw64fpX`U&3OF+)O|OKG3t0NgO_S z*AKQKq_y>6?OF2`w;{CngS;#13>+)kbY_HGz=rt;bsBs568%_`#^mmJs2=(LX@qu+ z%L!<~kG(tQo+pENz_M-2!~cf$f@jj_!#QISvZjDgoj3WOW_rmBpfH~LIpX#%zqfxd z67>faupvfyd4dG09MSYUDl^H8k&;=|+1nm=@+!H6i$|`DN=U3G?-gSIbP1z*I9|iQ z_|0&ES)Ib+0uz?;d*ma1_U{U;>j&_?C4IQ815+a36^TI@lhZvB+hT=x@WY7J5f__) zjFHm2ZE&tQM|bi=6aB+$htysaj!6ae;f;t>_w9(K8Lqsj#H9wwpBV^={6WlijEDXK ziQrp$BQbZ^WKALK4e*>qlE*(2yPIza7u4aB*&M*)n6E$lD=Zn$g2zZ**g2m$H`4-l zrpZ?b7le$o=rFqW#a1-dC6FzW>^I%_*Eh3u+;+SGs!SNG3-WUCcd)-*^DYJl%?&1GQ=)L8uPvq z!PC6Zf8gmg{vr9sHJ>nbP($OGSW_chT4DP~^10WHf%ru_>W^R4^@~)iQAb>WPck zSd(&3>=N4Stzx7(bFVC!!=fz2`YHtN$}0jF=2hBJVC6Ncs(K6rT=Wog@&1W9Mj4rI z_qUS5;ghia)>YK`nVPC8IChFy`hLPxdH;$2mUYs{7dINk>lfpepP41zF~mBXx(6iaLCy+Xf{;9NVz_=olw}I zfz7bW?Q_L^_cE`=p#8!a8j~(&(B1SzLj&0@c*N`6L+-hrX*#@?YFSus%Vd^DCf%dW zWY09d4kZ)OV+GUwke@3_-T)AYM&N=;8PG`$R}&UL>%g8z%bsR0rvVukn;6_C3u29O z5i`io{_7G<3k4Z~HK`_AvefGGWb{aU=QxE1?oXJY?lvCpSEFO_!t zziKHo;vGNu!E_17fP(Wc%4I(vbHe)Eji10E7VK!v+V-w${q5dTX||+IbL(!gx$!qR&+AwT+RYw>CQWNBEEclSV&k zHtt~xS=@)|QmtD7v-gUSHY|g-nWe$+lX9QVdPaqG7A|EsG5UH9{B2IV_bYWOIR_%$ zZ3D8Q7{m7ryr)%b84<0~5J?AoAMrr`R9)+Wu}L=%C{KJ~I%p|lS%~&U0pdLon^_XZ zkvF?5xcS?ryGx>LA8ra(O5<2=kt)1#XX2DBmu@t#E>nsVUW1F2Svlqnnh2lxP46;1X+kHJJu8y)_DQ zhwa0vEsr&p8>D-b-^JdW_uB>nxP$}rPt+Ry-Y-EN{vF=gxMI<@KmW2tF<$RjnAU9A)MS~=K)vzn z+i9gQX}LFeSE;)T-cv_ZU9Oj3%soqI6C>U76m4~EK=9SuJt>Bt%s#R0YkMv?ITc`o%D?R7(r@p_|D5%5 z1Szl{6=4wM8#6JsM#j@jM7x)%5JVK$p(|Fcq+R<>vq|2pYXwcvbfAAD953-jGS_(LjeYt*TFNdTfF^f(P{Fo=lTO>daw7u znKbo=!uM~y=~b@Pm#=6H0vla^e4>(-5}1-XKs~_Z>~@@Hl9`6(fQE%`y`JSDvY~47 z;`ZhOJzMR)47v;}#OpHSgE#w)$VSrU&PI^ko1K*1m%aP<$PZr2^T8tz_aub|6t;-3 zMm@O&E){l_1z!kwI_DS{m8s{f8X;C4-4iaT)8F*6Dc3&Y7*ah0nINyLICMX(yH2mF z;>2V|MRHIUMr~f=Obu;>);bvfnmg&zKzQdCFd>fC#W;TM+|v6;v)E2F*?X0KJY_x@ zcA^v+R~C}yYouJHSYPmcJy=)h5Hy~PS$I%Jr!ZXpSm;;uQ0C*iWU#v~qaWp&iR*Xn z^YB&XLG50VszsjZG0b*_O)MHu{V#k1Q4U`N=~e}>cu(&By#YvusfK2T27v` zIe-#85McFxxE7*rE8m39r`MorQYP|2reBnv6tzaGN3RiV;{IJD0s!{~O8Q(TW+xu+ zmWh0jGci^>@8{h^#9)_GjJTZU<1e?AOws5yJW`Ww@Fuyp8fhNp7`~#hUUI* zwsRuXMo7peD)7ys?2zIGl~@!|4kHRs2>Fc+tFQOEt{iG8`~C*wAF&i_=tsUCT6EXQ z0%lktTVpP~uqAtW(^IZR-X2cdXSupW`dk8U24M<)U-83VPnUG*)6L+uy0j}#NG(|h z_C=+R_DmbyJQX|=UB|t*<5xA#$9A5kGp<`sw(tIVNHy))U0OJ@0zSkK-)<#<7T=eD zIqy5~ey5G5f-a9|6jG+wn*a99#=8&f;4(1Fwy&U?B`vO^TRmykg08nsIg$KKMresmMz)l>2e?2ExmrA_;bAFupF;Gx-rCk&qFAA z`^!*jaOAw1Hu!D1Okd++YjAXbUo~(3I;$l1WkG2Fgov&#n|I)Kc;Zi)Fg2(344(YT z7TftO!tdh9skWbEjMaU*_@JT`P>%fmZfRJ;N7(*GHUunL35J_lu};_GnQxg@dd`=* zYfoK0F4>kI5iHNxYn!2n3$a2yAEY_(o*@;&Yd#PttZ-mRMa?RqK02ZwTQHeT3=2T^}VqYe00qZLAW@M!H{46<`E$0?s%+D1i_fu(+ zFYUkZX*%bo)6syScBcpsO1-+~fAe$xOmE&v64;uF^agJ0gi0w@_I;SCQ+m&_jjBkM zF>Po`wN8-lQNN%?6-`aTNP=`Rhg-3wqoSj^C67hGfuZMMhA6a={KQAyw_xD`Lz8rt zE!IY)7*am%Tv{w4K$TOL4iE{qG@#!W6%4{oFH%clbeAvcHIr5XXh>lVR; zQ-Tt_j`38f1f@^+AXygVT0<@8*pk5vr&-7*3^#Mj$wX-H{8S7zPH~*&G5K1wV!{U! zUm-p0#4r8&g%g)E?1A#oRCtZD)po8o+;9o+@TEt;?rE+y>iv1;`+bTWTfBux70=cT zdT`l2;ISRoez;Dr?L^qp%rrUk#$?~?SXGBeWtT?Hz;loJBVf2ecve5D_g)4kX0R_z zT9q0khuKn^?I|A|9bw;=lOy*bW#bIeC?4p-{?LvNrv2o1A%)F@)nH`|Es`AOKr6O< z{Uj>SgV&VTqiO&K2A;aaw<#wBAi5PEg0x!a)lAigq$ipG8K(} z9L5PdB0tG`dUwn!6^b%G3%BQp)j!gA&jjllyQt9)V>+$0+Gers(tvWeoz6Z)I_b~F zVvY)%DWnxB3NU`0`4`R6(;oU$ZCOIC24C-4RoxVSYbvB%HjQ-{hcbVav}K}8O~tDM|hY(8l6OFJy;2=4rZ~q&EaQi z8OLL+V4ApEl`g@m>W`g#z}swg0Q@3RLzO1P*}Owy8E_wdgX7-WsGMkVer)t#H;Q@x z5vx>dLU1qGO#ba&UGN1ZqjO_8d)1A3c*oVl^99w-e@eF+BAv|$i(bU`Zf7*iADSKt zwDkjpBI3qy2wXR*0CF>@(7h<`E(0}S!d-8(Fl}S9?h)jja<(KH4invW!?i)Auoxs& z`~wxPiq58o9$IW%&-q*aC5hQT8)1<-d^yDtq?)-dN-Y1!)Ty*F^n+xU@I3PxS?W>j z0Aklbg zxG|~l7%=o58jMs%OqSP9!r|W_aKL~pF-D~tR(|*7b%-k>hAo-4+9Akgwu*+fb5y(bEIFOMhmi)Fp;qI zK1|Wz)FWHSZu=Vc7LcpqDBAzWt!2Zn!RWY9CA>Tc#P^dVwS;_lOaBEvo{?3>G2A!9 zMBp-;h0YFhQT@NeE+`~K=*F|t^t1N2G+vVtc=0;4gm(K;?TBqjG0-MFlLc4tRsL#* za9v}Du?KMag0qy<;w3`JFOSVMYG!WJv6aH%7Bl$8|_}BlDGAu>)!o(W&@v1AC20~d?(fMfe zrbX{~zXjDL^iJW?0aeHVBO@jZlGPyJ5|0+1I8BQr3p%vecG(d0aab1^7RIdBT0r3s zps=N;g>(D&b$&wr|8Hp7ubnlm6S@Y$W~2(mL>l-q4uEnU42FrDP7yVh=`agOrf4$) z$-@pD2sT#zNr8-h6O2?hEXg@yiS;1qqz5&;q&O>ULr`d_6r9(3l7?iq86uXVy=;mx zsu}M5fhCg z>n#(}s}N1tHcS$y10%OoZx&Fwqd{RMH-X%@yIth>!#|T56&7Z-1_3VE+Q2!NC=vWf zj!z3T{L+CG1sE{^^kAR}X;dJA5&xfMBx>@?y|K8EA8B+DqXe068RWr|*9hZVkj1H!*Kn#zhLe{ zcNl+C{tpC&LLH2Y5fDO&8^DsY2oH|fr>WKIoF?8`7|+RCG!AwWBc)0UhRzrks$D-K zrkRh61N3$D#Gl>!INtrx;@`kna2e(WTBXfut#O|Zi3>r&%L9{Ric<0BARK}=Y1cymH0^kXPz(@`^MlESpK79fho>w>@#`Hif zJakNxzy$l9$cB;CMLw5ZACKrZ+h@gI1tR$G!KQCqOj;1|yNv8N+GW7>@>SZwB^nci<~NIBIpj9J_D+!LeMug%QZMfKUk9XE_j0HU4}T1-wE$mVC*I z69d$$1hWBP1=rJk5%3^j5C2&ujZ(7(#L74hkd4$M1IjUJQ6<_$Pc~ebPQW67`DAsJ zSUMRZssOPsmJ%ohI#ZAF-+dm#&Pxi}hgWtm-7|S|t0x%%B{6I=j5L z_sy6aXamPE$*52}+~l;T!RPsr#&;$6I1^V<_nVi&e==2^5({=tNh=LjZc{0Q`WKeu7BE@7cvU`fWsqWl4i zB4<{QfU1--1gXdliHZp-28zm|h@qGuCgkwGiLKZRsq0_>(lb*#?KH#pyxjI!(UNhM z3pZ8C^Q}^QrTnb6be-*OPuO-a%@ZeZz1qBS-Epus5^w9jT|Luw^wMB2(0nl1<-X-q zzm?m5{p5Y!dNj?G>pwBZBTvxtcBXUH^~mME>Hn@;$-&0Alwsvs0+mxf0Q_abma^o^ zUZEvS;Y8N<#J$!FKuF0^lhHv-PM_UB35^^G4O)>gMBg*`;ow+MU0HhmQ~PTO|42z`K2i*v%ZO z>$JRY5y0m>!fwBzJz8B}sm<~!@4agEoMXCS_OG$jE9c3@dzQ9)E^GZ)i|f69m2m0O zS@ZhBK)HI}q6r7lrq4hf-}{rDJvNU^X^mBjxmj3_P_5pF$wUDmhio5cjTK^e&YrA! z`%W%iVdvv+;FgNw;hw|n88T0oQKA4&+~xK!NYhhSbFTrrQXZ-&>W3Vi7LmVEWRDwq zt6kBMJdGx91_d)^TenQ!NV{KRMU8J=>OW>0&C3L#1;e6{qjgI=g8f=vhL@+_k%_ni zb}SV1*cPsup$CLYk`g?=Jdp)^>T1PytXfK-wldUvc5(xgg8nX0%FI#aZ0ED6G|KdFZpVRV zJ=+L0`_ew8#Bqm;9c=z{eXcQqZkZwERcB>ijV{4;Yv0Ono3j~mw_okDp8#w*H_S4x zi#4rRpI*t%f!-cF4)o=0Y>YHtZFag}yPXhS`YDZMWC;1s;!XFq?GZj*QhO1sLIYpS zxPSB>U?(*6_W(Iz9w_W*`7A6rg=q~ZDB!fQo;^n}^AfAvLyd!wpz2n0sV?;CI%W4I zzPx%TJdyrXw=Y>BLfHMe<#1CT>btWXoOQb)Hi1|QJv$Yq$25agU2l7}iU@uCtlT)N zHZ)Vt=|4p5GP_nt8H6NITsR@y(k{kG>ven4eA&T4d_*i)dZId%wmz_bffQ|kqH`MI z`yz)7t$4)lhGx#&Y~pt9COcp^glxk^mDwH5=jH}{YGc+i51Owdhh5sfWa)0!SqFZ+VV$<!U23+<$RJ9{uUHxk9b}-^qr&P{s`qi$ZrJx3KGeq@d}=heU%bD~GDLlb z-4rB4^t;3VzFGK6$QEA2efA8|!F~C*eLnO`|ARDYnxCiTv$uI)83b`77-{_+!#1!) zZzG;)quu-6>_zU0TkPwz5P3>=rgv?-!TdaTKg4$14JSs--~`bt*zYzt)OtHNSjTPm zHvfucK}^PVW60EuvuWlzi0C2c%SCC-sFu@`-X6hAsP?4plE|fS8su$`e+O}Q#3ns| z&RcO<-*K0J)1_TylghWX2B`zQj9b+qufn@W?V)w=Un?l478W#*%dBhme%Ng0RSVPt z3;2cYd&{()z%oCSMh?F&8r0>!7lf;!$}!I7%-bx*<&|uY080S;=2uQu^k&>tKVyBX zx;~qYyZ0ymmod-wD57=>L({-l&Me($p%%ATIK3V60wE`Hr-+ixzkXz=)l4Fcv}`Qh z*RCZ~5X6+#U?-g3qplPCp*C9X;+ef(x7OcCQH+Sdp1zJe!QP6M|FC>bceaC}pZaF} zXBill7FfFWgVY~(3-3DIWz4B_8KdxB<|c<$poeB0zKK+$WfU9}>8=tTaxR15%uQz( z?of_QoWl!}z)u9}sl*(SsjuJ-HoNmW0BqBblY$MCUoijlG2>X4(ts2R8i_D3RG zhCiLb756fgbgQG5ulj8Na*kqk?;-5jaZ_oRvuy=dqNKmXv4j{X--Ze~lA7hy7AkEe z?K%l;oi#=8sK84zw{)E3%mSg%z%-^arvJ5y*MW{BuI!xJAv0i$cu1%7w7&NCi8wS7 zVqGfgqD57rZi2t5K%2K*Z-7!3wzgvNbuCbH%Q*g}mRE6ve^dYyX-%1Q>7Z|wc#byX z1LL^zYvH3KYKiJ*Vf)N?)#!OIMEL5sDT?>|Nij@Ozjohnp_k`5%O-JSjq%&&LS5;4GCDVd<4HKKbEnpdeEG& z4np|V4Ddz!E}ImtUg|E+IKRDKSzzaX2|mwAeH@3rypnwQF!}LA;O`?|4;vpL>-tYm z@BivHKfb3V1~E_X7}H>gXqW17#eJZ%VmYNiPfuQ|x#t-`ZagyOzs<;gf!~arS&Ynk zh;&V9ou$U+J`$4mOi&>rctVqf=z>6x)OSK{qGZcECr19$Ty{Z@>z25GZ+&xb^z!QP zkwTPg->37lQ4&4RdLXPv2b8fTrialpT*7s+4&fJjq z8Mxi$cRdzq+i3;nD&A`zZ3nXlF|PW66-Rk3WUiUBA4iml;jM!rdi&N`3&ccrkKu28 zfz$6rw<>wQo=iZ3!Fg|MhsGb-{WGnUn!dZ!8+oq3PQage>CV7&{1%{>jftsF1AbM1jtSx8A(FayYibN z^c~zPu(NHSz*MvJN&d<{1V#S6hOGXF-FY~t#CXC8&~}gq%1!<&ca_%;?K_64JG+mL}R|$kY{~|Bqn_emSEHWT^yOk{Z2h zcN2)e1;oFqb7a(apr#kK7aso z3wt^>5ng=`%w4;ks*Id77m#J_U4qgXCy>~5zKjvMNIT8UXOO`eVh=(0DqXui4cPhX z4`9@$h1k3fr`|#jE-y7B5?%Q_I--oNBunrNOx~asa*PSe6kdIY^Upyir#D*~LtTc< zD?!jDUVY$G`T0qXlCaDuqgy;rxHqBWs`O-%O^X@~=ky zhn{-~JW##x|3|$CQ2ihb@%bPSUB3wWuUB92y#IBK|x1-DdwI_h&+X40>Go7iU9XxoR z;|ta|{tO#oJpOiz%I%cQ%}cz?)D0DNEA6S;N(TAm3iauL3c0U`s`7_>brS#jp9kjd z;`pK@erxQshd@7V{M5(#hRMQy6gKKJvZ#fFXm0-71FoEF=%AxQ=jN;JCXTshNlJnxnwKmM! z;Nsy}X}*iVW8uEj1&fjl2rN`D$_0yliE!NDVZO`@;^#lD3gQ<&wF+hlUDh*mIV+k~ zj2;z8vbB+7l+)k~C!WB+be_`IK;jo21k~RR|C^z3UV#i?D1SF=uLzuZ- z-;d3n?Y4kP?x?~;#zoI*Z*!Kzj%D6hU|Vp0_2?lFph`OUr6 zM<_vpo`PMds+N{hZr+ho9SRp*+N~?!yy9DhD|mt@jK2IXwCNeA{ioJOX6f zZSZF+!&bH+67ow*&EbiUbJA>H;gtCBIC@t?9);}<8{W94FM6&Y!PR+~# zw*K`Ad_U9^<K0z25vHBAi_RQvyGI7fAm|wnlh?RKTI=p> zr?pO#*SJSgwhboh88^t-I7U`8)J>5CTM!|owf2#_wGURwY@VQX=o+`kTsTH{y>P{~ z&`Dpo!?rpaf!V302Y91X?8=A0wq6^8*|DVuWWiJH`USzZ1_Qt1r3Y+4Q0z_zz_wx= zf-!uj2hj3=ZC#}3Ffog#Ie&C4k?;1$`a3iY3$%(J5bLJho;xrDkHLV)Znww`O)u`0 zUvyXfGY2o8U;@{}Gu}7%`X4qm-j(<59Q?OL>mR(feu3=Qf2SDd0cjTZ{Og^0>_De6 zE&wzv?uFKUBG`MRvyc}6WR0&>w*2B*21Qe~3xI>hR|s3bVD`M?sZzs(zFRb~ky`%X zzFS`1fxXp4JA9vC<~&xs5@n82W`wvki0NLxM^&1AfQ`AVCgPipM%M03n-Q@63$~&u zX5e~1ek{L^tqk~K$4)huIB}~L$_5)}vt>F-p|_;oA?#n?(Yc{wxHDD;IWQ)}@zBZtJHm}EHXS)4j%spz42{ z<}mezZewL?r`ZpS|~-41qh$-n4Wd>UKc@PuSgu);&3;b6rCQzj9QsbccKlKCyg>l9!W*j(I~1 zT+gL`&+LDUw6Ip(&`h1bBo@1jd}bR$>erZ8CSjm9L(Nf+$dRCaPrTty9fOzE3y)X7 z_bTc>9bgG$nOyaT6LeD8dbU3yliSp%Px||>U&|&Z-2C~V72zW2-;=tVt%g<)cr8x?$#`3O zfx{LN*tmRhsCYSaVaj7keE!%n_2D-Cmjs#Wk6Mo>D?{bHiX9UyE61rcC&Q*!!=`S- z?5pU78ykQ8&mJUi5ex6FG8b3*mjAD@!?*l@jt#!$|Mv)NpSU@dgb)6SqdDgLyQ5Ti znl1@v4;awBi>tXHGu`Fu7_sqBpSXEhc=}cnUTHKyKrr8CyO3(MKAfhf%P83&7{UT4 zrxsBR8kx3k{zII(u!sNK2-2orRo;i&OgejSP@pp2Gj+U$zk-sHxL@*WN2 zWn#)*3QUrYO?O%@wUe$QXnodPWch!Fy`)MjoGRmg#uESSM2h=h8#n=)y5Tjm(Wy8> zb<8ZXtm@v*;7*({bo&1>)E+Bgi>C=A|AyLQ?tga*lq8U}kG$2>;Sz5XuHT3(Yj6JV zPUCP;W}4XY%!apmDqKQ!?+?Go|Lz$3ZqERAnQ#f?glkXW&;QxUPh@#9LvWGRJ3)89 zvsNHDz&x-+)+?byi12*^AEn_8`Bg~8{j5e3o7Bryk~PGTLX1TVX~YURL6A~UVe(1K zX|xo}+Kl_+gvO_#eXgO4OhzL?$y0qLV@b(V5hY_D$x|OCC(^4;D-`e$E%7#;B+E5e4J>!CHZyFo?QbxPe_S~ z=?TjFfadg=D)=P7wXS*9xw&APF{{gX`4;?;2z#u7Jr=?qb+x#{r>Of&u5bEc&G>tP zt=&2PbRoCosZ*No`b}T6w!N?ax)|#Z;J;8)Y8qrQQL0$a;!2(UzH7E3pL<+3#%_DA z^s7Bau%>Lx!S-CD@zz9CW6Hm#^o<*@i9*?N$=JT#`9q@*vQuENpp;@RP4O6o-FdHP zQE`V0{n{8Kq45R><2^ctJkSl(lCSJcSCM)xt64|GvgXw2Bj&U$lUrCcCT4e@+UP^# z6c{5YrJ7s#VQgZ0YuonR`B%Hb*_{vgAr!XEUI9>z3%nPQ;wx3Iq*fu-%GdkUsQpRk zFkj2Up^wdK*bXm|$)#~q^@|bO5?95p#8=pc1pOwzcOtDth-YOeBDtx3iJN9fkNecZ zoc^j}$QVYlTgx^hWVW?^V_c z=wrmH5Zp2gNNzAfXfPK&x=4f37atlB-Zun?@rAB}m{;@Ag&FS0q5}AkR^mPiF>H*1 zmd9T7$Zj0Ir9T#?Q4YYfHJ198!xadm5f z5eu>yhahD5^6QwzzcDYk1Y8x%cdx$lh0mi=to)f=sdq%U+l^!$+ z6LYZ#sc(3t#uNEhc~gNhCT2zwu$c?}t`d_bk{TK+^IA?11t)^kh`9e(fD9L3B&nqq zWBopY7@KbtBAcEgCl%|Z0+LYyXle6tXfY0E=F=0JB9r)=7NwH-3l(Wx@B>k@F8n~) zEa*L1ElJpwz3T=Q_Uny+utSp}#xs)xjfD0v(l*k(GGlDD8BWx46i(FV79_|HA+aG% zUHx4KtB@`g2uT4TNs}1u>WCF*ypTC>aMrH>HupU| zdoRE{x10KSo3v|7`kKje-%H3LPT3>v4}@y78q>w5??U8t>*o_e?FZ@gUlfeh0j+&a zLP|z{seMf~QFK9wQwQd8SN$v4Qvs*Yrtqek4_rN_z zbd!8dQu>O44U-SVbhn=wz>)-UHN(duf-#Ajd=-2hd^3Fg0IuYB04Bg39Ia+aFI;;3%2>O1t zF=CKUq+yZw!%&J6pPDCT_noGXets_pNc0k;%n@d`DPc6V>7J@+|QmK_H z<1gy17`_=rMpI0S^(u0iX2S-deo#IInE7a%Nl)`U#;!3tOo#ynz3eG&jz$0N-tI*z zxAaBnBSqo|&Pt*hW6~b0ANLviilFn~SGV$z<}s2rUW{%|oc2x8tFKCsH^r z-;NA$t0X0(RT8g*`-Wa&BH=R-u=$4av!N@-slaxkXxXCP#;Fv|;#HYsgU-_*hm5SX zYQ=$#XEwMy$2}W7WyLJ7z#~&ZWAW{!{#K>Zp-POi&EKO_z#~pRwy*iXF`ocg-m|-K zt&Ma-sxD@f{eCOve$wT!QslN5J^_$7*a_{#X#T}gv?O7Ks+X`G%`KQBr9`SXh&0ao zpiy-vyU!w)YUEV!xrGumr_8h5P6=uty-PcgHV!})%0I{gtL&;_vo1v=mWuHdd5*Fa zd(I&#*>Dtj&XFq>>RBtftk>a`3Z3f3PV>AsSk@3N9j8?qoE<5-Sd1DxdaltaBOOp4 zeCNnLp3^}yVBrG%aLuFFa2z}zKYfWq^Cv~z#{=r7~jhWjpV@BLz_?=?CKsGt%(o2rs*DLH&9NsK>5bZgc6hc$R6PLRZHsWMia)m)w*X)c=^u6pwA_^=?_ zaus@QeN$-KUC}fkSC?-YRjZBZkWvgcg6&LI!ghwUVLQ+1*hpVnnjn=1vVO_Me&N-- zV8o2V6xN5r$3{iw3EXs7Mpt7G&Dd|4`0)128Znc6Gb@{@yJAd1h%#mY*rw+=3>%*T4ds|u<9b}SRRjbwD6TaZF?BHYUDNTCJ@aM}UxaR91N{y`QP8?J_Jwit~VC&m-(Hp&v}HitxN z!x8K@M^0+$OzIL^jT0v%ql-20mqlH|0EG|9|m{(vSo!jujjKa$gSm4|HsLrW61S3dix69$>TeQHHloV*6jsWWh&=@jFUfn^Z1>nw{_T0 zSU2A=n#tXBECFvNH_q7mnXlB9oh^qBJhiACQ-G!%Q+Gy4x)*6!^M$QusHiq;O=r@g z$0LVXyxgwG!$8`bb|8EZfGU)K0GvtQYS`$2hguWip%ouxp$Dkc1Gq5pIr1Ju=N^Zi z&p2xWYdWzfXUvOAY|wVTn3J<~u(y9RRLFzMSpwt@eMMg#xgJ&7wx5u{=emE$GY}BK zG!Q>Xn)$iPbwB?ct2yw&{{si`LhoD;p@CApPvX_8y;vS|t}T~2wlcbS;Q1RA!?!!U zSP=c}XZN-)-w>X&Udnx(XGRX3&!BgL4UKAWrjTAN=xJfgB8P=*1n^WVquy7a4{kw{ zAlOq(VYnix#mIq08jmtnS9g|GSH3jaoA=-;0!wiBM|P6;giG|W9X$>aC2)5bVst-*l?ZdE9$Me_{5mzXL5 zNC&7q1I}|u2Y?j%3j->U120JMk{WjH?`T9X3&|H=4a87El5`r&_=D zILs;tra<^1Y8%CYU@e6ZTEQRAE<^7$G~qX16dM`^xf+b#)3d@<*OzMPv2&vjS$;FIuH zpD>@K=Nv!yF&=4Wf<*d>8S%n}8e`|D|E~C;j^19<-nX8e38L3Q%X>B#a)sYdI)kSS zRTY~+Tmg#j8V)&vQ`IOUzK)e<{z^$PxkNv>JavkVcafKT-yfG1N?npW!~a!86#yhp zWS-YTb4Z>R9MXRQ2y>nukYHyu?8&ic#IHb@`&vg_MB#w=wQjEHw|j{p0guyU{1i^< zj|k_V?Nb~s^kr%Glb?~6&eEfu{hPOQMOHeOs3_{fqd#91%+C<8K15^Q&auKt6v%f}>Hda$0!5bG!mh1AL85$n)TGPCgGwB1 zoaW-e!l(S|+gm$_^wijA-76r>+a7|9sSJ6;!xQ81+;qZk34Ck|1&ckf9rF>`&Iuda zf=p)H5?$q8-3CQUyqjDFkK$CkOiZ95H=A!YFWXlh#cpP!qk-V6JwFkzUnU7Ep|kzC zo3sK^b>+Qwzh>6>4|iP+R(BD2t~_gry+!q~#Hp%K3UEgmuRMi$PX0U1B$La-n!0AX z0b8@fG;h)SVHC&=%QeVrtY(mXa$)XJL-yj`xquxz`cp-?6YXjk2^O04jSG`FioNH6 zu$DjeJ&q4t@pQOpU$J$$RjObEA3U$rp*XK(;{JYhv;9D?j*|N_k7J+NOEu6yi)K4* zQz6=zyS2rPd(((J)~%T`>%JZKsH7D%R?#}#nLN9qG;#=aJ;O@5D~3HLXkSu^6egvy z|5!H?J@T&XYfY^&-^MLdtMu3o_kOo9*lR*XQ?T7~FM*#~_=0P~czsjEyl*pch9Syt zk2Lp22V-J|VeOUxzo1jO=bVK}Jg6{yJ2vbAg$g@B22Q{=DxxAKd0K3=enGJ={4mhP zk*1u~aWfJd`xF%7_|nAmUZPBADA0eM$>)G+RJiRpPuA`UK4#FX5L}DLe9Y7>`Ec?F(cJ zNa3)5)9r|VXCslrcad7mVxL-U>;SXHLuac$%4FS9({tX5tlE=%iWj^fk>><$O`=X( ztU_~81Iz7Jf#7*hJJ2-&Ko!bA0D|Ye8g}qXG~$UE4?^H53sT@5(y9#yLg1Vl#);t> zncPO8t(@EjPBPb}StNe44SVDepP$AnxsA%4A)cn!(c-34ua2m>51RQVNL_3?)H?G; z`cC~N8MyiV;kw%r&*4~&-KV5|-oDbP*ncX6om4n1UqyiD$jslpR>|jJ)38CBSxMgy zp$OzwcnG1GWi7E4t)%Ws-q?1OJjjbYi0kp65~=Lka$K_zSHmI0qqa_R`<;3!;t(Du z5qcQI9O6g4^fu?2S_}_H9`@bCSCs-wVWu_fp-+!R2}@w?mBZ8QN>xmHK?`9vUs@!# z1^&1-80{wyizIg?ZpwNkJcQw5O)|ff05kOpyrkj)eAp1|O2r@;+gBoVvs!S270%`V zaP|7q1KDgYte+@(Um*s2>qWobw*u_bt`vi}>(l3V=&rOcy;fiT$`t4L)AO$|xzoxD z+mWGz4HYFh-l}75u&U9Sc0LTx)US|;R?(1c7)2G&7t%64X8-u4ho$?I`X& zK~JgcicTM<$D^=38FH$RdwfkMRS%x?X?YuwwCHl%SnnM=<^5jF!GFE&e4#M?578x1 zkx52#{=9BlGYT)INnma_Oq-aFr(nbV^C{2BxPR-1PtVe2j?qAZzdEOdLWfXh9Yf8S zf^U00if@~{{UmwV>-sx2u1{XVkZ1NmuUo&}Wl}iTsPWOlK;=tp8AdkJLm`ZtG^`>l ziagfj$=$A9$!lq&pF*ql@E)=n*uJv5n*%=@7femiIKwX^rV0R3C@K%L?Hp35H3#iq z03<-D7esmzP*A_35oG`gAk#qnoZkUareW^+g*$;K-$e=C4x>y-qYj2>u8>TL=?}ZH z$;5~vws#}wqNY>N4yn2C+c2W=*UWn)B!_Y_7PXN&I?Pbw?+_HR6av~gYq~5|KG$o~ ztYmTp&Z6;;gbE8gybg%tVtZVkOgx36z780A8Qc9a@!2->NAHmguDVTSC!dOw`I-6d z4GMJJjG|ORIL23PrnZ_=iN!a1W!36Bo)t7 z(#ew$rrja4;IibN*18}$zt+0wLejD%1pn3TqpL$OPc!ZTp~W=+HN|?egtRjjc`g#Un1tO_NL6mn7=o9Dc=wbgA0-D-7@;w?)Wvb8qL++ot9!y{))tlX}{!=MXFJK#SEKo!bA$O4Z-)v#~I zqY+m`cvi(mS=PnokUD_WQEZO9!_c|I!DL|aWJwF9LLF_zO1TGm`!U$F7ivSgvL@F( z0vG$6Ac~w~&n$fqe&r8(-PVg0i^E0FIyz-Wo5)RmztxTe=Wa@}J?W|C$UQbB8(>*Z zncy17`jJmlV>RYSwx{unYfL_EE?@E&Rn;cRf>g;k`)<8(!Zr3&AW|8v*7L-yQ0WSq zqK99W!B-9elo@34gjCmz@(RBcry91w$wJLGS{mGn!E>2AdT;1y{)laP!10rdx6f0H zO9o*(J3MT9uW^w>W#utKdxR8}v2F%he{vyo$peIbPx!5ehRrvEj}4!OSRSojCRwBI zohLnGSWrcB!jFw@&NxTVs3|3FoRpDF&lJp%s5D%I~)Y%7bey`(XIk z6%@R4*%EGYoGNg>lB0ZcdFDNQZ#3cCA&XR-yZ-*X0R_b@2!vNmP&m=ZpEmAq6deLDSIf0lmE_cFz|HEj{{MQS5I5ni}rs z46!q41YgY8w_m)NH*~6zJ$>$5c}*x+Ns$`-16(~gzy(|`J&AMf{lvs1dFhsa!202;vO_W@Bp&yK?7uu?>HW+ zj!hx0%wK+WQI?Zu8Cuo7RdDy8_qyICIxF)lj^+MzH{KpP#@$q0@<}Rtg6k6|K%Z1A z)i+JJ(GNRIrwj9l?T03c2UP;HkODDhb<|F0E|gBisdH5D)bSd#JlcWcR5zEF=LuHY z-HQbqrtCxgx1$;TaC18sDh$-pN9+X~mfuG|JB(Wn6{nKTv^-an2&piD=ZS&4=Qeo5 zw4@ep^DMIqwMAE8JG=F;opV*pj7XsBP++GLLk$IZA=uGt4Oa=x2lTiZOB4@{nCf}m z3_pz+$EwA13rTAL=8*mYP=~Q#LG?fA3r@@@J>l6RIOTCbq-h{N;c>vBQ3vtS6#h7= z6YI$yAGA@dZCJBV6Yw|c9?UZE@z2vm6FU|(A(mw59*5%}h|_hCLpON`FBk_!ccUl| zE{-ueC(ykLlFYW%H&5{=^if`KlRg@G2cMUpXBB(+Z<4EV|2RhK)LBLe%x}l?f8B}Y zPuB9atKUdAUn&<%|H+8+!#H%CVM;WYOUI)D^zI{pD0-ym8f6VtN5`Szi2qWqGkw05 z$XH>9QRICGCpgelmtIPXe>b&wIFV4b5@vhGAV2ex^>X-l-|6gMMd~B#4$q~Cs&?TF z|D^~=k>qoWJqrNlkp96aW0@oi>MT%^y2~TgpxGkOq;WvJtRvQ-alpCc5V{o5oQk;1 z`DiN_kZ1qV<*mH@i+5Q!)dcHpI#I{IbR7=iH`Z#p!Gu|i*hw8MHD+QepLY;;C$`VA zl4Rd)E4b?u(0)dCRrh&^?b=)NtsqEW?K!P#l0oQxnd2?KVaIGnCg!Sm=%NUjTJ=TE z$JQD3QcFjAc?$xzD4jS}*v|X6+37>U7mbT9l_6C?(d0O$YpS`+n3|v!MNwuIPE0G` zjKH?wjGX|pb!9{`v}>h6uKz@HE>YkZ2k$UgB!%r9Nyj*2YkA(M5mt!`p|X|3Y@~I7 z5;03kXFNeiW~FpHspo7i;S!bNl%|VT?NUY?3T@>bZcLjKnmqG|T}Y(6EqUJp7)a`1 zt~p*>!W^zz!K7krdRTdcRVZqhb4V;G*7#ykfBaTcWJuZv`F+MpQF=y%Rm6wMkzwwlZ4t;SD@21$9j5QHmq~ zsUD@{dCYJ33e!032M&K8UQemM3_RxE}6?#6PHzq|kPFdKe1c~`KJ zn|yrwU96!ngpkaz0K*`)`$Qla5yP+5hrIsN#LV?CabrRtN+JeLT4Z?TSlgA`Wq28M zP16p5#sH{7`3FFhoL0lu1H_!N7>}9VC=0}H4k^Q$!_01uJj28}!+AMwvDP!CRMVgm zlrI2Mt%xYq#ZWGMltF(B0<_#kZZaZj@6Vr+aH|@;&p;y!x%7P)zGRv%EpHhyFKhR+ z^@Fr_vRmEr{!TJ7aw{3yJOP*2)TUbZ4@2tmH@|`CxL>_Fyn3x#mhq)yBGQsf6fA?i zImyW;+M*g0?!~vJ&bvdlS~N?O;>xQ(ncAUeq@i^&>Y!!WzpsKcVLAB$s#S|>q@l5g zN8;`5KKbCG+>4?(Wx=92rRfyU=DPcxB&u^))EV2 zbEhttul9BQcLv|S!bP4#ibQk>=?!igFt1(24YM}6$=>2t{E9|QW#8Pr;{JTr16A)R zg7gmoo#%IpT2fu<0JG~AJ?yf!5`NdfoK;Hga-wmUFV^TAr9Nx;JL5d`W2w)qZv$1$ zo#J;0(YDdABRv>;0&-uqK*8aEUS|__q#`d8?r%+ADY)Z0$<;ZKm@gFcYJS zl>6PxVI6T(v&mDpEeZ?xNH{&f-JD>XYG^@LMkF1l1ev9oc)uW(tQ>bIbe8O0Fb35@ z6dKW*z>BQz(Xf=xdpCe#sNGe+Mj|<|Lc?Uo+uCIDg{*4 zd)QE0BCojwuPrM|spNh@$(V0{GFB`ZDXPR4P=6f<)R}lWN*)?y)Tat14^U@+Au_w~ ze+%{n1jysP{`CK?=zm^o-`r}qX7=v&Q6@r_q{_<_$Wy3@IL}BsR||T6WgUBd<9zJA zg&+P-$SE` z_YcJ1VV3 z{i+|nol1_>N__tKXj-B0W8nfeG@CT}c{vhmrH4xE1G%77?*gSZd*ax+7B=xHui{;^dvuQpeN-UZ zO68hg=&pq)@|K}9GvdOa62g@mWpHT;^4|h)pyzir zGy_mvk9MNHdhXDP59ymkeVv)PjCk>!Zu3$@?>C>gGh6m)HW|z%bGe4LXK9tGpzrV8 z7m3vP)R7}T)p)_4&ZT&gI(|Ge`F^H_W-_nZ&RSD;=i!DIKc~NAmqcYr=sGaeQUH3_ zTeZ(@lJ`4UcLR}`VbT|o4AMfN*_$6le)I}^754|}Q66bmJ^^xz2Lu6{ri~3PMs;Pe zNB9d0+48R2eF*s}2GZfQ`QjuEI)ns0p|5+e7S&!gto8N&7z? z!Y9O|+ZokW^2JG1WezkMX>JHHwzVNG%8WD)1jA@{@@J`%^QmuyRN9=^f1izXnK4_1 zQyGV}#DRuA!CbdK74)~Qsr%qhVtntG`kGlr^e8D+s^2VjfOfB}*b+c~v1vl7yv3!? z{=i`<>^pkd$2d^>TsMAfp&)yT=Ih3^V&HS?;2ZG7Z8!sSBw+ZpIQAgb%1?y!OYi&E zlP1q)ZV5)&;M={I7)jQ7V?j!y+1nCDJ|K^6M)`wuAfL3Wg#eip3PFGapCP;$m7CKZ z;V-CV%X1&~A^gXH;%^5@sx8C~8qP;GFp;F_U`#qlXs-%O*S2{cXJNnD?QIg%2w_Z5 zzE5%Gc?oeFa7X9K(VU~~t)#RKphPp+t;C$XMK@2oRQHIT_K0hA_kWkF;oGav7_OY-Oz6BY+S(>9I3LF)>Lw=EaOmq2Ecn5S5y(9c2H ziG4SY9q1FP4YS=kAKB3i*Boo8GJ=MK$UrN71~?*Pb%5eyHO;ts89Y2iflQ?w=vk*2 zh)|>WNTo*q0V^arpGgYYO9Fgp(ZDs~#0bL0W#$u%jUYvnpA}{;9*}u~O!-P+K!ycX zc&85mEuVCic9RfZ^brv^hJ5ueXj#9&73Jyrj{z!LCIwpVf@4^is^eTwH?!dPzpW)q zG+lW|L4{d!;)@!E4ugTk@ge2FY9iA1N6+_mAv(Za18mMQ&w6KLaAyKJ3r(|#KZ!{V ze9z%_qH6qe$GU;JFp{6YkdwATDY zlk;%Ij}XfCl1n)-z%bcUFPn)<#~PfO&qP%(UlBNTMHKxdB2d+*i<;ArlS_HJ!{n@H zkqD!*Ytssh%&v6V+gzg(>C(M%h9$S|*zMTb4%$r;RxTB(-yepAyORTEH?$Yh(-xFIm{ur9JV4=t*FR&2r|f!N_FgLpH~k}h(8ru-(9R^R zKS4a1t4AxF3~N%kTsI!Qpm(k$dn4V(!f=N{(JMovB+!z99NA>ga%dni~$?OIm+`PEnJ4v;0b>ZuJGXo%IMKXncM!O#xtVHQQKKN5d-a2lI%x87%g^`}n_GcE|tGwwqN=*dns z8Wl(9NDlr$^sY`dxLdWtafXSh@~prUpRF)rM^81@~v%zruTHqdRdef>s zDU$ghGCuz)NO)AI6sL+^1lPe@!ti@@FiFHef)Ot=vXarQ3vGOfq)mO!0}$**3ArD} zt63AI7fqSceO=1I_6JO^kk$XrqC5y!$j6XO>Dex2TKiR|qEULT5CY`?nG{^z?tU0K z0vQxsG6eJkg!VfWuCw#uQ4@Mxh-EqjnY4*=eMdS8JZ88rj%#K6#0cot^Ln~Ax!ry! zJD<|7iVrc$w$l|nw1IniDMZ9oxRy3=PBvqs@t!QkO`d5eA+CcS+<#LVGbul(eJmGu zbZDo&b(LMU$TZ9Qz9Z$4&u`{l~!Z#-;VPCK_Mp ze*RT-E-Ozt`J-W}CZw2#ig}>r6f+thIXPT&{b`6D0Z*GjA*AKObJTqJ*pq^|xlf1tnmJeYMEQT{sTjTzy&m zCTRUAxAMEz2BKe!tZS=YiVR|fcH$qhsrlx*^&G=!1SE_UL_VvU(v<`19>VcB>oEr8JkOk>$tMTZ^k|#BNMEnZ^R>a9o1jzp} za2)b&9sU|!Rgi5NZ$2v^J$Ih?x6{3`%cyTLayXxKN%nd!%K*a?Cy2=P(0LP1Kr?px zC?R=TC+WHN7*$C*_XXPZYMS+`2u8suOE~;__;nk*i*NE{Bo0P_^&}=#O;DeF*g$@pRv# z5iNnb6^I2jNnWHsiOC*8zZmr&r0GA?SHq_LXHXYXwHZ;>9s&Y_I%a*n0FwUCcDhOo zQ}<6HRZPKE{;hQ1B@Es?Cthi}RU2!z?jUKSI3yK+nK}Hj;p59n`8WFS`tWUwB$dqV zF_1F4WZ!AL%ysF*mvNIsRAwE#fBw<|DdXMHZl!wSP{oYYuY9|R+>A|r5udL<4!#)X z+{T1zyOtR9SX&6h%D$p6l|{XVQcO}ZnIvinOYf4u(I{t90W!$QE91g_475vD1lqk` zsfCqK*TYIuSmVhF9fon1(l$$rbFE#i<%G^Z*0-dIIqaDf_ruzG_x;Rt+__xo(S zgQflQW%q2mW5-D<2fBa#t(LlA=c=ZTs<I$sQd?!=D^eqAp zK%-TDUDJPicg8TOtDhYn=^uH}IBhv~%j;ck7{@aCYE4H%hF znY>7E`fxnO8JgkQR`Q1CaXGo*7M(eh28jCKh+inzwRTE*5a;2OlO6-Xtk7ueuj zgK$xqjk8oRyNJIn;3~_GXJaGF$6_Nl8Q93X!CZ2nTm8MCopTy)68t!GILUv1r9ui4 zF7cND-|A>QYP0{AmN6~V#tlAOG4R3UAAcF~W6O#Gr>e|c09uDt}m%brC94tB4ehetfmAtn+c|Q7S9;?#CqIR#T=MFE4aB z_Vp1w-LfdJK5Z22Gc)8yhU61^(zLMK?-N|xzhXiBs!oKMLRxSTa&FH%KVr|zSD!Qb z4w#o%>%S;lzT`Lwf%%WaU!4Q@kVy*4Dev`Zv>0m1MH<*dM%Z@$gSfx7;9BCEiJ8V| zQvGvUgX;}1%Nf&)RiVL|XZOnFwA`h^p=>C$Dr{!vN{G1Hm{D1H-$viuuac0ySv-5= ze-u*+c>>+1?%J4h%TM&MqD%nSKG>LRT{LjB-GKbF$Nw1bws#Y5#%m=3$)byv=Q7M} z8FA(5O%7l8hF+|GJkyvx$gaZ%%*U&o!>2DxZWSR{A-VG8`Srley>uP;wvk~VyHN{p zjnDTKPBG=2WX(?#*HWq0mfUur%aj{tUN$a6Pw7l1j2&yO*Ny0de|qj6+r4XTEV*05 z+|2c+6Yw)USkD9X`fl^tZRQoV0aj>0RuY%u*= zD225%8WW?KcAv7}kZ_dGyj^AgQ*a@VrZOduR=Qd&7t^tmRLms`Ta_=bJb%3PTsDh2 zd(AeKOPK%f{c#_6?Ve)&-*36ft9-CEex?^_wfe&HsqsHdOv4ku%^+(h&oH>;Q9I1< zCR3;-`lE@8MpV&XCw2OxpV;N|*Zi%vV0F4yeuQ>PEj3~1Z0#ZfNA1}rgm zpb22br_w;oo$s&J5ywukKhcf8@h4i?X3mT34T~uer#2!y@pY~Hd5&oHwg9hM$XlwV zC-x$Ek%c-5zK+ z)R#LSwNWM0j(S=z5a*p`pcz%Jz-a0er`WMfnk-Hbxg)3)brjCycje3Q3i^(;7&#bY z#Stu1G+oKj=@%#G2`8u_H56U_?$%cgksLR5Gfn7UZ83t%Tx7mYrg<9$chgW!7?kNf zNs(=vSrcB?#FH&U-qRfN4!H#j6w5V z=uJlGMN}3QA4s9DACm4O#(Bp21Fa6Ptowz^(JGyAy?if;vglb~3aUazt-Fy)+FtK` z5Mme|?Xp@pMxU8i=I z%!MT}4^(jjM+^*?c5lyGB=7zetnd?)%~5Q?`j~Q zzK8Fa97ZMlYDd~NQ%^XM5sZ81+UM%dMrj>rlqsO1%e@r4Y{8vR4r^#|Uc07hdkZu!aXzb;Ns<9|1zmczgwlrD$Q zOMvjk_EJpQ_ntxdD-Z>_$(8l&0JE<^^edlCEd7qlto!osK0pyV>5STGKp1$oHH05_ zSt(RtAPPq9q~g$PxtG#I2#_}3ghc^6_VRS}-&|ghC8I*lxYbE3hSP*u($Fmglks;; zW9XQB+=QREXe+)LVB}@%VW-X}ToYD_IogcN9-Ys%(1WdWbM$m)6R%NFJ`aa;^Ylz* z#*ljWfEl*CWn@_K#^+09&CHTwB9diZ_9030R)#9VJWcX!3*)c?vieZ2b)*@ONUBvm zgD8m>#Y}x+?)(ToYYLM1$QviNQ172V$&f9%e{;&jU{vSTZz?<9Y8jfm3~t=uuh(~{ zQoL&-<)@}ELS$Az!X^jpx{@f9r|8L2nopWxxP{AvG4&Cj4Y<3z6h_^lKvAi7UStL6WDcGoQO@zz zPeIK3c_#GC+U^;N6!rWKQUy;|F?j>bVKk+hNBQdjsDHa%hpAPPvapXq;BZ)BXhd-Uz9tzZo}l33D!+g`>&LfTlU&f#n(i}vp6v=qCs|F04Z=hl0rQN#Er0eLiln$Kjzk3DdGEJ&ywKbCIlf&WznvY z6x@*pSV$&tHy~%ijN09dHcjAl0u$9YQ@0J`Q~Kcz<_h!F8n+-)p#qMe{#t}eNybCN zN1>Vh=}T=Ut6<5Ic;>VavQ=0 z-}w2iAMJylm3A@7RJ`|Ls<+SVbGU!CEVQwnu|Ruz=PYVc*x!AJlc5`$pc(Yec(ZVI z{dCcUb(!s4d!rG-d0JP-?<}+p%JGvuQZ1ea&Jg5u!}HbQXX2@$Th^R5dlscmL@iMF zI8J3coG}B##gvB{zT&?hdnU)RO=rT<$_{lnbViDdyEVqOq!#9fsr*$_ zZ@K|NF9nhF-$}+M`P>x#L?9;S15#ja3YRF>o=AC=sD*LigZfRz4T#{PCpS3a_(XvM z-u9qYw_<)ZLZyOXwKVJd*YH<%d8}#fgN9x#BO?@@n$kv`$imJ9rXy-(U5M~YA4%l6 zc^aNjcvQ>Vgc!rkq?WhU&znb7*L6f3zrM94bG)itWIQJ~Q$n0@2qq&rZAZZrSo-m7|J;6lgll8nAfyR(p~*e0MTb+pP3Pvfcp)_} zgycI82FdqLEXqIKR$^9x=~X@DDW5M+X>L0Q15>k0zC9Wggg*K4kp0=6HFIC>_3leC z(adI&coxbe@jZlzZ1R{%f{3#8t~y~w9Z2sqf9m(pyZ-za^xH)BrXOiCF2r&)KOHCE zgaOK6;|vbSA-q|z-l!|HpR^|Q=8HfR4uegCR}{zA`A|A|{b%q7sCYx`Ql-}f~%ib%UW zPS2%U0L9d#@mZFpALiqAhK{}8VbRz?*y%c+vZ%O!*4dZ>M zT+dUR<&nfjZMerVRB7@_2XXfIGYuOQ4d_t2AMIml0aU&2-N4xO)+14CD`*v!r3gvb ze8N@LSs2pdO+i_VY7-IbE@zi>drfjgO*66D8BC2KLNQM0HM`xdo6n&~SuLuRX4usjRB(i27DJxTGzJIl zE_bCI;ed9{goTg|;<7Hw;U4RL$XTA<{;9R{Ks^s<0c398;-g_7I#G_1J7HJ*lj=kF z7HTeClXabl>DoeGjjN+%Q^<0zgW35)U*HF8y@Yt=ZyqJc-^|0IYRhWaq~nv=rqZ^| z*b4S#47`Gg{cFaxbVAy8!W~#G;~VYTJ#DV8xW}AKBHCo$KSfyuxD3&(R;~7FZA`x4 zVh-P6Uz#9DZlmu=Pm0_k1Q%nSO1B3uXCBwr+&=TlA))MKJPucEe`_F7Bd$Y31t)g3Bnlr(^CsH0hGzB zG|Jd1LfaOOa}v=YjC&dx8>bOLG@gpN#0YnaSps+m|(=JB;I zn!*VKIOwdJ)Dv7Lzsl^Rd=S8Y7d+>q+Bi-UH|IlSfTq1j(I_}cu8m{BXTZQZf%TcE z31sV}`l_>)*nlRJZkFYJRZXhvg)!1xEje}AWx%sJ5rp(Qgk>;fUnO+vnyhj~{!KhH z?&}p66&xR4?ZPzM3**pPC|c@NCWP2G0nruJVdz^stqE=)D{|Sf$#ZoZ8R4AYJlJ44 z>bPkNCi;gvo@mA1oe)A58`)_VCR&_9xwNuTVOAW43aL)7esk}k=Uzu{HIz|@*w)=UY%?Vy_(fu5o+?tsR>zOv5L~+f1uMkt2Ye69k?S3e;pB9(wb=G&=k;9dvqgd&H<$Gxu4^YUm79Ts-)anGsQG z!@kx=Q3+H)Xx*e(u3W^bp&1K*t)o+mr_^>VHy848cK zXI?ljr&GF(uvGI3%lD&&p;YVel;3P$cdFJtYZP$05ux((RA0T%8&3&Otg-DUHewvjX9^;BO!Vz^Jz!T4EHr=wpBvF&ZB$1QF6weC6&;sNu zCXki|1!evp)uwRyRlv)Z1cU6_A>hbXjZcXYL50BaVlNwTp<4z&c|GiRK2x-rFSuDu z35UEI#D7sqFJQY+WF8kmG}VBL!#>IFGMS`>L(a)gPJepZHM=sxK6Ua66X)H=0kyvO zPRp|iHB9<~q}87RC1Ey!%q%GN!rorIxCK_qXbB=Z!R2ly^bDFI{zS4{q<;)w#(+jw zUeez77?rtou#;rn=Nq9GNzzmC!Kvh6;m8rOC=!s4H^Wx??)9*k8s3)nRj^gdY$ZZ| z8)R)-YUWAK*OD}=IN6*Pg`rn*>VIZ;viUpoD;oG|6g6MhMph^f5Zv36N=ZTA`bjCH ziNhQ_2`8@4!x*{=iT<@9_#NuQH{qdm;iP>Ru_-d0PlTC6LU>v9m=<7dQso?4{b@NN z{S<+i0PPR*QS&4Rh49eZG9>Lc0HeC~rU7>gWNu4uST&=O79KPCYk~9E2V}Qk4gRC3 zHw|bvYWmTX2qz#3yy_fi@Y4>YT$r-zxB7nwdk5%BnyqgiF znpiG|urYF8Cs#bTu-|A^MJ2H^x|_DLmmRJhNT02A8+Ow4H^ec7S%Zh7QtIMyHb0`b zusiiT?~<#s#?9V!h!yMz*$^tVfV(#Ed2E{uqqY;LA>f6u?;G|xVB-UI zRXA}(XPk2UuL|t@U$!HAsCr$uNct7(YMp~vu#buJE>l8Ky4=Uz5$ExdNsF|0IE}co z{sk$wL;z^zEjmD=;Fe;f+@Cq-WT28p~_a1?5-bl1m5 zWd~KQT5#uJsz37?z57U**n{rREDht;?Wc*~g$#=Jqne21(+PK_XHOtwtT*0`6j0!w zO8$;Sk?azbN#0?_D0QFrPGh-96&GJXIIHGXei+88N{zS56gBj2-%Y<$hr!^@&t0lM{pUM?4G8Oz2*0sU zJmENBU)ofBImS=`nZq$TXsgUpARVg5MDk}=O{%GP`n&J(XyAxy1kF@@6|b~Vkj}GA zNz#vQcAbk+W4(LFC*Rp5Xl0iJ%^8T%cnXDN0G|-P9x!VX%Dl^A+(+9%QG-#mIF+1F zB#2yBIytDW?_|CVd(l4*AGz5xb}e3=iSG3gpUocrJBx*fqdk1p)}a%praKne#A-lB z6C?+OzT{PEI#!Q5bUjmpK){fS$d&5`W+Xi3HSNW#X~_o#PFa`#G#}n`_Er?w<>Q#c zyKIlkg$&e*y+k|7Q)%ORS{-{_ z{&gJw(XmxvUfVA(3Hy<&`@K-d;q%r5_wl){9cF=#Ih&~S1CHB3;==)eyZbW606LkD z1rlTJD+qKR!EeXtYktnuRh)?xB^&ZbWXj3FNun>YFCI!W`HQ7cxOqhO)fg%iMoPvh zBZE*r_eCTYCGOXR(LO?y;aak!$9TVr6c?HFLgkq+K;3C^P#P6cF%eg$>MPK=U?|!Q zRC{{tl6MT6nle#2pbu0xC$4Rc|NMg7{9@4h3!2bD7*OB~v}%MHNJ6h@#^d1V^&V(l zi1oDhzZtU=fmawN>rdH>yMAFW>%_!ytZkHgS#!e^mvM9H4hk>Psa-&C$KP+tnM0&KafeT#|i-I zo54VR^C!vQFEn1oKoiPgfcpMlRdNlPD~I~ldt7LkXhj=OJow+U7@-Ag^70Dfww6dxo=Gn}Y@@n+wV z?it;5=Gh>oRfKO3l}}}NF?ce6V(<#LhGfG(920)~t`$@~1f#sse8C;G$M$92H9vmb zCs~1xjG@8pm&Vh8C(qOyL{3(*!0s~il4{w_5*pM$j$liT%pp~$8J`NeHa9IBLK1qb z*x-$s!FzQwF(!VM0r;dCyhmX!nBRJMZ(>>GV}IA*z`!kQ=F>h~Ln59jW5V<+yWWeA z*_*e2AA^`Shn(K#+0VmR=3Q`9aGxwJ4*w#f@s?z=+v zF&@9l+R6&pEw>#IlKyV${(j=F5U9_LEQ2(jIv_cxoi2y<1U^uY zvQ`W(CNRoxObhcKpWt|*Iue+RKflB7ZzO3&AtU!Nt+fT+$w?tR8u@qZhAm#2-sZK7 zhFa8V*)+w@KZYM}oeVtE!((mI5XP2!L_HXo{H&mD`;iuGrRj>$aA5Q^twGPL(NFZD zR#aR(#RImZ`lmTgspqWqGu!zlUT9(O;!h0ZML_7!=7VCVA-eEX)hfD!^wa2!G&6$$jb z=mh-3r|AbS;No;KtSZgyH3K6PxkZPYC1V`}iFd^W2ge|B zswGHw`2r#-A#g5PHkK~puB+VJFU2%L>;e%UJA^`B?clDMhK%T#1P@+p&r|5B#NEoc zZd#b-9`yuAs65192Qczo9QmO}qe1qacT?*1dG(75ezR(LY-eoR8<;K{@3+o}Bz-u( zzs`c+bfY5<3sYgoiiZ>aoA7K;Z+(Q*ySmYt5Tvei!Oua)jIV6av2aRt2Z9Au~FRNziXH z@~i6ifWSVHT&9pd$dWKtxAe*((1LMGYxw+x{<|LRdZ}qBV`lLyqv+z-!~km)suwMh z@J`G)B_IFij9HIBs!_Z`;(u0okJ^ETQ}BdEw%p6;X+Iyt=_%?E67>L|+rh?Jq?czL z_?H&Y7aH#`R}&byIYSJLQ;ywafx!I?UfLk;%x81Eqy>CK|L$+_Qg@Tip2{CtAb%Sw zJhy3*`ynRyK4v^eRHwkNf26U6e`~(ANnA}G3U-^h5mhLwREZYbWCP71xyj-QJS4KxOw!;KzGPK3`pfV#icy*~Y-W;+ zspPUPv;#EF^JQdIC(#Ze3TsO83>Z}`V9jdX^KhG5cj_^B z$wr=3Md`aMrAN(@8^Yz14D<1i_AY6oRu zMVgEwtaTft$#i{dGd6;ZY4f;VI@ucObbl&lYQlt>ltSQt5Fs5Tnvo7s5@DwElCh>` z%B8CgC5)Z&n&wl+nMt$xvzDjwrql6mcv(^W>bybT1vsBt{5a~H1Qno=VCqTw&wh~B zIK;lJgH%LI5DEET2dR?p7}b(p41kZmV&f5P{Rqs!+YMZ-@gZ-ZE*bfEy#7lggoPjM z4p9QPDJED-2dt)n+Kh#ov%72hsUZ*2u9b)BV%E?>4V-`{d=45hjt~_3=d)l&+f~7| znSzP`kX|~chi#jXSUPVGT9+g1wbP{gs`U*n>noI%s5HU;#g+4b3&wAjo9xe!N+ zR!waM<^roS1F43`;o*+OB8XZ6hQCqfV1;taTf8!KzfkSarIx5r&@~)q zb$&amgTvfeXr~};m0Ca$PSc-=7HWb+NB9NSQGS2_coaJ6LDux$=v;rbOI`Oo8@~H< zF|N`{k~0Zbv(D6SIQ3CZH^(q@_BX4z}^ zXvrp9zkkwex7FVFMGG5mN4rj-6C{qS82HK0x#Ercw9wuy5#lf=_xL^Kl(A^h6W`Cp z!i`UT_wZZb5i==s9L3zqm6Hx-e0&Z2-3NR_KraeGpZ5F!V`tFdwqmW>U|UX%5sg;w zP^3wM)pguRK+MaBOhd;vjfM`k-i@lpCT`NR{7(L_pK6L9g2CQJE4&r#$Ym4nR?gKc zYnALVR?QbMKkb7$^lcgF`I82$)ibo`4~|RaXqa~|cc*)Vp&tk{)}4=Yz9J67{I3F%QnwEluKT0VzU%*QYv z6zL;&^qR3rMx%J6D}lF;N(-uk+Qy%!&YA@im=)Av9q#m&p`|s;Rm({ z#IE|63j>#^BToZX^ZL*~nb&NldxZI8hO9n7Ni?4?k{a(;x^&&W=tzDu8e z`tv_O01rnz8b9v{D)?TIp!ic2+ajw_-dx1bnSmnKZ=mS&-B0>Y39#|>IvT*_R#$&* znFAhy@x_?V3kEI$FN}qg%SjbY|9k3+N-68MQMg2P!^#gk)6VW69R;pMqns7YNM(`l z)W+4LOO?!O97;_yE9LbM$3hL|k(JcOj*dqnV)vw+e*ECM&3|}YPtNA5>XgeT zQGvt!)XdaJY9sv7yM8yD-d+436suEFntxUUG-XUd0K2&^1Vlv^!- zc;;KoL1%&Wp5%jXwgcAG3fhLlvzYslcB>7T=^+I&h}WTGI ztyxdoWZPmqW(JA8-DfY!mT?=qoEbWPz-w}7#(qdr$Az3W;W2D8DQxCUSPRTt2X1r$ z%;Y#Ean~?F7P2T04pWv^2{U+Xnjp>A;QIEdOLmnjJZt9{!r)?Auz0ITuLWCc^ zINhA*1(bXKO!N=(YUzNndc@q7$F;^PdwmRf=b!HjQaJIUCj|()tJ9LE8~+MY-uX$R z#@wo9$0~e9${sSpgoES-keaEUlzB~Ptv}*JTC?mK;{I3Qh_nCiKpAIG8OQMORk`VQ zz{l!nsYZ5v63dsn$nG%X&CzH8K^R_pj(y3yDcIHu2cT#x{PlLOx-sb`t4T>cMD673 ztdwrDR%xSEA-#9y9As`%D@eT(mY>=Qn4e~9>$+eO8UX|g`@Klv_BOep#GEaKYEzmD zVc*{nfvmKNT_D9KK}4;%CRo<|u5$2CAbeLLbl+}34CB3;vBpD9+Z|YB-kM)zU+O@7 z4JcjhK>QhyXDrU>`ueoQFS9=RBXt<7EunPv*~pyPl}GHT#;+ouCqfRT6GYyh1 zU;dG(a})B7f1Jm2a*fW6C??BA*Sa_*nodprBOuk;Add(B!Y35j>lf^Gioj29_2BbxQEnE{ZZiiWjQaLp~kmm$#w!?HALwHqp0uTH20o~e^BB> z7-Dsamt19?BDV){`5Ib?#%1FZmrr|66F97x{Gcihtmtd_LPNFhS8>giMYX?Kvl|W7 zH-AMeZlH*E$n2QsoRV@hv%go;_HZ7^$#qgC7u{O^uUc8^ABjZQ)-$;Lb3$vhcODwB zah=m)_@=-t?uTHxzgG~V1UADvPg!?bp$|dZO*jnS?30fnUP9-#+UJa}|c}?|ix>we4{45vOMJ01VR~BK!sj3r`5Zr8C{axVM)Z+&yuBl|-WX_0^hW{)Be1~jb)fxV_QxxTIjkFrj)O-8)=Q^Ll z|Mtb@z7X2|*H;qpy^`G(WQDKdx_^8)fou0pz(!Kofj`I!|LrI<%9N85vM_zyo!a)a^ecKq=2b+V?o~6C`xRxIP&Af9y_AwI2PrFY~^h!vY>QFJFC7lgZ+M{$Y+IBA|u3d7Wj43 z2n1ppaEp1h#{bYVf0x=x%9_+bgmx*6GtK>~eWA~5rAk425}bY0bg&K1G>08t#b!C4 ztKqv?=EO@BXU09ABl|7KSFux$uY+z$HOi)EYB-fNzfQ3ilH|B$gC|;NxH4P|o!L*N z4sBHT>#JNQ)f_Rm57X*ZGezJ0i=r^hQG9<}8V_jp6IqUY!%{fSFsgVfny<29o$9L7 zJltHaxV^Mlc>ckD`o^QJ%2oM4QikhC;l;u;R=(@UfJK%slUk-I?`L6etA35^@5~8q z#YwZQZ{~G!iFK+;K=b(Ifw*svtb~7RUPw?Wvhg+=C*RafQA$bYCh} zu0sU2aoLvlznlv_sZ0mzZ+0fiU*1k6rCNn5jOeT^hb@e#DWY>ua`+1$ENVC`Fvw!Q zp;RAu>oZZQ@orOIeR99F~C^1 zCo^d2MzPDvli--1HNrUoEQK{8t0L!994i747IG5UMr6JlNk)PR|uZ+ zb*@YdEPENPJax6SbE3%9zr?d+b}+pt3mu;IKzJ8pSwWP^qv5s8KL=UsO9^K|Y(sKX=Mt5FNm{hN^I7?Sb!-%W2$ynWOarI5-jR?$Y^#McOjGsiK z+(!tY)*=0GJd`ZourE-(G@dDY6t{HMT8W*XXnQ=%;E|9gmC^7IZi9d1;8M9W*>km^ z`D}xC{WR(Kd@|`bx-#iccX7~Wz$mx_hjaZ51m=Jv0ujm87Zk?Tx5pq0Z(JW*bTdG{ zpy=$<#{VCx9`-*2lFi+L&_lvTgVo(Nof*-l(Zl}+$C8jz+)9Kl==>x>b}bx}{|1jb zJJaTV&iSSgHSaOY`KDU6cbq?E{6Do2iEv!}>A2b}@uEPE2Vv5|J~kOBi+M!9$Y?_4bs`yQGP0wBOe*Xc|wTWOs{+>x6>(64+9Dc$@1od_joSp@8lCFYN7l zPUcBIdU<*`a5Z{2Ut7Y-?~a?7N3Ra1G+UPE8b*1?3e9MA5dB0~*_#bak8)e7Dd<9!E-m4+Cfr$S*lCEqat{+?$if?C&4 zwg#y&oFhD#1eYybW24ld|H8Ri(;6}H>V$QRhCpKmR-gZ8P6x+?9o zd!xN4YM9|=wTf-CwZG)WD#i8xcrnjlzl0nl7+R9PU(lPjndv{iya+R^c8oU?BYL8iq$_HYAjd;z#6c0kITF)J|8bG zk4xgPu~>>tRAeY-R;5j_Sebo9rmKR9ty0Rbq7Zb@t)rjVp7)`^-Z#c7*u(z?L(9Ak zOjNBqq28L@SX)xKxC|B8iS=zkk;Kee$MD1%<$}cQucS2lsq3SYD?lf%i7zGOjT&nn z^ZiFR?yXCu7CA#8UT1&1FA?H?z zeQpgQhHYAX0NqMM?71sgsptP2!U_=PI*wq@;DaN@4t(@YCZo32qQ2U}HFIqx`)PyQ z5jmWb`R%Vrf{ZmJfFuX1{hlMx3KJ5dQIxPChoiE!A>n5@Kha` zT=K~vp8HErK9RW9fr`6%CS!pLyU*Vu7V-!4jnE~yGE^bJsiYI=42Vs+@L{kexT07= zAeDTA{wt7Cl_#k_tN~vSWD}BSGj9{FRA!LCgXX>u2y(1YW*9!0GuPm}N3@pn?n_tR zWMomGF76bc0IA4)Asjfy|pCE~O z@$70mSyWsaTiQW{o2`hiUwMB5`L8$wB zRqUU)FVz^Bs4xAhFb)l6wGNGHn$23Onw-cLXJwCB#MU`fgoND=(z`e!+Wb=%8FX4Z zev;#w>;H1`1|UxhOr~_6 zzDXQ~)^UFMIVpn$0&Bby21V>RUa;Q$4iCH970Wp#)B7-0u#7O;2YC1E0lZfw8Qcbw z_Z+}W2TWikLu4?}!m@9AqS}0KCtEt}FyX+p4K`hy``+`wgV-nb4VGP05f;{y-~KGryZ6fxlg$cw?+a{IsMIfoq@DYF)N|X&IP7VL$jKKSN-dgA^3y0<6o| zo}ztJn-#8}K~AzI3!Q){ABv@mB#HMG6_#y*XIS-FoGnYT0dlUa!IT%M9Q5yg(s6e74v zl?~>95d}J{-os?n7Z$sqY{yv%9FC~;YuKaN`@IviW8!$8)1ttP3Ksf_B@uW^`JHlq zze3k?KmyFuFBw20Mc*?T`k-kN>c?IXC4NhgrQ^`jkll6nvZ$Ko+QJ#ZC|lFmsc&XX zj_YQfa-w-(f$eyTdR;^dvy-$7O@QTUWXAo7O1;wysyMUtM`U0Wto-}oZ^EQ}J6pHg zxB$c3WxqeR-!3j*HI8s63AYZ9AOxx@@4Ka@bi!DVqUBM7vk#RNO>p7)N{XBmEvI+o zzan}f$%8VFO4%<^bqB>-Uh())E2cqpJ&=dXAwN@ftN4P~{zmC;3Exat_n4tE^9J#} zlKM!7bwG3Na`nd%Ke%2l|NBq*oR-LZRF)ze`5l%e|AIvOW7~(Qg z4yH0|iJ{eSK(9rFN$TM#0bqbyN2T*ESF%{w*L*-#ILQmHIXTFUuzTICc;0C$gW}6w z0DrS9jLahwl&=rN)^dMKt$@p8{zKOrvpMQHtYc0G*O{rE7?ItnteE)QAwoi+FfacK zz8-9v&&?1r#j+&+#Kv4#$wx>LbTq)RO-jHrAbCk>5h=ok4KRb20;do*lb`$*v68>; zxVosaVPu9p$MxL2G9e-Px_=7iU^^0OXY3%cLwd^KmgDA22sm#fYN|M>TRzBGF3cnN zhg~-j)SFLtHMoz8&n)SWK$v{?YqIpN-+(qOXbmyYcC|`ScGpSeyyC76&Gl9J&K>Q! zt>cL`4~&}$Eh7HvDI2JPOS7M|_5?nZK99n3FLb-kj~HD)8n`o>#+D!Xv7YO~0(`@p zGhIKG`}ZSXbIOFRdD<-0!!{wX{3HXjN|~*_z1YlB^zblI>URNGuSg1bFVDd+1}n;^ z39yV&V38^ZhTYaQzddY4S$(IWbX%yzqFXI7SvIUGeV8m$NfbCP$K|{@Y>q%82*sa9 z^icwG+>lPI=n4+RuN#8r+HsZ=ZSG@v>n>O3wczaz)bsNuTj2`9ErMs-)xJ$w1A&9q zLuj!bv-O~1nyuQl{-8s#<Od#hx|JDZ<>X0tE~NKShOE_|%Zo2|w=Pe?50l|$xX)Tj2;_@H%NnC`F}ZnVUS z`ebtNRLlyGjWqwz$n$n&(fi(<2rhIHs*4)&Q#1H37g=O6Lu7WM(nBdmQ8UHE&J&2i_M3Voh#bDOq+2r>HZW>Hmrg&zVzLjQ5 zYcS#uyI%Y`+I+W_m$kBz?Xj6MQiof;g~xcSQ{6?xn@A3bi==raLqgSg#bUS_(w_!4 zME~UiJ>QInALm;l9B;EBlzx+6bctJq&<__no9--l#%A-+^W|e2>9F}$Y?r=V1#RQ> z!G{<^TIQ1o4IxBLR7bBF7P|wAE+y~Ukj^NQhg4Ffrmz%Hri_7p0zdKKNB=kp=wCGh3u~Hy z;JfG;lOlv0bnIk(Gr~WV)?)@n_ptv)S^8^{#kX{rWa_hc!Yx({(6{$`lKO%YxYUu+ z3R$fIakllb;JxEQ$QL9$L2g*iRkDFPoI1On9GnYLA8DKL=ylS1qKIMuX# zMzD7@EV=X*h&Q6QzBJqM8alz~aQL9$>@?f0A$=KgbtbYuV8Bc5cHO0F29^U*9SX{q zwTWTcP7J4GrpVl>l@)`)GnL^?t9u>@N`S^QkYVLWIh^2mI@o3I;UJ<}Yu5+m(AVsy z9s?_z+}2yW=KKgk7oeKFj#dw&rs);E5;ZgBue5{{e(I1MdJ1)yR#hz$TNfp_k2qeE9J5Ib=)BZX=XziEgBb0*)G7fNjAqG0bR$OVkx)A<&I*G+|tt zJN}h_F9P`6KIleRWShSi`FGlURdsE@ndu;TDS%co*i;hOG0eO1Dt~)I=WnNUPb_u+ z(=Y#jQnY^`seN$L;7(jqFSRZ2&EFP@Z@`t)UJtKog84~lFD`=ud1z&Kq%E+!e;R75 z8_w%3Eleye{4M`)TCyBnMkNggT*2l#G)rse;Qj+>6Vw{2gLAhmXj6HyW3>(!#jE@h zXhcfI6R|qFEz&ZTNd_{=l3NWk@oJ&BpUvVsXss?f%&;3hPOP;EJqnk&$*n604%;`P zLTK4Ryk9y5B!9Lg{DtBrbm@=q$rKWCY=!PgAv;3$&YTp}<3!SNajSfVL1SgfL6%j( zV%<^Fn=Bz~(yKt2nGMcEgvT22kmwexeq#*mRU@YQbre`HzfI)Xq`Y(T=ftcBYNFb2 z(vxj;x=QelW=7`A_v&d#EcwF2{`4W~0~54Xmar&pHM^Fyz~d1GKozRz`2`QQ*E$fF zoT1jnrM6zc4wtM0*6GM-71=78;7_$QzZC;jaddXvJBL0@)G`s0JZ22kGD8x>F?7^2 zACkPQa`dmvGeScVrL;CpqrGnB{{k8y2=%(rkO83)KGc(DEWenj^c;$;EaMPVWfG@V zlTctkGcRM;)q-OHykxvGV+&N}U_thhyI#8!3@B^2|HE5PrtKWDa+#a#0$>%=v^hG? zrtRGRi8Vo#WJ1mFdvT?d0Wzgkfg^OXP_4a00XTy7R7!xOnSr{(T`>>%llxDi#&ww` z@bwPJl9{o2oy5AAi^q?dRF4ZaST1Cp$jK~#rq!@6MYYB-}HIAlib^T$S-zO zYwMZak4;!b%%Tsa;8^cIcCtX4Ma-ZNJz!kV4buvHd})ab7(t9F{2rzSAd>V(Z7|@E zPVaT=`&LGh!wHdG*#Z`1XKa{)IkiTsZ5npqn%3`BN)|6o9;ZVcwx=XXmLdd|em-&N zL2)bwr;?qCl$hJb64?~rQp!aGvVjn9A9$l2(VVOOM+U@nFr#=~La{-i>BN=Bn$Wvx zW9-kCLs+An>4@(^%#`V7 zKPs>i+!<;!f>TFMFW1su|;uzyO z{X;lAX6SL~bpN(`#MCTBQ>Q3x$cbxj^>y}vL6rba58=v2nL;5_oB^4l9h(uELMHO& z!i(j1IHTlt$_bt!J>WsFY^u4%fMfSSXd|)-Tn<&Az{~M!xrY*ZGi-5){cULK(EQz? z?|C2SbXYy&Lg!$M`DcnHOUigb=-PSZ`o`-;kM)(U5a|~CI|@KC_$88WP*&M=jaBtO zu(~I^^-$#!a;|%fu-Z0*)A*y11))HWF_ybW-~#4*yct zJL@=`>eo?wjk*RkZFws>8kSNxOv;%ub}mpZ-0a=sw7F44wzT8hjsGvq!WMt;4c$vLhlCnG?Fg8q)P$QG zNQCu7f$j-CHO^x!feiWwi`i8|+r|d*41x$XeIw5lOak2hJOc86^7PGKinAkyaKYIhK4gcDn57?<0jyvwg=O9(LU>M2#r1KJWFVkS>4yrQMIqp2=a^R-STB3sR^Ol4PzzWIDm8%gz@^w_gG0 zilybe+(xWTYWdlbJ{~WBRdb>FO*FV(a8ZGmb{?zTo3tSfM6$5BM1Wf{!{5#Qx0={D zdLB8@l&Ke#wUf$O_$A=WkAbI44-qEy<@x9SneE`KcH;n7R-BOVSUkLks_`E75qz7+ z@d;24$TcKt(>sf|%BhJ}+PbB-^Az^4a%`s$sBz9Bz3J$vjj9wxR{hL_y(*x~qq7M` z>BJNjW|q<#6GFXKm@|_Mg_h@vZ4U{Nyh7(I^2~dpC5<7K;2Kq~8e?qmD~@VJ{_Ppx zS5#KjSjU1Vknbs^+s?n#>Woc0ISh~BqsyM}jv^e3SJS-p%bNsRL3(~g_z|;`gvmvG z_idVxFg@V6jHlI12X)1Sw zZ36#JpuC0gHD(S3H1Hy!er)oR@V0*ncOAHv>oU~^jv==W zGk5y-igpB9LRqBe=h)&Re7b4+)oN3Peq3JBT+5AkJ8%Fya9`%E7tecXR6)k^TjC-%ri(7nAHZ#2LRK{t%5FC6xo7?gkOq2zJYLVoYLwE!X&%!j~Hu})ZIH&DEhQl2Cz35}f< z4#TRX;1)B?LoJCC>ENJNm4Cx9pk5&b4%W3a_Fn)8dZJ{SpX~UyHY%iBMvJcehn(fc z*DmDz?!i#(BQhh7f7fhpsu|~*#g6^bbj{{rta%wf)v+#(an~tFO1(NuT!xV8)Y}-Z zMmAcXA2@LWY58pJ^d>GvjV_SljHlinbY8n6wQmvuIHI80==4M2VKMa#ipl_7QCOnO zM4k}(pLd_HAIHkEBTyYZl8ki(_p#L#xCSv)q8%ZVh(J(B&&|?ZGRi$LJ5US)DXMjU2NzXM`QxZ)8b@7;}<8caHNX zdftttMi+_H8gf1sXUp zN`*!ngg7Ke%-_Y~@cz1MRx#O(6U=NU<24v%DcQ^iHweJ7N3|ITKJaTK08DhS>>=NJ z#FWu&BG)L9H7VjCGDJ|%$c)ac0)BY9Is_gcvPaGJPOwPlkK_0*bY`a(eIRJgI^K+9 z9W-n+R&z&f7>$&{J*1T{cwgs%*p}Hx#8X_D)v#8AaspDq>D;@_zSQvtDt)3)p`RWm zsDq57ZWZUYk=@Nsm;2mlcR6*Oh-a$5Pjap!EPfV2H|*Ju+-6J#{O=)! zY>*{iywFsTkK%7K%Hd*}_d^vSb7_Vyv)}~OqwNPULCQlR3Xp2qe*rDGqj^91_0wrV zF4j?jr+V-LPrVDt0yAmR(AsH2KQz)SUPSDgo=^mvRtxrh?44E3Ivx>73K|X4Yg6%q z6STLZ76_i^GyMY$-0c=gy7fU=m9-Ieg~2q7VS(pqPjnf6Y}{>O^1dA`>po842RW;; zgPx0@nR`>JA8Ysg&wOLN4>RV|W9*VXmnpGNis#?onBn*lh(~8*?1!dKSx(cR_@ZXe z<{Pd<_y3@%U1va2_>6%)c6@)|AWO$0R|=80KgceK-7E#e>O{*0`k*b5Cf;)eW#vbH zGVP8TZ6dk~1@-0Fx`ciU%tulE-U=xLB2Q`U=YifmJBr(lX7orxyw@Q{-@Z5;{D|9f zm4D+ArQ=>52Y<=tv<*yu3*2#Kn7v5Ph5kT zma+r0Am;$BkIw+f{=!GT*skU@@iXd-s^vg@{}y*y`9D&JSk=unZVS}azlqWL>+#9a z<_h@k4}dAiZuuB?`Stv9loP0hy5mCj_IMrbwqq%XP9(jb+l|?|`qT=BzB`;E<}0Co zRC%enXGHc1ZL3iP=HzebnxnLd-T|vZ#`ffc-zn%WBxZc}X|4#nW7hV*^8AHOGO?^dnU)<=DqNG^&s{|+GOn%&@@_HU$dP6 z$0wMJc)0zH>Y??5>hD?my_)y)7zQxkRo2k&lQUD*Q@5`0_b)B}CJ*dqPj7RdPuh>W z!2z-+M~(W$F^|>e_pd8ppC8XTWb~ceT<>q5-fcb~j(ne6qG>somxtxz@_rxguggaK zUGF!?rPFg-X=*5-3C^sMIk?n?CHZtfDikHK-T56IHaSy-xkdrSn^~BnPc$|Ae9u}O zGluiCLr(s1^9SDJgEUm9(iS8{wvvJLxE}SlG01|Ov%+4;Dg6P_Egj4Za=1vV5h@0d zF`~o5Bbzj5Fh7ZbE0{!;cur!adp&bKF^HSj5NMf4b!QKqjbr@O~I}6B%Vg z#r-Od`@UnD8t(2C_%%CZ^;hvV+1?{?S)^0(;|G&G>1&_;SOxC=>EY1*>9sqrua{RA zAwN-*ntqXBswuw7hpor7;L+iJWzfs*<7SLK!=?82VClL-(L3j`A^x5n{+1@!u~uWBYfj+Ya)5+M#8W!x7#{vfh^cw?+ot|DxPJW&NFjPQSx}UO%Ej zqNJFo5}*EEnoO! z1)8VQfnS6wHe@L!n!k|Y#Uoa}Pi!g+aZ~fyFWroZ**TQdo(h;Q`j1xC*!)61U*ZNB zJGR}5G3RV3A+xzf{SC>Ts6ds`X~P|RMzLJ}4z#!Uu$jirIR}(L(l);@&lC$UkAUN; zXV;R1&FIk2JNLm!^JRFq4w)<-Fc*i5M&t^$e^%vy9esNrJuL8&a3%%P}kR${R zc)l$##}ejd8yYx&6h}NV>?Op>5A6p!mr9C7jmW=K<6kzy94k;=K;^&d>TvG}7q;Mi zTVEHjyoAc<^Nzbdrt@UIv2j@v6W|V zmLsS|H#Rgw_`)8^qE)6u2N?-4jM?X|H%*5+t*eZ>@m*4(pk%HU7@>jM=g;0PEQ_Ex|lEChcr zD=e^Ywc`#wr7K1bPyz+Il&K+X}xYnU7!i|&sJ>t##5 z>Ss%zI{&upPe*SruG!lweInnE>?(VPI|JF&URD{yYTDjo_m*t_$(#(@XkVTjsAFr= z@+W?x9ELzL%=H%5YMx^Hfb8#9dJKV2?l*y?%V(-BGy35AYIX z#i~$RMs@}pLQ-|A|~ zM71XKe;+BSn-qb&F)3tb$7T@16O+}(6GqPs4bQ!my{!$;bv)Lx2|l7O8HSr;84-kH zrHS%_lMI-tH5Rc|lNSRLiW+FEJvu9-XT7M^XT4AhEiQi3XwxQCWw;f6bGeYB)mFSj zucV~bwqvf;X)NSS#=tPk#~eiA;d;jJDP{B$Tiiqc*y<%@QedDSp!8Smst@wsb?-@8 zcj(HQ?(^Z#4FPxwz}-FgU>uzT=%RI(BXl)jNT-v}f(#`im1u%VsZlQ*XtdwdI8UvU1)xtrTnB$VVS z_y7Qxln&4DTpYvqw@;SzWqsZp0XGr*J~R9wef#4B566#D3|wNcw-aeoVOnP{#VUE| z&1Zfe9XY4B!tztVS07GrcL~$1Br+Q^9^_P#)s3UnO!|W?IM}D3^V+R?)5p+k&P-CN+ zVl0rXY=b`7#?S5>P4Ui}8aT`kQkC2}LwGjOt$wJMJ(MVo%jX|uipX<@h)m5PdNc6; zIsQ|l(LhQlQ&ls9dLK3wd^=*WJYRjTinaHCe2Nw3;mMm0NJ7XzR^$l&_*Ejp=VxPp zb#Uti@M+taV~TQn-O~T)=-~J5q^?jkXfy3ERTod0`talbd~X(4s$oNFhG^?1pV_1j zKx~Fs+eWqMB%g7T%>$$g&C>_m&lP_;(AGbRM2$T{;{wZrQ7W^$FDz?B#tdbdO_ctci1^PW+g`LC+qICLF{@RX~`TEUBT=d1*gqRD|+}} zdzTJ%|Ip<>x)ZGgpdSIJ_WMUKitlvT)E|>^3XhbL3LTj5_Q&0^)5nrlE_@q&iQqQD zHLnGf5zMi{id>I6bJj-~LnZOwBQb9IDLDB~am)y|9aUB!+YG`^12-$+I1Vryn8a9m zt`#0yAP5B+6qS;7HDd|JHdBB@W+8lH`{%@(l{&~_&1_*KMHwwQxBb`Yy6V~b5{8@! z98okKbOdz&2#x$osQk*F{EC2rNdR&5p9ire{D=@J@MzvMP-xt~n6;To!_yV`0mEk( zeaL|rGF6_ECRmO{xtavtUciw!+&;@KBoq_2CPo)pPf1^?sF1^2ER3P%kV_lt9+T@D zB%)z5&!$Yc3MF2#z;A?pQ!s!TfuyWy)CZw4a0?52P2}p_a48~RiN)wwx zFynHHby9Co*W}k;rW==^gnLY?ZA&11OsWD?c4&(0Y{ZDH z#l9)X5;PLo@Wl%Dki@K_EzDQ2k_cUUU1Q7FfdkoHGF)`z$wz1}o?Iu+~f_U0yyo?3}M-4$=kZRkXD>rN{6`uGCO$W)^ z?xEoqTJ!9^x|wzT9w{z;)wKEyI#x07Djg}FZ&l0fiZcF0B0yC%FDK=pS$oEFS8KSz zzLhGw+i_6#p*KDg#@3~+;77*)`3!J8q7QppejGN$G7^N(=bTtW_UiwQkT3YWhWrv# z{U6~z!4h3eU-e7i2`L)Ki01W+QV7Bg6T=7s`;xhs5VG$a1jH=nav;%*KQ&AN($7F5 zXj9>{g!TE%)~h86{h>y^A(p~P+Cm~@`t;#832$}a)&wktn>2+)`;UdbKxTYu$I$S? zH%plARyKdQX#H>wxG8E9_{Lxh1&+pCADdxDZ1GXE#}1jZJK{XVmOQKY+Nw@i_9S|S zw(BS5yXa4-q93#q*3l{P65U9s66cGql9Sj?Pkh$G8M;vVz8Sv|V-H_$PwpU^ajx+e z-+b)n9b=b@`f!9Ry7k-2#M}b-fzLhM_U-9u^RDe5Nqgh# z!0%-jFAv9_s$GJFKR%AVUreAJzI!q~)Bbp3y5{}yRKtY$K9;*lmxVzF(p5Sw2Kl*v z;RX2A-eo3MIfF@X4kL6Bv0M7}8-Mqp23CO+k)Hc_XxH_dj$^g02QkzRSGs%Fgthhw z*mL0d1i(n7XaS|_J}X%*oyW+2K=Pd^!oK)MabbTSsjdo-nPfX#;{KYxb~05^kYDfz zzkR=zHiEq1`EQcSP#Pxs5+EkfAeR7y?9(jco1$0pXu&WcreLy=TMFGnSf1ZpH}a}A zGz(x0SjC=@`GxKg3!ace>ejT;@@qO+=@@Ng8mP2J8}kxOQnv}LY9zf_i$9)u`F|z; zC?;#mQ%u}=W2pPe&hTkxnf!i-W)#UcYx?CA!%CA@U zDE+AC#z=kdn{y(+?DoClJr_Y!ODXEMDKZ&Rn%Q^M;(T2GHvIxNO682Ck==b7G0BKW z!QvI_)sIqiUh<9iqGTO`qWn!pWwg1A%d|4sQ@bbGg=e^5bKBhKn zS2v^G?4xR{IO9w?>IwWTY{6pf`RozImwSSO#a46+S20NKZ1sRD87DV=N4*w4FE{l+ z-k<)^%*B=FaC4Z+Ho>``eF!`;2qDmREaU_ z7Ccrk_R3yS)DyL_^>9f`(N=Pt?pF2fp8a)CROhV^(I?FEwj{t@Imfm z@%_suDmU9z=#L1z42=P!GZjx1*A+j#0mjuOl9cZZj3Q=?A~}pAON`mmW8G7G%f;|M zry36i0P{NoKq-|Dkf!~==(_Ys0igcA!ZZ8+Ttg$uT)F>viuPFFH+!WY*-(7_$@Q$$ z>4RLmy;}7u<&IG~qYI<+O0F?pd(L_$YNiS^-;+^yzYVAnJsX zar5npJf;Wu2vsm<`w<*WpOqBnKHZwT;?GT&w;=+aiu^Q|i9f5VL_hTO!rt23H~{Xh zwzpSjYX|>^rv6>=-IYR7=Z}eQo`lB_=Fh~NUzy|GZAY6Mx}&Z!osN1L>(vb17aAI= z7in_!vc*rst<&y+2L-oZ_O5mH9tYE{?-O<|pY^g_+%KI(nQ_@aDnhLU+&(IC+5jtn zW|ib4cxp{i?9hLs&!r5K$Yy0P8>nX61|Htdb zLo?0xr$}yQ=TNsV|NGkwZ!TZUN7JR7k6n4*U%ccfz)5cRC3<+$E}BA?<$e9xvHk&^b(e#vZ-b zk-Pk%NLXF{*ZiULUs<4X=29#%6y4J``Sfm$Zd`^V2IuvtF`jf1tFaxqyHnEgfDm^F z3CeJMJggYomX%Amy}RS&=lAyUtN(@`3UL^zKG8d#`vr*?!MjbY!#Ydk4~!&=dfW~c zQSoS04@5YVH;S&2qsr|yG<3JSLltY!72XEgS%AaZ-On5Aiax(g=?fC4y{JB7Pr&=b zg-q=}h>EkAKN9EdnuqQ>{wb2rIe~wS_5w9Im8-g8Jg*_-Nv8d|SnqAFO4u^uPG-H_b;KKR8R!#n zce{yjOW#3RoXuE_FAIwsudADz)xogwl?!o4+Db;;jk|ebmFu}k=cp=k7<7ZBbnDW| zF5OwGtE)pTlei<0t$>d#oniT}^% z=mrX@PIrd=f%FY7mue<6GtQ|$Ls}bLQMl%=`@ik7I&saX9sPxpV3-1o$v_RjhNTvV zAz~9~;$ZPefe|rWL%mUs*G3zj%$FTlPv4`x8Ha)}_XCW3YEQZzw&J(B`JV+IMAO!} z!B?}dLN)>4S2q9sXm8AaJpkR^0E94MOSr3)N92E|QJp8M>V{2tl7r5JqfP?>X5~}{R)|ct0 zd>nmjuZ@>YKfKwzafJm_Cc(N^&?-lIyl~DxI6AKeg_#qB@)UteueOIKl0w5ifWf&qUboF%X;Fy{k_ zDtHOhxm_h%{7Upy@w|Vhp;Y>sZKwAW9)!xS21ACJf1=!Iy&sI#hX|DmjZbp(@4;}AU z&6WzwXK-w=5l_|^C*K#D2KeA>5k>JNh&hHGk%0?DKUEm^`eS8`lLuJPhm&b=O;{92 zLSPcHzPQM+!zN12eF1|HVUGc~d8em4?5`m}>Sr5jEeez%zO;j39y7%bT|shQMVi-? z5CP_JfGZIQ`;$d8^$>-q&Hkl^>|t}?Lo2)B+06;gj`JfB5OWj5Z^aw&ZsuJ4M-wTl3+1KN|NoMx!7I31+6dN{Bw z7e?B!z=gEw*oL;bgeG3sBHNqThU}jsta|s+mwgY*v2$}N?_M7E>38V0WWSMxB6{?IgRs(F&&Lb zPklX-jnvGRrTvyIO_4RIou(prqN1 zy;S)h0d~nY5w7!gBW642rK0}e?GZ2M(2-kEu=9sFaKf79E_r@S%GGz-qTwn1ntOG7 z7d4giuFowC7x#9-#AoO+RFm}B{RmO$ZGya45yXgixo}U&ODz4FALG5%$|}$U<#6Sb z?jAeA%RPKN-b1lH${%!$zqXI&LTo%l+c<_g1&n{n$Yodv%qX^%}n6{__Ux)d@WYre@j?pEbp z(E9_70^jBYN#XTq9-QGgrG&Q@`8J1W&JZ^4Rwh%?tR+ih?UGGu#JgA?TA6Q*)>hzQ z&*?i~-AIx-mK}F%Sd7Rr>^_KH>k#FbW9`TB^ig{jE~7;|uHr~oN2%rL=?!oeSOxY@ zb;CC00WQ1n@e04l2?38w9CaHs?q*^9<*xKoOR=}mJpbG&0hnuCOdGWP=V>Vo=q|yJ zhiQ1q8=h#iVZrh9+)tqPdK~>;Kl2>$u|VMmIS;?I(>z;AG&cG($T5EFNT zJyCIsW?lj0Kn@14FgYQK6QTYmSXQ_|w%Guv&){?y_}GVonJ3uEPkBl<{``vq^$qdL zmMCpU%tjJl^;oIyub)p@3wJuoKQRAJF6-8x)TL+W&%SG8K(EPGpl~uVA3Vi3`yC1B z;XiBVVYkC7=vr7`cR$I)DnKm8pY0ts>NvSNvi+l{qWIa#xILvrolJUtRo48($CO1A zoH~??@XFDthq=VZf`}uNC1ud5kqUvXa|Tb^B9^QucA5HrWHw1ul`uPW?7#K~F;s1T zKhjrL;=dr;et-;|As)>|9>X4|iD%Ywf81lG4t>nl3;Q+4ahPYwLO z)$e}fSHp1NSAb0>F@EmTP!FsSqFf@3VMBBS!7nwjV048bqMJIQF-@~U$ak8Wq5;$W z5}9ELf=DUm1T;H*f2Qx0N)AxmnoRZ?s`aNk=z6>d5!`KcUkX&X*8Ys*Vf#1i*_1)2 zwYsPCrg67SY?-P91AEQf(%YKserD6=$Vcgo#fcMCN^ZU`WGmwPSY4@BDAEhCza}#x z)M+D%vl7MK77Md7{G)vTsLVgAS+1m`_#Wh^AG4=EL}h0h4P?{il9a7-y9}rBu&|s4 zS0azdb+7SyqHeIOfAha9RmBIEq$x7G1(miCuHU=-4!qTs3I&rOv&HAP`1XRntE76>f%+{f{ zgMzlXJvPTHufuMA)pylg<>aV}Z7Dq;nsHhW7D`z_P zTW(UGR2)Dn3chTN&v|%kvBZauSZ42)%CUz1sZ?i#PUvJr}Ss$kXUKNv_Sk6zDp*te(h6=S)qMiVDwF!8t5*SZ!@xTtT1HTl{J z9ME)^U&NGeWA!T8nvhe5Fbd{YMAUF}!exx%lr3$DX=3Qb^6}fXEp0St@nt7#D;g~v z_t=yIAL-aGu|o#=3u{`;(J`K)`}T5_H8)tlM0rbm)fh3lVECP0USr*W@&{&2yg{Pz zUCN*JyZK?2pB-E66IK168LE2CS*rR0ATrHS)n@?_W}d3vWu8hul5f|Un{NPBQXYEb zI2PV3Y~NLlr%B4$#_4F~fJ;HT*f@!`X28v}?zE`dosIvRs{5N|-@bBJ)7<@Fp^t5G zM51K+>f-qHr^!$ioZ8TDA@$Jv*+L5D_XFyM^b!U#ZMha^vp7vYqlfTLNj$tj8(4~t zy~N2th*J=XOMVfBN^h+TT{9=#Ik`64{fdxu7mXpHWK6M{!klzj!pN|HhK0q2Lw-1~ zYSvo7Vux8X{x!vs+&qnzW?yECK|^gQB}=cWEE=2MLu|zEm*9y0_w3@mKB&w7A*ib1 zAt<}?At;9FA*jnapuPmu*MR!g;bOWm21oKD-k24l>7g7c9PVF1ywI*Ew9cs{A-$NG z(?Bot6nJO>%g<{%z+&S;4xl(62`vY(NPER^yixNVq&*zocnZZbmBtIWvx2V}@c$zN z$rb4wSIeKMEu+-4p;CsUMmw@Uy=}O^pwA`x2sTnGvR>wFB;fN|#VErI>gK(0_c z!g|2Lo`CBq;bafd{{`2O#5NIWNF`M5ch^0BoD`&{h+ zUnHF!O1s#6h_j#=!g(LEvwTLS16ow8-Dp$#@LLn^ARVi-ZoRz+37P1+4>el0ddPRZ z^wWaRFTSHzxd%|g8UyG04N9fmwuEHEH7S|)r8GZHPTsy1Tzsh2xFEbC;WM{W?Jm1@ zr?5?>yjREgFvIxOFE(2&%`2MWnc`Sb>BGXm+1g@O@HtXvd_?0NE2j31Qwn$6N3{c>tNfbt+$?@?a4HkOUX z))5R{8;U~T!h^&(5h?TVyS+IbhpcsrG#bFIHbhx~dUy;kt!*?RB)0pK<;G!Yt*+#2 zk|j?5`3nB+Y0d11VMb-NNc1DK{&&gOpO(KCbJcgiS$(wgw-LX`%`SLY!3n|ulU?EK zfho63%KpHq!4Q4N4t>WDUC0U^Ca(c8B%b$N8D>=yh||h2CiVEoFli^_`Nw=Z?2-ZDD^z!yc0*(v(>vFb!BE((HiT7s#W4JcBht&=s9}fbIiXMe~igsK#-9 z2Z=ajkWl1HlwdGA#t#_kz8;`r9X*8RE8>CZ&W)?8fo)7cYb;=?K6IZmwo?5P<23He zZY*s2#x+!?K7_S5hPAJUt>L7h;q;i~VX8Yit3gahP(xUt{Cuvk6gDF!=YR?4yKCwu zG|k*OaE~+p`ZuoTzn)w5^S^Ez=ZFO8wh=0UZre7t_~_5iUoLd)P68x6cqdX8ptua4RzdyxVPXKohEZkCE0_<-L|~t)dv?tsE*NM%^GRpSrcDYSJ9g{TbVHAP7A4k+ao$FeNt!he7ZgyRM&+Rm(VTobN3-qZ27D;LC0LRGT4K(<~)nX%Lr9 zq2gx?!C%Tn^iL+XA^r6;NEB8%Q^AWqfLul|u9H8FwMt<>I%t84mDxHu{U`gF+ystk zNqG#*{DMTfrB&esTVqAN;;v);3XZvEWkc@uA|@+fk>F5c?@S|G*E;TY(B}d7_>A-P zvjXAzoxol6v3$sRizj1mC2b3gO%1;cS>D#UzmL?1*}yMaevs6 zTv9-zTQAUz{mAm!vyo90XK97`Vsqb2jZ}`Y-_`mISybum@hwqYm1n-Wiaj<#2O^7Wi5c`TG z4^R^x0B0hJ{9&($-HI5R*I$Iu-y>Pt3P|*ncdN@XG|1vD_o<|I6~RWqYZt?)pCcO|~XMNuFi9XPzz?f+kp zHZDm3;0^OxeR;Mmhck4@qTk=?lEwcx_2FyY+^s=fs%$|5spx&QS0{lCCYxp1k(sY`3Qe6Q}_lNe9&KEL_q`f&uYo$)Xf&x zt?fO*&ZPKSV!gbY*QYNgrx96EgX6?&o%P@!6HPkp! zr%dc|PA3*Q$2L)M?eF7S@(g>b@s`Uwgr;u{YlsTF?%!y(?h{9fOkiUy;Qyox|k?}wnsPMDFTX2!p+q9j9f9|vMj5wP5= zK$ty0#5ElCyS~1>z7_e4gI7R3;&55)D1awHxha~u$o4$EIexs^%7iG)0KN@z>!H`Y ze_MGjO=H7|5MZ^4^0*avS#g$-UfFjqZT#2jB1lJe-n1>n5c zlf@qUt-)!z6~*D2Y6 zw%zt2vNY1k0}hK4G!dmbJHh=+2=S?_(jrqsnYIyK;?5p3RPRYLOZ}&$;B{bFv?8gJ zUpLa}h9+kc;>7d7iQZ93v!0m;1k z_$-wV+$P%R&La&=$H0u+OHvm4G9DA{NY{~u@bman(PYs%IROK3>>JfCg3+;)B;mUI z$8SPV1*H*6K$=TBJMG91K0BRoa(v6gXf$5f^fMD|3e)>G*JsWi&CimR=$#|oq7NtD zFVm)In*#T=c~s)Xwl2XhGamWvQs_6MCr2Fv=HQ)98{hYsR`#7oP#q z>uCYhbv-|N9A|e1N&960a1FlG^w_zp#WG;%*vD}j`k@`^{FrmzcSi0>nRQc=zIUnj zu)w2BY?ZAgi&2>ap5a(Fl($(Gu+Z%5I0a=XczC`K1GqB{$asT)7o!0(xn_(4*yyR0I(Dgk+lQywgQ?b_+-pwsdG{Q{i>cO)#oOz6PaMOYlaBVX-Oa8W>rNN8t&dH* z&^lE?^vk`e=LT?&Qsb-m_y3Xz0sF^ytXH_~6aNxSziWH%%AZBNatU;G-6*5T6&>;l z$r045GiCiy;Zkms{t2^8Hy4tf1=Hv?`r<%_E|ezSr%AXS$-Ny>HB7f_ceq_-9H`m>d> z;=oGW6(t(Qd8l!j68j5LJm&TzIKOufX1TGI>wMr4%kLjXe{+ zh$L5X1-ECx)!k2%u+Jp?4@I{T0nmC^lI1l6w`;D1LOUkf8#E?KN`qJhlUR5&opUi& z)S!Udgnm0FC4n8hEPrPQu&G{HE@;aCT^Vs_!wQEB6<8t+3TO++zY-%T>J{3gP!ez= zVTTG;DN8b-;t$Bb7s~;f0V8Q_SX6{q8HxrL0s;8|h|nXnm@FJ{^+Sl?)3BQ5Xf3^2L13=Mio!CwU#;XmLLy6CVV-1%$JZu!TDiNxoDE#rB=Smnh0siXSZg{9M=&WQk2Ni__Tpal1I6iq`muPjTWQvNi z=1QOB6%dhWC?0hBMn>zHC=MWYl8**X2iqh&tF$ zxnk)>k0HJci;=OOs_~xPWQ;m^GhF!R9=F4kVR?jAqdY`A%xMpYZ}EEH%{Wy>Ib_@O zCI#|CZM5CkQ?msT0uGK@YOb%$w|XRb`H(YW_odlGAB{U zJMqd^V7mGpcm&N2l8>S0mw^#rbu*zDFr->HVRA5qiPiU=NPTdj8t_-l(K2;&=F~8x z*i~?jgeAnW!Qxz@hBT-9!~+Qv8ZR=ihHAwj93Vniqo%v0@Gufz*9;bN;I4qX zQd>6diOvZ45}py@aG)|_X^uXi{5sJ9faZ?J{xQv&Ju2Gy(7au{g>PO5ig2c@*| zZqBzgxk8!iNhZ$poAfc*><{PU%6LYtBFDaE&&0ex+w1uCD`6brF)gD4p8$XEIHmdI z92=fQ(P#@H^W=7b0yi2`pCNm#B#-f@>yYTf#C}_d=i}Vh!x!IF+JukC)~kBm5O}dq z-_-M0It`4#ZTzljC-I_c{%!MCU4~z|+j2m`XDV&tNsFO78(8*tN(0sbT2Zm3o?huN zlYk-#!i&Io%19oxU+&=l zk<9_?lNc^sVECv6bWiI%ztW|Jc27HDW5GTEYl|o31Dl03T(|++xVfxyfmefsx()>0 z1G^!*-^wWNKG!{!HhuFcP4LJ1?7ZLURkgWSEv@qX4!JGx%hcl8X)`d0=IDz?{O^b5 zSHO$$vFbxoS8*W3xtRci*rsArh!2S~e!SsCn<_$rYaA}g`6ZW_I)W%!V;g3QqKb!! z`xI2dxAh30LdQG7ZUT?F`HZ-o`9sAsCX6g(E>Z{KoyeXugnI-0XYU%sH+~p6LMcvs zS7)s)2>cpg7B6u-(rQ~LTAe$wH(^~STia#%s?=(maX>gck3w@Hc7pKmDdH0`i`7~U z@Q|-wQUG0`A{4XbAGnd%x-$u~a>{mCoD4cOOc-1>jcXjWWdKe?Y07I_+WxpY3`eYB z)p7R^etu;H=3ZQElwKV|lwNP> z_G!(sr;dRIE-aaBN;T_ciP9-&gNi9-42vdpn%rBT&*#scz8^2o=MPd}Ztpgef3S?$ z#19fx2>&)gglc6S=@cgL3Z|tuVkR+SzMXM5mndqKim$wqc6Am3=_LjV?I-Db)i-y1S5D3GH%%C3hezX+uW$y~k>hW)mc^50o~~ zq2~(~UJck6=->YTo9ddcfc_n;HS!CohO*%S4NbJJct}D;*~wUNqSRNC2`JJ&8>as< zqq|7-1Vuh*VCJ>*@vieUD6T7kz$;!&Lg*df*guBnyLNyhsrxb!2*+*Lvqe&xU7PKI zDIi><2MS?ioCO7!4TTXz+-63M6^_&(NFomh@@vksu5Az0w~S|-f6PLh)F=ol=lQKt zW0yL+$CY$GQh5OCLU49XZ9w8%0d~;zt%yXAM9hFJHYhGTDx(>MjT-4o2Vt!;X>lBe z*S}w59Izl;a3LKawd4ZRgm!cNbml^hu?)r!-hJog1Sbv~gGbe|f~E2M=_zLw9bKBY zO}&seza7gV6|}39&Ig@X%Uv12C{-30DB4e2;Qqq46=g59Ei-FCSd4DUe-!wN-c{*< z{i`wx`;3@LyWJl*J?PL6-M}PqDM4CW(o|ED!V*8d$o0Sae-yG&SF+J}sl?X=veS0r zOVwOP!Oy$N=16ViK5Ig5S@hVi+&3$uL*5`B)>EhN;_eX8WQ~f zg5?6=T$v}?s3qB`DOuV718S3|WTS(GI}9I6t~X)7q6F3S-ty1(kiMlEl(j*8ypdlY zGX@C$IPJ35nsWcj5I`2B*`?#;=Q{3aqoWW1zU^8(s78b(9P+GzU^AHEyz$kJP$be z26$h7xF4^af7qW-k{I*AoUfuoyWmBWV`RSY`iyYv{1hWJdSNI5_IIy!EFFf%57w)x zF_tTZ_BvfX*O51>527D9$fP-;8S#VzxzM+no1>mb{ofK}p2CXskA)X}^`O<>UZHi? zm~P8(k=XOg8J&mSZMz-y=7O z7zKWG12=Ke<37?L-fFO_;qOuRr-#~~O#2&OVTm7gK4;! zHsauC$N`EjSJi_y1TJZRX@{wXp^&?Jo-ysr;MbEsV_~>OC#P1%kT>6ip~Tc~z?-QV<3l{^r8A@=rbqw!~%j%>?}ANze3kbVP+nG)@xc%-|U^eAzWxD{%R}T z{B;MUV{#Q&Lj~Dfx4eBW%aQ!XwBvkaxO)D0cwTW0aN^{OLEYMY>`~tGD7r(KMQU~& zpUnd2#1BO-lckEra8b1luIBXqc2QMHS#-U87gs&MUcLO51i|&?`hGnTQK$!G!@nO{ zF&Xx2rr*zi&?P(xP!CtiFFoS~%KpE8(2=~)3K~gz6P+#K)VW$AtnxI5+GO{Exi#$5 z(|p|)C9F{{f4+S6j~Ul(|7udG9?r=Z>!vQwj&pGmX~niCjj?FaP)_=5)`C@9jg&_a zt5!K?4}qz$l3m$%bU1FMtn1)O(nd{xO$LHPsjo8CL6u^o3zpNXk3Qewj*3ntTj6;3 zDu&Y3rNI_XLUOQ(x|&a`AC)MEh{QkR5t{*N%hHFFj%t2CPi`R0)arW(eUbx}TzVwq zZWD(-Eg{e=$2JK_Y7a4}_guc|C2zu6hBveuUo^S3Kj_)~G9S3iYizf%{qELWwK+?h zl~gcsz4G&f2r_thT@0Ff2a&KEZeW?e*>toQwy#Yr7#I*%8V=7E@I>))peF|P&2aoY zDIj>|@`Vg8p!1swxYJ0{_;He<97e8WWGlic2p6u=bleIn#UoxMe+5Y!IO*d=)CppA z_@$B>rW>@!7HZA}y0H>y5Q?)$9&=4le^9bxSFaTR9WMtc?#fJW@9gZ-pE8LzGpQbl z;yQ9&Pw^@CZ;H-1}2;|ZNq-z!SJF}fnXDc;)UlJIFe9QuAz zdeLlu_aZ_1IFx~uUoGGp5+~-N86(9sHIJI3r#&r5{z|T#TuKi|;8g)M+N=E(8w>L!`560?Tww7{u zCv+GMUafPbEMXTl_Sz7cGkTis*p{}#_4lT5wrvYri;9)?>19RjGB3V&z;nkIszodL zjE`*I`@dAE3_DmCciFr%^K6I^ZCpQy zbo9tas;v69SDFQDB1yF>xe_aL@J#H_4tZNQ^w{o( z4;#O3t2@HwS6JLT!0lT*kT()wpjy=sC2$`&m7m3c6VDri5j$Ie5hr8{jferUCgVS7 z2IOWcd1Wznwdqow9Qf#GDOW9N#EDmi>gz1dJc5EB;-zwOtui1^@)Ozw3G3UXchL5z ztcL<6gIy-Sl;#%Iv7IVdI84%QPBT<&ZS-l9T`Ch%q==)0qUoGLlzvqT*fPOrF7(AL za!A#=s%n_cd-Mq2GILt$CPL2puC(-#Dg9dDx^)r#2?%8xM=)H%?fY|qwNepFz!%5& z^K&JUt2{?-lzwYH?tK6J%A8kIdG6i76b#Q*e_>x*p%=THN8LKYq%l2*%lFbcTaxp$%NS?6bW$5N)7#KK}{x+mGmFn-RRoZQ>lYX zO2fm)&9saAp)7JW!qiTyj8!ST^XS`O97uI;hFZbVQj@kb44m_ZsIR+_X$$YqmyCYz zi0-C_pYzPj^xZy5`3*_k2geo_f(tda@jv2F8asJb4lfti$Y|lkMv-JK4k=3uxo=O* zZF8`DeePFV_Ut>7-Fe`R7A?JtJx)To{$M3dn_uuk3-=+G1K8m3IzBwFDKamt!|MoLECmMZh$^T25CIJ@Yv z#8$M|o18Cy8L_gaTXm2QU_+?MuJZ3vo?wgAnp=EG_yaaS_(E)4Rb$R}cq6@e8z}b+ z?G~*8@_3m|8>Aw+Y{bsq9F=Q(8iAclsFV{^Cgv7(9E${(Es zqXmWx*<(WdJmo(z+84UR7Z_@ZFsT-&g6=oM(eS7dv?pMY^~G4n@W}i(=x&A%x!zi zAJCT2oe`b<4gilt~8mNTz)s<@V8rY+f^R^SM5%kQ8@#@1n#a75Pj zIM%||)|PkC8We(@ts<3^;L0lrN?;$yhgT`rIotA)i(=f!)I-z^7(1P%wRDJu#&E&G z9)i%y;XCGboYZ3_J(B+2s#H3gBL+8{?14q0yJ<0@?V z*tgf z`yh+b=GGHL;xA`0^hSAarRr~Y6f<6)b0IW`)A#IggR2x#0ug4nlJ2P5D@NV3L~E1H z%DQ|TFsJ}HN?Y=TZUZo4`w<9{t$5g?WBh_13$LYh>|C+=`mH(u*)5cOd4J%kRtC}T zt&(wLcH(chVsdZQI}*idh{*9WO7O%*xL0oQR-W+4yfCeEn=vO5^Q55XDYN1zOW0cn zN@NLL3rZ0*DX}w0-lQ4aN<7|LH{vj^`gmgqvHZ0%XtdFO0vcERC%!~Mm}v4Qe@3w3 z4hj1uv$(>LbK}o%5EzxQY-W0#NtX)Hd+o~bUlrgf9YIQsA1dN5QM4c9#r|BzU#SaZ zH<>gB(XCbwmjWI4%N^4fR;lCDx3@>@c*HR5MOT9B!e_6C5ROv2^!crY)lv$f#-W=s zB1Z3KkTyw>9! zo6JGECf4p@%Sa=}S`hc2bW&bK><*8z0Y&7Nfm=V zG4!XA2-(0xtNRpZGs@JJp8GIV55ArZezE(b39vN^TIKslkkx$-kP5j0H3?d+^M{L5 zcVM0yiwmHMW{hM0IT^GK#qqNeS}>446SaY%IV1EDxZFRYM$Df;8`O)dB$v9CEsn#Y zRV7rb;Xpkl_})g!k@^K3-~Mn6XCn2Gu$2aO6mlb_K9Ioc{6X3$B5=rm=_UmRqux=t zx&_e2G=mG+$sd&S1r#7ht=56S?dh_I-U^vApWYD5<72wec2Nx&QCz}9Jz&Fsuwtc~ zKa#_n-Da=ej@!Y$L0vNAu;}5zhve}pS{y*@xf`7uGp(Dv{b}wyVJjJwdjKG!MXmY( z66}J~eawrz@~{EiT;wz}s2eLdEEL!!rrKcC5GNz7SOsQ&#~TJE>a0u{tV}elNTy%F zQ5Ed-Xrs6NIDgW!&Lm@7qBH&U*^V8QBk*aCodUuWb=Q2ZeioiS@ZEyyUcz zW-C%P8ZV9S)VA8AzB#=j)CmXUUrTl^4>&x`*AczrYNkwT@F?RfW<|d*Qm}UbdC9wO zaZVqF@q6qOSPf-sJiM$THU2By=P}0 z?HVRpJ2%)QeiX#PgO+ljbH=9alH6*l9ESW&u#VhyZY=WO7teY+HgA!*OO0EDc%u?v z1cUU^Lp8pMOnT}c4=y;zf5!io7E`T^tJkZ({7kHq212Z(aYT%}=EaVn|qJQFGVMZDf%dWu+9*9Yt8JX#bnj^R0$P(9OGUZd^dC*^Q{~Qb@#PlO%YgO&%^`af_J60Xp&OK-_eBlrkvtqy4+hX+ppo)YCEK z!vS@?;%(@PEroVzzEkSClXK6_#Mx<;u>4+xMx5ysneXoTlJT{&jXiDcC>>4mg8_YeE zLRlzWmCY>Gyt*fyxf>fgdU(J6d`TW}0vj$EO@eTau}!TDF^ldRJRHC{qPdlrQ*1Ah zr4VDzVCW^G;3!vMiVf05I3gY0rSMC>Lbz&3=<$#v;^llk-~R2Dr6zo#X6(ij!l8lx*nU+|!KD%dC+FujfGui`l}y*7Ik1A4jAR9n#@`er6azN& z&ZVq_bS+XYo0%n4krZs$$U@pq`D;yC@rOJe*BrE$6oi3-fETi3kchv`yx8NRRfY+l7m3imLj|}hGT6Jd9a@JpUIoXah z#}s%lui``g-|V-0?xpczgH9HnYWd!U$0F#N39Hv86U@LfbdNk|A%!5}9dgp1(iMI3 z$4|)x#2fqi&Q}Ng{WO~7zIF1zzc!Q?zAb~7F43`uOE2Y;3^TZuEb}@m(5G30(*j-E z5U2b-Q7=V?#`+(@e9qnbND&Eo53)xEPCCdm5ssdsw;{;p^XBEt-;D3uPgSf{O^YH? zEPn^P;LC>iNZxdWhM&HRX8IezZPNjXMOh97lCTi?W7Pe)r!R8SgmK-A$2#Ke2(W9L2zXS(({ zs102t(>MpH(ZXzA@2!F5T1S*Uxr{a<4dAbYv(CR@w&q_pu3*b8bQ>(q(QnURyJ-x2 zblK)M^h>vE4QuatC?vx5pr z$H7I@KhY`2Y5$_a>WDf)VaE92kM%QvXQvp=aW*n zZ57cGmkQuV`hFA-f8p5dRW#aPme?e_oM11(dqB2uX%Nq(yihyJtJ>7l86WndMLbfD+L2rx|lx=x

    - + - + - + @@ -52,7 +52,27 @@
    -
    +
    +
    + + + + +
    +
    + + + + + + + + + + +
    +
    +
    @@ -70,15 +90,202 @@
    +
    -
    +
    +
    + + + + + + + + + + +
    +
    + + + + + + + + + +
    +
    +
    +
    + + + + + + + + + + + + + + +
    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    - - + + @@ -152,62 +359,82 @@
    - - + + - - + + + - - + + +
    - + - + + + + + - + + + - + + + - + - + - + - + - + - + + + + + - + + + + + + +
    - - + + - - + + - - - - + + + + @@ -219,51 +446,51 @@ - - - + + + - - + + - - - - - - + + + + + + - - + + - - - - - - + + + + + + - + - + - - - - - + + + + + - +
    @@ -300,7 +527,7 @@
    -
    +
    @@ -338,144 +565,183 @@
    - + - - + +
    -
    +
    - - - - - - - - - - + + + + + + + + + + + + + + - - + + + + + + +
    - - - - - - - - - - + + + + + + + + + + + + + + - - + + + + + + +
    -
    +
    - + + + - - - - - + + + + + + + + - - + + - + + - + - + - + - - + + - - - - - + + + + + + + - + - + + - - - - - - + + + + + + + - - + + + + - + - - + + + + - - + + + + + - - + +
    - - - - - - + + + + + + + - - - - - + + + + + + + - - + + - + - + + - +
    - +
    @@ -500,7 +766,7 @@ - + diff --git a/projet-vga.runs/impl_1/vivado.jou b/projet-vga.runs/impl_1/vivado.jou index e6dcfde..ffbf857 100644 --- a/projet-vga.runs/impl_1/vivado.jou +++ b/projet-vga.runs/impl_1/vivado.jou @@ -2,11 +2,11 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:44:06 2021 -# Process ID: 5252 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 +# Start of session at: Tue Jan 4 12:18:37 2022 +# Process ID: 13232 +# Current directory: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1 # Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou +# Log file: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top.vdi +# Journal file: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1\vivado.jou #----------------------------------------------------------- source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado.pb b/projet-vga.runs/impl_1/vivado.pb index 24aecc3a841f0596402f97c0823dba63697e264d..a15f7da464aeb6473a3b645f34aaf748bd05e1e0 100644 GIT binary patch delta 30 lcmbQrIF)gNkBC=do`Qmjf}xR>k)f5bnSzmlkiHVh|v4W9-k>SJyQvi5K2XX)a diff --git a/projet-vga.runs/impl_1/vivado_11872.backup.jou b/projet-vga.runs/impl_1/vivado_11872.backup.jou deleted file mode 100644 index 7c67724..0000000 --- a/projet-vga.runs/impl_1/vivado_11872.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 23 09:55:17 2021 -# Process ID: 11872 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_12280.backup.jou b/projet-vga.runs/impl_1/vivado_12280.backup.jou deleted file mode 100644 index 02dea48..0000000 --- a/projet-vga.runs/impl_1/vivado_12280.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 30 12:02:04 2021 -# Process ID: 12280 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_12864.backup.jou b/projet-vga.runs/impl_1/vivado_12864.backup.jou deleted file mode 100644 index a02e7c1..0000000 --- a/projet-vga.runs/impl_1/vivado_12864.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:19:39 2021 -# Process ID: 12864 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_12968.backup.jou b/projet-vga.runs/impl_1/vivado_12968.backup.jou deleted file mode 100644 index 5691576..0000000 --- a/projet-vga.runs/impl_1/vivado_12968.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 30 12:20:41 2021 -# Process ID: 12968 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_13936.backup.jou b/projet-vga.runs/impl_1/vivado_13936.backup.jou deleted file mode 100644 index e278f66..0000000 --- a/projet-vga.runs/impl_1/vivado_13936.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 30 12:26:23 2021 -# Process ID: 13936 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_14844.backup.jou b/projet-vga.runs/impl_1/vivado_14844.backup.jou deleted file mode 100644 index 54cdd1d..0000000 --- a/projet-vga.runs/impl_1/vivado_14844.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 23 10:29:07 2021 -# Process ID: 14844 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_15112.backup.jou b/projet-vga.runs/impl_1/vivado_15112.backup.jou deleted file mode 100644 index 738c3fe..0000000 --- a/projet-vga.runs/impl_1/vivado_15112.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 30 12:16:55 2021 -# Process ID: 15112 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_1568.backup.jou b/projet-vga.runs/impl_1/vivado_1568.backup.jou deleted file mode 100644 index 332f28e..0000000 --- a/projet-vga.runs/impl_1/vivado_1568.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 30 12:43:37 2021 -# Process ID: 1568 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_4688.backup.jou b/projet-vga.runs/impl_1/vivado_4688.backup.jou deleted file mode 100644 index 68aec63..0000000 --- a/projet-vga.runs/impl_1/vivado_4688.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 23 10:08:25 2021 -# Process ID: 4688 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_4708.backup.jou b/projet-vga.runs/impl_1/vivado_4708.backup.jou deleted file mode 100644 index 913ae24..0000000 --- a/projet-vga.runs/impl_1/vivado_4708.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:30:30 2021 -# Process ID: 4708 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_4856.backup.jou b/projet-vga.runs/impl_1/vivado_4856.backup.jou deleted file mode 100644 index b70ecb7..0000000 --- a/projet-vga.runs/impl_1/vivado_4856.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:42:58 2021 -# Process ID: 4856 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_6484.backup.jou b/projet-vga.runs/impl_1/vivado_6484.backup.jou deleted file mode 100644 index c7753f9..0000000 --- a/projet-vga.runs/impl_1/vivado_6484.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:35:58 2021 -# Process ID: 6484 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_8972.backup.jou b/projet-vga.runs/impl_1/vivado_8972.backup.jou deleted file mode 100644 index 05859bc..0000000 --- a/projet-vga.runs/impl_1/vivado_8972.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 23 10:20:49 2021 -# Process ID: 8972 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_9384.backup.jou b/projet-vga.runs/impl_1/vivado_9384.backup.jou deleted file mode 100644 index 543681e..0000000 --- a/projet-vga.runs/impl_1/vivado_9384.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:26:40 2021 -# Process ID: 9384 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/vivado_9960.backup.jou b/projet-vga.runs/impl_1/vivado_9960.backup.jou deleted file mode 100644 index 3365a82..0000000 --- a/projet-vga.runs/impl_1/vivado_9960.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Nov 23 10:14:24 2021 -# Process ID: 9960 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1 -# Command line: vivado.exe -log VGA_top.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top.vdi -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/write_bitstream.pb b/projet-vga.runs/impl_1/write_bitstream.pb index 737fff432d5f26e3152cc67bd726fbccc7296387..e8784e099985e0db7ac338fb44f5877d611523be 100644 GIT binary patch literal 6545 zcmeHMZExFD6mFWNSubS-!3tz!yKJh~Moa2@ljfykgDu^vO5GOPZj*u_a_pPLt7Cii zb(f<40QiEC_zCBlPF)P6sgHQ$Im%F_wl(sk5LCL)y!T`Hq=eL z;-GXQGrv*DE*@z|vTAZgrzLdzovm@!Y-!x#Hj{fe*EVg1;aA&_n%uPVV4x|LwPjc5 zo1-s{WHCobp*v?K`ib9SbLn~XM+|-Yh;!DnxMp-PH*tq?EMr|$nBg!CsJP8!?%E9B zU2*PWNNOF{RCWX&-jc{KUXUu;1qG5e4SE}0-RW66>oJ4NT!WQS0^>~Rb(HEW<)0)X zO&c2vq?{`h%fUQ!PBfEKs)LRwhWHSj{e4x@Go&ObLUZE6U`-yPFLvsREZPsB%BSoq?QYlPiUq?5B*}v0x7qY~>3zSlUc*)E> zEoS4Yt>gH_uEUo+KIj4jd<+9A`AvDUM|f-PnPnPDRomd8(l!uz{BwI>*gqdA<}5JGUB^<_cbd)Ni?^De{S zB2w_S(creJd#k!Bt76a1wkWrpUvbh4**GwO>S*rvD|G8+eQRAUvTFnCBW zDH%%1>iLwMbeXPr$jU5A`cN|{8FUE2jZR4)D3p@EIZ~91q2$m1?=JZUy2$~$In_;0 zGx8jdNE_IOSa8tIj^*+3Onam_Er_#=GPC0V8_%#tig7VyyzGx*{gFzi**JA%pK^(` zg){nN04lscPB=u0G~>t)0EP9(fH_i>OG^HC|3y-AJ|!nzB5mO;O8QVUC>eB!6loSE zeV|ZE`sPScE{2kqM|RkeT|CXmsUv&RBhm)WII?}D@FP2Dj}&Ldk?rGz9ofD$QjCis z<6kl4*7wV}<&D@jd+Ta81G(q`Mpm)zjmtgP;kd=bEURKM#EQ|macsOD&CIZ7+A6cf zc*_jAr8AhmZICZGczD_o{0>ZCfjRfSH>ut6Cbj+YiHAB&!|^ikGCP4>rmF;V+Cl>? zITD=G+UOdf;kIUIP8Sj$su4nkNRXdeXK0XZS`pfZ_2_VOj9v;h!fMerfIHiB?KVjSojK0+ZBVM415Bw?HGGz1NWC*vX~66m(U zp<2Iy`6^VUDx6H z7t$p(i$POR@#;$lo)d?0@20@;;&~qL7!F8}I^LgHUh`td38`dLmTkTPutt(V{uQ`^ zu}auL%X>^bgQ7@7v3VeNgXUN%ypLuJ=;d5R2tH%R? z2xV!s>Pd#+HE1Ys(~9XDpb0Y7dvNAyB`CgshT?=4r&|qOMzFOZ>mZ%ZSPm1v6UE#l z5oMHt+&~o_?z0ovXYbtr1v%M&HxNlq7C{6J#%%v(9__3+2U?HeRcCDzE0&8Nh|1l^ zOqVTjfOtRi_!)y4%!N@7is zDoObxF!nlZPs29sX>WVmAF{{&P5qrqiY6sGTd@WxV89|T?>Xn5kKg&7%Z}LUZZI0z zes7y^L~LzoeaUEBdhP1iv;Cc+w0ok(ZU$rNA2>tl9E}6lkNNXQI|p$vJ_v(JEPGnd z8H<&k?AsGB-k$#Gt{9>&+O5{`%6RE?>CcrbYYXfp`x$!{+hOeb1L))Xc63Ba7Y)5p zd&>#@C=P9Ch{P@S&WzR)6;-2M$IiTlzf{-~Qzt3P3)#zkcO?0pXmgu8;|c$i>-x6( z;|)w*uNx(T zs{)c$5@kO8oNewQ8g@vs(-5c-dBMPScrcFLk^4%ym0Y*jFgw`+${c6X9K7K4hwS5f$)>!pP^pkv zoKs278A$~f7A(t^-=&tB?Tb*-N!v}T@62%z*savE3t`A>I{S=aI8wo7?Ix9RCg?Nv z-cx`NdFt!?4<7QYGBgDjLT}aH>dD9*_>W zC1uHI>7poR1!9bLN95&v%C1l4C{qaZVAs?Tt0*Bcgeo#KigFdK^JnrDJ z9BtHhDbNtEt)iY~u?YMdgx)S!j<)T>ViHe?Pm3bQyZq1%DvB3f$0-5oT8rYIu~IFx-ZkN_rC!!QAKs{y7uO5|_}NWME^y1^t$| zOCQ8(Na`Q&(QFVzE>TFS*!rSqn6(uLv}z!Ic(qfkq(LeOdvGyQ zLHhO~Iypju9EtIT8i(=h_uauHRMn!P2~=x~NGI_LyR!Dd`YrrChrV+qH8mqr7AVVT zZ9Zk%m2S0*l{z(m>S;CMReg4i^0Yr?7pb3;$aM5(J~8jGU+DZn7zSZ6A0Q^13TQAzOSmhWM$?4pfX83d zOQKBZh2hC%o73pe)KWHOrkRk+w3>Q>l3$h-ME!)Yi0fym_8GfA9VwFm4dg7!#sy&+ z1q}97eJvdc8ibLPqnS|Lh91qh^ek%+(Y)^JvRn) z^4;uK-EWD71`?hzK4E0Xnc|ZWVasgaWd$57q zXZ&OcbrTg3n`6h`&hF>Q#RXL=hD!7oQa(l5v`=e%KfpW$N{A9mq1&PTa~f%!LjOJs zCe*|Upzb2{P)a)|kv)(H5q0e-cAe|VlSsTV94=8r|2d7x?(~ttRBS~(70Pr4w z)1d<@pDltb73O+8=L@V$!!%(B1vfoma`T8AsCMJ~G<0xHtKKf$8vMJ$9$|WX44eV3 z;nx({)Wa_2lw*6@TVg!)RRV-Sa3`4fG3YlqH!daVMl8q7a0MqynhU{82@|H*C0A&d zyhaVwi{)dpbyzl?e|kL1WoKd>n8pQd{GXTxDJfiSylHMe1K9tCo0qvO - + diff --git a/projet-vga.runs/synth_1/VGA_top.dcp b/projet-vga.runs/synth_1/VGA_top.dcp index 9fd81222583aa5d6657531c479c622b9495c777f..29cdfc88b2e608a186e2a86887f5ebdef316cd82 100644 GIT binary patch literal 473494 zcmeFYXH*njw=ODzAXx>;2p9+|IcJn483aLc4w7?fa#o_`*a!$s&N(BJvrUF3h-8{f z6B}-!@4NTi=Zxd)H}1Y;k2A*iN(SWQ;=Cb<>i_4 z2pr?JQfzbOo&3J{0$|UsutSmEt+$`T9J`uK3Gj#Sp_ zp@72gl^TQ4%EsP^H;;<)*5lQCGaJd^22D7tc5lNyzg}%$Ij>y8Hs@_7O2&jx6#q-A z)V|Xu3qM4?@%WtO1~!l?Rmm5+jyAST#zrPvo=At;&Z^~|(`Rqb^dGh4C+Lx-$4pP> z)9^lWNEbU(DyK|%+852pw-_qaNElbB`}FEOla=IdVjb}+*Kng8wOPu;V0PjGe<9eh zBPtK_@C-gMBsnjrsSWeISmu_LRDf@`XwQ4qpPnpsQ;18Bs5Q(BwOq~|HJlykmUtu2 zyJl*3<}db_S6z-~bdf9TkOpp-bYbMm+WNeW&GvS&@MK6s%JBsA&RpZwa<^EH!0vZ? z=?J0~GbM_S8N*Ba^Sz-On>i}M)9n=-n>jBJ`$NZL7Xj9h%oFX49|ao@!k!*imuKy; zk(i|+?w#$3-ed3c4d(Pn`4VqFzTODgi{RQ5|DH&lMh_>WC9m^<4`+K5S@V}IRDD$Q z)5qz}EzO{Vs|YUBp@|Wt)7AMokCTIum>A)U%Nd2`^xbm{0>&)kvQy-GSGv$lmd6=# zbu;}Ec?LUDaH*jZp1qhKnrLYOrCc#1eBUWMO}5Z_EYzJ97x< zr5`k<1?EwI=^-Jq2x^r!=IrXeSt+ zjp%cgW}P1?c%Sa>!McR6+z$`iSC55t=D|8w9tRmXPlH#@Cz&J{HeGfiz-j5$-sQEC z;G&_41XH>h|JWtuz|nl9kk))t!>MD9=Nr!r59G$_RG-=rq<0ABQQOdrJRMM&kZjP6 zn_60e!$vByAT~DEGPTvyp*Fgn2k-)`w8lLN6Xm@ zF$(jubIlBHULfS+!1-ntG<0meVqcuhf}neW4ZnrDP#qu{r_-AA6vmz?vH zhHr!$BWf^Y&L}rsU7nw}M;R6=$TzENgPYD4*Lo7DbXRAV?h8h^xYhFsHa*98I{eWW zSrQ80i;rGv+M3y@E+4BN%%Te?E+Jm8J`*3$_byR8z!1E=+`s&Egk9r5-YCp(<8*I# zN|jh&==?%hI%>HkGcw-0el6?Vl3z0o9H&(=Un@i{FuaEl>GH={an4}xyMp6$TxAFI z%~AGVF4*TDxw-96tVZL_q6Md9h%(~4_ zq5bCPa%Xmnb`wMSOU0UGnVU;~OWRdf$D3m#G5b^r&cZxj_l_+mlS;-Et9 z!~vtePnzkhcVrftrtj>mbI+@((ui9j39wM^IHxR0J+ zxF;Sb_B?U`<3)_VjUjRU+{F3bU4GobGpmV~b>Z!WZ1eVR=-`7Ps#tJO1BHrr=RjrY zu1GlPaVaW%ptff`?m@obk~2^273r}6oseY)vx7l!JTH%!p}YXKjEy|=1U`?%mFWSq zL1#`(M2q|JT@)AjJ<0lb+r$Iqjm_YGeg6uc15+D$I0L9uShGxB0qjzh{0W}Ket2;= zH6vqqBl5OHzNxlpGOuU~)W$D8Bcf&6SJcnpB%Bg`3ct*BZMkKTbb}SMmSox3b>Uy(j2+bA&2A{b~<5^G?rt=TIvIx6?~(B0rRW z&9TGV@vbjfU0Q8W6+hxVdIME!A+`U^%&4Az3GWie#Ut3t;gHQ z&MD}~^6B~V0c;F@lwOiqkVSXQtSjm5-W0Nd3G+)fgqp6Dgr{I$T_!~C6nkG>tY6JG zA|=nmkQD+O&`9qqMQ}MCA`NZ@{KY8Wt6}_+6JDvdHMe-_ zn!^E2$_QQ9Ydl@nk;;gORn==rX1zk@@mQ!aLI zRB^h>r*AV|_{}%_<1bh;`(8^Q4J(uPu{({DNs1CL{8-ayB?=z4=so8&>Y~g#q~g38 zrYhvsmZ*TWlu~13QzGnHf2o4m#avQoP4T3cSy%wMZb*8*Xnig+g;3+B5`s0I?m~|c zq^u38P9Wp~@@b(qQAJDcoM(+TW>v}6H+KuH&X|ouQZHjG((U(^2R(c96nGC9 z=!BLVA*l$UbhH1aJm}WTpuoGtKqmnAm~f2zmMhOa&**pnd-<e88p5x;fP8K)Wzy#{lL*yt&fwmV5p;T~B~ffSLE!%`%P$+L_`0l|NX3jwv=5A*<{pqX#YICBXHsh_8rX}F*rTXUJ=$MXp zHKoGzcoDL>Us$YA6My@{cf4T#ktw94x%#9z(U{P0h$>V_*Q(C;Xkd9N5caCd?Ou(| zp7)fF(UMqZx7tw2*820Lqw+oOPFe#-I%k^I0^)EYS8pV^=*RL@Xy>}{sk`Ss)v<5E z>JUGw!o+-0r`r6ib_~s_vDJldwZwLu+`U(ij`Io zH7OP4BO;$saZ^wpKDY#GBxD>Xr0#6*Y>1l*jp_06-3h9>Iqx|!sX5a9br?{~SXWt! zYK%RfylqOo%`>adla(7iIj*b3Ay7@}L&oy$p)}M)WvL5-!Np@z-NuVkZpAvYz(cH( zzA*}_ZnI;`6&hPVw)9`pQuNGM-kn14s{3^22wALOSKvKopc7u6g`}RvvZvdBR~`iQ zzEj}cWS|pVPKBiI$FhU%zd#3FDsUr|QO1C!1&qz}*#J&IK%0t)Q~jwmp#SM7K9~nL zVDY|UnssxEm2E24^;tT=#Up=91(LF3LQVm>nGdYg|LfGOT3RcK^MW+D&Y}HWJb?Rx z!fTz2m<_|34La z%YTRde=77I{|^2CROk)<9s2*N&@Ws1JCGR702%mMQ|A3$Q$lxs)s!lb1)xT9cyY8Y z-|hrWw?`<;d-c{S@E$Rk3N3$yq#nmY!S>_I^6tI73cSkiOLF&lIj4&JV828CF|_<~(Y>W^xhh%v3fzg&2cS`x_@^grZvgfI+{Ana?7YG|1vvrXcw!KHNejfCq|D#l!m0s7Ne8(v#%YFCU8hV(zToQfhR|_ zJ~Wz4yT=pLJkb`86g^df5kKgC9`Pr&mO^4sWo1n_*;&)zgI^u1c?h6W;{fsOn&<;LJ6mzZ=3fWq?5wc};vmGpt z$HJOcGz5(02b6sWlxYIWiuwV986e7KaiBT;aaYK*lXFfGhz(j>u9p*M+$QVL=a=N1 zaEl!+>K3~!fLH*tQMW>0eSv|WKg1;d2e^RBLmq3D{vNaNo`=!4ZT|q`F0sdpe&W9e zQ{ekoU#`9T9kfsG{hx2Jf8Tf;`k(y6^k!+LmR36>6@<0sDFvIFE_YXuMunzh7 z59J$B|242nbP_RX{2tpxq<`QP$oNm3O8zIOOeV{Pgn_3LPx&quiuEx4#1Yc=-3<01 z{THSGISVP)tCys-0A2#n0pJcG z9zZ#OK>!;ZqIDm|L@=3DeuGN6_nkxGR0S#X%{qyP9TQhDrE1JFEQDd)0INz)N zzZ0_0CV-!nb*|60v4!32y%Hg|=neITH=zx~< zwwlADB6|(mNXbgJ=)ml2^^)YJ?hF%asT$n@Y(P*3A8F2o$LWyJeKtnHKiaR|3s-Y^ zSd!GV{7H8J&yWQ4D6Vz|=5T9(-zgH@s3YbbayfbeLlU6t)=_Lc1)g-jxgz<_VlLDJ zVd?GLWqqGP5JMKssdW){ZT&#~-Yce))69VXI^fY8kGIM zRbrqg&8-QDf$|qaXlB}@j#{aeEr9OGmk}iUf;evYIBZ2TZXt-nZ+At@f-}~9UvMd3 ziyOd0^y`B4r%?SZ!DDVAG%q*I%b2~1nhu9-2?z6+24-q%Cenqpz00+p9_i`ywlTL@ z>|t)Ut&}}|F)5(PEZ)6LZX&4f5<*138UXdvk9&(PLB-ISO+idD$P2mEZvKfVOz2@q zA0HD-DpVdjXpDuC#8V6>!BtC-#}rJ2^UP3#A1GlR$hpaM75_<@9-m=)|s8} zVyd?WOJU->wzVviTAUv@+j(zQ>Ae+%`i)2q+cI?D3Ua`6cR5(!-alJas0Jl4tsIMX)O_y?S%goFYfcl1a`UQ!v@^ z>DkJs$l-9oI9LyRxU{}Gwl1Wyr;u0{zcW~iF|Q3<_i5wR=VG0#a{vs~u_rK5vK$V@ z_vlw!BHjgCCT$t_eYL4JDmJG|4L5pKEsH2O{?4t*r?-~Q7}I2#bTSZ+M{;J`XT+>Y z>s67B8gx0uHq{sZe%^B3C&T++7RRqJt`O!i>T_h)%<-yt;=n!8HuWm_@8e(UVSVv= zf2%((H{STm8z|t-*V=@dJB)gnf;=5!L3O2dsgm?BWNkMM=%L|r2 zME^eiHGao9dDiBaogbhi+T)a8{+#OM^dL=ssrfbh|18d9nygJ3TF`AmcHStnq{ft# zb63?kxzi%?-S}!jpYouUZ(UmjdyrdV74Q)YeALBGk+wfybM9n;P7jhud~)n$QP~Vb zaS@)9oaZ%RmwSe3tnv0XWW#w9TLQ(Zwtiw z4cKBQo0|Aa&C#Gy)}r zi4R?`agD_1EYr|Oh?)<54F_oG&;X4GnT9M#BbP8}#n`*9!Mi@%z+MrS7^;M?S?iNK zxNp%iQM`eTB)kyxRFn}P#)il#lyAtSO$o=U?QgE|%I*EY11;+th4(2@ZPgrcTJ=U) zZ$yfB-LNDA2n~R+1c(-ZcwTaToA|f;Qn-`l=ZTaAX=wyxZDVZKOI1*|?TGzZD|w%a zXwe(rrSVWEpYfu7D*bWCrwbt1WbjZ(7y+URAZBL3G?h2(!_fnP-7Xnwvf)Lvj) zFdo*m^=i$d!01tJeN)li^g5!Na;Z-935qG;-dA7(rN9J4^Z|b(0m2BF0E-4dSO7%L zCb&%;RTYRf{TfQ9NUArr|j2u$mX*^`fym~gprAUxbX z+ayCUTU;I>@YKbC&rN{OSb)#2z$B^qzJbTzu?U#LxJN-^#8^XO#ciK@;Rad<6O%XLN^%%*+!sRDwr0i^ikI$YN&?g0osAUZri zim9ss0_HTokcmhrNeQkN6vi3 zn#qp3<0Dt1rYW~(fux}{{GaD=f>_s$qG^FDWIAfOX~ii;lwb;4b5TK}Wql#qEQSX#<%R$=RR%CqFMcw*mNg{F#50WO38C=rgl-hkojxNX z(F3-mjs^Q9oYQ-}dTULsR;(PvwSdSi>mM>dd@_!|#dZv9C6|+E#pgsZe?$$Mi;)h^ z;LNL}PNj|OPU=Pxw;8)jV9&Bmhp{BcOL89XuP6PG4?U40B!VV(9WjbX@JCp3Eq@YB z(OLe~H}xZ8@p_UxsRMKN!{GiLib^^tgu?N!nc47~DTpfLO+y!y@%*5}UxzjEG;rj2 z%~(%j{ecqQKps5rZ)ZP)6d>`vL<8C^S2UWBrNz z6?oTtGHL)i*?!nymjMGPCF1>wL}lS4J+qu`BolZ+jtgW)YnR2 zlq{~FGK)NQDkm`D4Xf3i*Obcs3y;G9HFp6u#@A}P0X4WwfSL|K4dt~OHbBkSYc;?C z)owsd_O%*TK#h|uxmdQ*H2qhNoS?-g@9=x3uwQp`#8k&bsZ-oe3go6iRXv;jgpQfi z;TxsyO28&hA9VXa+SLF5v`JI8@Eod{X_n9oUk!47j(WGkPe)^j7ZA2bYt%~ihJ2Lz z@1s;joV#o*BHbi5FFP^6-uVw&)CH7xMx|25yv#{@ni?SML2YDU^AcU4 zbr_xVRrgnnm$V34qo1zq`9{5O!X%};Bl@@0PqzrDZYNEqMA_YB75fkIYZw1T@&C6o zX;Ck8czgLUlYWSFKb{M~aWyEwHIIst!V8f7F4xVILGsWj8kHvII%C&a`Xv=c06cHd z^4?*4&kY3EAml&9egAcF%X0qyspfz%f-o9h{wIO54nFqx*w%fcq=5PF7AjpQDdxfL zq)}knFM(-)2BLHXMCp3ke@Xp}k~_80>%WrsxA=9G{zdUgBbUSqPayClqV~=bSV<=H z&iB}+e4~0AF-aF##;((31UPW;SDOCn`RRZ&wGrFj4p81s>i;WEe~VxH`!9tj=5;8bGkmFgzMc$u?HYvgmRah)Y);5`5GSC;n#0?;{TZ?9#ik5lunG^c9_@2@)=@#A}h47!c;9`b71UrqvLE1 zcpqyf1>al9eSf_@rA0@#+3o7H(}Kk8f4)s%?tt*HqM6mcs=H_)T7M|laseN!*+_>7 zYqx-0D*3k%%dnCbEh<6H^b+PF`3)b4cSGGJXvq*^d{lODRIqBwtm}Ddk&k8L?R5Y> z8c7f?<&%>9`G(Hvk&J(nfcyTw(?xLp8_QKskOK$_g$;D!O9KC1K3kbNe`Fn5+I~E- zc4>5hWTu+ezB)ODUe&psM{;d@Pv=~o9OFOPr9)669FdHCJmQuMNQK9rB>Jf2`-nmr zzXif6vx4h4vBmSkvp}0Y8_Szy>py&$rbUQj(CW=<%#Q5yn;e-X-`{D;-yw~gh;zD$3scP%qbZW{ex2IsI0CH)1OTHq7_-3v) z>6{{8k)UDpmkXc&b^#F#-O8@MOMdOJ`u%*a>i?~6${SE zelz<7B_$i-pd`J!~Jv}fT{#-GLeFWM;IE9v~;ItY{rkW z2$$MN`tjeBh@9gK=2EJeO1|sZC^H?7mc>VwIGrT^195M zJt>|>uijog+L-QtYsp;9+4+5Axx34NEEj(G4kRA~7=+WP0}Z)*fJSn_U<{f$)X=~F zrwcKOp9LXOK(^!!u6+Tz=ve>qE+!Fu7|`ThXN18q$RA#M0Vqslbb! z)PqQqT=ytt?|W9DtVwX3NrbNK78VjueGdI+)-!Q`2Ca_^Fb(8z`j=0?zQ}5PuLH^P z4)r>WX45}MC>Gq&hwcc_=5`Sse-75@(|~y9ZWUjRz+rbRy?l|Pmu5-EviEa= zZvIZl&+f7>KfCK2Tz_^81_;0T%K?Qad zZl@}<mH*@jGnp3y3^=cUM6K^bQl>nKh&NPKPYYQuHYbj6foUf$0i zf9>Zfm}l*VZ#BX-rzLy1C=yzD)US1FAI)ek{^C)V3bSqsqvGakVbV{kwcO~lKTYvE zp#rC6v`B$nH7D8BL`;DBeJjx(wd)mF{P$91+T;ASCgn?hE{L0F?E@n*RMtAHny;Mu zazL+4R}IAuyY7up*(3&^OWf>{r(!OdZ$!R1tEsu_^#5?0>}(2$9nBPkF4=IaId@io z>{uq&&j=ojtk3w?H@cR&pCb@wP{`|7S}?8UF-nT#7SE;&Wuzu=f19cMRit!Y3&R^^ zj674miEv$_hCc*xb9S*#yZZ$~xCXAY{(Y_Imf*xzz9$;Q^`lL?{-ki<@(!dkhfxK6 zYizN1xO>qAlap;~zE}TjLnyq(s-O@qrLd2nGMjg&RD;Pr|~_+_p?(IirP$qL0+G3;%InOd|YBO&YAsO=h@e@Vjob_1DtzGhusMrSjt zM&^MYzWWj0)}gx-nYQPJ#{^mfa-eqS#T>}}VHE|Z}c(wVqv!X;#{NkKI-FZ0@w-M}FN~y&Kx%ZgF1w&|h*UqDap??i4)SzYWwcHM zhdYxN6d>`e_Q~XU7z-MiKM{wD&PReq#~PpkR%t74lg@P}nWa5P>&&HH4I(fU{_XFz zo(|EU@NuchK0%Ccj-U-3%rx_g59e4sudtE7cbGA+k9t`796#lgoBIqm9p?15Qiosl z@jcl)j+QhIpWrD3w+5iV2-e{-MpQ(+4VI%*pNNz23EB%t7|)b@m#jkSmPpLuoG+NH zsQ`Gthg1W0i~9v>y=QLvI&=o(95MlM`6T7OBcc#KH#2fR3@c-GSLj>Zd%(e-KIaFX z^Ki#V{(OvgIJ(wE*#wuUMO%ZfStV3KZr58Jf)`%y;&DjrP_B)9JOAsA%Wo}>Na6=7 zeG?TffosB%;EUBw@ye#Pinn2V{csxh3geC=$Ol?oow&wCqQRk-Mo$M9&pLOzvz6_E zKu)yTs{sq;`CiLTH)aRi!}Ftj^WOdQxBSOCP|jl+>#Y@?CW_-*Z;PKXX=vNAmKD23 zLMv^Pd%lNh@+|dym(}2j8CjYo_t`2OX40(TzF?wd>!0H`oHp-tJREohA()8{U2A5n zDS;#@maB%E5Lk?WQr)mkh165Uu}xVuQgyvt&!Amwh;|s{+&@9`-0^s&9e4?SXiy;% zdH@5=@6FKtIXQ33{K{ql$Mf>)(nNU5#bs< zmFr@WJJ+wl9PS^*;R|A4+xwo)A%(;Fo~p5n+_N#3yHPF6V-;YUCM`AyF${^-jGC zc{)0lI@+GvQ0(szpIJe$v#2L_7L;B001&c(USAHOu;z0_JC8dWyOO8CN{ zb)vj1bb%xEX0);u&z}sk!K1(TMcG&_DrpjfUoEy-KBM`;<`+-tcNTry@4jU7-Q26FNfE#tV;XR}MInJTJ9R)^GWqA+S$;;`8hu_bYn zk{_DdMhKV#&m&)S9wo6Dnfo&PV|#eT%J|%x4SP*RszSBYA=85oB9%q8@wsL3VK8a{ zOmveg`(iToYI3J~%^%|U3ePD7vR5!~?pfKN>3GA)9R4WSf(lH1tXV{bl@zU87k{x) zqajSl_M~MiZzpKWln}erHVp`P@N^$kApf|DMwE_-H@n3?w?zU!C7EN@c6=*O6mm-I z5+sngU<3|&KTYsMJ{xzUpU;45%TXzxGdOooSmiLLf1HHRo_@lPs zbEU_=6nkjXIwu;b=(Q(r6q9R)zcs#Kawdu;dm1~c=)-IIA}`}+eg?2Qj1*Cfqo^8D zR<>?sdt)&op*GWemDtK>kl}B_$ebcknwWLW6*`5eb2-i6mpP8FP@F>2BPjHyrP~6{ z1R0y*4>+|-dat-PZ!9p-ln1>08gq$7%a<^EbN00b3RB3X#%p&YUTwkh&xawNI_CMV z41(nW?qBieZk$<2z5kdUPlB1*5+6&VZvOrwH9(n|CV~ZD;AOOgY(Cz7`ks!nT#75@ z^YbxbW4j=sQU&EXjLEasq1#+WWy7_{p>3ug1l~xmO6z`33E3dJyG8P7B9HbV(Lk^6 z!%1*Z%N@Qkv498$@AuT_`qj~}K^dv)cBnQ07qbvG8qCh~&VC^;q_hn0!(GL#t< zs4Ul)Ailw$?asIE6ZEC!W&HT0g@xH1xi zuVl-24M$}S{N7jHD*IxxdoTq~q!cSuaw#VB*vzLg!MMXb3d2I5FJ{qcjCE~Ole}2K zr5?}qC5wQ9JByz8axHsrufJn4lMYyLB34*Zy%Q)ctx~82L?E-l%v#hQ1ekLnl@6Zl zsJHI+k|?=R-*+dW5tv@%BhO5zqG}4drd0$HQ+8Ep#|m&I`G%@#5pkc1Q>E==FVrdh zOZ?J)3mktNJwOzLsaFT82O1cu=FAo{$QAm}t54sJNjZl~TZR$e0S-y*gnN{eGEgW5 zYivtR#&b^G>d`~AnZgK#hZRo`^_4dT&8w4BzD`rHQF+sMkFMRqU{-kf`00o8B(+Yj zsq~{Z<(Ft6IrmIA!tTyF#rPPB0sHcRRB;&)l$Bs#uQcz;UCzFq=jAS(EL7es-A2bj z$eE$&SCzwEGI=p*`2-3}>ebUkAi-WD(-YJ*nB2>}I5C-`w zqF%~Nyc}g&Dq2oA*|wq;J5|`;aeQnI3VhmR4b)KqWal#z_{v$Vsv+!1m zm*Qm~`Z+V`p?lQ2q%>xQicI3IBu(YP5p;e@&_kCZM=H6$X z7RI9L&&Vx{wlKYR)lDTZ6J%v-(NdgV<3S|`^!d6AW9$>TCiUGbE9851rgNucW~LH^ zjO-szkoC4l8pj&6sY0`;`sl~}C?SG(1^eGh6w$v+lE)zFqc;%M0d0)ik%=Gck|W8R z7@+U6O!z2`s3EctT&^?(NAxXC@vJbQ4Mw2xPd+6x7P2Zmt)%nAPzUHlTrL9y$LCwR z;#oLJxLigEu|vHAvsi`-C3a)H@(&WE&&W9qm#G1Yw_J%`60iD$1Sf~3sRF77c-5J@ z56+ZveTCy7U5Wto$xvyB_MmFo$FTh}7nPnVKskb7fZb)t! z4u3z@whjA4QI6}}jkv`>iWbJ!%QZj0*WuPCh`)tmfe~ra(7I4Gg zO5AUapo9BTDnFRqa}+E!>_z1V7h^cfy6JU6k6I%b@V8vQJ(!H`z;`~`dn_T(4as|> zhiE|BqYGCyF=%eOeybj5HMXEsm>%cLu`F6zpVsJ#EpifY1G-*l6@}7SSx_ohkMB8J z6&d!9^MQ+toMfjox}a565qUqa7hk*c-`-a`szRz!2|ST_P}k)2>rNvbCSVWR%!rbT z5RC@;%_?}~2FkAAwItgFZZV%)avNw2J@|i29uYfvzX-Eo+5NgjeHQwV>qk|Ihw;M- zwi)j%^UxQxv8ckaD@N6w>(dG~c+{P1<3R(0I*p?qSm&tcZR8>zuhH9Bxo#skypEu{ zqFv19$OkECe;boaDtl2%*Nr@!Q0$I<(6-X*#bF|I^`hI z9xbBm(JpYEO2+0#i6mlrQWw20T^vABzc9%OTpxdYDem&xMUP619k2_tiilZsJ(ubC z4Hb^guN{GO{Bh%63|Y-W>9UkTauvgsZi%Si z4uvvPDXX*Z5p)uzoY453%y4D`xYd;tB0xv}+#;$u{W^w%6%%*Vlh{DvWm5%o-7m`R zrL%JhbLbVK{EdwBsoJf#7-YmhGN=~76OdD+7sEPoZZn;eGvh<_L?Y3OM3A!hj=W35 zNLFJzGQ&`1g~K^^ZM&939ulFr%F_AOw`zWD=7*g*35J0(oJ^LQ3Zr;J_R8`htj;ef ztTdHT)$uUON4{j6C{H47E8RYn^M{qeG9ZH#0t!mi!DY$t#itcm>8CsxZfi%Xy$F`h z>flQak9R4v)zwz^o+m2#sud!Y3yii-lPXyjRc=PIDl3~`fD3S18$NtF_Lf1Ri7$_9 z6!|OyxTfg%WV}9E7|+Q`p2T1woXz+USdJ1_hPwcy;U@0PRrr0TxFWsseGXeIE{I#Q zb<5V!;6gCMsP{f&XR%q2?Md4x>2e5>p(KX_;{&ZU#ypj(fIRc9jWD*r&@zV97FGOb zit#E~nqLUHHoWAsSuI0XOFB@G9n7at8h(T~rz`j2PCg&fEx_1b9eu7cz|SlzOm{0a z-VYl#^xlp#VgvliCVIdmW|r7=4YlK$6NJBc1O~mK=3?92r2DI0RCNXF#r^+MFP=Xf zXibuTslM}M(tQX@iXC_FUauE(8v+D&ms*RBQndEKbY3F2lYT`{*Nn!$cWSX$%0QDD z{SpoOW$m1o=A;j(4=yzXZH4L3y#1O;1lvlEpHAIB>MO)F9!NA(XAf$Xp+}-=vPZbT zrHl3QgOLid3Y?V6_mJco2;goUeOkeD&o#-WAS2isUc+=Q)sm>=pq8_BFAr7C71c_`<8B^6D6|1#(+5zR8wA=U2t9>5MmcC8W5HPdG|vR7d$hzd^)MA5&G+33 zD0sSlVwcl4gY}V-Ciq8KiMb49w)x8S~ojZ_Hdlw;l0=RUkT428rqf}+u^ z(~}+#1yL!p2I>u7&!(yDPm#vMx zASh??FCQjYD=*{j%WgXTi0MW@9Ki8)1qUpm*-BK)W#$Kqn(eXeR%J0#PY<I;SRO9d;6jWY-N?k^O*U&{Pxe^6G>@5WZfkI+6H(?(_sd#whjR#+Qfku6}>Wf~8Z z@tTah6t@|jK&My37gUZkYxu4`56Rf(lyGy`lflNxKtXzdNV1enV$`P!~N65LXGa?`@rIhI4yHE zkvQ1-{E$)CnJm3qzBPJV6>cOVBT#Nt}7b!bY4MH753aXG~qRkVu0&6y@mp=f+IwV zkgNG<=MZ_s&_Y#^4DR%aT|02CM7O+fT?8&#{#Db^Km>vh6a!;}U9RGI+v?_^TVFV4 zFrSQh1|q~Cd9C=h zZi=|+-%2H9+EuyLSKm2}G*28TiJG#gWcs@eLgRetr-jIW;JBNmbj3P{S5;b^a6u|9 zaHegzkQCr>+-0Gnbtmv8wv zlx^HrfqsB|Ws_ZkVG-@=qNGFTVTa?(_v>gM*00+;cp05GJRTsj=zV)IsW{@<@RQNl zZ>9{Rri&E`m+qF*>-B^id@hUKUi>39e&?Lhn$wx(t z^q&ayaMOErCWR*mF6?hq&s3>ayr<4$eG-|$hZxna#-tYkNBo(cYKO z@H}h`x9^OPl<)Vy0aF7_tD!t#&8yi6yujQ4Is;a)!6jvCIa%*Ui7#iD_A-dn5q3qz z870i3$q>XWfjzYZ+aw4dwkg*Wq#Q*6@y~eg>5g2$jfy*_a0hG}yOWii8!yjkei@Gf z`oi4=Uu-AD0T1DoCU{jjK*3eM4-{OpqxRHzz!1v-V2Fi#ud;JwKz-r$q6yaFoMpdP zyl9cV4y*~>4^07{ZOm~}L{=Lmcb*1Df1V_DeY%_1IbnVd|FAax!lOc`ZiktRL?BzS z3|f-zE9CPMc7Q0_d&)3+$CV-Q1Kbnv?Nj$L4&a-{er5VYs#-tbk6xOhR1Cp-%y2Oa(pE}ysrTjC@$}E=;GvrM)Fnb~t+WqGZD2yozyf0RwN1 z_Th-=30R`iT(cv$%V2kbi!LBfX@;0)v=XX#{!oS6w4fD_6V5T(d>efybb-M;FwvRJ zyx@j^4c0D4;h42#4ikz->Tn+V4#mRzwG0!zplQL@T=;`K2IwZaFx0T=Z4{b#Vw@_F zPg?_q>qD2ca+uS!;-pINc<ee%vuLC%s+=PZgrDJWg~5c>6u+n8h{$JKx5 z0~NE5^oK=I;l;vO3ucGn1OlhIb~O(>xE3>Tnh<5@{eo0j%}B!66TRJS3`;@^4GwaP_0OZnOHgk+TDVEe(A;8ia#x)4lNS6}He! zCYKqDt3SFz(F4nBaoiuaKu}lg$kcL>GdqG}r;5GG=Nu%B3jUBb#%`6E>HM~ZBC?BD z`!|&3%x%#9k4<(*i~M2YiHc{0J3|ZD&bjL*FY!!m1rC7cb+qRhjtq0)HYg8~(M<^} zcD>PR(vX{iEylS`H^ZiNP}*p9Vi#N5g08@~9~gZXcX~IOC5XwsdEYWH2|4%76Bk_} zFIGh=8!Zu}M7xik(hV}-Hvj&n&<}2BLoe#;kGqKEsJVP_Oq)&g=5=lEcMO|iZ+>a% zC!2({o7Yc8j#w3y=}nB0X^VJimWt$LB#jwuZx>&u5hJt}M4fi~Wvz_#b|uiWtSqEM z7Q(TCts@P_wiKc;;9e>1cl>-8Rn0reXS%#~f$_TUb)0M0P2v2d#Cgd();&fx>xRI7 zrPfC&ToX>=Rr7A5cZeJ(T14C6{cMxH2bhryTI(eSiYd=XiU#iU4UYLQW`EvwzSSufGidlB=qMAG0I_TzB zBf&~~-4W^G5SS6gU@QO|xQ|oS0Gub-CrKx@p;2va@9$~5a{B`11PAe)pkASEUT2`y zrj-2?DX^uC4ssR8%S<818Jk6;;nwpbNhb4}8(CGFB0i@CRhod7TdwsjG@<2kr|F=V z4{%bVwOye;Bkeh#iRXA)p?XB(Id6-qbrGhXC$Tu~DYwI#$MUKq8b7ugX{6oDGC#*c zvvBIQh3U0B2`4>oWfY8s*0;%Tg5NeDa|B*~VKx@FE=8Wa73ckb*!$|RxSFKjBqUgn z1cF-#9$W{9Kp??2xLfeSU4n<;?ht|phv4oW90qrHcerPgclYkM@9vw`@40{6=izWp zS9e#}RR6lCs=DX2euG)j&FR|(G~-9c7*YfmBRE_9wnbi81ors}7CSSj2^e=kOxd4JHzmb&HZ!u}5YnpY zP%*T_wg5iqg={+{h6(TnU1JW@1B)s2DJ#8HV>W9b;a1-)a_R#Js5%g1m>zs8Mwe#7 zFR1IR?slTlN1bls%_PhZ8BNZwc-bRLn_F^uL51Wa6*P8^z$VqBhFSZl05t)(Y?Kfc zJFgI~B}>@S<&9FdwwYmYCS7~`xv?qG${Wi?QRhI3?RLbNZe<32$IY%(qGNw5`WkHK ziJv{5KOc3fD@iDs+AGiYqG&b!N@g$~RxDtr+b~5Bh!y+p$hee~ zE;ng%+unA6WFF;>z0~|Lsi@rz&)XD=R+@6NBO8&eN_JjeI>eI|qV8H`=L*LMNpzqD zn~7J}+{5dOUJ&V1c)IuJ7AFh0&0{^tdb1b_^jjpt$^6{L9iF+g} ze!0id=&VP|LaN$A5mh2+e@X{k>!R?oHu>;l3#MiAI^pI4SvM65UYn!w!8^UPr<7kV zcTsH1q%PXEr`VAEj`po(2P1%T?8*11MRThnD`TE@)myT{G~7TC ze7M=Hpq|YCX6#`_A}fa%;pBJkNZF&Uukx=-Ea%v@=IP=i!5HQFhb(B`5VlCGug${m z+;&ZNO3Qhk(1wkTBE<{rBq>Xil#hiHJergW>s)6@b@oi0c!n|G+!wBNQpCk+=S6q` zDI<;Ejj`Bp>;2&0gv$kX{9>EEU?S4XlmAfuK7r}^i}r0Y{L&6_Gvh{Il2drw&Y>@6 z{S?udG>MYuO~w*>vHey`S8|R4Wl7QHTH1iE7FkkLZWtpcX}d?A+Z3@*>>|~o_`ygX zxrWCvPurxA5Jo)C1Y#y6+u|GEioR`;KQl(gYDy{I9#;T(YVTwPeM?&VpA$aU-r)!z zbx3`2(7JtZveb7b8Yq=5#@!TrJi3O-mLc_-|KOpMb)MT}2juwI$l4)}&!$QZa*10W z70U=_!ZMlmvrT#=py^2aIm*s;*iv=N-fq!}``Q@^=76u%WI!%bB+5m3`q^Q)#n;ufMMIz*EB(e0r4>Xecu zn$%@;q?Lj!@qv;1r#M3I&%JO2yJnTdPNS<*`~oMw@LgpI%d&?$EsE+;c*}&F)+pZ_ z4V8{(^oSn}0mWRsc3@BwCDrzlHEFDy`(?I?{7oTf-1s8TLoK32P88jZEM5e8#0`RnkSRJ6I%}K;B3~ z>M*hWsvbV(Cmu8o;WQfw%^}9S`f#Cb`++zizMy5II*ZuZ8K&2uRHHD+wsR0p3V8wo zXHpv^0o)%M*E-}7PZglpE}gKrC7D0SYuLdd8VxP;-R5pZHp40V5NSmF{85*zJV^`N zDZ6puSZ*2X-j5)E8e91-j;*uY<5!UV4!Ho;&T?$m+$>z)s0ypt3ht&k4z+ya>&yMF zU6iXDisq>r?PFp&-RgJ_G3?HfUctU(GI+hOVcK}Ws=cLC_-?(V0>x!r_2&Y8h;5Mh zdGaLJTihw;*c{@P-kqE_d&PXunWK`mYS|V)tUYdZJWccMn{F{z*ZQ?O0Q;p3QbjP> zbmK)`gAyhVQ?lG2dEO(Zh`xU${$9>QDs}upLk*IS$J7d|>%P2k3n8uU-htT5I@-~p zDG^*{S~=H{Z9)z4b+4u@@*J^zk+15}>;=2iAuq1fiFjE>+IV@A=T#x6&mc%dq&@Uh zmZc+Tpc*nnS4d>#ATu>0Y(llU_s*yAg`!+8)o}tGg4u6b?)|gjDvip`kMN}gTXvZe z`U12&1*o)5kCqW`jWlAL6DP&c-&y+d9pBFK^k?DLM`I&Z#uz_Jabi>68C>oopCt94 z$xc9UWEdbdhM$s4C=5}|JvlHyH$D~QiOoM#I~_CBbd6<>go%|Yy~o_X>}c+ZZ0&o9 z!3a@oMZYF6rM?NUdN2<}ShmnhiPGM`D(2*+ib6?#SkeDJED6a8jIkB1pven8~=}*4Iy}*Eev|%w~eFZ(N9om$8n~_$5#$T2R?I~n<&u=Hd{oQ=iQNQ#GRUf}=;)#PhW5lijI z7(JsM?lZW;c)%MqR&+A}1V4-<`g|#|O}k^-vM)+ZCC!TO%o~mupv2f|{Z<0D_b>pYnp=(EgC{h zKJz8{Q#BC@Htpp!Kvy6+O}ky1w2mH0k?6wDi9|?uJ@@Ep?1z!#QM2(YSdjHahsBe0 zFkbxbEUS%aVPAyjQl4Gwzw?qi2qx9kUP2(>cGAT3Wl`VeIVlshZK<$eoGrHL*g_82 z6pd>LSWRW<96^G=vUTV_8k4M{`QZVEb09==B!8?A{6eELSwkKN^?1ARwpAAW*Om1u zfU^*8r9VI<@RyNG&Oaa=@<)>K45T$%+nsV0Ng(B$}lso#o(3V7mK?;~CDj^s@i_ zt5w2Ck+3FmAvZMJdygc4kXbMuNmgOtY%471=)%d(<3v^EiEsPvL~a8H_CUbxTu z<@t5mXVL&yno7L-ECp1yJ4(tfS^loY9Cx@lcWFCa*r}i(yW3Y6fjF!w#afE@5JkUW zNPoHTBrryil^)6)2sdb2eIA*c*i>MZ++O?~P>)1!c9p+SD$szr4s4CT;}3mQeZ<** zvHDXVw$V*v%0KntXn@1hpC^qIaM;TPVeSFG>2Ly=8kiv0-Ew{?5yjbU54X>kb20?= zX>@!attr+&pGq>h`nU&pG$~owSKI*amm)F6&rGu=xKB2oh;Rl|I=u;<*OeHueEl)} zFb00_b#&L_#{tR}`ll{Z1Y9Fb%J@%l$;#{U@yZK>3AEy9g5h0dZ-HG>!^I~tU7|Z; z3qI8Fz`g}zXpRJ?YFIi9*FZW+rZ|GGsVK0%kuCB@Jnm^bBya$5P<4i$%AvRv$HQo@ zi=7;J4pBoq`q2lys4Tl3>D#|M1Mc)|e>rn!M!Tt_ha8=~@m7$4;+A9zt8pq-cN77R ztu}~q|8OX#3yOg9`wrvT38*6bHS$(VvGh;`F1Fhs@4?@!p9mUZz?6N;B1f^zwGeki zXorXmpf5AzWumd3q9V0#HaXaNtIf8&<>5)Mr5jNkup>x`g$vd!^c-e4`HxgYhZ}s7Xi`x`IbxaTkML0bKoA_7Ny zNxdXoD4Dyk6GWUg@n4biMyBZm5@7I@**x1^6cXM@K-$EUD)P#4?-pljHwXvf3(MwI z-7<{r!JpjFTsp+pn)YQ8c)bv2Q8m)sd4fwq0?W2Z1m`nktzqSk91dhTaHjNwvFOYA zy>1wJkOwI5R`b~nKE9D)G`L3Z%uc&T&F&ln~h~WZO3QT6Lnsn(1rC7&(G3w z?i~zPkEAyUbY<)ah#69WJh85IpvsSuQZ$j-O<}aVJ7&>4>1i8e3K+T{N>$}Uzz4)X zL87QW;#73375xmMRBainr#KIpR!(trEBc|#`rZec@&05s{)3qhGSAOs-|%oK1}T=D zZ!#m>G0-i&loC{QrmGyroso;p!pUjA08`UNj@jaXaMeeVs%dRv9MSENVjHMLKKY_Q zm>3gg+*lnnX4I0ARYXghJ9BePa6sOdj zdEKX2p?OPy7&kDqLQjDV+z`sq2w8zWdF|%SZtVs4l>JLkC5v459S+c5ayHdB0k&WE z`s*G5G8zIx6c853aOfyK7HR@Ed8D?5C$iU1-Fb`Wpi=U3^Bh%j7Tl_i&YiTeu0$qk zWi888fF|aP+5q{(6CP87-f&sS6$~KQ`49rJKSLcn=r%%c@>BO8$**yIXXr0r)+8e@ ztUdcu{?sd7eLm6CNH72c$d*%YXpTG*b1_9#Tynb1h5lW@wmYz_jG`A=W$)Qn_k;Z` zg53$gFMK9+77!jm!gK@JaS%DcB5eqbdn1H-SW|R2bIX)6?8SWX706sBFJ~YE0AwED zK??$c;LGG}=0tlCAtQ-x2(L=5ys>9oSHyrCSRwc1>+MVTF*qrNK8I?7h{F}BBKkX^ zBLvX#4xN8;M(>E-9w>SPY+#@l0(MJuNtE5dHShTfqJ)I=e8?d3YA2DtH#&jeuTj?{_#HgzK{5e*;jA7VofyBqgZ8N6cig``Roa zEZZz$@CS^;6LNm*K8LJOM#0Q#rtPleQf&)l1It{sk~*Zs0^qZO z*ns>+vL$rTxH2U%=rdaO$r+P}-tiHzSs*7&Qjj-YwK@R3DG1CC8w_RnI?ep#!%V$B zz__NpTFBSoHp8p=D*99lK5dy|md;?iD5u@mY)8aSxc#+|KlI}P+l@-BtFKl_N8C8N zY|eT_vTv-yH-KK0257@G^c1P5JtBk`ZX7U*KeFD`Ykmh-JhokyuU3!%1bzQWNJsOK z)dL291}LjW6UC8);x!jWs)!k8q_}VV@@y z->W2ZG=`J_`gngMkk8T>Za;oCLdc0QEBJo72*C;15Qs+BLC!JbI^jN=iXQ)v&u9U` zCDN?>uG{@e!<+~)`3dED++(Tvkn!Bn1E;d(m!*{m!!tjZ#7(Ea8qW>)ih*@3E=V%1EBJnh7(hiTfMM2V@iv%9B zh!#OIS+N2raWO$fqZ@*qW8afmhMASA@P zbf9YbM1ab}YHw?Ast|0~6rUh!4Y@Zsygec*zilH##5U2PO>y>cY7Kuur>*7i6_)VJ`c!8yy{pM$QWcU>5Ix#a{HTz1$yPrE_HC(HA6<~edh)H{ zd0t3_P>B-JnZ|3Pm-3xr@J{1TaNE2)r1MAWxOdXd*cj}@}GeK;Q?M3 zV{>0Dt!pG3vG+cPuK zRxP5>IgMFkMDvGU6#FtVkuaCtP;SiUsUun3^0M2hiwN~eB_qr+48vH)Phkt193C_b zTVYn-6rd){+MHLpNbMgbh3@690bx}uZnr>Zru`gCRK7XrPD7a#(F=wpXO(=aZYwoN z2M@3|1|uP(vGcTKW#|*)>Jln!TzI*0NGPD46VtLcoxn^-O5;{@%E-S8-^TnmVsKBT->rBABin34F+ByyQP~@>-8V@;C;P z1IHwL&EIY~A+f=5{k+|9A)Ew+U)?bohd?Jt?!4KV<^%~n1NJf5J)%;bc)uI>W9RpeFT0y`Qv}AfuJV0cYT7qOwawcA! zd%enR#c?~Hl3OiNEbrFYMEl%ERqRUybN2dJ|5?<~+q=Q}K&NNia?a0c6DPK$sj z4ad;O7m9`#e!bhaca6sr{XC)iwtB(YcrSc)*HfQCPxLbmn|c zMQ4$ZSD_4TtCNv9ZGNkTQJYi;N|A=>guEUkvsn)Pj7b#_DK4B6@}*e{-4DBl%FMHl ziaTh=S+D}wl;6(4?(O)X!5L8}U`E48M}P>4JE{^bwzAzxA_2E{0kUI6!?j!e<>FB1 zXmfL`q~r+eOeTf**2Vs07kFs}*xdYtjAnw-qJN(mX>;o8Jt+qRS9?HA_qIdJ4)9el z#5rr`48)thB{zNBHTm|qNL?seCdO-jcQN_7AEfhn8r$gi{me;V>Q{f-)V~Kz4x>@p zQm5%Mz=3Ri(gJ^KlaPF#Um(jm!zVu*o=O9`D&^khz(SVfsz0@f-|BhR5S3 zhsSTcJBwUVm^U}J#CJBf+^CF!A4PDco*vr*!KXl-A)sJnqwnS9xPCA{?96LwlQPSX zs$i>4;&Qb!;__w{n3LLfP7E#rZY$@+Y$^L<*9-IL#xx!M-yC1Kz+(k*zj&y8(IX;A zZV*{@*`f}OLv-qLxK;L`UzcB~-a8+G&th%dfi0IP>FOzbO~f5FJ3@GfI5d^xmuz)C zFq{np!Z_XLjYdNK9qodN>~LB2bdr;DdDfr7GBNglA1yxtj4?Fo^MymzQqCBdM zf%PEnj8m6@ouN891r%S2e9Xl))$7xZyxrm5d|nGz_N;1_?ZMm0TAD6|$GEe4Yi{+v z!5$;l=p1h5FGfByVAFM>z73VO@I!$eIeN zc`9t4UI`4Qt-A~F#MRP~_c9CV39#P>MuBPOet^oGrUV5i+!9X_E925`e6RushKS_O zMl|0n&x~&{WF*;~V|JJpSkPL|EI;Tz;MD-8WQoFqv3GykfC)2h$Ehxv-6Ly!@8)q? zWRyd2U28{j0p;T{wLULahw3kcT)hXneraIamu3rDs1(DSkt}T?mB1n%mC+|~c9LkW zTo?YTmDGGgI_NRaYbTOIM`Mj+BtgeRwEOkK^Esm}a>=4#hY1E8l)2d+=?AFy;zZ4= zbc|Ie$))m3T_!t7jVN72TXiw^a#B?HF)MjZtt`fIXSUpC?^b<*Z8WbUq%;PWBR_ZD z=|4msT`%h6@#t5OiOgq9*irl-CO85c#WDNYsy8QSGM%X-LEg#uU>5kx9RWk1UmJM&_sIqLRgZfd5;T>Q zBo8UWTMv6W9hWtR)caOfGgSinjnwDgs0%g`uz$c9(Jgr7)@%FC!kmt}ls!PqN0}90zuZ1idd0J* zIKOrc#Km!|4ocm0{UrS9v+KA$P|6zQUhy0%&cC?@B0J+_Bdt1z39%R>|yYH2+LgOcOvtqjLmBc8zK0nh)KKzQZ zN%!+Sju~94uOK__5jc?3* z#4)nszG=r+Rx=?Gs6H~IW(>0SQ3=b~U1_eca(qDWC_Yi9f@y&(u3g-0(Q^__LkiDC zMME~NY}O(_IT~De=YpX+pZ|2~_R`k0*dBF~BDbf9$jS1GIP4qpB%aa-k*lTGrG`Nz z$SjS?=ayfL=p8@jn{UI*3n*BNY&2z^B9$iX?w=`r&}~d>9h#*(AbbpAJdn{*E-x^j z(^a<9oOer9ioJd?c1uWsDct^HG{3`_tdM2meq^nz9?9#WS=kbgN2b&l?1Sm}@ivi$ zC^h0inKk{j=cgeF*MlA$y^O4}yQD|#P51@($F!=)?-7y2p7OY#DK(n8hm`a@p*FyX z4x^1VD`p@d-`%ATL4Vv*?BI9?np#ozY6RCPSqO?OOGLc!84S86ZAmYX3ddLG@Gi}whV zMD<1CAbxi&ZeOKMFQ$a^(IPBvk;egvkTt~2m_>IRw3AhqVA!6)RAD&T|B`Ls!x{z= z1+LD6A|d7yoJLHE=AeNt>^JMDW3mz~x_T;Bl!L}BOGAdN@hu_}K?Z82@wB))j&=bC zdOf88EjNxe-ctR0-k?R=JxJ(g*p~(2`$aalZ=q==bGJmp$r?D;fe!@UgjBfZz|U{k z5xBa2hFCn)YX9&w_+F;j$ynoq?ODQ!j6T}f z@*G7NB!<%xtxp}WnLF(R_h9gG2r2p}C1E63NP1Z^&0Y+=W-g#MQe{ya4lLHkM$i(@ zzBjolk4!T|as+6Tjkvnwfy+mrZw)vs^z4&Ni?LUoBre+F2N_~aKKS>Ov^Cqt$(-T@ z8|docFf-yUl?8cM24y~o2;An4r;RVKI%#6)Vi;R4(nQb{f-d1~ zy$|U3)wymWC~NwQ0cX2VAB%4LnoZ#2_f!=Y(ZQArG-i8c)32F@GoPNBjTs74vJao0 zHUe_1jl7*QXaL5=!I{jL1=#xxu-xr>5fv3)#yU6)PI82dNtrIX-iDZgU#aG>NpOWN zSGC|$I{bY}R6zZNOHZU3XsCtXmUz|(RbnsEHn(}=BnB|fz7RjhH*E?mx~c$G)^|KO zt%Ty5HEV@L-Rg38Ien@B>+H^DLZ|NT_x>r6J(!MnPMtQ8hgqLwyuqQ4;WW~wV)tlp zJAA?yXRl?O@MQ-g^Mv07k8d!BK{aZrYFOZt)v=zu`_^09Y^aDp1X&&5o~6Ci&9gX8~pD~1_?%BZSAGAglB;VS9|Fw_&k)dFbzBDtZ1!X zH&4b0aR$}cJ`I(oL=__1Q)$eIM-$jSFGEEI2fS7W&c^q0l{Xh(ZZ=v1KSRcUza>OO z1H2|T7dRUEs)1&a&ynVO`SRM>)k@aQ!4^2=x+4U5qfEnv#qsePXpdLD)cp;?(ap+4 zZW((gTPsLW#%2ziybq@zg}EkMFbr6O_W~k z58FB_jAyBeJ-p@LdUp|4I;^Ov>Y}+#hS;bIK`c%`^V9vwIq+Mt-bG( zxbIf!frH<-VqAJx>~q(4b=%o`9S5>2OL4y>a_f@48dN4@sLE9YK@?ViCaKE zxcGp!ysj;?0&<9nH)~a+>daII?taTkY`)VqQcp!Ps$+ST87PmwIM!a{DkuCE!O~=D z21@s?EITltiwrXsn4WZ~_*umac*%%+5;!^Jt@%Or{{Wja zVaC1WnxfdHAakQ&>y0T3pBcZD+r}vHd#aX4cBzrJC$@7EeNzDkYhc8{^M3m_)lQ`X zhvDe+f=P)|7f_UWwxaa9ulrrl*7WQ9vq5OZJv^4_Vapy!^KV<&1-dUqD|+0ncQA)L zMj>RjKePe67hY{6cNlH%qlq!_|ab5vbfyJlkzq%{cI$|8 zj=A6TzXbkG{|6O68@f4H{VAN=HSJGX{xfWLgLItenF!Rt$307&nz+m^tP<(fx4h4>H(`Bk^Lo z_DhZaFTSrZ6&I)dsVz^Jz$Oi&bD+`mgzyR*_v;upxjvmERa4i1AaL;xEn8h%bOmHT zdTM4eBIh@-N@YR;h#Bzj0rQ{2H|SH2rWYss?0WPndrUpDBE+Y^Bq;+43*sX?HahMK zVg;Xn8rum6-5#kQU@}Eh&weAT&$Sz-nUl!C+r)TQy)fs##0ir5V4wCnP*XyTqzeY8 zG0fM}JMtvT{OszWLRFkdQ8#8J1F8eOTCq*&Xs*U^Q&*b;a9auB))v6+Ve)v}2~T0b zV;2o9#q-(%x&vjrTg*2NZB1ayZB_hB%s2II9$?F9Rs2)TH+5~Vz?QwL_=lKpYTNFE zZU0+v{T=52TTnFrmk{P`+z=W$EJuv?r(*Bj%_18>*3F&`na{WgT4RqYui{Yjd;Rf!4qYTCt z#o^Y%lB71IISKRtWqXc3x9gzW+dgE{rn$Xb3xW2-ckIb04K*kox>x1}t=f;gxGZBA z@H9W6i&a_1A@Lu}!yjnc3+ zw2f6j&M~KEb%s?<9RrZTRWrZA7WgJQ)iZ_~3B{HFb>rdBh;~kky=2Yy`oBhm`Z>*% za<_$tI;Fn4>x{kJk#C{l44vZ=-y8;*Shs3XLUpmm7}R$-BVV1W@hTPX_6Ag7jsD01 zB-(mD`i&)=JM=!j5%!z6%9R{&0Z0y$>s zu2uZaIo{1p0^VEChB?Ir@4%H@3+^pREwytG0ylR{K%&_?4O*8^JnH0nqgo zSZ$3Qao;@h<3X;G5vk*I81^BC;Lwu#p&d)UTf2abCFAYi>|QT>5q0Qbu4pa{FadCj z1;s7Y2%ZISTMrl$9>AoGm@+;}#%t0tx42%xeohF75%>6T5e`hQIzhL+N8PS0IQim0XQUJPKu}q>5!l{oZcZ=Gg zF*rAmSL&_qF#^6Vj?cj6&k=6jG=0#Sq6?c3@_@6J*a@fXt;tZRv8Vl8kmk;16P3Dy zdi2gGzOjUI2XhCqd?HD74WPE%ZH;0FtiQ6k&EGt@YQ9^sh8%<93)=Gfw)P6hDQ3c~ zPLHaoL(k5ioHxKpqmY9-UIA_Yl0)|AIrX11pYhs83SSZ6gKfmD6UF9yskfWoPkfj4 zeY`C|wY9lbpAZjn5!$lr!n?aDaCnTz4rvcnn1GseraC-?%$d7mn1E^gz z6l2cwklh2)<`(BG*iUIuTmwkv78@(rcWF_a1JY&}KUc7?(xNy9kjyTAsNkI4`5n^! z39sz$!}WJe{=+WVpP^XsFAWU5wqp%F&dS;Km=4=|7K3x+`15z=rLhY&MmmgJbXkD; zIyl!*L$bQH$ zL>X*xN(%%h&=nT}>u=00w*mZB1Zwl$p3~oC#<#6jKrS)y=5(f1O`QU0!Nm)-6*a%X z76>~ei$jr1i}|lX@n4EmfS<`Vz}i~W4$}5n(z)Ul6g^JS=MuxAD|(!fms@ycZalk> zkv~NSzFwwI2PRQrLgbWzL>Wigp z*R;WI0bX;9kP7x=+F+Len7PHu3ifTbS`PazuoY}h$$W8NuElW_cED0U&Ξ(EyN>T(rhi*rO`-wct+4yuDs1@t-q|~L zV%>|E`2Cl2>V8Ybt{}z5BG0BI_TK#<`ZoWJ=}K|m6bUhyuBFbFw`uIn6BR$BX@Co; zdX;}$pF<8c{;rl9juVVA6XtZbR83t1%)rGPwB@yJz7^BEzrhxW^zQc%BJljGQ;_{}_~_8?{NQ$=X8 z+Ce7mfqGej!G-zD8R^2$1ITx`c;i+64{Gtqbgqq6a773^?*+32lBDMq+BgG~!2dUZ z|4Y%f|8D^Qh-LzI)PKhSJ{+Z92z1q9m zXGFa2cfZZzyE#Aww*ry+tNyz~Fj2tt?dAKHyFI?g7wabOhID6G_TzUuX~zn$&JIF& zF$QODj#toH>!x+-?fo?jX=12!tHg17ZX%1PrEq#$B8$0!lx??Cfn56`yFN__aAVP@ zkpgbq`ZWE(jY*$|KBt(QpPob0zDdI%kZf(skcLC^jc(TkC$8A{@j)f4`r!8PBU_f} z#KN@VWfy{=Ul(!gO)RWw`A>37)3DO`qKJZtgBt8l&vc z5mMs7?8#hF%7drw&~b$QE$3F~XB`fDA>HB^+bPbeNfO`3!{++_4SoC%l^wg7uK z$F=!CYX7cJNJ8R{Y)8bti^2-JNJ&P2L_$r59mt`O%v=SoQK{5iIq_(0AK}_nu+&qGEJqapsU7#gG|s ztkQ4Ahxdkr0NJQM6ZIPHjwy(jCu&MrF`kU|F3L;MO9ZOvSq5FYUgo{y4~_&q&Md{V z@b+T2I2}^j6*lHw>43ky{hnA1wpm6oC!=^)@=E5A32ohayrY<)3)w~&cPgZ-TQ_k* zl-0FnJ=DuuuQUB#DUe1<>)mB>0caUKn9~`2e`fas`S;xq&qjCddDTqahjl20K{+&l zLtU0tzgWIO5vJQpOM8qp3EH9%etkmNUiWrS zOD#wR?h)S*7d9$so!2I*Ms9>dzT~2=EgDg7#JN&ZtAM~5SGLNMoF!U-oI@48y|kKF z&y9n)5rI6M^oWPpV6X+r<{{S2g)3t^hE;sKCQGWHfb1Zr1J#R41=oWU6@pizQ5w0y z+bXtt<5@4g1*{-klulo^tSui(5q+xP-Vl7+Jgh#Lz@4NaDq_E{CQ+P1)pmQVJ6Gs_ zy)e#q>-iE@e@C>Ju17-0PMH|3wC783tooRC>voCF3xk+Z6vi4F>5mio60dZ#UeL*z zeVmcU(*ce8LL|zF?S7ZcCT#zsY-r_|LX3Z}_Mdofu8RLH=6}itn!dQVojLiWCWo!n z{3~*Cg0bN5lk;!XN*WdRdxlh+=mU%&HPB>XT$h9b1T-k&JwQmnxK?6tUd)=F93@(` zKk++Pzae&0bpJT_yQFBz-_821VyfxX?`r)OPtlrRGP(W*laUlGEzqbRL}CZfz2nE3 z5dt01J0RHyBrSm?v}aav{&v^=h4vgD{J!V@A{*MTgdTs`&(PAGDlEV1NXch^6D5Dq zR;t(Q-+|~avZ11^Ul05WQ=DwS7Ul6TMHv<-tqg$lEz;?L0%|_a(Cogj`&$Ibe}vO7 zKh(Ehd?@Jz&D(gX$H-;=kUzR4%2I~~{_Vzq&ZPa%Xz*8}?5pxFjr)YoojVdQgWNRX zFM^1$1>Bafd;yX_l%xwK;Q%B>U#}D^lfO9}|6*WKcG>;Wz=f79G5aNxonJCJ1phG; zIrnUp7I`8aP#G{BR{+@B11JVYCo7O_1Cn4UsK1Ue{A$E}W&PFEmuigsD5GAQm*!a6iAeW5{BAMzPx{om2@U=(vc2 zbmGs&@Qe~5qyhwgfWZ2Rs|drt#8fpb8|II%x9CA;PSYO6G9g?H<(rmp?FKx%jgW#4 z0&Kj~N*LW9LVGRK$t9FPGv_@2@)t62e{==ExH;5?OZS&Fe9UDbpbGIopKL}+9Kf`j z_bxAjq4?%Qz@AFG-H2eO4JT>H#ra&~8-_n8Am<-lEQ3Fb{~<>dv-DpI=li0L`oYD_ z+|3TE`*};l^?~MH8U3=<-SO~Di>)l5RK%rY+;G1wT0ut#)r}f&C%n#yb)ERe>V~!` zsNWj%Mn9OYVY+`KvvyHR0;VTB*o|=2=18gBZ>;Yq(mc6a%+}^emhEdy3;SM=!sr!M z!n?h!TBSF9<0i^c&V2e@;2ZAmacf(;9B;q5C3lyPUd4Imnq$_bh+H0Vzvq@BT7|R? z`*Bnz@2;K)Wz}%l9k_trC#UQkbEk-LOWoi<-G7DJu_;^_JsQMjmz9vKVLjOQ)QWCp z5PxR6AE&QQfj>8aDO>Pv7m4Tel4|QYT8l$DW4u58g0V!jFh!&?FmGt{??CCMkLuyMi+7XxM9Tl^R*-{6tU?ZMSZNWwdn zne|aXfc9M*pyC%i5VVD6Ky`o8QX=rlvhq6K@J&*y)?q`LlFAD!7@aU1v2%75RSzm> z3QlO3P&ZB`TJmX zc7QEv@6nt~*A=MY=47YgY6g6}s@QO`aDJz0bxr^7gNa3RqL^gf1S|U+eVw?%CXScr z)LzKnW#W{?O)Y}ETQ7CJtmVn0Y48%$n2Nh6=JBKaeFkCQ0}f6_oR0kmahPx&iXZ=n z-(=nwDQ+Se{*Vso4Kw1GHJ$Ecc}~SjLihMjP?0~e4 z8%9?9&?=}CE%|VgBnSRHynS#Q|0E(Fq7BOMK;hPd3eyw0O11uG2nCW!!&Rt zJ#bk>rd2r%?m^C1h5n~i|M9E={m=7ZnIHzw38ssS4s?tZZm(v(PDZ*{IX$5Jc2=6s z0dIjZ6kxrN*2(%p%mMa?4ELcj5Z;3}llunR`p}Qo&7qkzxK;dPS(;DX3K5g@!_i8y z4#a{c0?w2Aw}eI`IyLgF&OxJeCr}gtek?8QsnMSZ;mAM|m$Em*bLHWMV zw#@GtzF;?Q3(31X)MYQCmd1Q#EYrwb2Tzcy(#wgajKHXCEhuQn5V;)a}FY;jrZZd<<$OG9mk9vC!|!@={>k1 zL=gy-4KS?}XY?#luh7VZl!Y-k0rKdP25Nw;SA{8{H~tOp!7YPNDU4{sS$HJc$JKEU zy5C~gLB)ZV?xe!RXg6p!Jb5wRwJ8)|F&rR?Ektk7yng(p1(0uqD>vrl7r~uCfJx@p zx;G%;gpQe-(B(T)}qns8wP zhjkh{^!C}NdEJ(|tsfg94H#ljaqsIx#gz+ef|hQ5c6S4IyEtoTf)glyy)1TmR9BEP z(H7Z0RBYH95j;wgY(qnGkgm()PeJfljC(^#dr_oA7F~*SEYV@8_#7zmjuI&H1}LKb z2`C~D6v+XKgkwlm=cyZQ9~b0pn0;@^b1|S70o5V@8&@9&L;`Hh8-3NQ5pM2;_itPY za9W_cK1ZpuxH{6AW43?i`u3E$4sc0#Js}d#6n4W}{xjd$bwCn;!<`BT1t#m-M;h zX47x(ZqrZmZnb0X)*|64hB)IFSt?<9(zcJ?Y1lH_&q!YS>%4B04$MaSBtv~qjrcRh zJ?ydv_tF&ZX^kM>3skxP0U$FNKe{KJf3L9h_ zm(Jb!2W853aPl=qHWu>ybFSDat!Z9sFZo84s;}vn#4I8|%mB2e+57U}CJ3fq31!aQ z$6%`=nAZICWH;x@#Lj$GC49foJ$h+h<0CJMi^#$2%MQ(3;F=zmx$m1!f3dgT!F6#J z6B-iM7fJ7aAN`P#KmKq9tR=wPt}Iu7jUnFI0i46a#g~Xl5b>xAnOVq;ouX#1{p(5iV!fe#l}O#W8DEufEo@7nYezXL#X$L}_L8#eb%a~ZUQdLeNI zpy4iTZUji4>)MzhoB6M3bu zUaBM_V1H!Sdz!q)G_Ib<4f7EuhLUgK0kWYnKpu;Ix46*_cDw3nxFfK9RE`hWE{LWa zfT@B3u>x!w>Y^3EzERNXAs+vj*BC7b)bpVA0}QJNswjYg1FhhZH%@UF zbvDwuSZ*7FaIiRGHEI`#998|}GG2tA`Rl`=ES;F1*;^cP_ zR@d=Wwual~uoMxnUX+4xXK^>ji(ZSz<9@SLGn_vh#JWJz;qI50(tiGE?uocS&a2yV zVnRZ-C6ZTBjKq|1QMj{4E_>0}XC&0T-iM-;r~-jZxt}dq;}i4fFMPFl(R#g}v_vj> zJ?;+=;J8obF@o)0I}cC7j0Bk!GC2Nz6oT3bS-zj57H~+a@%9}qadLZ}P$Q2e;>t$b zCULCP!=vY|3@hjJhvn%)BQV20{KkPy3{4oW_vf_HzO8yt5sbgk=zO@RTOV=u?V`Y2 zN|~-mU6(DCoHal1#aTK@&=YErq1nPvvzL))=vuSrzX>zK{C+QM0!QG2A1d!*pH6ZQ z6Bq@HMO|_%<}2^Rh>~*QBJJedxvb{AHRiKtpYWP|9dAYKU-mjt)@Mmi!!wF=@Zx-e zvvGxAVNT_S7m8dgADauwzI-A&xepR}N|uh2B783!fp%>g2--PRll&qeQ|(Y zc6CU~J8)l+q;)vk_l#lXgSO{aX@0iFVtEXRJvHxJg$L!)Z~6FxaT(Y~UAj<@wQ2`= z7Q@5L_Y?vZ5xw|kiFJn~SyUVH2+tjuN3xzV8MU4BpFf<#Xf~XW4t8`*_t&m3_r!WY ziG8$WYO424r^4@Xr;8a#tUSGz_2K1*LOlA3&swk3uw1^4K0cpp9zH_;bb#o>Bs*meuGAHO;8C1Q6<74MZYW291YT`B1CNeD!9SPq zdtu}yt7l`|;OB)0>XDV3U682q_@L(&#YVob_!qzK(QbThWT%kMW&P@{FCL<&8YC@v z@{pHge(Nga)t$4ItRT98@C3Y$fH!&@f_D9)_elOlm>ogTb8>IRY6*Bsx5jbu-0sgNcf{n&H~RX_-+EX6a^P} zGBa!3)Q@Q8@l`E*IFwY3Cf+YZI|Z%$=9r~r%SVBJ*7{gwJq6_W7<*&uha#383~C4V zV$VB>Np5)~q(1xlwAFh*m=`wlnyoKAG1Lk1J90#880n)L*tm_(^5tY73{B z+KJk}zf}{EY;_t;D@Ie~xoBBol0L{e9|W=MB76`#Y0VCwLU%HHm+DfmH=IJ% z$>NDT+y(a49uw?f_6Y_s%8Bruq1TDuA@jq)4^YCxSIOV9a0ya&&9MMD%+sKYO7RHy z6e4*h(;VVZCGQ3+$_X+AJ{9{+cg7*JG}_xB8K0Y`d&;Pn^v^hOiG`z(Lg~G#`ZkEK zh+_c)pge~lK$V>b0%2)SO=E+nuzi*1lxwBe4ZX25@l%ipV5)h&!>=WP2D%3rKW_?B zQrQ44X8;-TKn5^eo5DH<&*mAOE}q>S-j__|A{Hj|^&91?`mCv0)dOddlk_C57q+vQ zWqvJnMB7tr=OJF^3ahYov|MEm+>Jj(&XbWcZ1Kp z{tsbq8Bo<1^b6B)=u*0*L6MN|kmk?=N~a(o-5?!ON(jiIQ$!kRq>*lsE{Q{T3f^`2 zKhOK#Z};Pzv-euFW@gQr`0c%yolnKdMf&-Fk>Tb;nRGiv!@hf}I9lVJ;gY2c8;C|} zg~uVE(8ws|(dn%W(=|8hUZG(hA6fj~L%|Xu^U;Sp5Fvk| z1qcrZzu4`{X(WW8J-=-U>v^g+%0JRnQZC9IELY_}Stuj{6}vz;B^;eMXb;weE&Z%X zG1WF-F*=k7VX&lB{e82&9(3*#t6_vX%0Gk^l@9xO{hcs*Je5F`lAD}%`>S8UA+V(q z`x5yqb)%_Fl~T1lu87 zpKwzdB^6duv*2l^LViBe@$j*$Y{QPw;tbU{)@}K>=8U9f{Rs~@gNY1#Gexk zPVZ0UJWjuw?N+Z?(e?9+kN-_SR>M8V5LZ_E(J$MuJzj7x<)3t`!smyJ4{COjFfK&S z64kCp>q$Z%u&ou|l#-TBkoC*9y{23Cdj(rK7(I(2w(+NvG9mK}j-BX7ig5mQfqd%k z3^ekM)QQ~YmOMqGcrTQ^h?;I$dEb+T>A`85Jy@kos?xqESXXS|$y8}5yC4cV2{;k|k(`^Gi)zavT3@DY`2U zz$>;&rx2??@6{EAuFd!qvx0FOFrZ=gpylHw+{YJ9c z1pyf%7JXyhau{-=fPXAu=j2Bss1fPCsr*?h=K45XDF+RK%5qux%PP~o@|0=Dn4y=r zBwsDKt33;A{l1SzRkV&0?))o6$lq}RA^;u0#9b@JbiQ70Br%7%__?TyCUqISY+6E41kUee~1+ zR&>|*8ovpcSY~qU>f@VP-)q8qDh*HvT>Eg9U1+3p|B;f7C}I{!0mc`X&9Pcei`=Ir zz_%2HE7&B@2pO1&T<15Eyyvz;qTpF(NIm>&0~FT5^@NI*wJrbh=z&B@YaVOrx|>>? z($N~HpRRaZHLc`U^)?W(kF~)2>c7>a;vx*OS~Ov}7$1%eCdK{r?zdjE@RDv;iXRrs zw}nuGuLqlc`r_y;=!BcTh7fIx4@9W6!4S-1ur$bK(TtEVGE2@Ry>16Krnrk^e=1>8 z2nW>-90wWQ6$7d@CJ(fkX+dstMSXim+m9;J-ecPkX9ioRyFEBqxd^^rC32Z1OiXQr z5WM=rrA*=j_sYeDB@F1zgMN4jlbD6cwNFSGmBm2{7EQpU8p&`^fhninrh`vjs!>d! z27!Z0R{$yzY{rpVgz}F8Mk)zYU$1s{A-53^5Yzbk8lg-PpiGCOAQVN$E}`{HBt2ee zW}yuh3;G9nwvHrZOS!oSQ!OOh&_}9HW%o1CmO^!+AGbL8W)6(r}*XnOz zx5y=c10{f;$*#W`w2S$FkPJ;+jW}WU=Y__tmG%-`C$$32#_f>z8q__%{?vMJFuZ{= z#lR%6>4)o1iCMfd3}G;oM8FJi7D&9gyZ1__@Z52uZQ61H8+1mfH8Y9HKOi{pC{+jL3S8ZT=wA-oH2 zJ&9fM@KVNH{r17y;zY!c4EjL!C;0?0<_ZX>d_AuIs=b2-&W<}pQX)Bf)GQ{RdC9b? z28#I~I*w-q<{ba-uB6UtGUzoRZ=Kbb(r^q3&QNACIkNZAkX4fs=CdRMzq?;#N+_l9 zX$dGbu1`1o(eqX>F;6P)&n||Qlb}5=_;EUu+2LM-N{xciXUU3Xs{GC*dsuG!ss9SA zO+GA4VqW^;EW}*?k5;@@4#C;LA?-fB#SR#qi=TRHr+{`Kk``KbfH#Eoyg;6i?@@}+ zC}vifH5H1yW*_^2uF9>H2_w89+=;~|pEkKT5O|lE$bx`NqyQ)ir%g10PURc~CDqW* zNzd*JorDxT1NPCU404t;e0F1(!;Y-!SLBNdyOJ!!>^i7ifA-1dV@Z@~jzBy?i256` zNfR!=?CTXEU9a}*uEcP~5Q@{-Gt7o9Pr*$a!P=F4P8al&>vH>3#`F`abdkNbCT(xt zIF)CZbzjc1R<}}wHY0=z>Yq_y>j?MdWG%o<7LwkHFjET@yJX5pRtf*8RH{YS&~jkF z)JW7EcGxNs+DxfO(h)*jFP=m91-4ZD=jx}vj`(d2KMJ_XiQ~WG1ojl^Rg{iP}A^(63Q#zS1OW~*A z><=6bdu?Wob=(c2V1LATUTW9MszDr>HwswidrRnSEBp%5$sy;AXnW@eC`nGFdD+|2 zRNS_TcCYJ@*GroEiK6#^*OmR&`-L9$BD-yGD`Z%;E9TeRjiTtQ4BxAe84zQ(sZSJJ z=ao@?7!s={#HM$+vz2%UP3+Axl(*fn?pHT#l9;_u7%3k|$lk&%|>x68- zD@^(qv#x%&O4qot)UYn8ze-%}awnH^E$Vsw^-y*sL%Eg)7UQz`T<_m2!GG1WJ&E9x z8OxSQC;a0c;t%c_?4KOqxuQ6(JwM=)vKk7hJCaP9D6>&)Lae*A4Ug2Gnu)HoZZ$9Q zF0fpDCu~fMdpyM!*`(S&ft6QqJfV%T=AyS}I3a6?1=ZI6#xuvL-!fZYNe!c=D3>J< zb|)cwJ$GlM8jclsuhZLtX|>W`wWLOZU=F&CF;wQ^8z!L50=?{higUg{4tq<{*=-Y? z8tZ?f|5t(%6r4BeJ|pek!_s9l`Wix0k#T`2DPwm=fvZ1frKHzomnG+9!8;9E@^Rl)iTZw-HzFTcZnHEP) z7mDlqoO3vS}D0bYOnMIyQ5(9AV z*k`>z*t0RJ6V6Y$7PB6Er1p&EQq$S9>}Dl6-j5XVl*S5vxvss5y&hOXkmHwi;GR?v zb8t@=B4|>#q=K4dKo^jxT4#e`)#3v>t-ow@l0E#^T0tu_nrca@rmvzkk+9;p{O3DL z9U3y*s5(-+i`5oDzb2rjVnJ2@!aSnky3A;F0J-nLiu^` z>{7^XdITvPYQMeZC4Zq5rIKZN%B2|nO`TUima6O&-cckyWsIRRg zO{36KA~Y_d_;6?45-}gLnv1H%COsda_g}TP#+tHACw;JA{>2rH`I?z*%*N?}78Feb zAG-;2Oiv7lr0)inGwUSDu=VUDlg~_kmiwEN5n_`fl-iY{{4)Gu#;fAc^WA~GIK^Wsl3BDQ{vKZi8MGZ;8}B{`Nr4> zUg0SXZ$10_@Trf9DAL2nKIuv?MK<23MAQnJ4;?`HwTEZjn;6e5dt_HhXdd@JFFy!# zY<@UNws7R@GG@zB(2mcw7?$~2Cq~_Em*TN;ahA&-i8dW|wVwln(f|;Qwxe*gU4BQ6jdGi zMXl>F%b(dXof7^wG+ov8Fgw-obj8CuIhhhi3Z*oO31)Dwm$NF$n zE2(Jfxx2l(`8A@U$=WVs3e#l)LhftCtF0a+_(kE)Qv^khhH~@HYC^CM5<0VdvPM%C zsN(H1M)mTzTaBHj6!VpoI1`!qTtVeIFa%7f?N= zKEVx|rFrWleyJ{4trK;(;6uYu0YC57jZsA-G`f{Uvn`zOg8yzeB-hy7)`HI-hL=+P z3KOvK()Cd~G92m+J#L{QYdwcJ$0Zujy{X@3EhKO=pT$B+8C^0nJe%mEI#}^Aggz>8 zYA4F*;&11M=MQ=R6Hrv4)9Z$(SlU2D1YxN8lEvN_gHPyq~x{q zPsgl&&W<1*_=Yo;OdGda(tAwhsp@GMM_?sxpKjy#PmxK`ZJ&!Zf8WnJBNk4$jYIU@ z;YqvFmkcD;7FfqI?qdB)lUj~@x1f5s2D_O>9*1rtb+e~5mD`SwQ{`YM*s-TnWd5Fp z^7hbx(11pnnFs@dDZ#S4eePI|jK5g^8Rn04G@o9{QFEl(f$_=egzrpgyP@ZiSy~tNd`rxx6Ap;5u z#G0F{r}o^)qb^n3aOT+p3<}FRg{2QzYyujt$+?}v)#g+gSI1pT^=mCd&8~gE0`CcO zA1^E{Hf0-5G_;6Qbt{OexbgmRXy_KP>C$8*WFN>(*Fw|hniGdPPFP2D>BG@n+SE~?RC zF++!wmxv2gVHi68Sj>Q;S-z*9-BYm|a^P$2uu~@t<^FI`T}i`bIdyMV%~J142Y zl75t=aT89!LOl7p>pSyw4jC|Lrf?6iqLTRt=8@Q^z7V4bGmxODAMK<-)M`-r z*#?j0cVwO_yMgpRjv$67O(dCM`sr!59H@qLY%^;YLD%D6X{ccAXIb3 zr03I+2^fcfFRe0}J+Uf5u4c5U&9v8OaA%#|ShLz4T-GWNYSqY4XYHy_Q0g@O?*D~s z%5eWDKR>979p!g&4{UmqiJ)wQI?kGMgxRN&S6nsT+lVq1-EN4Bk}Q0vQ-Tl_WH}VD zDMJ2ET??ec#*TGHeJL{t)x#-i7YIKNWU)LJDyy&lL(H7|hU?wupoH_c!>_1_nPK$n z$3+xueI&2QR=)Zn#}TdXP8vNm3SENml-ai;*>DqEi!aF1Owmu7F^7_Y>RnWs(*Yv! z!lX28ye?QLYpiJovic-S9cJLk?Kb7giYRKgwKKV9A1i2(HIg=fGL_j`LeM)DRa#1Sl>+(JEsj2FG0ehp7Js$<-asU>t1f`V;9w5)aj? zXW7J2M5m|D1A_UmMaRd~7N}42ew+6F(L$wqyEiMIXJKE1GWhESzDGhybLze!_T_Bv zWd(-TzkzLa&rbJXZlo6U^^cwtp zu~kL7owzI$x!apIi1*p=bM{9{hr$1A=3`WXESDz`v zZxl3>rN@lkW)ODvXA;MA8Q)gb;TWC&0)>~IWqXjuU*yg$irg3BY_$8dS0_$!+w)cJ zJKW!rHO4Y~D~qABtXq-n`!)?W95`UB_K&j|UV5atuFR39hH8Z*9le`eOOhTd&;vya z{>$^<1$RN$VPy^5V6EUI!*Nn5Un8&@f0qDBERwWTCb#=*-imz1F|wJ0pir03qB)&8 zh%A@`0#@r1LiJ;!cUyLu4NE9R&zn;ayR6lyNc5|SbYS%hM<*N66&1C{5-^g`n|1ki zwaB-jLxF_>s1&%fXHP_p$R;5u56ks;G6FPS)U)`V$_SzXk@k4}3c|zKo0yVeY(x5l zkt}XXA*FH0vEburS}59!xao`2J}Hn^n|JT4 zhm{LdOw?h>w$Z=v1WF1R{n6XI-Th+gPN(6|h@x=YM1;h!r-X6{<`T68{1%I?N~QO4 z*g0`AJ;Q6}LkmbdoHO@hAN?y2)wGfCvKsA{p^3#TdHYDFT&FsgBb~iSFOJ4Ak$+tv z*^TwUbXrQYO0F|<18j$vs~!|zD+k59a9pBRKMvx!p)8s9>_5}}K#i_@PH{TibT-&j z^+j4^ybQeb_rqPCb(Ksw<&~@+HGhkWaNw+N? zxTX)59s~&6{-b3~igU6b+m>`0>m~YCM`Tyd?GfQM{=~WVcDt4>chXq?1ib66AO5=tJ?)tNCw;L?kK9s_>J_df zp^mUc?pvu+b*Ut^*bISbNhAiz9EO&@)Ka@to#)HMz}NeC9wKpD(fXHAfFC5oJY&;Dzj}#KCTVU7 z^T^@Wirt>d^fav=>$pbS)YKNw;F>X{w%SHI|&0;FH%EA_TBWk7PYF@~n3vvAt zmdKF4Qzj6VZxTy9a8JB4r_sjI8&-yYCE3g)fyYS4J}7oUQE*g|)sk((V>75X2~(g; z7h3wvW|7CQP3cGTH?!tW4ck9q)$b#*(4><9Y4J6&?CPdpK(0Wvj!2GFGKu6hZs!#? zqtguDWcGEkE7SE>$JNFor|dl~2_)vJhnJI-2q2|)6sudDK1KsCqy9N*HREC~> zlXIa^_iUcy(><>}Ev^G^MW&?oN}1>V_4WsAsCyu`No%ed5^c-yj@WSel$KMudFD33 za;wzv^qqK5F$U|!94a3l3K8Ki9L&lz1djGt$+f;b{7JN9BX4)1c<~ z_cR4W5B=ZRcdGTqs9ThF`u#gmjGdx)J=a@G8?#)z7SOAc+hO#TFezUt>20HW`oP0b9v63*`b|B{7DGF) zM%0=acWvM|wH|UR#YAoW%yudQhVSfdv@$wroyFFwzrW0TT~(~{2HRD^!3}<{vpFT2bUBZ`=^O%2O$cq z`K>4QM^`>sgqwo4Jwh;+h-;pkKbZQ=z{Jz|&h7 z+*m|suKvZD+-$5+ER;O{ZiA$6bse9DsUo!}65TD>*$aK!_Cma1A(s9{pmVKUoE9(C z7=POJI%jF+>yU-`Q17Va;G;R4RD8Zy=`lQ@Ctg$`7DAAOFDT=HKeCDQolj^1+jOK? zRy@Ad`ME+CA#1F*v=6DCcx;oiibAh0->2|58t+st+)laJuGoz2G(lNH!f_sH%vo6a zI4dt-ZVpNfK{ zgM!LKaW$_M3*SMSO3_k%6*fBQX%ipH&*C(fk0C(6gsHk{i8^4%Y^I#Prp{}y@~epPlRK=sGw z*mT~Dl1pq``>t8+3y^h}H>hkIUBj9@rB^zy&kdM@iw-x|N+RN^4m#Zp4#MD->EuAe3Ueu}b3S8}4^s8+w1zjG?^T-nfF&vh-NGH$?`pCc)LwWR4Y z)lz`FArzx*_syt7QtREe5n(B$*fB&aT13A%CdJi>^;`zzeG#^TnQq(lu-%v6rk8WH;rvC5=wTR}sC7tse+Sr4 zYOlz}CWZYq1)tH8YEeoLMjYmXn;6!w1lXb!nfOm*N^oVfk2ZhY2z7|K>5oBhe5k?< zM~+=uHT3P#f|MI(r1`~-vY&-UcbFgXm*-uKnfHua7`Nce@)*Q>L!MCg=q~ZJjGDa! zcz{RZRAAkzzB$hdL8Yi5B1Ck4BH0aO#Ieq;;Vfq_bTnRrJm=4&gBUykV_NbnVv!=2S4Hbl6T zUgaK=>rDFJHN_`v6LJYM7^0!AP-nKmzjTZFMqu3(#L?7@YbaNShJ0}~V1DX0Cc_G% zOLy95wFB_raB%h4I6M1q+a>}g`&c?4@a#ZQ|KUDU0bMf1TM2f6vSJx>h{dmRymjR| z6}JLZ#|hpVg7T~wf`imvf111OSYT5|_frOdIM9BNr)DoFAdff8h(>ehnfTV7btSjz zS>St_tZcmHTta_cTkg}dZNha{HHtGOL&?z^oNm3oGl;iXo4Cu(T}I2fpq= zgA=(_&tsBK_7Y1V4f_&X*TCPo9HD$08FPze`{e65u{|5}6?{tlR zr6l1`ira(X8Wr0q@kU$6l~BTZIdeoUQg3uY4`}I&pDn50Ep@V^z;@^(F2ye9GN1W^ z0Z|cPa(6d6&mGoEOctGUzz+;PXB%7wN0Wvj5Hrn=(y!~NGzWsgc-msv+93v#V}+b5 zBJJVqnR&(SrL323Axi(HaNYr}6kbx(5gIaK>L9@l=Ddw@k(vT|TYH)7n`k-6)t*ff zKtco`E5i*KCpE?iBpaGaZL;$%hZ=33qbtp#MKT?DbF-E&jkq*u@lIlOUuBD@u2Rka z$&w(V`b=;+!=p;@>a zgYc;Gw@y5vJt*u|71$QJQM^e9g#onb3{?S^?ED2#bcm9J1hJ&qt4b*~A?@6e5J?Q< zk6VlRH(sQv!zjkr0y*~c9Qxc#-)d|%Mnj9gwZR!5Q|a9{M&lTHg3-$?ktF>S*E>t; z-iv)q2d%@xwJ88bA$acC9JpwqFNMC9{CDi28 zZ1u|@SnD!mZ`@>@CEC=LBr5!b>CTw8)Bbuef^vkKK-($v;C0T=*t$+p)lO%d#8}PL zGc|}&`8Y&CbpSoS128-4P71Oooi$M-&Lc;kK}!peWiJV(Xe%aF{7_<{BGDLk>}@EK z$qfw^`cF226l7w{zH{%7b`2!?-me8u6wQLIy{xd7fMc+fGin5edn(O4zTl5^k|4B0 zl(C-*&?7|_|3GHUkg*R#5NpUi&c7@~7N$laJWyc??mvbVqUs|^gTB|$RuX0IgFfkv z+y&B9!b#B_ERvdMWc!6}p`9(D4_;E?ei3w@5qz|34CeOoyg(*~&>Xh4{n7fQPJ1BP zW}Qt^@8UM}>$dSC6}XS#q)3@FUNYz5HSY(y^H#6e-ktRu}r06i6nMBovkckL*gj-na} zx%mKP>j7dRKf{`J8A)wIC184O&@6vE z+sx83EV8O9Rm%5PC8){@UZ|$MX}LE(i&Tjj#>-Amtvc|Cb&kvo?JDy432X zzBJ%2LsU3_5Tk!asM?R8@wCs9ynQEpQa&(KI+^6v2xEEv5-6R1Y)89#sm{Lz{{xK- zvO?WK$2C}e{4dE%J?n)#n3=a7H0!g758#Pk5WtKecL2<=fzpw<-^&-pmUkIeXoI%F zxZV=05u!wP$c#FSo0@bEy|muWY~8uUb(Na|eRY8?KWKg)7Bv$`PqpvuU~zzQ7Y^og zCI!?)6@w8SI`#xX1pXq>bN1?5h;@q+-D5Ma%)X7P$ADlrp7b{r01aFPn!(Q6pz+=a z^vbLfKEPsl_{=xmx7MA4lW})R@M~z<-y!<<`mL6xSx6^Q$0_o~?fE+ei9!Ats{JOg z13_lhv>!3QPXW-N_69r4buj}q z;J(6@XqCojEsmC`l>@L5p_Cu&cVS40ybPPD?;zUNGK})zFF-N++*1MwD(8>jY39fF zFTt=fh!Fb&jR6YNjUsKWqj3|urtHReQbo=u(8P#8fX|rHF}8f8$7L; z{swK41_bS)I>i9Zvhq`$N|d!NR24y3u{<-Ml;vh10SB9E8YC8>y`Q0AkEX?DoN|P~ z%8u`@S5Wh1{#Soj7nKnNA8_tXw;GtP;noW3pZkAzfp&$-e6rt2|F=5g_1Z zfYN!#KvfB_xS(33LqI$p^1;Og)XMG>fUo+plCe+IQ0c*#X@|v9;5mH8OkAjXAWuC- zsH%KG2{?gbVI-gD@5&0X|9Asdw<#j;{OR4PZ}yv)0PX@$19I>QR=B8f17fH~hyg90 z%MN~zw4$!CAqj?TC|zZ^YDNPd&hL3hTI2^i7fcc3gP1TDa5vFJlujG0#Ky09%P{V6 z&m0%xGF;9K!nU`p*c8yP4vEsXZKkR zYx@V%f_A_(K=&PE&JyYIqa}_vA;38Bg-A_+`#UWo0{Zt6G@k%Cs}{hrwFpDt37xPJ ziIWz%O8`33FYo;8U1m!WS~(FwQUUB#DJzJ;-XNALO4V(ke#l+;I!V%aZ6%h)>x=~D z@662Af&t#aDe7_4b5D}s#<*Wl>9lj8VslGeeMFlGc{$mi~KiYk>@7ej3fwzWWN8O1P zfj6QbQ|cMMuUh^^ENh*hhVcd1H~_{beYWe+bw>1tciH!`MGt z#sWE?!&G39QZo3S2Fr^~FbHomgCI@cRmR_864AiEd zTE?uF76qMZ$lXher67o8p0FTjSd@sECA7|-QV5x)`vYV|PE12}s4xh4ZAzh)v`EZb z)vic2VBy*ln`x2s>^n2muy^b|I2q?4Z&Eh3W@cQ-E;&(fl1lh!Y>j=~D*&D*4xeoF zQF|a>HwJm`o)fIlr^uH}{?u*0?BPM&Vz73M-t}K;E@Sa4g?Q`7G&`2*@tQhT8mGL< ztX&o3eUg`a=+$?;{irQ5AYOz%RRiKzzk^#PAq4K-0Ty?x{xlD8KC#LE{VTGZJolIgZ@11(e+YgTXzwcsGG`CuG z!I4v%Y%C84j?l^q05?ln+b7vVD2FlLaJPSbN-KT=dS9jXGTe<`XPd^fTMa3QeKZUZ zg1|X!8vm#DskeQhrX6PDh>M@6n#KYOSn>gj*u^n7ydi}GC_VjfexNgd(-j0vKN_VQkrDm!tZAY=a=4_Ig+b3>Mn*o`u~c|Ew&n_n@dH4$Ati<< z4K`z>e0v`+5$e(!f<0JR_4*8Fs@%=Y6@-^#D+wL$jc{))a+stWsKGZ+t-GNX@45BZ zgcYJj=25rIj+b=Qdy*msCS8o-|7(f|Rz>^2-$^dFF&e(4dMO4KmP%}A^-UY+av_>eYdC9 zU+8fnb8yHj;K1J(WVO%dxi(l)FIi=)@IlIdQv%voiJSuUOQlOH?ghSI5Tt+rcB$Xf z!Q?V7&>4!++dV1wI=#z7(~GxqGt*?na-z;>4NNB-!AeI~tN$>8S}9S&%htGG0HBUm zHm7egJ9K|6h3)&gmiZ=%p0`hx(8-PJooCRS_DxZC>HaIdQ_eE;lzH%bd2H;%zf+#AD` zMkFs1%sADw9qc)7$4V>03QsCCm9&@xfU;pq-wlc)qyY9?y->D|ZNHheJNOZQEONmDe=tOeA^j3K+NJqXZ`%bKKdYOXx`bzqEtDo{|I= zF*o}U_eFzVy=HCbJ6XDYTr74s*I2Bp`DM}pt)bkYfAQ!D6-MDHgR5yU7v^|K=ZNpkJ^&urJTJ1cBDNIH8T^x8^)-!4lpjCAa@VWl9e&|C!4Vo>Fs6JcE{ z2~(~2)#vFtqVdou`@@%|`8woEAi^2H35Kx#ID-zY;_=PtO}qcyTAyFIQ^%)zaEc5o zWUUDeq<8mL-Vb(#m~r5ZBz9TsuVHFDA;o9yWPwX~@QB8|o!8dH7nyrS~P@ufHMI0A&D&V6C+1 z1({F;=#v9r{pE^fzvjQ<^^dJTz(X3UX$G;c0VKG;6jpu&y2t~4NfsE01GL{nSITPr z4`^6oS^@Lggix5*u!#(X5>kfk(A1!ov4watG^!}mUZb9p8?NsR1~KCL4><7j97qy-dA|P5PRP&H*9Fx^ajsNj0;zsm}iDU z6pXj6rSX*l3HQYpK&-Gs5D{$a20%1qU`?K6T0tc*FI+U zBGLxLd9t%PaVjPcBD^PjASIK0p0mk=z?9E`Z0#EQzGUUU=yGn+a`zrluI#|7(~%FMtG8ll!Co7<4~+;4YtWs*v6DZnJ?BDRQdu6r66Ull*i|c7srrJ z=KR*T{fvpfW-idBL?1s~9RVrkk#pbjXf^4~NH6l~-Y3!B^+2>+1BKox?W1xQ+5UG8 zx3I(|J8RLW>Fc^Gbvk+#gtm)ShC3c(1{VaMopf%|I-ybHUf=}=X$2h@%mP9la+(!AoS6o@O~lfM%Mn%1@P?A2DPX5yRh}B z{&rAW(cXuMr;;Q+JP$?qhDu4C>gLXOxeC}IXmV8!_YrU5l0-{1{}XC{$U1AlWcF+1 zO=dB9Gl_Ysbd8vg_Ny`%L@R1n}F&cN>DuQP3?6u<{APc`50T zE!Y1uFA1(m{~~+42siKx8vHICUD)py{S!~C_E~=C^r>wU!JyL7Mi&>^)33#Z%7F0LQg4wOjemNvcG=e=_IGZLpR%gcX)Q|En_J(&)j1D*yXJ}*)IR*R3FDJbm zQuG)7r@GYTDdR3L|1Eqwdp%|n70aJw2{G2*&MgH+bJ=0T-PvD0fAJ;A6a|Iu-7%z( z&!1}vfBv(=xfN@F!-{bp?kxDwf__j_a&gRLG(4mf}m%(5bTzE2)j;q5s6@ zb#(d2>FOH<*8U1^rqnPQ@-|Xp+QhHG{KWEGLp7?9K?y-s%*WPgu(*O3o0=&Xyj#cH zCL@v)qWQqhMpDzIN;`I58vbxUNTVg&17b6O$9%7HlhYpK4Iyu=DZm)dKttBd*TBhez;j*}@6L0KYZ0M0_ zim|w|yoKJ79d_(3S;W{mY5O^eSLVOE$xyjT91!wCHGpIMi|>dxaot~>R(i*D*LkZ@ zkwKn!I~6Z9EUA*?$t*E6S$+rgpE|d#IN^ThymCuXU4MBuRNrl5chYY=6$mv+s$SvCO^hB6s~IU>%okpGUOk z{OUW8>%1jZ?Cbcss#vA9fOkFT*7L){=~h&azPLJo0NJBM_4`;P&qk@kAhqC(Jmc)z zcYBMC`aS{5G1@vSs>B}ufBT!of@_XlUh{Ddu*KTeu$ijZiNe;{`R;P<@5I_vP0WA7jc3!JY~K$^+|dD)LGG&&4~3 zax}zS0u_NzGmDmWB#hHWNSf!5>GB`}rE1(pr}Uc;*3_^0YY_Z^b1dDJla9xp4(eka zVp9({PHmeyr#CK?W14eU{$l(X?xpP7VSlE0B{iNs^10%felusL|5u1S^0yQvwb|e- zdhEbfGR&c6HBtMxpIB9Z6y;%liwj)VF5=3D@recq` zm4D=722`a#QquVtzlfy~lQJPL7WZbKyqiE`sm<2(yBA?Za!wdGw*R2#-~{R~;^Y2j zM%i?DA>UmSGX}f0o=gmYIOYvAaMsH`kf4#ui zjJo=)EhEVGf|OPN8`}=yQ+RviQUe%9!eq{k7O98;gX=~no* zua6(6X8pssXjLdyIUlE zh;OsFga6vLp2$Mi>3Hyj`Q(7R$$JBS*?{)>cu+n@+U8_1ZLLtO8e!dc)*JQgBliDm zN9$<_i}TKEifGQ-{i3tDL{sS18hgi=H+a2OL}zWU1hMAZW%pGU8^nUx@s7a0JWsL_ zSgm+SLwsnBw6WuuW}fvZq zaKL4FWiuLhlX3)B5BpC^)D)FS8KD*Wny_Wl=VAC!%vLn!oZ?IgZI$j|n3pO>>v)N@Gy2#ytD1{ySHK@RLTYn3-E$<{^FH!UkI%|i|Mjq8C zH#HfyQ=r8>@+FBocD$52VHo)xt}OUXNnT9cG;5JHBA1|PL+C)g@H^jByw;pN^@|_0 z{0zwiEZgLi8(o8}rx_IBf!Va#VM7XK2P$VTtHw~jM@-hKv4vK~`Ph#LWN4JZt$N|$ z*2iUo$!=K`O{mP0Y~;>X`VUrs2RwGX{)>k39nLMJ>8gF4SKI(7Lkrm8>q zjH6P`CE0TpmFIDvHzm&izKJLH%UbxJ5o^!di;p*d!{9dZP){nxTdnlDNYxCs-;r*s zhjRQj5wFCDox5@wCI_dPt~CRZDRi@VY0HgYcfS z;+GFH1eJZpySxqvh6AV`cf$akd~{lf|DiX8ycFi=AT- zTBucoor7(zm{0jc9yXZAf=^jhEMJYecbOIy5>8q&wks|AkjHuSgCze;vDfRxTY8Lp z0?U6NrHHG+n0{tZI(Q|--Ps;IIP6Z$w@!J%oFb$KGX$8hZvqXcep+qQ8(7U=gl65j zk@=9?z^lP-!(CK{2|MsXLa~M;Y913I1?g?SpPkw|8=`-VK75=g)qj=t^w*0VvEpwH zr}lB@V(5ae1YVYk8+%}sd>9;tdb^?XSlYxsWQ1DVkYM7?805`_c!*7ONy~ctdVQWv z6OttImQ&hiYzY#{0vrgWrxON&Re#&wJsU?Z& zw2S!E?N>Pa1Yhw3hu}-KJ&b;*wFawJCr4Cfp+5^Ny;Xz1Phqrg@tck8KMayl<&TOy z`)L>_uCSLGa??Qh9~d0Jgb&*;y}z6f}h1-_ZFy8Kl}4L z)|z0JClaWEx@l}x8Z+RMI~>Omj-EGL%vHyrm`nv^|M_j+a=Ukas$qLEt7qjmS(Mq= zwSE2=rN~P}b%zrw!)UABe}vc{YIASjOa9xNnw4&QC9JYS{bb};%_oFk*~xABQJ+Lk z#Tm7?D>S7TdI%`^8ZU}7TpRdOKKVuaR5SzvME%snLt7dbNV_{Sac1WKllI6nz;OmY zf@6Aw;Z(~;GyOD$T~IX1&dXUh3i#kos1zfD7fWdtagP3z;I*ujE4>7g`5rO8SQIUtl$(3 zw`^SG&K};vYcqJCwAl#Di!|s3MlANvVn=XfXPN}PmbQY9@XM3u#*)Kk=F5&L4^kr8 z>qLQg?HvW*jwa2~Jo6p>6rU#ex_=KJ@I64kf%n;^DdZu+%PYahXIRbho`Nna^}i$8 z6hwigxShU>kR;`?5L0pSu{BCinrMa>uTkKURO65iL1Gcnhx@Xxrmrge} z14Z%gN24lPB5U9uv?OP*pZ)euUD{N8%dM^g+lasM-#)dTpKvLgRC_jTJ^_#4P#4Vx62In+LmXwXh)_%DKGdS->s9L+1IO``wN&B#i>7G7 zHQFWPXArNGOson5oe{^kPdC&jZ}583qbQfmsMC-F7zX@~#L$IbHuIiiSlprgSTb); zTVAC_1+STGwXoD5Z#&_97=FQW)PdG#Uo3O}%knO`bqUsNFnYAmhkUUwa@i!>YBI=4 zIb1$FnZ3bdQtDlbDRc6Bv*)wTTe}Ur(Y@;BGU*<(3awBDjqD$?-|IrsLypcjrD*bw z#6M`|68G4tIUH;VcX$z^%jeWF$C$9}=aHj^Qf5_m28Mjxy)%EPKl{p$soS(#G#VTa zM%@Yt;^v%z;KbNtl}OkndWG-X6G<2B?~&JS;Sqym;-LDu9ZofeZO$DkA5Fyi#B4RY z{UPNLZH)yk@NFBT2#4ki9NO6!9=7)V&nc7<$$HG~^tnWH; zk>!(`yP)S~&Ub&u_uZENs>UUeLc{$%P&V^_?sp(U%X5iu5VE{+D})M6`x>uN=}af`&Ibpl>q*z-sYm)J=8VC&%6WJrTDStH_N~^> zTH4+F_VihxPj~gfDe5!V&ZR~S*4HS-L8e-!53OwK)rBMs>l3*6eu^4^_?HoUj!Utz=}#V+ffv{=a2H_7)+N#rc>O ziWmk(&iEj+RoGm#I*j>uwBYRF<8D*bp4OIZw=7TpZ;Ig(t}9ki(|mOiS@n-ABHkig zf34OtmF69i9d?hmUSX&Qi=nh^@-3Os;%xS-RNua5hVm~*>xE58mA*Ic`dD>`6>B9< zNI8I?!=pu^;&>ye6y*4$V3xEPe6Lv>BXz~zVAxUF4Mjj1-X zFj=ItiQ9Pfk)ZBE^eLUfLex=3*mG*FZA_#r3{ip;-*m4K@6VcpbQiwWUVQtu9z5^n z51yY>#vdPmzPgYWo5L|=5NwTx=KIfkM-u>}@EIzttOLf(#(Oos?TGMGw&Zz)n%Sou|MA-z}DtgPp zf@aEsI2u3bBO!3=PIUSe!SVw~@u*)B^dJyHANkpNa=p4RN6L8sqED%*b>yRU?;G;` z3BKBrtL~fro!KE1HQ%hhQAo8Jk`4suA0TH-j_}`ldgF4mV7OGD##S3AY1XYVx z5p~76FtcpnXDSg&yflS!*QFV*R566oFefrifo|%`wW)O3rtx_zB2!7Yf$_Q_Qg1Um zXZ1{D`-hxFsg-T0aqim znpissiDg0b-xQ!>tNXA5An6pVqPz^xi10;eHR1k}ExUyDqiH^9Fb08lF#;onJ40E; zkWjf8HUBwb(JjAv;EAAP!FFA73RBUG4sMnsDjLiYY`dJrQ~b7CZsU~){@Nds_dj~1 zMrYyR33n~ZZy*(qtDZD|j;ym1G4f5l zm%|vYI^a+NL!0tsrV%?>gJ{F>S$I9eXeLdt+|qKwM=$Vw_-JQ6gW|QwEMG2zOxi8| z?VXcm1m~6d<@HuEw&h{L{@IWUgW`$$C2as_bdLiM+bKNU)(E!cH)-_<4Igl)x60yI zxL1PN^zV}j<-y|)R;ujVyq^m874u~2e!k*IM+Fq7LX-FeH3jt(PdASKj$)B!f)$85 zPT_$lJVpT>y9o3&Hl2J6ULGYpR&`!CbaNxe`ZA{Zn&O5sw)p~RLSXUuL8{i8SVPfE za}te12LhSlTZ8s{Pka&ukPJtL;8PI2+}0n;6^z#!*F9#XiADEcI@_&qr^_F}DoPF+ zwQX2>GAq#c6YbAKM4~UI*fidsKXI6=5%t^zG^@fwDlYx z1a_Mw=KH2$l2_?H(?V7d*e4q`bU*;7#cL70b*`X{zzt*%2Xh;qXc}N~Aeq4VugOIR zZbA-H_c&x?%<2*$H&L#LXxO)1*()~VnRK6RxXm20S=PDo@@zI!W*FvrWNtn3?6fy))^PnR60EWvw3k$y$9v z9oVL(COS${Ct^pDd)K#8c*!A=rJ1!D0AiiXel+l#*SnMnh~1L4u&3N0ZodQQuyszC zo-R}1)p${tq`(G%2G(sb7Gks8wRoMeNBo!wyA{ACSO93EoFzNNGFM&Ap}Xu=xdA)S zIhTWiB~OIQR$#?6z9OJD)Y`w~ath>lUp9Xk?zx$?TZS@lZ)&+IEoH%5i5TIe6T)e$ zP(auz8#yCzxPVw{$uKkl|UEWb_w6hLBU7m*-Y7L|oV#zOUN1FAu#y(0Qr!Ch?2k7{#p ztjg+LlH1FG01>A+jB9`Zn&6se*Ssz(srkyKKJ6L=K^8ust3(xbT2<^~dMw4YDV0dA z7`o>idkDs_u2Yxi6xZ}2J{%oO3%7e0GT%~IeJxUt^0G}9;!}>Wn(I;@%mp4U!3k7+ z<)ISHd7RED+gYqc;&)E(LN#dj(o$xR2wsTkralMLW;~*+uV5T7kKVMd2iOzXzL;(h zV>tm3TFHDY7~gMBe~0HR*7w@zeAZwL1+gI?p@!4s$hN(*o=Co=eq>_6v+ry1Ws7r) z_}Z*fIYm9CRg^AmM!^&Js%Oe2c!n%XZ?N$`J&=I>or@>+MbgV$P7BRw0i`o+ZD>BT z4sM0{9oX;3;WX%we~3B_X~CoDs8~!le6oVrH-4{vQW8>lL(E3E9u#CoLpf9{IP+ z346KAQ`3%9A+4c!;k)FDDzWkGH`QI70^%=c zcH#XVP$Y)~jK)qq+nZSpU9s+BFEBrcgV-{@

    H4Dcy55oCxh-xGoK`Pp!Kzs$z@J z_swimjs$19SPt=&gAahNj)Qs~nxkqp>LswIB*{iXb`vzZunIX%9k(k!C2?Z}=}-xMwQPa>T(*QV!TAun%%$Vhri8&I zIb|vkm{Kl6M(l6oe(-O&WPd#cgs4C$Yl(ISs{*tFqV-F5%PBUpN%N6B)fYQo)Rgwp}(VEAZ{1=6}OYpq6pF-IRKGqj7TXJ{sL(+_BwIyFzGkl zOI2d-rT)A6FX2ECoN6+gL3V!RciZoGGoki)1APCe`|&54-{IxXwaCM^-{T#dCS2H6 zZkdRSAcinxdw2WoF7U(is7ALtt>}4kss|KDJyP^tOd^~ZccM$soANkYk7~>97y1cA zdg*>N>aXwTHV$v!oF^AeL4^0ZGJ^5Jn1Sf%`h>acTt>l+yDuK@iDx`0?=G+N)9T2f z8(oeXz85alN6wD#x?+bja_?tz#n_xURew0Wc*de3T9cg0(_<;RV|(xQ9g%_3$i}Y~ zjJ%~Ugwsr9P(loA*qFzYR1h4eVWe2+Hh9Aay0MM8z%~l*$=M_icE@k>3xKr<^n4-Ij~Q! z(=-ji*DDEIPsqGEQVN5e?Gjx&RAwWRqBC%I;*8vRYv9O4MYN!FxW+lQ7}S0?hA>rC#8 zAL^9vA>`{{#K(+e9G(f-?JX1}+nj_+va+dvRRPJ1q$Tj#vbAN-J}tCZmdHk_1AW5n z%Ro!Rd5K(M$+eDj@s4nnNo+#d$(&jbooxy%-#i8yTIK@Dht?}J zqGf4sha{ir)Vw?Ot_d$yG$dVj69=6mOoBP;fJ zH<`1R)V5~k`}n@x!Y=6)&okwfglotW7g!x51$RewB}aguxdKT%u0k{ z$C)DkrNSR>MWYLj+Fdf*OP#%E3*C43Y<@qNfmZ=M8ckf9*{uwCiH^KBcmKF;K7Um9 zZNb1%6gRK5-v}~Z7g0`ub&FZUG`Yh6=SwfhOp{)wFZ3B^hTDVD)pYp6ozsXk>>eM| zNO7Kt`@At(|2}6EezvA%&^w3HaEur90y4cIP(8yi`}m;!>ehGYiI^LH;$^BAI+ zqgB9zXOj&~e)I8#Ps`xjdU3x0Js9XBl}KPKOq@Xf|7^ec=YhBeXpbIn9VFMpTt z)k#I^YD?ICam(B&KKTAE?x`^{cYJ~=_92QDW0>-r8>GH2he=iHIlIa<62mv<$f{6Y zll$GQ5>PLvb24L1X%IF+3(U#inf>ua`1cxd1|3t?II8P7BMJ&7AV#uG-VNCaS+Bg$ zLTV_;7(t!wH8em3BLj)({|z$ed9J(^|g?1|y^`5>9d zI-9_BeAr6RRV0SoE&DvP*_0Ee5~TrT-(gZ8FVb2CGybEm=CcUINQ~2)Te$l6b+BGE z*}|}zPQT)-eewzn@p{4!sQMeS^QvAQG?_^gdp@dWEM_!rIsr+AVKE$D@ooGCZnFOC4;n90N9r6hZfw${ z>{xlis4h4@4urRg?Z6Cji5&{cA`l<;b5yg$QB(PQNTglI$&@b2;%V`hPlbttoLfKM zN85vQ?LnhAY&to*WPCiCWPU$40E)ZJQ1g2@@z(ysZ(i$jC_4ZurSrGWIS zh;5(~?X&0Wa=j-*WGiSM_dngr->?@Q_G2Y+?Q6HzHJj~qA~(JbA8uptTZ%zg>LaQZPCLU< zGVCj(hh?$v36E8ykGLt_aUswNTV~ft9GtaA7%MHXeK_4?YpdhuTMOPvk0LC7`e5L? z#!Qb_9w>h>?XmOJz4_qb=Y{(AnxvN%(EbE~a-&>c~gZp#e<=f>Pk*m(``_?Z%A1#-1=P(ue;#%S~CQoT@07x?N?v;=&hwo++SJc{ODY=_I!Q$HhYu1xXZKc=!NKl zck1LR>91f3%$QvGX(m?tazVS5Pf&V%Ni_!Q{Aqeb<&0B$0%-Yt(Lr|GgWl z{=i$TTCgRp2?i&wji1diNo!IKd~>Q2Q57dW%5Si7=*A%;-p-WooA%!Bl2CF&(JxuA zte9It3bgS?5lVt^Gz_<6K3P2Xo8Z@$ZIk+g9LuJvB8kWZ@p>W#l&~y909p@Mqib2( zcX#hsWbT-cC>!w=2$3sg?-xmozEA=1if-t~B1}1j`%tC%yyUJMEH0e%ZZnb&)2}T4 zXJpg>8Q1N}*^b!V&(O*3N8i*-&&$W#0|^3ocEf^kDOtUcC}$$h(YM3&u@nWup;4!V zoTC|b;CrL*L-Zc{k#^X^83R0s*>@X+FtM$U(Sg>2Ewa5>ScV1bp3v3m$F_b8^gna2 zhv-cZ&Hp0Kvl1GVJIdLfhP19;X`@3htdplE;lQ@CEqV%Dk%VFOQ-ualArDlL6-oHK z0%z7uM$gcT@+lVlT%nKNbSdDD`1cCMP$IV!kFL3lR<={CL;HNT)RM8t8FE%O#h{iB zdX&x6eD1LSP?5m&MnD~yOA43^37DJ|m|Wl)P$33X+!jh0eo2Jgb<93&J4_N(EMXX*{@*L&<8LA#^+MQ8#<)NxZ8;dvCtcQV?m5)(a%|E6 zZ=aE!3vvtWYI&8Q7D^tJ&4@YfFeZ&z^8Z%Bso{6hTHXl ze>BiPEcP|P=zp;Ee_=r|v*fll5fFbC42WNBIGHG@`Ty<(AV|3OKjPyb({sy*o~_h7 zU3gwNt+Bk0B~yvti7lm?-xmD~sD}UwTc1$)-z!Go+E<=Xm;<2jEr7x+0G9t=;o35J zKvpSQ1N=tG&ee|MQBD`I6FodQ?aw}VPeSE++rJfceJt`ZJl}j_B=k1eILYOt_SIWg z+}MRpYqw>X-cLMnq^CIIf=SOezjIifJUcm@7+B>OoRi)-j)scj3YcNS5k-EX#{0NM zb&JuJY<+rZGAeh@9jXArgvXo`-=s89fx;x9L0=3Qb#AUWlKZJodcWkykOMuFPlX^) zo+XzneU)1vhl9SG$2?ZW?C!nq`>tkNJ?K8=bOegQ1)Buwz!cAi1a_<=D^Wog`%C&R zMG}mrjAiTc$tBg?r*HQu^|N}T#4;uR0q*cfXR2%>iZ z_vp-FAb>fiAC&ZXG%BSK)+I4BkPm!Mew4%(Fm*qkXP+*q)m4SOraF2<)P+*PN1V#| z#vS>UtlrK!A|XNN@Jlfc16O@ItREJmyM-MW56X0jpTf!6!oP1OIWDwm$yFw@Ug6cs z47r)2dj22y0 zsGr4MOAQOV{b^J;rR<<}onD1HN}n`yFO+J=@KNW*-p@ zeWxN`NOgl}gQ0K{Ezx|+rta6?Tkha+nb2FQG8Ri8YJM0e_>h{pj{4@&-2vBAfCbN4 zKfzgFUNtfqi>OUk)e_f9fZ*V$g!E?>EZBPy%8$sKt>iV7od5!wuz5L+|GCv?V8mT( zm^+_bpPX2*M^3EEt|*!9#vy9>{@_Mr2wR_-OLw6POrgjQl2C;>BlG^_$AL`i-r%ex zvNL4}*7H=t_CqE0kyJ$sbbc$0A+uge7;0RS$0_No?fxI!-JDsSeb@8Nb(15!~3s&(dvwG?0b(uEl=MMG> znsVudcMnAHijeIrtHn9k$HG}hEIJZ%WL%WhP?@7G4+$9c4usd1_5%CSlp&^KV52L) z%eq6zQ3*anzj4N$6^sRwMrUa)SUsb6ZFX6lhx#SAo^LCK$})owtVZ-yIDT-wXBNSw z0?*VD)uv17OAmjc8Cr!&Z9hLw&I;0$hI;P$_^#RHNX%0D990Zw`u0j+I#4F7H9>`f zjiRaX#@twuyoA&n3$mZ4tg$kCXF1SPzORi2@y8v z3uVMTg+Q-Mc*T8F;oP5VDB~xrbu1`6LD(;pLr_ z)#08pC28%aTT{1gcL|M7G^RI(<4(uYK%jSeE{liVvyCM!0^>7v&%5=Rx8AQ9wqq7& z3ACqN=a%X8rk8F)3u5O%HokM3ny~sZx$dBU%|JGv!USCv)Vsk%&ZWIL7v=sH6!xj+ zBGqQ|^AD%x{O$$wd|eZGIS|DMb&agG9zpK7)c(uVdGmZ6hngXWs|VxQa9&>uZP-t> zen{`iVc!jG_QfN8gBPKMY$WB%@~FtmW~}jy8Mez#@tkmxHkP_-LkvTUWY@D-4{*&} zVvU!9taDL;C?n5xgmkI3r#POmZFe8Y$6Qt)Q=zPyRl^xS^=jBkqdT>npwCd1_1_Ju%FH6cbpBuDcz5TwRB3VT=68=zLhc z-iTF9#oy1_cDeM zA4pIdKIq`I*m02`$|8}=(ZdXgQ~6)5!<-oBI8{+l!4JGq~FtzMN~B_l!&Y;is#rxkcDE7XNjbsKVNKn*$*DQ;R1Mhw5}DS)i)>HO zfzgk>&)cL>WqSeg3lXy47w1?ycUX3y9 z4+MJSr`|frmdIesYo`|G-Q=zP*ya7f;nNwTgd3|4ft5i1+-JJ*bweCwFts*RIIn$}0uAcYNU37so2~Kz`(}+Rc=k+Nm_ABWM%)+*lTF`_5y5lGPz`N z#sJR#&sq{|nDVXTIO^qIp)LF6(h=?2;kzx73F_jb@`dtHY6gg(>Fv;%oWjPc6$@Jo zl2T*Z=`~<^CzU8Ijqur@ea3E#SA18dNE73{l+ygTeFe#y@%nKr)-#2qiXb1s2;u_@ z8ds$;Mg>EZ9SgO;=D>hWu|GZ-n*CX)Eniik^b5(B6LLq7f`Jx6b@2BCLOyk|)!^yM zN8gJjb6>U-8}DloyG=`E?vqpVF9RmnQ%M^g@)P4jZ)C*ciQ?cX{hza2N~WLN21ixo z=cR-&5R0Bnwh$UwuXtjK+fSKBRs`zV;3#S^FKmL= zSWFb(vgv6$1)a)&>;L@^QK3$6d)?_JrRke(EEb?CcsXE3WW7 z+B;BVZBDA%pNnMF4bt8n;_EcDW3lcG1Np0cB*od03_N~K*bs@Ncz*<=^*xa7(aq?2 zc`x#aMY-NHTFnW{tPyernz(UEh_qGvFaRRF2vLkq^0a`sY07}Oi4uUgX~EbGo`g^0 zZUf>{CzdG|Dixk$~+{4Ji;qmfoY6$2Q&3|UbY@+4K3MngD412Uf1zE^=JM!_-ylOu^iQMrT@ zfP=nlptombBrLU2?IZ4!Q!pvgSZNX9i&ImkTf)|hGp)BULHk*AToXn8qRpU7c|I51oiC8oWQ+GQrf58qo)n9?Axwg zWSsaurFqjFe#GkMs0zG+p#C(A3ok5DRC0eOom;j=e`*cLfyCGN);!#!wT5noL?WtDrO78&R=o5E zSXq6Pii$FUSb8)Vjk64irQ4cDZ%|wznXH{=Nl7s@pm`)3-O_s$QYOuNbYq$4IaowZ zfL}&|1;^TNnU+ry+^3zqHk;q!oU}bOou66i@Z%3J>;B^9x4(G#ZPyIbsKm!p9p@_~ z_2jjNd}i}p`mtCIFr)2Y!3sccZ5xHHv@ZjwS7`}FQ;6=m`(RL2Utt#ED4McgWjU53 zH!=l^b@$9Ozl{NrIg&Z|-g6`?T4VU(Ykb~K;;3fU780>^9A`o(%-}$vmSi-zm%fV= zH$9zaRn$I;Q<*52{ybZ$IR47E-hw)AB^8_DTII>>;sLL-kZWL!=j8@C`!Djxkhfp=4@|UVL3P{=}v< z+GHvWHkg=K@NAB>`1}0oJ>o;^Pzg4R==!=SyOIz`TrItk)r6XqDi?tR=E{ehN{roT z>;fMXMq{CrW8f(R1}qVv-5AWXju|8npqrhyGb+47EfloF!8F=5#SPTpDCmSb#rQtb zKv+zNMGSxFU=1+~+cwl`yW6EeHy-=oeK;)fe*BnV9%aw(WDm zw(Xyqf3`GVn+@(-?t;SzzHr!8MVBiPGhC0NO_hQtzhY%1=YeK4%?({l4hxM$Bp1Q( z4Y2bh2sVPDW-+eyi>fj%)&(|;rqNyPYmV0y^76o0P#%Grw!z&-lZHuG{8Ua?wX@t^ z-b*`4epg%DjJMMaAUJ{w%w6fha({WErqe>q&_Z2cNygeVRZ-yJzH#T-s~YiLhPno^htxIl01c7H<(FKxAAoC!T6DOpH20~(XFT`G zT201@i8}%gbt6`ZN4hUO-@MPDXPZ>pWF&v1;p|a6qzjtwXT;^e{1j>w_0Hm$+oa#5}}>pq0mMs6k;ukfm3}vW~tc8D$YRc?YUIReiRMcX6Z5(CwSdDTCw~o?x1@j#A zsZCW_sKr!Gs-xt1y^YpCq!~#ft1#sit%)jy0u8@dnzmD(Xo3Q)7VH@qNwJkTqOF)j zih~#s2^zt-(kRs5;49R=J3V6$PD!si|1}3fp}k@`)FBp6Tq@NCJoJG^*@MsK|Zyg&O-Gu&0se^$o8~QfPxqSd570D2s3OPLnmiyE7ug!dIFdpt(aOH z#;3quu`K9w8Z~;_-}7&@v?h_B^@0n_0~z+z6?sZyQqG`Pk_2I4W>XN0YcP7x-3XLT zqylZHJYMI>@7f3DbA<#rlne2!K`3MW35y14 z@kawJUSCc1f2#npV>%pNkp!u$O93KHKqFQh0tm@^8@~h6Pye!#47;U>Vj1lGNfgX% zehf$N`4+kka`A}N^KO&iAlpmyPsM?yCkcqG_EV&A67Ib{yxN;3Ai*{AIt>5^ilMdD z=cy>g%zzfY@$w0Omjn>mw}<6LjHSGb{wayHp%+}l7ywpba9h{I!<7GPpNT;z){ezj zuK0a9h+$V3>PY5l?#OGydQJWJt`zvC2#{Lie{DGmc6cLOzNl;+fOL0cO zIJDvv6!~9X;LfZ-&XDCWb*Nl+zYbcghF4q=&t2j%7~~<>7I9`Lnf4z5;+E0kLahx^ zb*ONNUyH|9W+RF#C!OuZ{T1Pq&i?`8cdK;1ZdK>T3(Te#TpJ95?HPxatY5Ls(>aUeRD7<=aSYK4`@lS^$ zMtHX@!l3OVQ4XSXc{fjY&QF`FBVq=)oHCpyxE#9gAX{rFx}zETj~wQKw)0f5v*kms z>@sELrV(CR8qwujs`vf+Pipx54PXO64a*(B)F3tYn+$rfWBbejGte7BJ^3O*^Mt|0 zaIfnyFB@Qk{yqP9I#A)i0RZTr*|XvwI+)51`Fn0a2iv@_|6K_rK7PaG7Go6Zpz^l*h=v z2JLRU{9?iA>=x(#M8QSa18C|>TJ~C__|0JGkj&$j9RR%osWBcO!9Y^OB1ohB>m?1q zGEXEZ(R+R>@Rwc{$pv1N#ng)YQTo%uT>$X!0#7Jv_hMhr7ZGX=C3<~n1pHsqjra9+ zkPmyOFrWay@E)Y`(19|Bi@7kG_8V4!(mx;}APa0bS)b`VAiokmv#yJpOg{cTVT;&ex`j|4>493_!w|$~#3oGwcX+Wx*+zMo$OXrlV8yX8POxWrh)n%T92LgrR~nq4+4LF4)4;TCRp#NI_s) z!Oq%m#fnchd7*N=Q{{Gb=b-Annc;NKR>B#G6KZcWY^$pn)4p>?V` z{pYDwPPJMz=!e3Eg0=4Ypzyyo8w#hjb9o-rS@R~~U7t8SsGkZFUTTrl$TPKjWE2u?+ecV6Ubt2TzKB_P*UwE95C0pS z`%bOq*AFh~W*0pyaT^6e#*%^HoHN70u!+huNk^#4vs2{Zn+n_N>_+Fo23;2#S~d)0Lk@5YZ-3 z;To2so}icN*6FPc4OQk>ZlKH*o)G8viZ-{oJdsA7u^+$^a6>QFTf-7au!@V7PoL(R zNMXcZ(0Sb_k&fay4ij9kz#nGCXp<~9JiE_nlWYPvg}j(=6LO2Pz=)5>UE!E&Wgckd z5glansVySa3hHyj9yuQO4_oFL?x200Y2=)sRvn4>W%6{K4fcr$$5U>ojqg0D`AbIA z`=WtXS4!!tp;O40{nQhsA)Zx%l+6;{Onona#$Rn=`=;%Pr5aLBt$>}6n<|+O^i05OwPCqswfm14i6G=BzHP)9R_B3SrGXQEw(?HU-zsjKF@1w6g-!d}HgAZPHotrW<6k-rvZ0O0yg)nU zZfA7<^rR>aW*M%1*$zPYlqCqEw!Hbn;ZKW_Y*?Uv1^Hico^czP!f8y~hi4AiIul89 zVWCcsze_A8KmbgNJ~6-|ejg+}Q4RC})(@N3QK&bNk+uRmy}yUs^DeQ30A8+UTBX4R zF-vjbl8yB9oyP7-WMS`Q%hcx^p8{)rFUwx+7Q2s?oNr!J_N!jIyE=`I zY*-uQ2=O73*4XYdKA2z?PeP)zsnLbH1ioLz802(O*NI@_;M)#60~JE1f3GL<-L}BK zbwi_5@1uJX1C|D3WJDab6Gg9`HrJuP<(do|slms{F0YWId|g(_a8jAx7Q5-S8YdFU z%!djYkL8GCT)d5o1lI2ciSH0hga?g&zWG38r2f?oi*$t{pw=b#TqJU$J!ee{J%(L& z^{T4vNYAa{IH^rN1mrQK8;zK~ejA+B6rQ?DQH+wPzHDC6B&Xp(k2GjBwn_Qiu%cb) zIWqdD3)6tLVc3FQn-6{B8%QZZMw5}U-iQSjolUxFyO*A9hf5c zXb>fkFoP$J#@mSw4r%Uh7j|bC{BDQV#z;mE_>|hIu77^=(>)C^+}0;E+4YHh|GMn3 zwQwM7rGtm8*+Pe5vN_3OmbCVJq^Zt}ui}dtI{55S+g3|*#}AiA^0ROShn7O$q+BnS z(F+)7cwh1cjTmL1QO%Ut>Ph;smLfUm;M*i_8>kytwtZ-CyLhBUb-V4x4291jaBA7L zTGmo<^69R60BY?zF+;<037o_csRuUJSXLs;w|rHINAIf#DDuB2@ej1!8ALC;z3ryB zZmQl_L9kb6!k;p{b{sav9-p9WU;ErAIB?I_eOt$Wcv|`8RxY;L_wj5mf9D$9WuQG;&(s0O|s2RfjoZqwhN(=3o2QQ5D zB(4Ac5;i&&7$RRutH-)0&R``Lw97#O<5MPO|7Eqy+x=$sAmt#|y}71In6-FerOO0n z;^5Yyw)L&w-n_rB8DBFRV_c6n`*KcBFVoYkxWadd0YH=a=@sAOi1_xVZtj`B1gl^D9*s*}ILf?tn}8E$V;u@McW zFY&h_LADPehS^-@gCZIkNn|3Y^#!$%Z$lsFeMvtd?OOG&KH6xvU+4~f{a(gTf~yx7 zM!E@pJ+K6e>)DhtWV{uq#U}z3&w_}*ID(Cv=Wl}n!q?k+FGIiXa>7;Oc|?Cq8WqmA zci6pHiA7KVG8-Hgj}KuWFMfe+Z%Ffvp1hbIG|UhI;ap6yBKnP&sk!^2qhhim*1Oq6 zU+K%LZ+_OmqU39uWbqCa)7(|ssYD_~{w-NtsA!f{F9z~Me+2R)REG6UUAZkfgis-$ z^c(~zg>u9&Hq``iMRoi|;f%)!6#P!gSIkP}>M;QmDIo#U0#CQ$0xU>CEmNK-g!tH~ zud|hM*96lk;7V?C({~xC>~|WU9#}==E^_!LGDWR#sh>+x#6&Jg4-ndTb*@448HCkCO8&p^FQ0{#(CMr{e6ituc}qrED~g9YYai=3cDghId_Nb$qQ3>t z`+UtR(S5s%jUEPB&@S~eEW8IsQAisS>q{vU`D!HNdLYXdNWP{_o4-TuD{~7&jw?j~ zwYnf&>G(CpSvrcP9Up68eB%o=u&QzIZ+y ze&kL>INK4%7JJLCUl3s3W{Y(>B(7x5soF`Vf^W5#Vxu1tioo$vyz5W%VXec~W>ap52d$dhp=$f)!D zrgs50wEmfrOr}30q#KanXjXzj`R%5;j(DKq!@z((BYN^SBuESQJpb>PFzEMD$lUl{ zOAQ2&?DJaU$~*-0m|~D$2Vw(cA(Z>E(P!CV2Dp_WIAru-(yOqao{PQ;C>D;sw;P&W z?31WNQ6w)Dhe-LJwHt`42vTqdg}ol15vObdZO*zOb88~5%n+hqJ%?d8T#OMjzCPy~ z@?pqEEBBwi+>vX1u@io9jVMplh^O6;%l172G0aO#+}`2YlMsuP*sX7229%{Bu6Jn= z&eh(37@$AiFfm6e_Wjmqx@WBlb(3Zu5=A767*>)*Pfp6h?2opR9w+{6h;eo?!TIT_ zwj5h96ofB{BLu_^0gSY-BDvl>P<{|*4~-XAXKqe>&$`x0QU;-XwhAN^8VK=-#Xmke zFd6&0h;gM0BBUL4b8^9~<5`dRH@3}S5Ul(wRs69Zi1-JxJ02AN`CuS3G30G~ZE&mCTaj+gnH^`*%QKyEHT z(uuEIWkL6~VLSFxO<a_Ka$yL&1p(p(9aqH>aEc;T`=_cfkn@@=0=Mx4SeR(7i~PU4K*!}A zB#J+3O$gS)=lkP>u+d-NW20+gBb;-UK;Dnrl9GFTfEi;2{p>+`4&0xpv{Cg-Dz(Mz!7jKt=fkizn<+mv5gW39wEzgCF4}Sw26|&Ay8*By}X5FZioAdfxn=PLP zsLn|pa+*xh^jZ0&&BQi=$m}@*y(J;LekH0a?G3$L2%3kpUw|5sa}Y~D+1i=(`?=$HEp^>ZM(YbDusF3#zmbyr zdZ3|!vc&aAK`v21X{h96@@WXu$#787Ui?sUjIk3K&WLyOS!_pU)z@Gor?4Q)z4$?1 zT#q94wmQCP20=tiYfn6^dK##*(C!VVD#lvqmiUN>Ulnq16DG z9INFcZeLmA@A@XZb47DO>OxIws_nTE3N+kuInr=fS3#xAh3itfrJT!=2X6kQ9HV-g zjgR+zSEOqf^s7udng)aytzcep&vW z3HP2k`;$S5_aA5sFb`+gRs>S^CxNj@Gxl%4_G(-V17rPFZXf97{5AHU@}If>m*uhG zO1Qjpod{Q|Xz6;fqr`hp`+7FK89Ee-ndm3Qbf{hK0E$!kqnLjy`zmy!BJ56CtkL{2 zf&gFyhETdC6Tk?Z{7c=f7D|1c7f9|SG`C}-OD2GVL_KS@L{0&P{Z(dls#O+wQsAHR zFM$2;%gzA8=sS}DAOUJ&1Jp#2u|F1kt{@fmYf;_%iT9TF^+yR$_yiXD?`4yj8YxLZ z?j`q;py0iDIZBewESlRN{GH5wG$|2$8EFA3&M9$+X^W-S2WyWj7bmrO!& z)aw1#=x(oiM;`j0pj2Sea%K3t>Yv{5HfMiri1zkxLtMSB_aplUZr83x9^U>#g}=u9 zCo29L;9o59SEql1>CZfW4E*o&{5O9fvmSZ)9PgEIKP0;3hpc*;!@88133zH>(+hg_-MqMJ^^c$F zZfgKMWhgaKuQdB^bUBYa*yZeZ|I@K+03n}v?+-V116*MDPa&Vi_$Pk;8sP6${x!hA zkkhY;flh|x{&3#E&-1UY9V$d}pY!-L_Dap~aog{H`|-ix?Ntw%-AA!U ztB131C8wByVr`s{iSc%U?wt1S*;m&)YmaBQV%#)7480$I?CmXYS^0PqL;Z;S`0ZH9 z<3wfljK|TpZFV$>(YH#`H;dtVAv3`bhURfk{j@#CFO|VV+SNWVvJ2`Y=C!AVwzkfy z=rj{M4*Ht+gt);LQD?2%|6%N{@ih z1f-=qB}G_tcStu#ND9*69ZUD#=bZ05-@W$_f6FnMbL8_r@AHm1#+WE)S08a9{m+?} z%h99PuoiDF{OE0}?jVX&-;)|Ro%5C)IaNaC9@rBkWvgj0O4-0Xy+$yaq{-qs=zbUIxCGvp^LV63w_toy&HU9q-=mC6!>_VA!50K1}g3$veOCA#&ozCX?unS}Ti*H&k!Xqs>f=v%7y} z>#Y?~9|=N_#*hcv>*mHnKtWT&`(_~*uG%Oze??f{%?NQq`%hk1p_6jIT~@(9bxhg z&4E6W7lvR}fYj7TX(r_gN20VkSTVd$9;jPfgJTt`-BNU_2XKLNAM{=I#o^7%p?h1 zFKTRtmB^+%jfJiP^!=*u$=CR+ghLeM=%y{8BdBl9<0DsUnTbJwr2BEKvFQL^36o?yD2Z3kQU$6KsPE-S>u}8{Gu;}i*M&Usu z*teWw%p70hSL{r7nc3YL>mo6k*}SP#!}P%(C&bQ-45zvrN5%0mJ=+)SwS*H;V}JV^>tAlct3+6X~ML7+SZie|G@>DF0riXt|P_7 z)0NAnIGRZ7Nz$apR~-xfd|>&M9DTrb*7xai4E)}*w}nsJ+T@o)>_H<5&^F(xL9U8y zUum4&#TuQ4JC_M%}U^oRAE z!vuDHcOT*`ibdT#yt$iig_pQoUf=!L`H6>m8!5&N1q_;>_W~Kcx}d znW>Y4{ldg@0)4QhT%jQGpY0N@LhgbICU~HD3K=pMXkwetU6tmMCbVrO0`q1(JBFX@ zKK&fl1%3P`=ipy6vD~xw4t}EJboCJpN*%ir4N4~NpKyY9hdI!>61{orDOn~t{jGx^ z^P8MLu2O$~Bj4T5j;G+}GrO=SJ4c2&MnqEl0g?sfkW#Y2bJ2#o;TwAyg*M6_{JwAf z)J)GPX7xdXO1*LJ-^gf!+2laV=bLL4O7DE7nOd8!mj1XqYcA{%d@KMy?{pY%5mCzd-=gq*gO;1R{u z&S{~Xy~iUO%9-o90yCYNcvNGl=H>KtxGFpHw67wbsf3~D*vj5@iuhQ+e&+>hTPROD z=Z}$}6js|m^yXRFz5V-NMT2mCp}hIERrK8+7OFFYfL70baFi<@VUj$h6(sw6xg?K+ z)deF$7_Y~?lOI=_>UFjOMJYyPQXhpiDr8R|ov`rat!?vY8Xe!%sZuSf4OsJ(%0_tu ztzQXa`fZ{guA#Hv(=TWn@xbeJGDhkLqss)nI!i>4*2G@K^$?ya7U+p`qL@@uyoVyX z`hBw0iBGj7g;5GEFPzri$W6j*dv_l@>$Ib(!%^)na6UJV z>5~p*?N66#mM|IIxViiGWKf9TL1Yx=aG@h@{3+@;$obmMCL$7+KbE8gvPLtJ63Vy2 zBmi#Hy#a1{5kYCD)!28mV6INYavg&Jw^Ephleb9RUVQ+#J;%P&5$N#-j}&5&I8BBo zSRHPEtx<50^tG!g#~UZNPhg4v10Y()$g#WBzuXHX;ItR;w+pY%;VqZ%HVi3VqmLMb z)7=DwTw%ifWIt(&>22DSd(R%-%)4Uoj%tZwaiZ#!`Jp50M`cQo&9I_J%>r6Wo8dN6 zLF9Adik~mm%`RgSyE_->6S3WXajObpO=B`)Vqr7*@(#Is90Yi*4R*<5QGEtyN?>&f z#XMe=pJGx&nBN|{pNPp2%o*_B372lyn|DCxRdB2vT^%__+r3KkbLmP`cEUUzu{|_M^bL;1M9HP99rIX2_E!MypZoQwewjSqjc1H0lYy03qZOyg>r+6O;et_lH`z!7eoABf>=p(8AD5m0g zD|T-L&e7)r*Mw2qiVZsWha<7&=_u>bUrRccnANe9Q2d9$t!TI`Ev%3<^1(^vwhWv;!$Ph zkJ{>Qp|)u&J{a(%Y#$a&h`Z$x8vC~X-OIOyhsh9$?(KKmvHgo8<;KZQN01Of$Xnj- zAt_Cz_!TXDAp#^`5U#~f=l69R)DRveYTgx!^U*pR{Ugh`QytvIcq*9BCha3E* z0R24SnKN5nIP<)#^Q?7_gd!;n?+Oy!?ut(}5%s~@D!&7XVCk`1zdgpfo#;vLlK8B5 zK5Dk5t?vq|#JPz>i)%1u0;FNOWv7e*&FoO;QDqdP;dPT+Vp#H!tMXFR&|i>Ka8#MV zn7cHf#tagYCT4e=yoY0R^8ST`H0oksr~664i}ID65M+QBh{9tNDE+_&J<{#|X+K<{ zEd1&{(435sb~-3iD$e`1YDxm>A55rhcPuGhJ=-y9{lG0w0=t#>ag$Vuk=&Quu z+{9enfK^>yPh@Ad5)Vr(aJ{6js4wk&S`WCq_+j5(*)IKHB-R_^ER$A3m;fHCIUKjT z`~bIl=ZxBXrhL-D?v92X!Ka*mJ7Tdh@$F+(edMm0~G;Q zam*73HMOdg&=!fIV?1tD;wFq@@OUnbrz){POYjp!;!5Lw8&}|vm9DxAd-0*CgogM^pHk3ZF0S@0TDXW3kHenf;OO zei$)@YvF8f2bf|g)-a268R~w4yqHP{lRaiRLV2;wf94eupTHPy{+?F| z+GRw@3x(NRgI98J1xWX6jL0j}-2L1}A!~|GEgIgp62)T3IR)KFK1dgYjL%@-GR$Tg z?-lVKOo7Ca+ena?g@>n2G1=)rx-;IdEYu~@AtH*!I`+VWCUB$_o#JK~+RH#kP_l3+ zpiFV2&rF^s*ysKZvwDzWRu75g%=POWJ(4~FupJqj3|JG+iC_*9nPKG*vHuljwMdjr zi-_m4`Qy^?vjtpNRzT3&Bec%&AXc*%w_tV=#`+dVoI_}iPunt;z^f>H2?PmV1kIfxl{!p@?;vV($aArN)|bxCGep%?LIg*~ zSTZPEYvpv2vs$@^sUXJs`NJuYC?6~BsKnn9USE9Pc;g{nDu0I%5i^C3wkH9Do?Fi3 zL1*nPoNfeoZSlz&6-)2WM8MZ|qg}cL<}BuRP80i&asEBX|ca!yLaa zqBx~2aqsfA>Vh}SU$9VA9B941O$TpvF{d!P>Bw4jyIl<7X5uiHYcBIz(&H70d@GSc z^}!Ugs$Y)lAYxR%7~-9PYRE!?t3OT;c~`h-xxGf-bR!ko3-J7T$)pu0g!(%k-*fa5 zYuC1{n3QB){OV@lqx?~R5Y>ZugU3*fXCM?Opah{fLC{&lN0{(}9bY!+@{$k(Vq&>0 zq9*MoZs4&ezsL|$yr8H+m5=CiRCJnW33*WVAowWH|MVmGLP4rm$46G0nczNBkd5?X z)w1w_M}k>NC|zxuqD4z%1A^rR?w+0Q>3gS3Zx52ylXBlKAW?i-`W&^=haTQ} z4Oza)5+k#p6ws1^9(hypwfFtnNsfRPQ)if97wtQ-_%+J-Hhrljr1Tc3X8x z8g$|u^ytC0{P3!oljCsUbnpijD)j!Z2U3z4Z85AEgrW>!KOo1ps4Cbp{^%Qh+0BrL zjt0wt5ofq`w6n|st1!k$p9b`34YDCWu(RXLS?SHH5ksVYI{JClq(7WffNly9UG|nX zLfUS2?izinDC%HIf-odUo8=FBk%>p?9D=B9Af%;}RY{OJX!`6hj^S!vKTZQ^oJ};$F4_F8>6J==3HE}|yj6ViF z*`_h>93D|LEkqd@=JoxykpT4}{(v4$P7WKxT3L z{`1_o4;&KfJijy$!=MsD0dZ}nkM3EE?a>T`u_So;8`jZ$6!}pX-T4zm8cYbcUg4pB zk)`EHe9%kQ#5|%3#FX#SnZou;-`e8067*;g?0uDEV)idJ$mg&WW_}0*jQ0@c3^)5q zH!}9(?g$GVwD6w4%9m!cCh~bzT=<};Ofot#f{eRt&r4y{0X|qu0@hCj=7H5AP-#tf zcYH1&U1{imbOpJnOM&N~f!)S@Eg2sG@qQ-1v=nEY?0|z+R;Ofy_P>P%=0aBDwmN^S z&@l>f!T=TL4rZ@3s{GHF*~2$_t%|^^Gl#>HJEv`l(Tlf5?={UYZ& z@#)M?KH`7mu5bMu0kwFomCo{N`&JXT{4hSo_@oI|!A>`I)^zz*XP!Xb74ze&Qzb%! zCrcsE6ax5E(h*lFc2a)i4dSJ)dxM>)%+hfY%?;AGwysR#oQ^(;KpHEf0_?>(@EE)` zVLmvTD8pb+C-F8B=YUjUSHR6Zt(tqI>P`D(Xuc0_$}LHtWL_9VSck3!2;L>$(Dp-n zJ+U!?Kt%&$wE~Xnv-Igcl|h01M>~oMEZMsIXx|?@vS_@s(i)|7dgVUMW1dc5hpoaX ziJT>%3~S3~yTUGH0*hH!f)f(7TIpXtP!427l_D`UecJWzY2*Uo+rd-5U=-6?i{woj z+8DwnN;ek&59q8^kSSSxZ{CgsIr(6SpfPXkc~D~=o)z17M2%&yAX*3WDMlpi8**4B zOVFXp7zfe#VAHMMOZg`;`3rUYbT3%JL@hZCE~JH$X@9tZpqzNW1Qu4kE|vQd1@lV; zb9m_jAJYVH%E>VL4_b?*pha{gP_Eq7YH8RCQ|+j5S%)Gg1M|Xus^Suh%admA-J4oD`Bbw3g^{?v&+XM_&Z`KcV;)T>D1&@q9SAK7Y?mc;Lg=I{-Au+Y6DRmH551mtH{d#9N^ zpiUoNh@mD4P)@EYHs|=*MOYb+GGQd0yOb^b^8A^Ms!ScK8^gUwK|C5;*G^Jn6H}*j zm7j!dqeJl_x75h57kc&dG2P6o56d>omE9!FMj1lR8FDkwM+!~2(b0sv63PcfuG3lB zG57DM2;INggB_B-ioX1?i#0V_IceMVM|Lhrea^?^WL5Q$6S`c_FcJIbW8Lvt%G)Vl zo>%x`bKUP+{8vpy)XAn`+V$nZ!h%KC$pMtxjdpKEd=)wm z@4iUUCfwUH9mpx|Amy}KAXXPt5C0pE}SMM3;201oq zshm0-XnSN3M+JPl_0qTwv>?Du1W?kG_nb9jV8;itCI#w3R<)8amv} z<=Av~zjG4ubY#U?KB9l~Rm=$J5fnDHn5?_mP;34;(({9b@%1 zX*NIT!snb7iWqP`3)}kPHni4T*c)*%l?u(;d8GEthkESvM}af1i|n%>JvRG09MuSE z{!{m%MLmsI1_rR1*sSIA8HpfARWkRV-|TWz!!{j*KU{wc-<-)8-8hNkO|Fp?^E>P~ z+!>?RJ<#iTp7TjzoU~XYcGHg2N+l+fj+496QMp zc@)=w&Kf|En&#zHUzmyT?(`CM3&tn8$Zofy=4m~*kP0TN9X7e@C~Vb~;^rLDHSso? zeAWUV%0Yb1R9eXIY(3iK*go70eweQ0w}WkeuB_sj3}3&FRuZ?97PzXqU%4sjyX1VH zG8L&LZXqAsR|W@0VQ;B}kk^ThHQ9g_^O`WINhV#-M~~z$#VG5Fq`?*9gQvZ&cnW%f z?cjMh)G{BB>8X7h&vLEn$G1OsHmKh8m@l6KI29ZF`aNFNz~R&Tb0w{K+>~8crnge| zb2*5KY*BS#Wd!d;&1UYs+3ISC(LtHo`QkfC@Sf=EDz_xKK+8{uxk)7ML_cNejYT`$ zQ2cDcnO`qtUns9TvSTb?Q^e>-o@;;3s`!~29Hvv1wYyx2Y(UK`#<_~PK*w*QX&|p> z@jaib4H0jjj#MjV!(*zlLg(8)AsilIRW%!@nFrm*W80?-eEdqH-W%m^@`L3l`lFRa zWg1-f=s)+6_;)QxorngoDH4b+e?at*5mV4I*bJH@G z55GhC#6G2)WN3d#RuPwIk9lf!#Us=1Tl@(glWpozKBN`XrFLAUoCJ>!n`?iR1aFD{ zFDU`V%>{g=aS_~0b6MN4UF+DJ=?#n)?v;JTLlS*fEpj{7v739Gte-N+ql+AJ4RdI} zT1FU0niPP%>?FL0H`6+hoor6j&hW`Ay5YCWpr)gFYu z^e_!R8EyCXg|%W{bubJZn~P*%uoS1g+Rv3*qU|!12lgM5$h5FeADzU#KM1owPf-TP zw2iEpq#@vx;}-IUH7#9xhw3pG3EadNmjYJ}T!$Bzj`#*l$7i^gWrIeb*D5Y&aOgh` zI=1D+lOMJS0o z$lIU4i%gtczrWuK^}FJECO_kQeXjI1ngzOdKPj@=Bnh-5w%Hy0#DFhpj;*~V47CSU znolyXMph|+v%KGEG1&h4+(ILM2K*>Jim!esQ)(qbB4e7g06=WvmE@O7Ev)rB6@j;D zf1NNN;|;w6+wCJ9!9gHlwliIZC$5&iwp4CF~?RhA-S=`&ySRPFAuOi8#=-7rCITJx#|s zoL;zdsucM=WlDawKkIm$lHgYI3pr_BmB$vwNpLYuo6$XGQg!hE>tiMXZA^zh$wi1b zt0#JI6PagO*5l?(oXi@(>02>sYmM_!g^-!;`jZMZ>BWkh9{Zs`dqbGmoie+DoyREd zT}2c#ZIb}VX-*%-6zF^H`PrSDq*;#|T}UOtN0_lL8s5*q&Lrn-t1#KL1V%Xhrea=w2U}-8riPO<2>{!r>8Ck2wvKx)~4m{wJ7O^y_J81xted1;!i@ABEja}60Pl^q3=vC3HH}~8U zSRpl=9y$F2R~mscw*u_Xr?6!2n?jMKQ7sL+M4)BI@GTn>Op-*kNJ>QNgvUga0!f?g zOTZRM!6V*^J){9MN1}y!0>DGqziIk|$~QZOJ4jS=LS~Lu;>L0o>PRe*jZ4SU|II|; zr~B!M)B_v8xj;gE%|(DOtQj_^D<<~9_84yF0+3CEXtxH)nL0pN6@zPEk_^;fe61P> zJh=5dk-Cvz72|gsN+2qqT5Fh)PxxBPX$Ry^E$NYHE)u_2aj$$?e@RxGY3dNa08!dY zinrqr<*-2XI*zKSIXAl1$BuC!l>8LiXSw{6;2w=>(`z-Gj-THDD|vrEhPrr>%MXKw z14%d)?{|H~tNMZc>Zw`E7Ahe`nX-@16jR;z!@$sUfuZk3QhcvoE|)#O31Awd?;|;B zV{1RY<|`(_Cjp5}7$S!{OmR<=QQ)eK5sdwx3%LBnsVacDZ_rS4ZNwF@YXY z$-rW!?A~JS%D+t8aq?g6v-X=4hO&b~=B?`O`B@DdPR@{?XZpxH;&bI@uIr1*^-?1l zx4OCY(gg-r8@;MDkwdti5?hP?pBsUT`gZku>~{~rC=rz?A$a$YENCMvReK5bb8H?& zj{RT6u@(nse+!t2OvXbIQOsl^&v-JgB5or;#|iQPBah5eUUAD|^LGyQfaX-5j?B)C zm?DS$H(&n24>AkPIoF;4ML*3W7h2wWN@0UDfPvs6NgQcZtfr<(h$e!W%imB3KS@Pg zx$~CjfB>r;YLEpQC^z(D2>`aKnE`xgZvMe5i3pen+t=WR;}ZU(GHnc+ybbTkH#BB- zg`IawQhRDo#0VZ~!;kb6Xv{Vf1s;wJ^VHuNv7bffLz1!xRxqgWuZ+HvlKIo*KU!o~WVOmxJiv zbkQsqxY{j_)zBZ z7aN2;cObWhG-1<^pfs`d~VFFi`jBfKfe?&>!7E+YhngWvHDxRG2 z9*ajJ&0eW5hjzjusj-!v>6s}8JTG+oe&*-duWeg`*aljr_dSBSiTNKST^q(HB`P@TAP zBY7nBo)=ub``#eDn2=}fEn8q`u_V^oXOp|h+gW4x%^pqeWsNyjJks%Ud~MH`FOIN&LHd{O)7ksuQMRB^zR3*q6NTj{!S{->1AN1BIOVVfhJ&jv0t5{?b2an4hX1l$WIw>I zO3X$HkV;}2nC}X()?fK9>LWR{)eL`MDpx{`68%RC_UBG}?ocFe?`BW_w%vs#GVmO7FckN)zd(h*n3~?t zZu&0JG9UeD1`FxEE(IR#DMcbpH~U>(8hj+0=1nWntjphFO+@<=)~>MPXIhTU)T*pt z=7*oxIr5LcmI$sQM~^MZOwYvJ%XY>c^28ZQ+RxOtpxrq9*;kL80?04-stAdT@Rq$E8r{pK_!_T z&}#5&?R$Jci@Qq@=LV6pi%bpU>>g?_z6(P;KBK)7eVUI{OL6}%DFH>wvcX>)3&RRK zhvcW-@3frK5D(2;b_0u z#F*;-h#&)TEBcYR!ra8vqrAt1v1tkH2(OYpl~Y&1J)v7qc@64p`(4*c_&-&OHi_Az z8Y-1LiCdk^ibHK==jmT*M;wJZJ1SsmYr}!mkw@50OC|mzb%y^<>a_gSNX{ec{%K%; z#dDjnnymXg{4Eg`A1CV8oOjK5;NCOfr0WMY7-o)4S`U5Bm+GG;U7weH%}M)G=zF}| zFmt5t;4wct4qEF`H8|hkfs8-4<}Uzjy!U>?X5M=*s#nn+vT;&nX3p*sM z|A~A#8)RufCUHkXIwSP9^1Wkq4ZjVabFrvU2Wb(p#S6vUBN)k{?oUr9l(3fee=H;2 z{n~;SRzi>L%mY`uA_j#Ue;hs((8vqv4A&=%ju&iN$oQ(}71sjs=gO4Oc^V9`}YMkQ=?PfJac<2Pyw zbmlr0rjJPCDoahLj#f0ls2zvpv&AX-C1vB~nQ}I8Mj#zo%68n1LLFqo`yA>lSZUaD z+8!?6l zXWLmBAlj4%(I#-_%ni$jQ=8y+Rz(qH=rR^v4Y(+#CTqaF7m3oZfNVzN#-?&jMpZU{ z>{luvJ7Q-Z$fI;c+h1G7H)fuxeV*OD3A{EEe6x|CknY~|>2GE^wuwbbLU%j+y@$!g zOk_*dDusX|fFwAXZw2H}EG%LDiFO#XPtNL927uV$0Czk7!*c&KaH(SOni{ySz^0_C zJ_B|{`Tk~0G2Y0`<;lDm8c;6Kc+oQetohaJ=O4`e&ExkXfM}h$bmu5ppJy&d3NH{i z^@G4^U+H(00_FJ=rN}FQhvcr!CE08HjRHj3@F%~e?ak@2)t1E3eS)M zOZKwA{dL{R2kGDOCsH)nMUQy*fx)qmXTI~a+5Q^os=Xw?k2h2$0!28rcayat?dS8_ zfF}2^h^v*oU)K?c38HxnBR*Y?v#Xi#{VF!WR!vQZ*U<%+I^*kUzs?Q^#rqL znlf*pTy2h4@$I=jJy#)t6r(FyZLlqdpTC4BmIm-rdwZi+Qa|xBI9I`i%%m$dRpEle zii!_jH!)Ft*t$VbeKhMv_F~f@+@)96B3TqVf8&R0ndDH|R5Pp@KPR>fCEGlU3=N}r z7YlEgZJpotxI8W7vvSA@UJmOLPg_BDt$$k^z{>kzs?K#cHEygb>v_v;~4lbq;94AqMps6Hd|f;=T+&Bbzy>{wq$*-I-Q z%Bb36~{V)iQN}J4_bO?Z?OBh>b>|` z=(8ZbN?6^4NyicVf+Ijm7Mp=u(Kzzmb_6NmRa6#z9T|qy9-Y74^JFv`4y!^)&6*fN z+~JY#fPLj!Spfs}W*DTaK+Tmwla0 z#Wh}(dA++LY-lh|c{(g*O4x_a!*}1Q1U{f z(iVk0%odbJ29_ByraG!E+L_?1pP4Vo@JZdc_8&KxiWL7SS=%Z2p&ZP%$5+%NdZXHD zt7PhBO*@qhyAzJxQf0VT*#}%jTWyBdJY_uJLQ!AkTedX&H#fOU-xHKgWJ-p+t8}yN zx#s;)ZY}s?0_71!FaAJ{N4jnN6dRXN!Sd;YCqrSQp@hZH4PA`ZQeJDmXsuj^P<(3j z*I8AEar|JV<2R9~lhHsmhvLwUC?pJAVxe_El%v~GXQ7L0BHIto0&nZJG#Q2v9b4Ll zu89*tk_FmQx!$|lJeu>HPyXcSVBv)xfIF{RQIM#I`aD@tOnof{Uvu6@CsvT_K-5_u zMb!zX_KE6}{&K>fs;+>lMjZ-(#rn0ajLSHC`z*#sVYn$hA9o(y?uHC}E{-~430N%R zk0i#$Mjuse!pQCsG*l`8i<8kI$$BqxdPJ!UzKoW!?NLp(6a9utk-yykfQs1|%8)1) zT~cxvYD!#8{@*=(r|GWchWQ?yPJ0|Zzz8YfTo}4cu7bnbEXu!H zM}i3}P=gUC^Z0W|)Dam93s-7l;~5f#UnXn*)f&+8eQXw*CYpDt!FMWH+_e*^ARA-O zIX!v;7ZKyRs+xvhE4aHt4dt@~T-DhEqzEuHf)(szV~hKe-&^`rQ5GFlR0JX{6L9q` zITh(xzUzNWsA%_jx@|DQsoy?-_GCLHn9MuAN%>vMZHayywngRPAKmsiGFz-=-VXdc zv(aSwdQXJFK~W4#A^t9zol+{351HRI9Hvi-60jf3oU%;bwQk=w{%Cw-R6)o83D0O) zz)P)rh5I9bZF&hspWb9Uma*2HnH^WRi<~yF7fL)iVyhK zy@zhDnecA>O=S)7AgTAdWVr{8*0z#dG8GD1DTW8CUBOHCQ~;ap6oU5o7y9Lb_kJ)5 zFSDT~t#;9d*79HsP(D*y#p%!c5cUxqdN7cdq2B(nSI}@L z?0t_MojJq0Rx0c9K(OhaSkYuXy1?^5RIFYT8b!J&NtA?6VmWP6S93oG|Lq8L>ZxKZ zx|sqXmZ&NcwX0Zh< zY7N|^`>Vz}<$@+C49?i-&GKkiho>aPszSom;2176LKxo<7cGmhzej_oFiVr@2{!&F z3!t`n1F}^@SnfOC_W6n_zanTj+i^u)kc6qP!O}~7y8YGHOLVtW*xB@Ag;{$~w|I0m zETplGlps$m-{NQqJsGQO;{6%6R@qZOuj{J$B3?4qgHmg)0i)WDw0Y5s=*#-*&BL1m=6A-4kVO{SWZliJ z4nFk92As~l8?H~4|9Qr7^104(*t!=&@KUR^Kh`KwV{YZhAag*b&_ z8+m}z{(*?*{F=@hXZ=;<4-%~xy1>umHj&)CDMus^k=G^0it{Ncva|S>#kW$}Z=4+; z%XrDJ0Gr~m)rCmtuJa7O=XA(zpa1C|UpVP4O6u*{8rE66}fw6??d|5LrBo97_aiOP6%6~g(78@o@+v#6^_#hPu<`2goxT!NY?M7~GOjet10T`pC@hZ3VGDryyRZYM z`m5{{n#ry|eg-K?Fr-8j{v}DwCQ-VOeuiq@pQ!iWs%s})#3n7P5sOk$KuRsIiPJSN zQ5MDtj;t&Oi_^(4@MhTRVqMU>{wV`62=GP>&8&byJaZ0VRWbB>u}zC)!(D^Av0!f@ zJ{W>B)BB$kbT#!ge^=tiZUJ2|iile>>v3HFwb@S_aN2nl2Of`8W!ln)rQwpCI?fGq zF>Q@%HW#(HgK>+pq24g!#@iTNeH3IxP9O7J0<5;kJPLns&9LoxO|)ySHP9A(IRQYG zHO9?#*oQihatn`4!$nhxyPK-B@ou@8*zAPyX<|Ng=73dUW`S{|#P}mY5Th>4ME4s` zH0LjXF@K2Y1H#t$1{#Lw2dx%JV03~Ov0UY{>piK$$53Z+jTd{nZ1?BFf^Di6(F}^r z)7h~Z46y0+P(-OsP>JPi%|FVM(Rz@uX9vc5s~UWp)K6>Ft{^ zV>7tR(dqg_meJ55T^(%xa_kmGxB`IUH-QEg!lCfYYmkrqKo2aw5#s$yNoe((aE0N8 z@;-arOqlP_%iWSxbp+&sj8XVAfe<+?$7ltfXR+|GYP)wA)e!Ncu<@tWXXf2twuL$M zwNFp4Pn#Zj>#sw)_;_at+7s(@bzJ892yZo^dEAiR4@rJB=1=Oz5UD1G@%A`?6Zzql z=pu9oZ8mdj4k_a!M zKx7^|YOyAy8y%gn|1w#7>bzARwM9Fi=@{}Ywz8oZ|p{15Mb z`gdy+g1-YPBM*m4LL^*?Ln21x=!y>Xb-OwDHfz@(o(VLRxBJI3iV6H7A5?iH`Pkin zDyK0T?cJ#Rj7Jm9^{TUs>y?$O<63A$k8@X*uP(=H?8Td`tzW;EP*m<|FQUFW7R#dr zr>*KSP&p+!!6KNq;S7b=5t8xEW;*c_fe|mMUuyN?W8%1T7YAanFwz%OuvoY2N{46( zm)7m^c-GeAZNxD=VplALY!iM4!tD&BOo?oZnmD9N7(1(;89U3H(nLhiha>{Bn>U4K zrPbFSUQn9(RDz;@nc1*nTE)9~e4*;( zb!y|UW_#+|YPOseeY9`-)fO(%AC5CQ|LDZ~aw{3qxFK8s{8vwDgyhxLQa-{c-iZF5 zVE0n*3dVkrqo=wvM#C5MXkF|@l8L{y6J=8be(t$UwW;;9wrSQR17(Sxy7WFbbn%-NJi_Ly%P zia3@^RUtiby1m3$JtFaEUlVI$4vwk+C2 z#=rTmzaMZwVR8Q3e}%>wPH8bl;=xe0 zi;=gOA<~+$0_Xnk;Cb8kCQuVL{IU@Y-#ldHjUyutQ%=EpW_;&AvGZ1ot6hOBJRCC` z1FRn<%JVNsNqh>*A_1-Re`xVUxplG74EK3;08q2WR*Fe)X#SDja*Uz9u+&xjsjb zsXj zyKQAuC&hDi@mJGcsP@*jJbOMh!^QoJBsn_?yK_?s$bzDE%L#GfenTLW&;4}le^2@k z+0+<$YabgO*OZQ7^zUXBlPt(vw|NlXK1d0a@nKFU`uC*&(5_zh6=9XF=`Rbvl|as} z6mO4ZZ)~Mg!$%~I@k4=ojC@P~mLwqb!VMw(%$L25*xpkt$53T{@;{3I&*Yc1p?Z3Q zdx2aJ#X~UY}4kU1_t;&7~pI$!2e46 zkDPfemFt$OO=~|m^7!ty{6EV5wr>ujA8ARkl=S%FzlDoW5u8PLf8NX`##`1Cu8aNbtF(rjHo+}}JA zAIdSmkzIOYWAW%G3UyW^&Bs^c6KJkO=U)E!hDHQK65HQVMLzgG^iP{U+XBTvlh3~g zS2IkfZs!Qx98$8s{V{2p4<=Y;>?# z!r~|KlvCkQqv%sTVn3B-T6wWXv}%%wP8^Tr0~<|q*TzVp6fI(ABUU06%FX|?0m%0J z`qk|{K_mQ*e&OQkj5N@&Xw5_YWUJjYV2Mxm9*w-dT7@=xI`7B!@_w_#v1rLV)2|Na z(!#p7c6$_88SiQE8YoIK<$Cdm!V{v1etpr9s}>qJZ3NTi>DWV{P_=BN5@~A*IfFo3s<|{ z1PfwU*TI=Vr{lE0F3;CzWM$Q(sA%ComXCiX8PWQlecS1rN!y!;d;Z#(qJ^JEh$kx~ zEhww++bK?8?LW(FA`Y+d8H|x}{ zy%`TQVKGW9)B`C;e5Chje9KRl#_{SN$OJ!6N*<4Xer}RUKl&nasa;0a(!!hbx>mKc zA>VG`*_-743c;lQcgpKKDnDgpt;`~nFP$?D>#0&sS8fT{TR6HWQKt;B`%Z_y8yKJg z7iAjUg+&fJIiDZ*&Tk8filj`4yNzxnOE@bY%*vBfZyc=)$TItL$}nHoN)MP!CAC`0 z<{nPxz%%Py%4ESs)l!3ZpollgAn#oPQIRXb6}#uIyW7K|;tpfB@tGukv-hkTw)?$4 zWsbhcm9H8e)}29K%$wjsJ}y1rK9#hC%=6ifWoG85s{hE7Zpv1#c|z}Y`VH>MGQ%U} z{mO(d-dwlQ)^uU(CFA}sm=5<=GO}?d>UPbb&?pk(?w80?ne8$;gbq3nza^ul{Xew5 zWmH|wvM8Eh!QI^Hqf&>We?jBr&6Ck*|ySuwv@Bj(Cxrm%^?{mg`Z`^zK z4_0?|byroFb@dvPHJ94vlc+9edq)rrlCYC4?k%~O8Rb3k{C2Ne5JZMtR-x$Uzg}As zYaghzS~dgE%HAJqO~9z%i@!a3d%Tl`3!gJo>d7IT9Mo2(QWMnnebZTd?G;tn^-Owu zmDZNu`1m|?qE%=rvOLz4BqUS}KQKWO$Y|iYLQPskqvg^4lJ%95#)pkaV9CotKt>+x z5ifyfjMc0{c3+wCu#o(oeN3iDXee0|d7^mIq&3TMCSeWL&x%Lt^M|@&VHwOPyNvIEv|L}w z333iqwx_X$r?L6Dr(J5LJlWR7?rB5QQQh&hAp58b5ym?k-JLaY^0x0XSgyx~(_6Od zA9m;fra0+&MP;!^w}b&GXBS4ErL{D-SA$?|?1jWMHX*7G*m%aNPTjINN+1gGZ18pHdE-hC-H0{`wT z4Sp`NbCPUfmxkG9o>IA@@9Bf8PLBHHOvRF8{g_&79U`{{x(ehtBr^_1g58Ywb(`c04QQZ2fw0vRL})YIZw$YT9WDzHFV_+G947 zU`^zHc79yc&a!06s(o}wB-h9(z%M7j-$HS6%8izVv%P$rl4271_`x0gBBc{)WooA> zQJ3fb`g}MgvNnTHc4K|#c=#2?{A9ISW5zd4J`z`KvqfvFA8Kg6@65SA)i(Y6Syv34J68KzRk zWrM2>a|+n83e2_q)?Ng4|CxY|t!qdbOGx#!flxo?!;E#`E&c}d#ZG{>(M;^JqS|ff z!0sqchc*T6JsZKMl>75g`t(*k(C7(0)5p;>?O?FU-u2Kb~j3Q+K5qoFpwX~VD@v4v@?@YZ(LKxMVmRXFqz#;a0mS(+Z0xdvWM-M@KW}YzYMl2~`$RUSwa#2DrS)ihLI_{JG8bw-WKRhJCP&amb1vJ;c9>wSe8gfC zye|`o;rCN|89k95fzh>I)_FoD)x&<@jA^D&9W5+hG|!{#4O=zt^%5XQy01LDC0aY5 zF>A^lL_4*aLvCn&!IGVEL#crNvo7GEd{nyN5nOvk(_8DB+lK7cVT`+Vao6Z40;4NI zJ~iPzkMMlU;0w&3J0AR}Dy{e*Y1-{m zLxBizbDT|DH)jlF+-Ju9^qHgWX%ioQ2C$~i&ms)X4YdHz7{$hO*l~0awAJFTFQlud zCGyREEgkJ_Ld=#YvcMwnyk&COEoE5a3rUOYU^4qci^!&c>a5h?uF^Gh) zsL1zy-|%clvh8o1GXyPGL9f_B1OvX^>@+RAQ?BrLV-)0$CfSUD7iP#^n^4Yp4S^~2*No>AU0N4rOS!#d-Gr}C z#%u9XL=ywEA*utN`38EbdV>=vSCZv7&nn7Vx+;ydCKGmauh+2I94oCY;4_@eHcD=* zf+tUeVX$mmgpnwD&Y*U?0vrwOHqZcGtQl-JxP&wZC@CDg7SRb2lUiEkyk`?#CWn*X z?yj(EAFpn&Cw0D_)kL4LPrltgTI2D&?7mQ&_McBI{K9O({bKKeQORJXrS6A=wXWIY z9^B19kqh4|t&Hv9`J@Z}4DAhx#0Ng5eEz6ZT?XytM0`t~d1!*Dq$5L6Ybu$7SZd+- zRa{jWf>{uU1fTq^A@WIHGmA zS>tk+c#Iirt>gvNZ4ItA_a;t3huMsX{4$kCYT0^AmeA!oq%|obNAW?+lqmNU`j3+V zD=wZQ`0+uV@yKsej3O81W2Ia#yU+5~Hl2kCAJ4W&iSn{ePx;ag(rNBL-rW5ht&MEC zvwGYc^lWPWx!b$Q&+p>t`a*|0uFm}ikzp!q+5EYcX6|-^WZ7pp?a@6Q1WGQd2Uv+=)uVzW1rwh zRh=W^$-_lstB(8k9}iY<&e*Nj<01m#Vxt1#)>5|AJTC%?lD|6!E^h8S%6oEzhn+ck zy1NN-{k$3d>RET|atC~s!HaQwxjyR1e#*D{^Zf3(w}EW%&GCwAXBl4X@kzDfc8y}S zKAukXq3sNVNi*W^RjfTHUP7g$jf3q-Ub?_=Mx2cnR_!v2)$WGF%O%~2#ai*D&WyNn zSFA>Py;|F&FXBgWiZ8G*-Zs=pPK}Eau!lC-DLTHKvLmY9u|$HixQGZuuaTQLzOx-M zE`9+;Rjkk+b9Ua*WG-W?d-|3q+D^6g9<7Ag6K-f=vzQH5(>ZfmRnWTlW!rPiuVUV% z3~!s9`eA#?UoejmiyzyoHxDEFsu$}6aAtrRu;d+tRH6w&pPe)u0c z!J^H>!TyZz*@EYte46I-h^=`9S1gpG*xTbCc8*l$cuvG)Ga6^TJH)wt4P&ZW}s# zxR9oB(Fi$YxGOt0hAqC}^YD1|xQqFS^pc>^-3{TfG1K|v?Awpe^r{DwQb`6Cm)IH) z+dI2RXqYl*x<4cZH$cYS~a{OK|L>iDS2h!W}M;mhpLz*!U(mj@iNe$$ma+d z>^g&tVzOE3jW|OW$)*??#$eT$Ly9vg7F;&aAoWx<40!EBjQ!SctXj-I#kiDhGr2Cn zWd|5U_2<%m^>%(62xg*(W~}HtMyr8Ae1+kcQy*ZfQ-uif6-HmOe1Hv472=j7 z5lc$m6lFb3;m}pKYbw}rQXD?Z0*&;Bnnz$w<1=o2RO&p-3fL6I_gt$3@5SR7X*+|A zpfz;ysb))5(JwzS{Q0o48tNG^es zL;dgseNOZw&COg6|Gq6;#%^2cc#|@G-)$_q+IP;7gD-8}Ny!EqLAn$NpFW-) z4BRz$w_-hZef(js;<)PZb9KB5&zrKD`AvXaL%C3ncrR?UFoS9kK2*8T)~g^4(m=st zWKMW}|2{orS`kEFbrM_bF4)%tV@YhPL07W)j9HTw#mK#(d*pbuU1y|R-94~2O+j*H z#RHk?p;i8xN$&Y&WgKe%~s!X~9h#i|^m_UE|Bgb%*C@MVLO!Y8uBp|AX5 zadz6xUowBO5%=17y0x)hy+y*RuRKJ&;bZ1t zuk-31HZLDBb4Hf6f7*DhPzcE@rhpEc*UCa6f?PC|a6b6CWNuywPJI$xWNz4CV zz#;?}$b?C{6))Fn9NjMn#ctO(e#pG5!`^i|PTC`kAU`XoHy-=@5 zSL_9bE*vL`Kgs4zyy{)goV_`V?e?zJr%+eucEcVs_LWDJr3H$#SR!rF513=-5Jrv`F3}!=lZ5JGOcFx z>TYao-M2y46HMjYMaNF3ls~Cx>#AV~7-3TDKU5iF)x|k?xln)ws4pMJT;8<-(_M5u zA^u79%MLKYqBeMlF_hNKv<80>TYs5K!t(6=*S1&Pw40&!JD z-)4}FSRP(>IWz6P&v%p6;ZPP*dnLEUw&J| z=@aC+pfjW-w6FQ@heZH^dl(Ldl>Q2@i&;L|XhShDR^Dm6t}yV$s3F2el1U}gS%KYD zy@5OeQhGOT7xSngFh{(_t~VW*kjIxb$RxdcpetK0e>Z~HUq#;c#i%Z5RT;3#_wv^& zRbZ7oJ+R8;;n%8M7GRYsu*yolkHFR32|W#hp&#>V$m`tT^ZI?Qkj2IOI;Rg?KMj)f zL=80N*A#WwTirr~sg8Jfd8DF-OPBOFav?QXiucwPeJni2G0_<5`?B;zks?tIFWwg> zI++P#61i%|zIB6BL6#@&>*Hp6;!DxDqo(lsY{G|*?|SMRwIExoQ%GOj8wcXasL~GlVZ_U|Z(*054C799V*3C?Zcuj@Rj1*z)00y^Z$hTK(M#vny?3 z&{XNCsR0;IQ>hG5%vRTa+PCT0m_oo<)30^dd%$0I(|F~C- zeba*7QGXa@Rge=5l+>8I{X%%+E5q1}XzmMJxK1<^3!*WO-x!WY$@aRVlYImL$+em!`@d~}MO#$8hUHuW>J|;N4hB0y z8gKJaY$zBfENXrWZJOyQeU}(F{kz-8@;-v|wQs6=nwx1XT47;9JR7VYYOr6Ee+#*) z5@k9p$3ryAQW}eFwPp?m;>OXPrqr_$PN4KfUjl!hDU*5CMvy-wUFcA%}?{^j9Y{qr{YCr{g%>6o(n1L;G(I%MmetU zcW&4~+%{EB+$X0G2fn1NC8LmTiv^Lmh6yoWXr)<(6(1!@5zr+>NpR^42R)L3?N=||p~WR$pEr@!f|DN!dmQk*}kyc$}Wd^TT$vHQSl z9`9cBX5Mw4cd=%pxJ8_`VswVsmC@Q{Q8l&nrhxf+{y_iKXv<AVmX^_&V<&dIYG42l6EBBb?EN?NW1l>!T?~ZVe@zyg>fSvdfu-TtB_8~9CAC1 zichE5cedqqmgBbpBg7-gw00UtEZ{8!_K9j(L?K5mj6?E$tZ(S|S|Tcmcu48sSEc-e zBZm)`OMslcp(cs58AiMIj}G4fQ8v4ohYm+8E*c)yy`R8~O|aDqRCf0Wt2>@W*^GNQ z$0Ql`3UpY4E9aVQzTFT5YIO@dj)MyearY67UWKwbwhvRg^AUHcv=N`*m0J=EWSGE> z?P}Y=Lxx&{KfmMA^7^v~dTe(d?2=OrU$|X=QNW9D6f<+Qz8?`5a}f&c(z)iD`-rRq zh#qq0XeH#HomGXePj}6_&&0(KqnzEPk1rtMO1PUJvm5JfzulgcU2o_z_WN6D$b_GC zAIa=vZsp|(@OIR6HXQpKkylnU&yI2xt&^1_GG?(CrCa-vmHG0K9wx$F~4b0RT;5 zraE_$k;(|;2jqx`PR-T}6YEvQdxlgf?PUtmJGyHWFeca<>WQ_?ZZjoxxgoU7(#$t%rwb9yq!D=Mm4zA2i#{W<&x|G1#urLYsjK@pRr?4&KI@6N zg9EZWZRov&`?*7G?GmBAc`>=7@GOh^Dv1SS?uu@QtZScpY4DP2T5H+@ z$puw~z`9%?PTL;+-9Ce55!blwK4Hrkd|)`X<)J#e zj+4Oif2ze9HxHwR%I(rSdiSYp8~4jJW~mLe^=o`F-S@2B^pIE3i!fiJYEX`~!iOr2 z`C42NKCFN=|%~OD4r*W|Bi*XuWUHUDCAEiJ4(i>C+0mX8577qA!y2+U-a^ zqiMN|p51TODGHGR91oCRI;L3)=aRJsRkWQJL6thcAvzC7{#S>cmWGu!C2On=-S={jKiJ! zLb4Kup_W`mx#q-F;aE%_X_^B|x@5QG56HBduqxvKlO8CVDFrhz=Seymo0T%9=#?^Q z{boJ2u&V$aiUm9eniV_;$u9Pz5R}!jqOKooW#caT*7c1gp?Ceyr!kKk7g%W^sEWmN zAvYz__|(iq8wi*;P!)@$I#Np}O$Xa>h9C=^*vTrAqRSHmX9~sxD(sT-UBGr*F{3uB zllmrPdIsP%vc=#AM*Ij4_p@S3&}eHSFf9n=OZCEG>4UBcs^0OCcI?uWNYs)slR8uo z*vxW;*K~n9PO-`M^4irFWLR}y_BSRXD(++du4EgbeZNIR zEF3BqjZ_7`m73TLtIm7~6#{#oJ|5qa*dZRoRb*I(VAQy5%G{hJ<-WH%$QSL!g~@WtN~U9kdxpIrrjT3N05>gx($C^FRTz% z8WixyEGfLe-HA4jxxlsiX(&9?y~`@cO2a}rlRe>Mli)Y1q8V)^p2t6PzDONE^RmiF zIL%#fOw9J$=xc^Wci#7-!9p>Awk{VIO=L&GKFm)?K0{ZN^oc8wB=4t81q;NpA+u4+ zQN+6fB7zVYN%g~V=?lMHCS#=kPZsk$F?W0d}C#l`of~!|Ce%mK2 z+Zv_C^eAN2y^m#EG}H=pV1)odMD9vz_j8LHcfys_FdTLq`cToV-HFBL*T(c%l4%se z#pXz9#-ZyAP5qW)fWHu+uabpgs|2(Zz#m37ifxfdw$8_zIV}S)e$@#Z=|Q&$1B>}Bgt4Vwt9Uu#qseA?W+Vsl`xoWt77U0*75fG#`8>Q z$Bn%jRLFX#@VTP1{qge5%oVo;%!m8NJ7h$Ilf&@Qs;O;>tBtoz_0qFMWW^$aHPJbv zG?{IyUP&u{7GsqWN36%SA~Ua(En0VC_JUFg$1hRcf?m;e&50L9%v24>KX(m@j31Bo zb&ovu(PXvlJEi5*6cdk71sx9{kUpI@3o&nWEhct9&^ky2@f!Gt>E(uKVGpjKrZ@zg zbGwsRQ(pj3=oe@*^$V2xjr|S2asO%>CLWfY6-~dd)3CY z?rt>`gC?}@hBXs#CbSB*R$F8Lm;>GhzdOI;J-Kmmi-6+{{~sspz@EB zxO5(^)SJEeoK9v9+rR*l&Ct-gBlu;UAS*wblVz@re?oe{fl4hRe5NX55!#2I-; zKY!O%|uO05sbyAE68_-t3D7@Mpi zpY-NV>%P?zr|Yx|`=&??vE*Jg;5+O-@mmrCK)JqvazTFKI|I9qtU*HHN1G#2g2M?_ zf-yl-A;bl(CJ_z92RvXHVMG6q$X}yK0YzeeQk6J_Qj?%1wUr@~ChJv(#No^v6^RBv zoVlblMZ~ZM8!P~vGNwos&RK zrqgj|UIOC5<-l`L$soi_L+^y=H&aHgLMK?Ds`Nn>#mYN@nw;+sKFKZ3 znR2T-0-(Y}AyNpAP4TToSNhzT$K1CjP-YfkeibfBoAuHBVjgB`Vp?zH^_ep_ z^6~sK7J1c1-U}BfW+QwijKh?1GRR#EXJ#Ic(6;{6TKP0rjHqimD5G>?Qhby+;G&q-kM_ zvP;449-ir0y6~2w29Epy>H8^ce~`pA?w5Q^`vzusaw<{b9PYrul1QYtoLp2ohEG#e z`VNN`+gp}v#{%J>SRfh=UdT$; zD<@g*{jYGfJplu{(uC)oIxc4(O<&(@cghfa_1ZjkLAwGcG<7i`5yp!k3YYB33Y2Wg zjt6gDmM^93@vyj4UQ%w)K@5N1lKn^sW}d|UvX?S*=8V#=m5?>}XzS+CKKlNuA?*H& z)IPq7QYT!FwkHAi4C|vN(?tBxbdNzZ*Ky%g)_5gi+7GKT23vM@swO=6L)CCwO-E=z z#7}4|2*w4h*{L%GLTQ{O(yp;i+r^=&Gsk}U_T^SO)^E7lVdBSE$hk}27pOE#?n9WE z$bE=eA?I(O7nS?q(1Dh!Ui*dJEbRb%P{JBDeT`)*c_qjGODWz)l2w#R14+z2lwO{1 zgMG6k?Ic393_CP4!J8tNSk*k{(%774$tI=6^`f%^2ieoOb z=KiJ@`8dt~l!iKXdt2)RU<{_YaPm4&ZZM@Gh}~Yu8q8e9`ruQOSy*qLB4J98gaxjD zIn06TRh}X(TX^&w3#~g64uFlXwGOeCHt~~TdY9D zE3QCHp;|Ldg#fM83i|NJ$zEIQEav6Qq_LDw%mgffG3?_>hy_L~IjQ?zn!PDBJWbLr zN)&v}+$w^uN^yLxc$QEFTGJ^sxi>1_Mmg*%6^^ku=CambKCP&+DvuMi?i`0@u!ZNU0$fF|YGMRWLx2?|nZaH}cF#Cq= zJDhD<0s1q_Kfa-e!8fo<$1Ao%$7|fA!LZdTZxS@{6^idVBnoQv9l@FBP z?vExtSMA)fU@;f2GvpbNcDeFA(O>4=p3%1*Q6>_t#+Uv0 zj;ZM3mO{?Sa&;-otxKiXug`$*66;=XV0{-KZMoW4h7_=B`1a&`N{i9EMfO)mz9by; z3EWWm*8B6&ixT7>J^D_7bAl| znl54p*vk#;-J=|JcXrbfj_QIb29JH4E|~aFn+$tp=`^f}w$c)Aop&j?t@QhC?}<;F zhDNy==WWoW;}>82gWeKfA2;L0#fY@SQdf-sbu%0D@uGZ`#}2ehlks-eM>?Gue?@x@ zMF%(FdQ5g)o4}v5*j^|&MvCo9AF@PkF~8QcX&bUpXQ3$IS3_-UP;KOkS3USLB)eAh zxy@2mU-(`;f|UhhK6S(yCZvdKC@K4i7F!)oW@;7(nmT$E8ePcJ(YyJzonU~7o1UV; zP8qeWU67G)itND4kjfCz=eONieZwpOk&&1A)FZt7t@n%5fupCVh=ccm8Pc{RpW%0a z=iU0XD{D0(O)C5VkJf7n?&fofbmw z?Ga6d{$MEN{J1UhGx0&Y*vI`)9Sl_q=W=LnO+?8@Q)jCVe)saCrVx5YOkMFol5ryX zQQ7l+Mu%zD^6hT@LsL%jo9ylS;GdV>Upr`On$+JB&HDQ3B{}?3+3pQH+1#2$hO0AP!uRtOE6@`2$r| zQA^*}5sCfCF;Q8YuPANhQ0wYtRP`XlhL^ERb(l&W#k zZeAECb&$|QV#FvRS1J)}TS{Z~>A9F)`B$OIAH>_z7&Yt);Ww0Iq3)DrWA4XHq~9;G zq*tFCstx*sAnRJY!G8LPOuZpm=T?O(FOdR|9t~?@Hfiqp5}bnQR4lp9+OE z_|m1Wj6&*a0z{^4JVgD0p_y08PAE*s#gCY0ECVsbYPoa>A12*j3)-~2MfFnEK;8Nh zm;2mm0r+Zs>0-QPP%MG@A z56Z>D*y>s759cLgzn&QK!T7e=G4dCHhAv*3 zW41M59HvwE_G%mWzd(F|f#R>EgMnolTr-UKj@E!OGj50dZmDPT7l43JHO9eCGKwdjzR0eNp+iCP=Vd}(EW6E!K2Y2B;MnD~PwM3!lODgdu6o^z$8(|L zEjl&W8;GQZRo@K%I8PrtLQX<`y{<}vO?S~{sFa4ss_2?$j^ z5B+G&ioa;@L-62VDewEy>NRyVP7t_zDt$C*P#LpZ`QbX1kc$f$=h<0@)njZ!!2bBv zK1Eayo9QH?Tthp5xHWRwSP|PN?B2)%Q~YXmYjxhLk{X`Bhk#{p<9ni&<0S@f>LQKP zW<<-67dDL2_PE)lap8OynU*R3%y(Sj_;JO}8 zDoT&~B`4w5)pN7wb2>)g8ZQYf9jPaOOIc4t-?7n!E$$&~QG$55u5ptaup3v-M^R?B zP$5QU-IoT7$YbbawF%;-3F4}Zrz|Yp)RXAhvx8(s368kQ`k%}~#wXFqq?OvZcQMpO zvaK&!y89;3zfn3vVvg@E`m=OLaNjwwpX@DCh_9a44j<@A#@4NlSix#zRdyoVDZb%&b^Lg&iaT$bpxirlJ9fZC%=+C98W6li?&~C& za5g>GjqQz#w?d6v2qnPR6jkCcyIPpO7CN&NPJnQ5SwDJIX}a%Cfat!7WP~}&>6pJN za=Ou{g9$n9R!41nc}F350(?9_)D5E$JZr|52ICNICGX<}KHe>dmXlMrU>Qh0%il|Y zs0V!%yS|PGNCE5D(0|4d-vA$N7^mG3VCz1sLQLU6_>H6%fT({ixp5 zTSD}p`U<^DUwc+A+df9%t=OH3 z97cCPBcAz=I;w3?UqM1F5yxO~+PB;g~?CspG)tOm4pYR}#5J%+picGisMhDsLZ6_`%8f!=x7ey%j@iTwiAJc^UB}V>mi)?)PK&P@$a|8y$>69WLmXcrfFcwzkNZxYJ5l{x4}tqOccKF9 z2^eZEJiMOdpi?&Rl{{Y^oP@V1%Uv>_<0WhH_zTD9F9C0SyBXdR0K_~4F(`aG@otN& zO+it99q#5t!Fd?GxXY@_`Zr6r! zN_w1S7YIln-bnjgQhy=uc-^1mO0yLHOae@0YlNG#QqI2-#Y^bb!aKahJnEa@(8$qb zg@EE$AM_KkXR!Uya3$NXPsQ4NPB;uow*4bCKGlX=(2q9bwU>dyQ!{NGPs>W0UkB~4e8%1|AlZ5`$U!8 zw!r>i|9``dqQ;+(ExhN|#Tk+}$gtiu($d3OnhXx~aM_Nd6VFz$uKO<5jUZjKPIZf;v| zkbxLo)-hBWLnF2EwM8eGbQu#EJ#c^{4U?`O8}El(KQIfjS(ky|_IYlXI0Jk#wRMM7 z;9$qT(OEQHC+SeS+=C&0{VO`yZ21pc9c3IEpKO4JI*hM98qPrY-DSC2*BXDLkvgrx zIT;p|EX!RgE0f`R7~j)sT=$V=oQe-(8Q=T-^-#k3Ob`$>II`v4017~!bld`Cv=z^A z-vTQo2c`0PaR$V<45l!reUQ3aHGWUyTBK9WfJ&icw@$ACc{+lH0VqIp>vSa=t_e73 z?t!^_R}}z#K{Qd*dw|XQB>;7|AB)mi)x6}l)l*03Q3WKQLk=1%-bFAF95oosV295Q z$rwMxZMQ->MV9JJi*CHI8L`k_M}{Sa`IyCxwmZ~`f%y5EKk>s{pn_MHTN4ew&~sS9 zt#YK#&qV7PlsWvs(0vloIQ)FDZnKAQOp2#v{e`K28$i7%1vXFtF`~oJOqPgo({%7q zFKT)4!4juA)Lvqfnz($ETD|N@Ba`}AO_(GsTcAB6`&~Oz1emB9J}Y`hG!*)g4HPEb zCbhz+9z|gid;?cnoJ+HIi!?-TSEC4&>?ihP9nwyaof)F_ zP>kiNJeeGV;X^z2(2h`XFsVZS0pWpVM^;PwL0T`3tNmTia`%pO4P;xVgg%fpo23oa z0oyhK4UoB3fMk|BP6cYR#&?fV*F{hEd>@bE`&TJ^R*eA>TeQbeR~S%0hHjSjQ;VTT zInV_p5k$Lfe4=C{@kHt21hm$QaS_;}|McL74yi9?$k;U?GGJ$?guS(EQcU*6Pp5#$ zkdYWisMQbY5`$@;4VCgEOG&sl&4a zggAPASOQk#E2;VyO}WBv!f=G|sw^9HS;(2DSVE z(a#Bx$$fKcyV5J^(3qDl^=|SIQo%POps-zVB@OVO9NgeV`5m@L!PuDo<5Y8Kuj%A4 zjs6Q_gAgNnFAo8?QkPx&cfIN5JZL@hT^G;*r^pdNrUS?Qi5jl^b$XB|wIV!XR6m^{-<~9=i0U#AKIcWDrdUCHZA$7{3&;mYg$NQev~RVU z7X=i!uJRD2WiA>`RQh8gC=0+ux>Ue*gYkbI8^G$$thI6}g z0HlckqQ{#Y6j$moEj37DQN{TE{6C?ax-=Dq`V-xP!I zKDgR*bsU3f(+Y|`Dc+~pdlRV^q6rpnH%CvRUU>S`J~KVVZaHkQzZUjTz>7Sn)9UNC zp{Bn*aVE0JJ68f|>VfRi4lZN`X#LV=LDq3QkC$lqLDTT5KZJw`_ZqC%M3aU z%UF!nQ|Si&LQvf{fh{lbZ96$ z0SPNv3U#kCQ7N~|&CBg86Z!mD;-{=^sql;w-;NZmPvN6%+9`u3A6mLGCjXJTd2)-Fy6wD?Y z@wYDF)DY9}!}!#JpDGJuzZ?qu&!9jH!ZnUc=e1CibDw}T?2tJ{fI^wWIw{~9k z8LfAboVg_Eq1@i@eI48QZI-ZN-4!zt(rG&{4l9DgGs$Cb&QG9t38|8L8(d&dspuZ@Fcr?jKMj2kWxKe*@^0gCmz` zOc5teyzuRA^R3)sr}_jF9Iz5c9I%*lu9(zP_tOf{Ks{0hDqMhqMG;pV!T&?fb4b@z zZ^%IoSoB<*DFx_WY|tHvNc=Y^&WSFY%Qe!NcpGuxf)u>D*RBXbw<72P%Kch#8=#65 z>kHCXz}BS+gVTke`;j*#6WjM&;K#&>K!u7&6p>Ug(m5U|27v~2LjpB=*B{TRHi=vH z6tUfXe18OV_h*Fxji}xw4C1-4jdcp(E#eu?vVLlSDVt;s$3Vph<*#A{XtKsltkG~V zNA}P_&G=qrZ7@8X$z?Fm0O9Do2ozF4y0j$yl`f5~(S8*wY`+Q>fazAoau?1vfrT8zg&eFyfPmWpCM{^%TFUx3i6ptfI}^ z>}H%C#WLS0&!Igh_olJk1>Twat2z-&d8$rU3d?{Z#mC0@G#Ds7Vc!7reqV@gM9MO` zN7Uat1EtNM((@M}{?ZWiTxr6nqk8Am%dx?+;rt@i-kiqyFNnY&O=F5>C5L63%rUjPz=QA}1`Ohn3%LSsUHEcVJEqe;unFiD~BXf<);c@AF z!*VawxiFPtMYbq)BSdOr`q$O;VyeNt)#0n*&WD z6c=rX&hjamA}kR@Me0nY6H(6U!9m^8&KS}=D!5EYv?%2qzt#xv=uwbjitnhgJMMl%b-8l3mcm4;8T(5&{C*80vP%IL8GHbxMiQ zeI4@s*gy1u%QCU__LimTs5IsWMSUycK$FoqV~le()rC2*PQ@_2P;`Q0tO*ezJG=eA z#M59Zo%tgktMtzFU-69ln>7E3Hw}pQ{*QRc6c^@y#j7;bE%_rJhz;u>@rD7;|4lq1 ziHASp!2sfQ(8V~1Q(fT354~6ZCEnMe_v%bYM^QIbg`y%#t}O6Lv!;Le22fn!{^2`Y zW~iI}hc9UTU$t}sB!BUZ0MRNP|Fby+=^fU;o73xW68p6|wH?6*Lt{?_T(c7-a!=iB zHOYsVrBx`S6{ddl{-&8&XL{+KY8s}|DN-|hwVi6Zq!$i-t_xG7S%3)!W1LHV@e1$l zi2Bn9_yS6u{=*lv{x4sn{wCEwN(E@mn*Z5cJjI3P-_2DR>K6Ul9PI_M4pU_-R5;7c zix_^!`yz5r$&=HtA>YP%Oo72RZSo5)`5k+@B=#hg{@zTGfxiwNK4~ygNGUX^C)$}n zddHjKT+!4VWt>B()BuLJOqdT=mYy_uCR1_W)dGj4k*zaL2<=} z022Xnz{1(`9Nm19_HvK|UU9`w9kP&ffE+MKw>QQmEdZhyQM0~D46fxk4e~h z65n1_v`U>PY(0=rU&KGpl-NN?AHq{-vQ~(8&cl@4tYfl%*UwWXyfT@Gi4snB0Tn-l z_s8Q_+G3o2|9Bi|&DbBygG=uq{INW+zDe+p@@u{@D4 zlQpMlh~dg!e^WHYg&0PXiFq_j1nq@b{17 zhARbsLI_xo_a}5$`eK~3e{Comka~9=tyz;ipQB zr_D*mmj<_soz3HX`Pz&%&hQ<l+f=bUw62=Qd0tgGSzu-pD%j{0n64F_a$-&Ort2UdK5U{B+i|PfLTyl&!tSZAX;ofoc}iL7_ARy;?#X zBfLhY6(DwcgUHw~G~|CCT_l~2t$xrK$4aMMi;AG^6kw;WGsC|;Wnmv28E}n@{Kc?9 z+UZ-~pzey-XU!^2WEUzg&94ma-(putECXBTwGYnRKB&0%CxM$P5kZ(zN`U^27Wj{& z-R;fL!KDf6D+2rhJ$b`MY9SU4?&PNglrWsV9MJ_Aii5X@8NL5FKZy5AIaC$y?f_MB zaN1}SP8hRLD;U?50HpQsf7SZ@_l~H4YW+Wi{&%f2*{bW*@Gn;ZT1V3UqxGSJKUyDC zO9%qgs`YPLzxu89Dj1*mqQM!g06K+Qz|ZA%NKNY-EZp__!0c%i!LOz${uj}2b#S}e z-iUuK*8AKLXPVgo9@cqKalfBuP$ccfP%-PJKq0KtG0CiR{V%>g|8KsY_HSAT(Err> ze+d2WT2HrB*SY3jz6AMt|J83_H_iVyt-Jo4)^GmR*Fori`ucwc{oi~&9ieD&JwL@8 z@b%!DKfVrY|F6Ejz4qJJ3-TFIsd0EEJS7G25^uK%u( zOl_u2VOferVf|&fo;~NoN9KxY3O=`)_W}hmpBdIsav5Bm!2`_4%?01;keb&w-~;|k z`Vapd|5x`0q5tXL|A%M-Um$(iUjC~|#s8S}U$ovK^7G?8B2nf_Tchn-ASwb(Yh(q= zL$q>;JflUZr^iig5mLtLYCChr%F3L4H9y9eU?D6>|COc|*PlD&O)v!`stAQtP-!iDp8P#%uWimHxVzSo&t9Jb>nB5P@Ehlru-?J zu=?D@!ZyF5>y&q3L*tpRza0&}T*6S+g`Nr1WHy_H@#5dRNX-xwI#^Q;})wr$(k zIN8{?ZQIz`+1R$Tv9s~Uw(aDd{oOzAd%yIluCDH?uCDHxb7sy{Y%Ha0&N1z!>4loK zV|e&8AZEYkMza%Vm=6Pu;ts>eoL%@}(}mRlJ*7s{B`_L49_xSrJoUirOjvjn+PU>jxY?kEhg`nDl zzR4yjVo9n+RMAdrwLGv6BarTt+XCYa(ghPVO6lSsd}H{8TPT->Y?WlIRU|{2Y&GQV zdwbgbzRBh(VtJ}XLeUiUWbQsbGHvlF)rl5OQD~Nmc5kj3z?aX@o6v3XEY*p}0H&3q zpn}uLNNdO&)gn!#TBT$?My$0IKql3Ja8Zl=hc$Zna)Bq^Uu7VduVu?tk$RO<-53Wg z`oy@~adB5#b^YH7AGGLat=R#Bj`U;r_**2G^C+2OwTnf1){#n{>$5k2`JFav6)aoj z>d81sjI>6){b!&D=QsBKzcyi1aV8uD7)Pb^?BTmA}K8+u^MrQtflVE%?5J% zITTVS`XJnTK-UsF%O9y&Kdh10tz2tMT6LwOn+79vqPNW|yxF_!rL~I4zwK**waNg7 ztyZ2Rp=TypCTSx+)swT2OT@60LT*R-MX&H=<@J`-BqI!9N^;czfk+>C$m^_9G z@ff`fA$ezbnN)%){K+FHDOufXd~)|Fq^%OL=?$gU>O?+&c0z4K$@9$iil zVMObO@x7PPzsL9Ka<)`opr^a@<9>HwYbx~rhyTQU`3L^f@`FUDPyhWt@Sm2?IsbP0TFoVx4IN} z{pvgLnh#RtZQ>^rEZ9EL7ybjl4}GltCJGjG33h+q*|`1EQPFAN`+21^K`oKpoBEk6 z8q7b^e0OwbvF1`8MCfzorx^# zck=K#l=R#hmi6%urWE|ssk?s%5hTl^=!3>nEV&gcurOyfP^{h`G$J}fx{-lHAVYFv zY)=kIC|Ddyp~i%IY9WnfF5t|E7&+kn+hOS6bk;V|NXsB`0e3ikB|wH!+h7){ARORO zw4eZSk~(T3+SwUcP;HQ=pyNj)s;WYQo5|agmmT`Fy3Yf%OlF^s;L(@UQh(O3Pxrn8 z^~xR|cBIeejZK!TXK%*yE#3p-QzlZ)0G=TQjGu9$N#JG9gC@YIKn$t@U7*2q-(hs4 zw(*Lmp3o&lAoa^pdYnS#v7g=MppQ{hf`5~jbrKz;EPQ~vK#wm1I1;nuROX~I2~X~` zA<`?SAKNemaaA>75D3UEVU8AwsMGPopUDNMqr z96Hfk42_T%r(%GcT?Np|nhNk-b2&&czWSqr(HZdlRrMY|DS*h;qCreB5 z!Yadnk`>$^{o&?h9R$YK?)`NM~4cbBIE82DD_wJ%M%jYs9l?Vrhn;_k8|S)#V{${9ac_*1ZT=c7j|jd=v(2RQgsgsJC~uos%UJ#=_m_E_0% z)|4|+oA}W~@z$c_qj*d9jG1lbm7z1(a$tBvPKJzTnDO&$46|~_*NRS5fXHMSF+$@` zo*iJ;?p3@f!?VnDOUtkda$XsOyC?b5T^|PKKlx z0OQ;mWaaW0%V)?R+V$TX{W?vz%MGzw%k3(TWy_sI4!PM4!5J@GPtH{7j=Pylw#t<{ z#hQ_;kn%jo%9}1PrYyWr_Ik$rB)o(bcs+gmxR`pn(*L?x(jkif#^{gCkW3JpyY_ku z4l^`?x!Jz#&HJ=|5r!d8hYGIn#X|PGnbGd+?2i7t+ALGyG4{pob%KI21N~;mOTR_? zWn$^MBlzpX=izn!BK~=53f|{oKc>_=>9dQwYldvT0CGQLf}*+sI612chRxEoMecNc z9|)30Z~YTn|L|^TCtM0X)ZT~7T{4{-kGL~$vXhcB_*&qMb@2XU(I%EId$CuQNP2{j z*DZ{1hd6-#y zJRUFJMP_k*p8eFH?sV?&$0|1z!WE`-m*ceb^4zqKmDlWX(;RQt4$rY`bBUK08yhL} zFM9-W5qQbzKw!8G;xop3xTfk#BpV{pcIMTHS6v5@ES2#a%6s&)G85#cK2{gPY^>Dl z#|Xr}o+r+-;yGsszII+`F-!e>gUrnomikyrSm3=RMTaHcCqQQ3-&Dgx=@> z*3i2SeHtKgd0hP>{{E4EDtyL$sX*W?62BZd{{bm`;VE6NY1!WIW2*Or%d*2aI4;2R z>pD2?)0l#f$e$>PdYb%nRpEmxC0$@N9KE%bcc{EFN3b)4VY5(5^+;RA^~oxMehq=5 zy%MexXR(>MaQl=WNA&_?tK?)ChQc?96-j@~uWN|d{U*ylZ(Q`iD3!mc&8+eB*^TCw zqe^}{>G(kG9)NPYg!Btkb0*&6n`cnQ{n$#$_fF&Mo6t_r^FCvS8HD4LR{icN_D()5 z{IB{~)QwRzrjWU(fR`+`oh-rk-$S-goarBMF(scH`izr#nddSUu~`*X3{|XAp?`d# z06>9HPUk%z8~RU&GehrBey;DcTK(xa5<2}6J9*x<@%eg$N!>LezP6uLn`;l^7skuK z-*HC}xL#bCLpMh2N5)1fA3Uqs`#>3MfQLP_x$PH?IR!Ndxf8leqNz>jXZ%3EyhV)t zzs_I7vuaBj-!Ipc#}o)ZecbOZ?=~1~xBRLg8_w;QOaigEbYFpb+Z#D*gLdiOo zMpLif!Gjn_xa&l^KP2nvhhPjcI0(}XSCZ|Uk+YsF!IJ$Es11?S#~`CjIP-#=W7vuDP7n zweXuOKBDBg^g(P3Oy96|*P9DHB;P1GBG!-yIw!NJ_mAtOUpH; z+R@Jx+uBST79hQLmTeYJKe%fl`2wwjLfe`k6?WJP)8<4y}VPnN%m_%>Y*D7>+N|y z#y(D9=z6B5^XxlPZIWKU?=`Ks zy=Q<+(v5bcyRWBGc!`dy(|GaT^w}Oq{-tf=MkSeup_=E%Gl7bR1rp^*ozkGd_{{0< zuAUnD>i2%DBxsqDq2+i3TPoq^F|cB^UzdTL$Lms8YP-6EAqD z{!1f>CsE5adb6fH&fcyFs zGf#}7)Tt$~^LoNJVwjtHcqx|M(IFphW_-`hV)73*ncLUBTl56NN~VpSPOBC++M*rf zJF$sF6EUbNM14NGHsS*%1$1Tqf?~d9I@}u*r7K`6j~?+8?U+!e?K`TP*t;|fH5 z0@e!oV#M6fzt+CDbIxFC20jr4X-K+VBsRz}Mr@opNfCCi7b|RK7K8{w)(_+@2CpFT zyzsrpbHDI~T(;oNxog{j`NQGA6U;;QHnTkYz3QL4?Qg;Gx`24e<^`yoy0R`Xj=bUA z86OMXx7`LFtD^D>G)#C+atIL4@C`(W^=$TfkVw?{J(b=TExBRp+IRn|2Se8zIQp5{ zdTon{uD1%H+#ml^ySqO#pAPL1(Ki8~?3qvJf2o!O0CfwX05@g;>iI7(@~_=Lkx8BXn)`tZB$`)G=jI+{7GiRjyw;c5V{%zF~-NnThlvyvzg1c{n7sUPJ zb09aswKVm}hT>yh>Kl(phUAuk&jIQ`{zTLTnRKf<%0z@e@yOkwNr4uFc~E+y@n154_N;*YK=d&p?i61x25MMy6l>! zegNtHJj!vS)fX@EHWSsC1D?$nRtdJgsKD?#F;UZ*{@K%}``6jgM_VMb+;AOR}GH0^*9G=*Xbq*zcO)SbpFl9k6lrP z@HssU$)lRh#c_7~OGrsiSErd4gm4}Sq&6ii6XMbXhtlNkDXK3R(0h0mbhy3$zVwvl z-5Y;6ilFl1*G<5+*ox_dc&(`UAtx-P>UZKi8oB_r8ss} zolB@`rtxGyuc0K-1f zwH7RQ8Y0GWy?1wN z4^9;uTUACF!=_Kw3KfIZIp2a6bAcW$qAhpFAStWr}h0%_FLF+tyr1Gc;eWcSUY9Kv%cdk%A zG1E|8YA&1XqP=Htlti^lS?I*q%siwHEIhQpTQkIDU;+^IV0!cx&A!XcfRNwl-vY!+ zFa`)^aH`U$uDe^v&yg{ZAPP!Y>7QrQ?#TCCQbNC*DWEqD5W?N&{W}*C!bz?j69f9J zxdzXt!3| zXrW^|8g$xMYyK5N{OZHpzs74~`Xto9ZL{lohOnAeb~xF#JLMdVOZPpo;ARFQzP+;E zh{I@F-q%!=o2isKFQ0B02CP-o)V_V*9H364s)3J=M3^Ql!)liD_f1T=;=f`w!rf{K zTc@X;_|QsZxEspx2tuR6fjus%p9V$Z8` z6^wTMX1hpgCCVB*b2h>h+@^KmQSJ%$9Ai!J){S!6Jt{lMAEP`d7pKg=?(Lxlxl1ri zyGt=riE?=&h2Qy*yI`2h(le-QeV(~jd@*#e(CFHon9MXotiM~sj$Ow1Ht|{^2(z#1 zaKl_NaYuM4Re^0Tw2(*0$m&m!0DHGrcpI1@s4#+YI8thYIP!k5`jc7E*!OxTJ-)SP za&H50=^cdp7-072zGFT#m-Q!zd+{jwjnm;#b1fTIvjU_6A>Rn?KoK~f-fQD){HfXe z$>M->H$&!-$*DwN#c5yQl`#DE@pxs{RWR1%`^so!S!swOW(uJvMz@e;-ThJet|IO} z8>4EtHiDy%XzSPbXs6obh0f+-Gj@M;(=#A=;O_76J5%*HoT7WWK=M_t!>|^v#JpB~ zXOCZhCW>Q1M{~mVw#`dXYVbmBXpR=#KF29XVzSTKwq6DZ&@V9_nIQN)QR}*HOs^@RD$FU z;OOs~p>z~LgkmIdW9^WJ+dR8)kBv*$kvR8eA;+6pcyKYMACQIyB;M?JcQ$!gJ8qvw z=2Wuk0dvEO8uYe>eb_kZcNp_bJQP0)rei36qymc6sD%*w7cTWS-F4|BQz$<&rso%nBiYhV_3ea zlABs43g0cW1hYF|uuUQX4}aZ0!16$R0rv`e@!LvC6Fg}p{)3dC5TN6 zDmOgl%K))45d$Zyp)zEizGmJzkjrO{;OHWrjQ!D3A6Vp(WcDl!S9$2{X}21;N8KeN z2m676!pCd++6beBD`6Dt>hHy;^Yw@W?1*wJQ64UY)n~LvBYAdA;Lzq7UK)&_XT%nF zM;;QdWDmS#C3!|g0EK*g6uFY64QY!%#yUf-ww4Uqq*398lt}41C(?W|HCw?Rr%tRT z@=BFI1zM6b=67eT7J!iii`ZYi8WHF~_5 z32rZUUaX)=WF$I2ecdnz)b^m)p>WKzhO;0P=o&!bXI&TvSf;I|DA8&v2Ux*>h!75y zC>U!x%qK)$%z{8@-O+|Cf;HZyZ7(ec+l*)n=AnGfrDd&&Z$;_f6wj+up4yPBb-`^f zK!qYw6L_>N?(!Uqi;cu&EC|S(GLDVXZkp^3uC2Y&C=by%eD{ufLovh zYfxuZ72^d`F}tNiEM*1)&+^=*G@BU+HBI}NR%2r!kss0mCLde4lRu;RyYEl-fuRPL z^}rY{O`LCwdVn&THz*`I1>Qa0`_Fqd;V3D1{-P1}!xC~8nO zmIXq9Od|U##9AJW2?OYmO;ScL3ujP-pC(5IHa`I<5en{+T*Ybowc5iC!pf>s;we)s z4=N!CUSGSBAQM-gDm_t4F`X5ciFo0ytv>%`dQd0a^nLbl_H=gkc$qsnt(?eX*%GWQ zv^>@aBC2!I&jlVNkZ@nHE=zH`X^tJVH z73MFXuU#LI_soH7ai+drt~{XLTP8TLXUrqi?UROHUE{@H!%@A6Pg!rgYZ>iP$UtGm zlsdo~AOot6AV}anoBI4eK<`p{D|9=JADDpl=r%!zHQ&tw&xjnl6%Dc@z5BROx9A-D ziXAz+HMav~_F_C5`{a^p-7(h}d&hqyN;o~e?rjkIdEUJ; z6q>u3-5tcs*}b+{yNA-%&x=~o9aO?*bdJcRhMyUGemFt7{qx99aN{qIg0;@7uVCKg z^R5d%ru)&^Y`|0O5^?|N)Y({baYxtFnN{1LV#Ew^Ex09jQF;j4F7tUKInh*AoBG~# zjNmDk%ArZub$P)&3|fC2uHK<8Mef!=nz7@m+LO2`&e1u`Wpw&1hpkvP-62|V9QxCx zL&puT^bp!G`53`+p^cXH9q$fEy+a0R{0l~PGkzTOE%G(*l45?&ACn|sG>$fQ%#61) z^lo}T@)#<&jP&;Nw9l(ZzIgsugT8kvn;`-2nZBLhCsQ-n`BL}m$<}1=7yjyhb2;?B z9}JA}S_D^?mVOJ*)BkTasvVSd1O;BWC3u+7Pzh@w*Cf<8p1g0#`b&6i|xXnD6|3fit8xmn3$m$NoJoCmRhWVQH^8(8`6Y1TJx5o z7Y~#H0}pkm3<#S#EdG2O-MDVY_q@w!u+^9QV>*ulzQg~u?4?_mr-(?hSVIeu94GpW zn8eeNzbKimI$SAb=mDv`q>ezz8e>^>bh_V*3LK~WaC`7aQ;udwmlR?#sT5zK3mLU} zava&pE%ZPDb%W_)7!Z)~%$-6y4Of#v+k7ll_b?uQ_4n(p*5Jx&qJ4{zB3Z^K~l(`Rp|F zvN_L;Aex*s>VFZKXT|_+tNAW^O>rrQ{_c9Ch0;f%T{Hux&LVnh{Mf{dwYm3Hqve#8 z`=*OY$hWtrQsG_MUQQx} zGCqNQ0b*Ud$#iYfX3Qf zm#(RDO47}aMZ9dThOHl(fPp+`dDJ@AHxP^OjQCY~7?+d*gl`pF9xvn4i1b}AZ-Ygi zHct+<(a=QUC}3VC5h;h9+GI06rfJWooxaacdVhM41{0!j<;$Z~E6h@?G>Wy<%Hvck z%u{eQiodCq>*|%~)#~|oeAEvzVvw`=8Yz;@6aFO(0R-Y-0!XHd&W={Opr~3lGO>k) zTzYjpdDNzI$XRuu*FVB~D`^59n>(2y`-jL$KQ{NZx6*@oh8+4dOBPMGDMyMJOEQiw zS9AtV_K++(2J;bBn1`h{6WwR`v-zep~iy-CJ3fkFG&};`FoN+F!H1`Em4|T1MSZxlUrIM3}Yu+B6ULmm8$Oq;OTx2 zFuaabR$gBfJNv1vmcT&XZvD+W0(j#5uV0ldDxx@`u}2DvN1@Q^#lMwWP_wXz%luHJ z){2T*nN?a~W6_J6eEJuW`HiQTrR0Z8q-@@3`nNfN1Bs1sq|!$317j@7bOB!6otNwo zlhPh4{UIOOwAAb`NP074X!El2kIvuTuNUg}#>iJEp|(KlFo%MYq&8AT=erog?+u>= z=us05Hjnmgj0~M^!1ZnCyJ}s#4bf{q?j~M24>8hAn^XK7%^X);wB2@daYrqGufQI9kT+a`5xXH?}Hy>TftPiSO(gP=Ekg|E5CG43F(LA*m-J zp%bDYZ|U@GUhOLJqAN?=u7knUaXAyF7NgtUL<1~2$vl)uONix{I(oT$laPM)SoGtw z`X>N67Nlr<+jBbDjmU1%U!=bl6#vuHh^W3jY8mf?CIX1rKViFK4?>O95r<#3t|oHD zt%7JVam2B5 z`hle4E~JRG5pvAt&}%i7I~utkQ4!g<-N?D__&v^oDmUPME6h@v;<`ATgs~tM6opU@ z>8T=>U|RDy@D2U!Rk1${7jX_{FToy3lz0`zBHo%%F3UIA=^I1hSk9k9AuB8P;s}O^ zgF@(&QT+g|>4P@SbWjxrOK22+M1y1;zT*WyVJPyCj}Uj$%gYX@!4<$!la2$U3dh3G zXTW)^7?}JMEPf2?1lau;tV-D;fe{dyBsoMhp%;k@RXHroVo|K4=w<%FIwm0LN9iFs7(vU#r3y@b3hW!h*2B-fUvTW^Yi+A-&T` zS6N^JxDr}|PSzDCT?LN$uV2ln0gVt8%}lm`%|KK(Ddqv63_O*)3xso)d^FqqlUzHD zsA8Y_*W%4d+$@;%LB+5aP-3%NDp5eAaomE{&ljOEZi*+h+u;%rie?TAfe2#?Q!3AK zX5zciMxn8=6xh2TnQU2zDD;Uyq}pWA6nf=n5+njsgrj|JZ=LgL6Tc!N*Z{jpCPGUf zePbT44j(xfuqO3SUTChTK2q2?tY83>OUr|{FY<0%62B%}P_mCaE~}#^1(stRQ9W*wnVd_5!X1Jry3&rW$>uq)SlyA=hFDQe8 z=+km^AzN&Neh%H>mR6jw)2S z8@6nC`W0CGmo##Zb#F!SWAO)w#pR90X>z-v{<~JTD)&704(P(|S77RHE60Gru^c%z z^kj)UR&IBkR?4Wd6M0?M}22nyW|s8Z2B)*-#K6^*spMi*myC0gSz6DX3V)mdH1)|b7aLvNZ#b% z`R!3!xeXKu{CgfGnxpRL6dz!MfcefSpk4fjR5>4Jtq;jcpJ}L`zCoD{F10CyCS=Yt z#m(6xiTZ|$U?ecX!80Ow8_N;D(i7p^`i2mZZJszUJ_>0IC@B9H5`PQxzXfDccobQ# ztUM;QRsCS&*a-k13B#YKy>XSFQ^O#bcsDKlmkBrSIe!jASI0AT^HK629+E3)ryf-k z=Y!R3s0!$;G2WIOKz3f8_6r@UwTuq_!55{Tu^ekBO7;w&?2x-^k}TWh;Vri!)4wS@uEf8`O0+_pJ+A(Rty$ zA?HP*HyLf=Tz1o*>3b-57r+iDs;1crVLlhby>!uThZDNps zG?1Zyok);YK>2{o(E1zhrepy_cczN~{$KDK5d}TlmOB*-B|g#hQmH&{>Bn zunAE5@Z4tJ2f^)SxGDqf@@P~ZDaqq26%8%K;BROhE!;jH+oy^^BLsUe8m`L*s2tV zc_>ijoy`8!L3TK998R{*1SY0X28_o-MR_KehkhH`Kd%PRc#mShIO;5?AHsR8hoZ!^ z(lWS=*tee%GuYQndej_xESn!Y)A$#}jH66?EWfK)h;U|d;csKi!LKW_ILP^u3)sUUVcElLu?d*}hS1J6=X35e z(wsP&ea6cx)$SRzjR6MPqtBDrbM_H1;R}%^rD3;ONMB-(EP4?K{-3bFg~eYy`13J@76aG>5)gB&O%kI}>ZP8IBHd92F?7o}0E-#d^n}fVB{?vLfm6h{9g>bzGAx3Vq za70q6DQSjg^;wfvH!8}s$%Cjs^%59NJ>LdSGnM9slo2uI>63wEzJL=ROoELcVnXvW z&Y%LzdCJEEHFHei>fA~(5f&k@!zGG+=cxE@xl%-Ic$5@Yq%>6&sAMS)t^|0G+x+Yh ztRl{<0al!hM9KhKWYAFvcS9UZl@PAjZ4M@sG3Muq?4h)WTvTbxG;AoN?DgO3^W60e zpn0m&dPB^19xIik%5tM%)96sf$tdK`(I`a^IW@A0bC#V679*CTjPp}D(Q)|d zHQNyEEU>&%jt4X1;yaRG6SrEcMHAr5ChQfTq-<2Mda3hs5V{O)6otaS;8!U4yCtvD z#>|3B*H+MilpUGTs!xm{yRXIQ*r5em@2I+lr_1{^=zKD&C63pZ3a@drOG7A|0Hc_l zA@j68xB#b#IM8&ULF*Zw-F49D!WAQBM;#6Ro(M`qS9N@2*jk3}m9Irj?XW(bH@IXK zriq+{^ZzDh{IT#}93+{6sB_b(OwZT%os+=Ik)(R6TRF)PEEjO4~gz1%%r0cskI znliDa&6&jUuH{dYQJ&g7$>S=$SjkXdjHK3g8dtYFlq=Z;X_A)+GLm9aCE+4BF(-2c zG3lI*E&*;-F`50+RzdP;I2sw;qL}m*(KG|C>ARfBGlj#cSu0pAJ{H?BjB!}FeHwc| zM$_)DF+uXEAmvG5U1cI-VqLuRxb%Lf`gSM#3PZ3eaupqpZJ+QqRW>Va2 zZVBwLg@!3@ENcl0%k$1OeJBA0yb((X#W3uRue6frkt(OCiCQ>9>WwO)I|^SOuC4f6?1p2Owm+a!dn#sXV|xBywH}t4(Hfi54w*9Owo=+VH&3x*(0HDXY-UWTDuLZLq2j%Fo(LeTmDLIWN^l8|EWR7Iu}*xn2m@gMY}W_)3mFFcf=+>7e&rOTHS^+vSRzA z$tcvvkAqbg<|Du=c&DOg^c*gVcF8ERDj`kN5c0M}0ux$CieATTo@fKsWUdu3&0>4N!lVypK-ZZTXP|*Y$73rk4*YvB z++Sy^D5XeEvK9fMppI2J?GYfHXYFVuD-MSA^L@`IaU{?{W0o$JM5dLRXt(*%RIFSC z2-O3hE=euNs6k0uJCb91UE&La#Jh(|f8W=%-Y);Q9Z|5-HA(NfSSIff%`$h(RW;lq zWeK+&+4x%c`ILC|ZsM4E(wlp99B(V3EQNVpvE?5633$9w&SMuXpO){}ek?MI-Evke z$>=z|pIVw)voh*9Y#qaf%{qFHENraw$xNaW1~19R+DMvP)TuaTAkMiOM0M=~F;w+J zDm?aqeWnz|OA+g&fyqvb%_V@SZjzKWkkadhw>+SyM+NLIb$by&*uV~frS2byIAnAg zm6y42B@1wM96sDfbkydxkN8OwUMiub3al5C(Rq5@&}Tr);)YKnPn;I)TC<>Pprorh zWB^vh0@{|pgw`{Iyy^K^$&(+i9&oJf@Vkym zYv){&XKV;U>%JZOuYxjsm69A-XC73tR*hAdN%h!&owqegZB{G{Dau{@7*|?>p*Gqu zYSegv(dZ1*y??5x7sy3c=_=ZS`v@!>0s6)Y|5Y@*%hIe57%|b-eOFBlQjLr3Q;jPh zU+UMw{==vIGx!)}b=QcNX?hu^otX5Wm@{SYvxEm8B^7C>P(C1H`f>wIhODd z7B4&enEAR|R;#@{Y@4;;uXmdV|2a1w>f>Ji@44A#+N%2H0K1xSWFGFn`zvn`0c;D8 z%O8VFU`F_R;P2aAdvU#q{)KBx;FEz6LUYyn=v}zCPs1{%eoWUeKpYp*||*;pNuRd>^oT=VI2*rL=Yg zx?a!pn~{kTB^F*j%zz+h4?I=8B-@B5?ogIDJ{Z5Ak0qb$L{UK%9lm29GDaQtb&(ih zeI0(RBPOJ=Cv&NFq^Bzk4w?ZL0&kJ;!T~e4f)se(yrqr&- zDDoR*@bwDgv42YfRJCZJ)PLEYhoQ1Do|+>T!D_%KG9ac%_H(gIb~GuHz!n$JH=syi zRiH?v2ck%U*}x|vgiD*`hgqnrk!Zr3zG-7}{z?!e5$lO#e)E1jn!}v|4!M)2@kv1J zA}VVWPE?A-3PPjZp7{3G1xt3OOFKWpc_sMyvfSJCVu5Jf#m5~=nA`nw{iwYu?zE)7 zgY~@yIeMw-XY&+6B4V$+BRR$hAKEHjA$;@CTZq9c{k@UY$O^q@K6O}yJVF1DgRc*s z_dH64o@cw~jmx(ch2`<>*3{hL`-lDLm0thWx7=E0r>vQ@#Z@)WOd?1EueyXZ%bP9_ zJONpF0#`lnY-?FxIf3FL3S}iyYAG&=z!Wl|{KVS$WudC*- zt1_=B8!*391BGVQee>{p|IA5iwxWo1tIGl`xwTH(C)9{ssXa*~pVCsHk7zf=)O2k{iv+ugA2n zt^R8vPqY&TgF<~~h#H*{>vp};;PB=4jw{-eVOrPY*LR&Z7_;1Tf%y5*qg}EFE2%=yX-?xz;Bh&59EwkG(j~%8I*`K zSMiE<#wi98icHQapFT17!gb0i29$sr_xmC@rl08;rv8x6O-edruJ6#TFtlhuz5_$w z_d0X~Dl`}-v@$R(7*Y^8?sgzi2CBYOS-^bGB-d=y4b0@*ogZqK6n+2o1G`)fxy$+;{2b8ay&Z;O=Lx>HveBPL8 z_47kSFd0caF;5R)hV&b1;GkR*dZofE5~<2~NEb}jMso99OI=&W$+nsn%Be0aY^}a0 z8xvF?$qI1>l<+=*2_uKgR-p@Vbh@=yt3>_eoqGo@xT~u$Xy2 zuZM)bKZ6kFMvDURWegCF0g?F};%_idV~2a*X2y=J%a-0G*q~E$Dgjx028g`3b$fDT zC_+tOVuM@K2jhd+m5(Yi&G4#o*IZn=9~FGAa>=L9#8TuHdMSvbFE)4dDKQ*#NEm=i z);Jx6n#Z9gm38cixaOa9e!dwE<#_p6f_b*+ViZvI-{|0DxLk9EuwhBqbw5Qz&T_rH9Dl+M$fqGuPsw<`nse)cr_= z84A;N+D=bT%Z!v)(jrcmY!5X;87W?PxuEvj81vPTF8w1JeTIhXwis$`r1zVGC(`-~ z4b_r8*Qmb+!cq2|eWD|&O+$hCN9vUnMX{begKm#+8U=XgRj;r4SoKyv}Eo&9Sdy}inNvZ zs{+FCp;g`_!Yy*a9@@J--W0+u^ydlP+r3T}L3t-BKOgmNM)$G!tMPZPG4hui-N4@U z|B)n1+aGZU|8z=wf3BH~Ptr;J+fl}8#Q9R@%j_m=EGPJ?NKpK140Ff)gjX)l-M~>9 ztf2VBhmaAnB1cZO>41%f7pCt4AP;xo_s;D1XRPtMQGVkuUcm4Ze*qOY5oI;0P%->=r`+l`6o z76vjZgrH8v_Td;Hi3Nhgec^^ngb(rK-~y4AJdk7qGK_|!-WIJ)31FIo7Q(9oBSO$1 z0tKXe168CEL)`-ksqDszXuwL(0 z$&ie5@3=4k8}eWVaODJN|39w2G9ZqiO_SguxDM_FcX!v|9w1oI5D4z>?(PG@-7OH@ z-7Vw8o130sPn&bQ_nGXX6P-r#En?R>U@r~ar4O?SJ34hWA1ty^z&HDJe-PXb zrl4OaK07qJ_7tXyp4cP|PSmORkFaCnH~&>s;Op=cy2&Vw$%c0E z5!o4_wtgJ|b@(?y3USD=)SmDr*iqx9P*?@9@`O;md&YiSBg9Z!YCJG(A88U#FU2`s zxdq>hBef8PX^+5>Sl5s>KE?+iCHbkyz6}$WPlv(FklB03 zx)y{Y+VdVQTG?2*HjHd+?paDesb1zY-?I5x@`O;KmS+aiOl%Nm*yS*wG84^)|66jH6AB5u~X!IWtMLT54 zq0T=BLiNq#>g4a5ABvk5S5f2Tgpq`wK1Vgy>a}Y)UQ~Zu1nYcj6AVt)G zZA2Kc7%!44p(F+d+vg_$?t~MA59q0AU_>fr%7e1d&W8#y7=uYG;in8SfZAoX)Oi!4 zOLn(|$(9-P*{*ovIp;}EQ9R+)fTgqbnMkzbjK7Uq`N1&^^9(T&*_+3WuTIgV65| z55xW*K}3Y@|P+6zAbvQqwlD<@FBs75x{&Q zXPe6N-(nruhlSJ0#bO)Ec+GN*T#~{=HoV9Ls_-zymPGJUVl_?ZW-xpS{bU9(AHtL^ zW$_Vb2>gPKOugj)SW%{0@<s$x!@)lZ>pwkp23w zqiy_p$+)3$0|)+lPHZEIDoBDdjcER4I;&Y z;gnY+f-eP3J`CD4F2o8%xPbLDW-x?@8GWO|R6lF(w^d~Bt1oVjr>ojx_15P4}2_94ZKzkqL^1pdntl4`jAOvjWw_ z9LxsVFGgP(CE1FGp9 zr=C6==<^&@k0P7c@?eS_5&SX=5S~3&TI_G2LT*$T3gJjGt)E6nB&J?S?ciSHD6OBG zg+O`m44W@2EnWSgb(I|+8X%+Z{mfd{fPse^Er>gBJ~3=vPrLz5j2E#XbOZ3 zU>i16nw&ti#f$^UQsYoTOv!x6b#GKY)qjD1{gwsg#iOu-3ZbF47NVho^kAXmN-Xm@ z1>e(k92}iJJ50|ToJ(n+usVVY@lgZ8tbzW{EP-OGh@wl|3Ee+wVatS@8n#(w=K=5# z7%lZc8!i1sVLh3P>ITgZm}x<6u`|GknZhKn2@IOBN+YI5fDqO2{c1S);7yaF#@&bp zc)3r4;=4goJ2bvzzV&|fTHXzTcAVy z^Jt;S^v45_s9XZNjpKH{fjD!B;1B(}e}*H6ib?-8Qb~Z0JIEavgpIP7gn?ZqfMGjI z*K;%nl1Pi0HGDt-l%Hf5+r4NX$Qf5b(CH&G3VcO!L z>3r|?jCYyY6EEE |pqlOrMg__(*RA^ZRtH2k&E)k!*xkWCj)y3z4+yKne%vA3hw zyMs&iw~%qO)Axb&#qD8>(r4s@=R&RL&DPFwv+onSOY6XvK*(06&&LxNI_WI8jX zc=qh|4Tz^Hs>e7R;i03m4Xb^x3P*oc`hBO;sAAPwaD(LLFSOM5^HF1573o#=r@pL|aw~HrlIJytI!l^0;__ft*xiA#6 zV9*{#MjFC)qpJQ|%36&LG^+MWH)E=>Z{_3?YX zefUu~@|O=8Bd)ocoe%dT&GVn=+TkPa#nXFBsxSV#6>lcx3J#me0=1xXgki&5>+bBB z!LWiwbsF5Mvd4N<-f^*Rk^K9U>Rp66G*zm<(Ww$%S3|msq59of{fKLIiI)_I*gd8{ zxU=QfY)ac5(mqMQ@dJE;^MrAz02^_?y`3s%2uc1eT<&#qm2B4z1DCFGcMVni&Jf-? z84pnU&-x->r;dU69d&tRCK82X^k@6hzj$*k4y z>2nQ<>h6E57`_lsarLPQ6-?ocOiFtvPqLuuKDnyQ`HDjY{|ou(-7?^syMFTW7u1M* z5Q@$A0KPBF14Wy&^>Y*-5)Z=L-L73U~2-zSmc(x6zu#o3!pZ6w15AdFZs( znzg9U=ycP<`ivXm$?SMnQ8a1J^}|GqvSlEjr2>491;s88jVLb%QBN1n9QjM4j$cE; z^yUFehd)vL3M1PCcE#-1OJ0MX@cChVxN>#cEut9i7i}n$QzX$6gI4j#_fqbE^rs;l zMZ%eER}uJ$n{_(V(C7>wWdo6<3T7rs4lYWyaupjr`ZW}sJmY$(nnT4jp;0dggy>JB zBA9avu9k@^wa2DmWq?UDf6E})Jn`w0nn;A%e_RvWg)`T#B8Ia;Z{j+G5dCCiq3E&4 z`z-^(qZYy5+zcnj7Qs(%H4ytF{pS6%_+lSpuyrqOT92280W zt%A#1X}_vC-!)i<)%O?+(`Ct6^%i~`q6wBbFN|~l?Iz_@QfeD&qr^MpC_bzc0jwzo zngRzyolIMY67dCs{acd2qvfmQnZu|N=@pw3I@4-UK@qsZV-+f#5n0kwsoKpF+=L|9 z^~U+I{K#Yx;Xez|yT9FX03$_~xbE&WFKt5}1L{ZtdgNZb(R?ZuD(ASoV}Yp7>L@R* zNInMniu#S2x4pkI)Z~@sCwA0j8^@B|uO#p)bPA%Nu0rJ5Ca98kUhq<>sZkQ#t3=rK zio>M&U^p_ZhPVvuh&_rTv1th0j{TF`Pp>8`T2QQRw_DOXX*S5obllsY&xir&w5q+s zG_11*r4Ksw9o}Q|a|K{YoE<3H$^`e1$xdyHi|2zG{Lg90sWMXTbfCUBws5cU^@C1Tt+IHHhmZ)$OFR-vqx|O0~kTt z^Me)gg7jsQ!<~7ZRCyIF8kz|IVN`zf%ILUUvV!F;?J%ir0mFPtOt)yNuecS}Q?z_--2aDj2)+HS?u^S-W)XSq|QLI_qG~c(N&V=CG`A zt>4(q&<2I~l3;^P_N4mRY4^p)8Il}Z;m4^Bs%lgo_K>iS4ZESq?dYz=6-V>g4wPhn zw4RMY4652yF!$TAMop%d;?5+$N47~A*NM9Bsj(~?(8fBhhf~*;2y6e+c>?6?yKNk)eywNX%UQ=&O-=)CSpML%7B`Ez>{g;N zfZzZiF#Bta)*++MAyplFxSg%)x;MiL$S8i5(P$|w3a4fao+8Hk9o1IOh?O~7dUSGg z!Cy;$)F)kmB{shh^Q}ADQT$qcq4n{&t`cLETiWN_GxV^Xuzhx9S5+24t3v`NMhChM zG&tH;+E~0YnPIF$Fq^@~fSJprwJ!|q0td6pA!QmJM}bnCQSEQw4%6ot82(}^i}gH# zH-OUrb8R8G4U0Wg+?Dni_uzjuxA^hsMT(MJZ&v8#wU6jZOK31EdiO&+zlWAu&)1cn zB6g#nF@P`@w4z1&x1L$!!PcHh=9LIA|MD-USl66j&v^I-&AVuDO1BB~ND~;xkWmmv ze+PKzl}0Yq!x1u!;3>5)v4T|>Ebgh_$6-CGuQADNJ*O@`ozv}^U!X7R%4jLf0BBLJ_Tm57tUVv#0`ZcWA&G+ zDPZrhq7BYJH)-7X}yYE6}=D#|Q6^XKJ7Mvm^AJsH>h-MyBEAKJlq$(L;8N zfOR|%t#_Jai$|QgKBb>6niZ8RQd4Bh&qgcoDDcnpph(C>Em06Zgo>m(^{7oG#I(c( z9%|6U(<%{X*R<6YQdU(O@tdHK&G5}lxfAc~`lMqSrVb1*mjAqHW2~`^B>FEw{t#zf zqv-_$itMtV7alk|pHks)ztYjplp-;n!|3p3C;Z%2&z80ybMo}nHnM-rkXjhGq{gGOIA+fZg|tqIOgr?u z5_c&1*f5u1OCwkUF-(kv(o=j4V-INpG;Bgv*k}Z4vgYsT_JUKCbyq{5!|4XdkEp0V zz9n$NjHkdQz<@Ua_YhEq^TNYANFcGHv_r_T`g*AD$dPEVDVS*~ORr&#W~$%z5a5M} z-AnZqvRhKV`2&Q4<^Us#4f-fN6lXNcLYB*WVC7uf|>o?;1~I(&1M8Ai+= zRYC=k0^@`zSNzZHJ4|B|2_Jbm@+C_}94GPGVDh5SnnV)JZagKbpzQ8A#HP6(L}2?I z@w6j$^mnet8A0SUu!O3tJz!=Rdg=M^J=j@6HCWn6-OBoRN6khSEcZ$WVDj3=$!PRfD;VLIH3eYZd7B~h@Vse<6w~S3{Ivi8=#%AItM!TF(@n?DVG2g zX0>?-#+Ufe)U!Yb@;AW?H=3o8PD`t|!k}an43ZoXWlZUj@jx}1#>@3&>%ly}O-GYn z$~DG!^(j*(Uy6W&W^6OV!z!6a@L>_oJ z1OubP=lI3Tl%^{=r6S)u1eLH^ccNaWac$bCHqw4db=^`O)c zo3aYnVGI#f5nZNAJID%ok;PzuAu^^wamy($zJwVdo<#Mj>4*&DL_g@G{f>eSW_MM) zQIH(H%Q_t8hsjNCNl=)a-pNNeX)^6WMC^T8SUodSSO*LM5FWI!BEj8=qa~N>peREK|*?)%Yqn?U~X*^)K7D3l*4dnTM{VRI1xZ_LZWb_M(tJyEW;j5HL_EBkC5 z9pX<$12Rd7MEM9Z_JHpS`YAf~lLH+K$E8k*{MG>&BK@S{n-(`96MU@BPlf0<@ZTf& zjQ149m*AK%yC)0e5Hls|f>>kC$#A{TkD0Io^z61q%|e^8XBnpMsxQ7wX|#@6@J5Lq zeWzdk93%S!~o69dc;X_gw%>Cop&HgPU9)VMk2v@q(~Al79{?yT^wYD zA9E0hD5|+zdM%|IFWI-U7-VFn5|*^YI4K*BLS9lv=Z-*tg4rEI8Z1i_&=Dx!_eL@{ z57XE|6x*YOcbtVb5s_OcF^m>xTa04q>N8R|3u+=FGuZ_lef!LIz5yWB`+!A#~H_kCDa4}sVOIkjDuTR|B5C^$!V7g3Uhhrw?!oWH#J1_w(@ z{s2aN%ZV&ze-NF=d9N__dN)9PEn?AluPdXvPs0sY+AlvJqaxQR2!h{XVGQd557B)b z3ze+71Yvehu+avw4(5^B6c%*EPYPlO#GZrvbHq}zPS%ovU}yZNaHwK-n_B_B4d`HV z7lE(?MZozxI-`A=!`>{vbXrb#8$$4AB4@BsA}1^qu9q-l3ozTpbPj(#U%e-=WFZZ$ zjUtCAa9V(V@{tBMZJ@JiRi!%Bcef%UmevnVbWaUuFp%%wm~Q&sRjsyamxlZTkr2EmKduisyd@d%@8R?8TKEy zlA|>CzMEHmz6p`S-2QHyCi&=Ub%vGd@ss%zW+4KB1i#i-~Y!@GN zdqzluA@bFTrZ%d|1$A_`D?ZTeZz@E^NO3gFWWPo*0fR4WpG^l9Za||}4~LJjxr_qg zSa?1Grdb$)m$9HDyVqfv-=U^Tmxb?Jxw~I4d-F0MqqaB&f<1l^55er@&PHVVBi=8NN%&+F*RZKbk{)scd$ zS(VkfpCgiYb!0#J)?&xkD+|CCbUc^q2%2wTH+fwgRRrO`y<}z1X-3y)7h`n$Mp3>g zMVTs$>G+&9e}#8EWY8&)j2-vf_<6U1v?hbWh{9M&zJ5Pj#ul@~XtyD^i~^R&0=#@F zK9%;Cx&Iww%kVBu_xPd3b%)BrBy6g@2csL}yjJ%({%gx9&~oI)=oU7i)g1|*{oA4P z6KIKWWptx$stCZ70-p5ELeFlaQ4ZHOa^S-Ipm`X=PG+9EUZY_9A)o5;p)( z^8G0S7_mFj3v$sBya_ig_drK0{)fV_RnU&yP0T5SpPlcHs^x^C>uJOMrF&`d!O+M1 z;d#eb=FOQSYf97+2UM@0440a>X zyj~W0L^`}3Fj(2WFEV+4Z*<*NN0#~CLW&JVe)YqR%|1Py4dX464(QH3owaYdP7B2r zth`*DJ*|=ocRoP&-&a3B7Qa02AJ3}&wm5s~^t{MKBi-nFxU&PEgPH7lx!76teHaXV z*CT=5R?hP6X*o8eTD04Uj&t3}#w?)m zGPqx$d*bxoYeSRZc}UfHiQNveYu+Fkj9O!*iMneZ9rVq07#LRmgyDN%y>Ct;qwBOw=Uy|(NNV8T>v+jBup{<^6-;r^YB8v(;Xv~1kQbce~=b%n( zo3iataCn%=lJo0!0^cg7o~=C%avB5FjAQ9|Mt1;)ln2%z3E1@k-cKK#xnuCu|JAro z@b`*5+H@oO{2f)QcHj&#-Ie`_ehE zYlegb^j+`@AGQGAec5$!{Qt>Z{*gU6N3GcL(U2~9p=H+Zefc1)cgaO#H<`i0c9&)M zDsA~1Gci>kZ52Xba*<#YHoWdqc}o<}qSdi+Fht_;PYtaOD#8l4Egh` zGX0k1Ij%e~@AaHs4if5HKh?xY{pfbsw(hbs2TGj0nxUq-A^dMOp7ttk2?|!OY@OO6 z>jy4B2-j`xNPiO~rbb4P)7Vw_wDj$MKXzG-RyX}1JbB0r7{bx^<-?~0z-LQYIFlwC z?J=r)zoKmy+ow;j7ow`!+*=>9O)iS)qZU(RHHlnqx*m6S5?L+`x&OMzM5vB$uf$`2 z&-iK}z^8{d_LavsoXYfu`KiJkC;{T>K*P? zkLY^xPdheE7xc@)+egVifu0FmedV9WnY!eq)oe;Gik3fmOW^VnmVYBC>$Bq4+TqlQ zpS7tNu_|FNUe?K;RS8zAuaz&(m(SkY`;xuSLRq=bVwXHL>icw`{qf|x+a1TbM2`&A z^BuW2+$MR>)eVA&Rulc-Q4!(i``Fm&?cT+cs+arqKTodX4UhATi(Q|d-$Y)yRls7Q zEQnCHtujvk8IQ%EcBz-_4fQjZyvd{{5GLZJ^ZD%hT0n97v3>#LJ@I7wA_@i#iL=mK~b&ni1t7|nL7?u#1gl+I|~xLPRCOY zvneRU#pl1U=sX|ocj8ZAN6)9~>@QCJhC)*^xv#m7>yxWt?w03xKqEUd@*EBCI_<5@ z9_`!n1!sg+s)$>GUQM>L!qGSE3B_B#zL6;x4rg%M$4VVG9sVvwnH&;sSZk=Q)>YQ( zjM+^rwPTGdHcS3?E}hddK-x?=M0py6v>Wef*=n^Kva8-Gj(ihMs^cHTQs7-^ZWQlf zxysjJN0iMo@RZ^sqI^CUWT8hRRXt^ro@6e*Pi)*2+0^`Tb`r+{0V z^*IizzH*abVx~IFx7zu8Ge^Gq`J~xr$YtE4?!uWY_k(x6zgP3xtvqntf(a{?&ELx? zm2JIhrJ>3l@O1x7jdByBTp>C0UMC?WB|7o_MzU;C=MPrl#zI|CU;_2i6EB=i2v1_{fzRQ{^*sP2Y5|Ase2LHHrwH1-^IO-=FYKw zx`4~d_Jbm3yP_hdc9N^4Es5`1oiQn0udwY(jR2Sk-*=4@0*QYPXTRNBs8o*$SyvBP zw_B~bEbyD<-FV~^^}mjx__2BbFZGd}4?}AIpVri6Ie+%g2fxNRd?d%ObL5yslDl=! zq|7eDBUTNL7O{0Kd_N*7$NtSmL+{k1Ig-Z!ei*|DuUJd)|)e7bJVp89(c6^QDUT zT=uf;_&!_?qAkYA{0)t@^LgGnO{{+T^Ehnxe0O&03*5(Bd@s`Vbi31zwiEaG=X#K| z7p?Yc(Dmi|dHmr8>E-#RtE2tp>Zv{Vm$%o=WHYJH!)oiNDsN1Qba4)@P>?V3N(jZ{ z^Q15TANHwxkGs%R-?kX%#pltDhhpX2GnXxUr~r*M&i3BY%z~(faIVgCnegk*9G6*y zmE6D!c24Cay>JI@VfSAiulIdPPG2PCjoPs(a$Je**u8 zEVa)@&PE2PZp6;NRF&o_D$=?HO14)P3!!?vY&sEIk1S=8Fs&PKurn987khcI-2bg4 zbaqi4mh@zqezqylm%9TY0 zlyKy2fcz~DH)T*J%0582@V`Eky6q~)LsNPYofZlEdvo=-*TG`dXE}q;RdIG+^0&<; zlPXEyf%j-qDc15%ET-9e%9j&irSY1N?jaD#7sm%T8AYMCab+QX>!azfE=SX<>an($ z>aj5y9!{Cr9!_&}1*<1>1z+e2Y^4gW^F7&TE2HO_%*IY(@GmX$6d3noMQoF8WwJ^@ zzDWnaJc7=`459$znNWmWXmx#zhOYaXjjkNine)Z=uko4hN98*(^V(=~u5FlE{K^|)%R^SD4oN;6 zCuk2&pRdFwX_WsCe|hQOZNR^u)8iPIsMPoMxu2u1k4`J+k;wH>tXSSOF$8;p#n%t> z#n&y?zGob$7kFdJtcE`WALOfDEZQ{=`)%D*tqql5IBZ5~MwaCgC3S_Z97dCFMoVSE z9eBkQO484*-W@{d&0+E8OHXcAsdi!qtaS2J*!0jiHec5KumegF4K&gE^ zBBdl!%OFxOzS4q}M1FU^L-Rv5cqSIobw&dd@#*1FDF8wj>!BWlcUy<%q;F)MR>$v| zulzU82LU&EHLq9vHZKmqTo2{P`HO#`R=~h=wFXe`2|Tyxw)OS7KH7Ua?ev@9QGDuH z`cNCzTwVNtzrchA$!Qs_M8Q(ashjOtx6p6SI>6E%j}Z|@f0}HuO+P>zm52#{CL?j^ zFbkZF(WM%>V0%}L@p1y6%d}2&e=$>u^)zYwXPJLP(olcj5&Y6B(=}?_r?5eiXxI1e zqllrj>|cmk5Fx57vF3~un$}Dd<#Sx*4Cv%T*r~e1V_LHL5+b<%5bKRQ=u@<2xw>n@3Qc}kV z3$zY*E>)T$GX(eECv&EI$+6x6QtD2gFVh-7`NQ8FhcLBGo|(radEVLX=`|h*3taJ@ z;|SeKzc%OO90=>DKjAa-x93r)rm&QJ13&W7sC&D7kpMGptZZsn_?)q~+l7CxKOaN@ zY#coi_Ix8eooeXUwT!Ohy=V5Qy6ss`?$x!{l6_$NZN~+yFqRG^i8dwRt&`6cFwjv=GL~HLyiS{-xU<2LqanM zyy4q4KL0wIv&_35LvWvb1V9w8`-`PZvu(M2B)sae?BW$IH#NE&LMo2GdSS-hMPLj} z^sM4U9KY2&m6pj1?>oGnk7}07BKQY5h6ddLQIPg0b8nt@BNs5R0d`q&S(#70rlw1) z4Vb}@+4Eob{Ie8qx3*;jJzz8hRsuT9OQK!nFHDL8mBDcuFlD2%6ZTCwz{}p!?D4#I zrg9x_>?|nfz_w{DZ^8%dV)-{_R7)!Q&~kV-@tgzrR}V4B|CW7v4&*)kWUSLJy&xf*DP>lyTcOR=(utkDP0D*hbDN-9l-Q(klXTmO z5W_OhEE|s`yL-Yho>K?Y`;j6X?qbsSnUG>(3!9)xZ($|wQP+K-db3YTor&7taE5F8 zu~{&R%fc~GHBT?6F{6H~d4z!R~GDusF^gUi2{|!$X-?Zc@?!MJ1))5CYPfMGBQC2hWj%5Eb(}Fqe(}u~lAxUG0 z1BQ~GusrkVC6P9BPkhYaFx-dCVB#m4VF>KS^CA4@^TmB5ekx(s3kw>~Sj(DGm3|H= z&Azo;zf};Gb;m)kleFvIH2N{y3({Tc8jTt*u`uXX#))~I&8hZZ*Rb55|hv* zny$9Bma8Zng&>o#oE<9@VmyXVxFxzrX;zOqg_TJdHFbJH3yF&UmUW?8r~4_$k(zQX z_>#AklMFrzrFqEw$7k-^)=IAWLp^7|F_gUw$dxz;b2FEnlD;Sy<80PFNk7H4RKFE* zu~ZN+2XC-SKge~E1n|VO9oqxe7moPR=*n>_=HsiVK1kC7$F|t2xjTag6&BhmchC4i zY$6Jqosx~M%`d@PU4ikwy`~Oxllo6HSxajR8GC)^24f#=Y|As`Y*KD6CYBIgFNNi~ z`yAA%98Jp=u~MDu(udZJnsj|CjimSBDMb}*tZ3MInu^nx$T-@i81xp$!$-f)kH;%4 zR=m%MA6xnsXd{}@T00*V{;niTU@PEeaM=6~{|@kn`URi~L53btx)7p;X)j9M8@bDY z1t=^$>Bf58D$L4t5H@yc0V}e`RMy0d0_VObZA#3fS-N}ckKKL=XdqM#$ z&RVg?pV2=Wg0!FFnw$3|7hf1{{-M|0lM!A3M*5u0?tF@U639B zFA_qHcWXk%~ z9GjF|W43!fBBwh`#lHI{pe8t{ezL+_#xn3iOg?Y->BsHtlP@9ZZK&@L3X#W)#n>B> z=bas3ueTp)h9U4ehFn|v7@7jhbb+yj;JF`F9j%)9-8< z{tm9HR}~PjC}POW(-mdQ{Piz6OVzkRQ*h=v*+$TV>PtN`wrW90-fC0*D&1tP3MDF7 z`im*@PkSg+&x4A0>`PYtyo8!??3v`=PZSKF}rTpfnePr@!4ut1dXdL zQqc3J#{Jr6sQB9bc0)q-6IH%|8RiA}asIXoy*9)i_u`vyhpe>g$mdoqF^qCFa`ApXFQFq6URlqb$DSlQ?X;NxyM1?DeKbG!IND`=B^vQibqsNo zgYBo;S+YsmUE7^rH*Ye_<6faIAL5{wl=RVJsU?3 z+wSu+&)Nn|P3Ms=;P{BU6-&f_qEi!E#adKT;7 zJ0qc^9%rC^FY3Seo$YY9NGJNie#;o`MKNizxRYiC&H3QiQB9ZyS1IYvSzKgfE4l4Y z*H+r|Zve`qj+wNP#cN(OKVgXEa_t3ktuuKeLRYdvoIN%+-JbW!>2fDq6qEWXZF9YJ zAa2hp9FtDp`_x3X4_mx{_=uaKLlYL#l^=*H9(c9bs>QoCO_Z|pF7~0xU3vA{g6VT= z9Krl%y!x1=JV`1YC(YCmv2IW4wo||+J@?UL>mT*e1K)<-tVYm%x?aWXm+9Eh`fy&ikwPoH{nTU< zk^0mmd5-bB8AtuUSt`n$=lXg&zTBYQRChjJMn3%6@z&Yu4tm@RQn!DYzk6AJyE4)& z`qz6K{~88!{u;RTu(31n^RIwFnU6g0S<#D7^a}&X41wB7^zAk{*7SU%!_B|g$>BV< zI3ZWf-ZPKa{&2|R2A9+#87IWmN921Cs;Kcc|6H+_4)vkvQEn00(Juc!*}Y%i%AnZE zmZH~Z&)Tz>r{_>ZY3Cqkzu%oNBC{JW+N|3zH>s|`tik9>ZP(pZ>J4ciea?@LBd$kJ z7hSWJfsLDY>Cu~}aJMz(Z+GvSP*HW&!S^{4q4jmaiinJd^&d7No(s}{YCkMv zta0I({2oopZYoHf<)>_!ik+?cre0JH`zuw~Vx|9>9(w_=X#TA}rHgT&;$}Wl1yNZ` zTKoj2^6$5A)(pyK(h!Qhe&xlhx%!Rit-gtRe}o!$)<7&8sP3x5@P=_XiMXM71eHHc zd5$=5sOl_l2DR?;h?;m#jaN$^ib0X7a|X$pIDY}nD7mU%I|IGKtI;jVE6Gjg&6o2M zq?B`Ycde?QrIHMbqDPw=w$P;$<^4Pm;Us=q(%vAJ=I$Vto9-|XtqjphIE`D;p43Ik zTI??_5coKLzj_8|1PFZ^KW!|GIs!yGjo*@iP6IpmtzoHu(@eyQ154O#1TFg(LuJb` zy-Z#?PTmYG!?sc14Nm{uoY;4i>u={O>tdCt^hkB3ffo^OM|m?C_YtM@b7H5gB=k(J zB6BO<`75aOy}BEV1Zw@ewnupjarYyBOK6Rl$c;sUa~T&ZUq&P<>Clo>c!?O8YPI}! zs?^{Hm)RAKR$@f=eLr+e-Qhg(@3gOlMXbKYP5qM{JD~9Np+Ce zo_K+WeDN+Uc?1bvF8f!a1sBR}KjSSr6@;CL^PH4*G!nMXsXD7ynz(#7*iifn-Lm%O zOlCqW!v{M#wT$q+)h>Gek#vk#hV2mwtFt;Wy&BKFOIF)?t*N4B+3}#o5SM0Y6)9i; z!M*)VESP@&YR6PKqfBElHj$XVOifcH;$QuV_W!LbiU56PV~gm=A9RzGF5-T>sWwo5 zFC%o%(^wyRE(d(h|<04zos#n*CxPoQgWq7 zGOGXTr2k+25a?rlKb@51E`mx8DSOAdV#s1___D?Kw2@7EHEu1(MOj(KpZo)ngz*|& zc9HaBjy+<43|F(wwlG6}InpSt?Ni~l#B!v2i8eg*?GR6SIH8pT1)(MjxVFRt!x?-i zMX%TQNrQvgj0Mb4=21FdW!*-7&Cch}8Hv3Ge zM``0HvyQpH6ce=#>n%}@yuC9n>X~ngY`vT)6{~@!v}oSe8J8VXpP4!NN+7XUP>^0Nw!>)$_Fn@SvgU+_?8VO}UN z!F7Js?X~}Z>!uyqW~)CYt;%%NcFrc!`ccD~^0mq9M7j!BbA4zYTt!IQ9$zk{$P;0$ zIvWlCIM$9)*8lIN#4qoAoADW$EdP0#$0A>VO?`jhqfhWgr9&{O)wb@6EYcJJo( zsh3(0&%0{Ft{wRX?NNkyp8Y^{j5aNK`zmq^YXx1m#f=xQF3*epM&tW1H|x#O(GMJr4GLWpAAk>eD`7^6Za_=NPj5geY;{rok8WL+OnoEgitGfa4NzpD0JEJ3A|=EqRC zQ7)L*E-QYQV$|zn=hmo$rJFLZMP*bDGqWx+4L>X6jDfJL;nhmAadT=-5mw=GP|nsFwxDH<4=++ z0wiTC(+qdXt4dB?2sp zl(~m_W+N;q3KUV2Ii(85(PVtsGlnc*drezvb{>jhF-Cm=F7*dvB-`;%ow)d!TbC5j z<$~s;_h$MdEd${4UWK(l6%r~UWEefUTbC3b);(wC^3&!o7szH3xMf(_=T`s5DBKMW zC8BaRvmlIc1FHb-Ibbi7l6ho{svL?8BND)KGuZpa)LJUyj-vV8Tz@z#wIY=kWRD1r z)JObGzFKd(M_h#0YMC{nPVo8Rto#!yu4e*A%^6Dz|2>31uTlWbL*98G-48sGS+Wn;PrlBG+# z^C^jy5{f40pfFViV74Sy6rhRRtOaK|sw=e|uoE}OG=LCJRU}osMjy`j=~pX+rH{oN zf5Zz+SF8*PxiU{2?7~(men931IwJh_hKRQrPD3t0S<-ax0dIf+=`Ks4! zFiqMwrB?vFEgs-Gwj9u|M(sn|qnpYCB72_>dg&q4TGSo#$T`N}?^9>n!G>U^vIc`B z%Zh{ctPDu0G60a#+?!Oa@I6^pWjlgPzbTc^gPD|74X%Ec?|I38Y-thZ)&`N!r2juXKopK9jSOzHg~R|CW&f;zZViX0=} z|48S}BG@0BSC_>4Jn;&)G(LrjyfB)ysMm2Mwq;5L+&v~Qk;9DRD+c05roJLxiJ-X6 z>IT+z1wFo^&8&fhQ?;a0uV3&rj<`XOmH}lhudz~_-)kPgorYEa$Cno}7hMFSh;8*6 zkYZUqAsPHBA|UM)9%5S^TY>3D=2T?VbHE2)v;H&@4XEl#V*mHUt6Iv1l^)tQ39wSH z_?&$|!#^cRw($z9>EQ1JvYG3;x|08a2;F@V{fx(yB!%v0_f8A9?O)a_=Xa;oBJ z+%z4vI))bk-6DUGXo|h6rH-Saoam;e812Uf%gkB}u;DCt$j zH3`+bA_T>6oR+VCa^R%x0(FMb(4!rFq7ng|J$DBozeVslz@dQEwx-1D!I0E{ZeLb!7RGtVs8;b8pURpKP}!t%Bs zBCsO1q}ZtNq`r9#?|$YyXRN?xrn&^qcr99Z(F6~%WdQxFLUdYUZPOLPd(M(Fim%u( z!6_sh_sHm@jt4BIj(@c@1IS~NKxC+r{y|HHvp`pd!wIXp+-iYEH*~6~SFiS&CMa#R z5)qDm109^R!ei~=Jb-^MZL`al>D{Ypdq7yL%G5l;Lj(!w#2}n^IQHt5Idf^$;!70Z zx^O7>l1NLk^e#BEPLJZah@KmAY`PCAUK`?3EBI)eZ09x-?M2B|2GP0;NnI-szt^|z z|7@^BI1^6UXg7C4XP%XB*C(A*zx=6S@r92J$McdXIxgMu_U8XbA1V3{2YrE?mV`2Zs( z&fl^FbW?o7Vtd~bJiUE9X`1(tn+Ny^4lm_D?w9b_S-HG3ApUt1O`XwZU6sa?X9Egc$S6HKyY`0dvJGmcXxM!YtR6} zU4jM;z9BdS39i9|LvXj?Zuw@D-?`_W@4a{LKW{CXT~l4vRb5?GU9;DoX}+&+8vZi0 z6kxT_3%`!7({cl|DoxejCd_fA*p-R3Yt|fFr|@bGpx&mtnI0Tw16OTC1 zxR_-jcosSJGrR)Z;7QK7mS^>-J8lt{0c$+Oo3&-Mdd8cq?>1htMmVKbIi5xFCqx0; z7U%~i=JDT+@NdhIH1j()*x7Au<7AopM8&(g3zefq;yTBHS=>>yn}ggGfVpsvt zNI*_M@6wjl+bwkp=5K(uJEsd|Zy0>1D+&End?>HwFQ zNuPfKX7dJH`I1d~w=N+2J=yLp)B|8oivRd@bak^#*)gL_aeJ>gSob8J}2=yB}W}q@}gg;=R$(6 zv8y2F;=bm}W1D$Y%}}(V(a)HQ1l)&?!9yC3bF)9+-V=pNp{)NwsEVIhTKP#m&v(AS zyOH{bQ0vbI`#F(GR84=hKaNLJLx*zp@lYxXLS}c$V$-(NO0O~nMyr_o;s2BdC9?Ck!>XjZtj&&J$4;Yqw)kz9$(WB^G?m-&@dh$-+-RboHs)Y@t0nedBoP25Ua_M~Fch{@ZTQ4>oj>rnJ+L_L*!m=SGm$$?+O%c8w$w;U5u-k;! zf9g^O52tPiun6BOmz9Uc^(nkI+QSc?20Xa2pQ2FN_Xn{H&TRa}BDGc4a#pwTafANI zmgfcHhvvB)*5qHKoC4d91ow(-G%qOvNRvu%s|~96{WS3;)@uan6ypnf1VDmVeMu00 z-~>VNk|3Pk|3G$3RCeWGA}7gB`kJJgrP;3P&wmSKapYC~t*33N_I2Or?;SuY_j5}0 zN+I|To3-Wn9`(JC+!f!nuAcDZAg|x*>+3WE-tZIO&SxNIPW@5mwH=vUc(S0fR(3$` zNa^mibdxWM7D%K(j0^&qO&kcVj2_wJD``J#*LOl&^gIKXSS7U5*P3f|z>sP{MmzZL z3Gm-p(a3e`-M8c=rRZ-@`S*hi`xf9hY+_owA4bmZ@j#w42Ews&xT(^$O8AXXvi`+S z=WI6~%ebSWAOFk1Latsh^JSx&2V>HEVHq@vvES!|rInS>7Bcam&nb`veC)Pl2?Q4b z)BSugopkF^tigZnYM03UfKuFVc8w2;We&3nt|j-t8LE=|%>!7mD;V<+K*DKiXFj3h zX77-9Xjf|;Ildm@4VmsoWW06rlkzN%Bd>7TZ5uvzrG(DnZ8!^wZ9f9yaO)+k27$2p z@Df(fYZRA>WzT8^%=P^wwPGpc6=&f`BJRkhYp7+h)b^LKfX55Jc>D(-3~t52VQ>Zu z_;jCectgh)s?Y$kpO$-5C=lo1_&$dP;`_z#s&4P#kXJ%u#Q47>W;}LTV>a9yexnD5 zZLbpZK}3G+y3v$z0>-{=N7$$3c-qm%Sll12vM&}#*SZt`T`s8IJxF4(`go3mfA{h5 zv+;@;#Rq5qi(%=#3w_-}@vOhTyoNqiqW(@W*e|pq>i^rB4YpxmACi|)fPYRJCly(t zSDP_@JvSyDFMf!6{V*K+a#^+He#QOcx88F$$sPr_zyCa?*VB{fkCJk032W0Q9`Rhy zHqjBlA*L$RBJPHf{d=y6S+$$>eQHR5WP?+FyQ&~RA5a?M-AUPP@#_17_dtS|J&+sN z7~5YqMsS_eSb&QH{EiRUP5QaR4&2_|vBbaWxKH<9_R#{vZJ0_{puC#B z1uQsS@XFfcDVWc_gltEe4OWIhXO74NiB8t0fmXu zCEyyNKyX`%I2&9e2rL5j!T)QYtgV%)fmg{(Wr=A(sj@JMA*r`b=$i}4b1wEjRZY%G zpEEuca~YQz78m+Ucif^E<=}hQa1v*SlSI|^7f1&^%Dj#TP?J*eo+$+HFJBD%6Ubb1 z|70$C$J4G^%5NvQ`s1jViJzZY`hYc0qb)Ac=ot4%odu?Q@KO^t05xG5I8Ia4eTRSm z{P3Y$(b4Lo8Bn0i@`jH?Ie|?B)EYzxFNMH~N)wo>1K&OQ^8#Hqe)hKK0^RSMk+*$7 z$@SIs;7ET2%QjoRl|hKwXrkY{qsXIWiX-lv>dAHMh^j@hFQQJE^)9uC{Ji-&wjyu5XUI1ALQn0z- zgzpdZ)2s_sS7YxMgj^Qfq@pPENC%DBxL(dx@?? zP@dl#05%0-C2%Pi0PGa!aDP0gNb5dMnx{cspe>i>eto}C9N#Z9= zc*MwY3cFebjnFJZ`{e2Jb zuLr~WpIb2dB`2PuQhmku!^>)w-togd0=R?pF)U7DV_j1?ds%^In-`YiQBxIt&0^P- zg$Z@u@$XP_?0)fDOUgc+2lmxqvS?EwVl%^W=#>{plV019iy+k{c1|9UL&VN_=(%t} z$tji^#*35_L1c;?{p5g@4I_v2I_&f)K{d!&{d3{ubiBISaeofHJ_WS}m65)=avld} zlZnnm8wu)j4Y@O_R+7XTdQ2+Zh|q2^Oyj~g|4R|~lx(;okvl3#`G!T}E_x7owMMyN znO3XXSI@ojZt`koe>3CK8AlpyPX3@T5dBWAoO>(Ics3*haQ1b+cmV%gAe;a;U5Q{y zlus>z$bH`C81eRGFC)LK)2qb+R*1Us{(~Us9#)9oda<3Aq@z?O*Fq7*>DfVAuTiC| z$*CX_3B4h4@H@#KmSq6vf%s6RkH5DGdtF~LaGeD&r^-(}Wr^$YGR~g?Q)@(@78|sxpW*93fn@7EYY~d{w8^=9*Zy z<&vh}26&K`SC3FRX&0CflAO5&SKp;s7LkH#H~b;T-`zw8esSNG>Qw4{tnDQfsG6pR zj1u$bgtSfTBs@8ucN2eQhL9LZ$3I?@3Uho59bs=97y~jP71glBWp;Iw^;shyLtrCt zMVotr$llS<13ONwlpIRCqi8}JnNUEM7l3;3`@{G96wJc~?Je;pxzM1z$+V!nMWAf} z?Eq-!K)VMTWO`5@0?_)5`@5%o3xor(7>=mU67G6Y-wRwwb%7ACmRO-0ffHX7W0QHO zhLJo_!bJ8KK9qghBQzaM;3xXg*69E3Pry&BXx=S$5glrc>R`~!SW=c14W;a;2Gy|e z7CW6xCZb+#T3IcXtexCag%owJs;HXbCCsI8G@*?cEuqal^KT48EcBGo2x;ChB_S09 zyh)xj29FBC&+}8@*M}cpwl3c&S6N-?<8c;WQX30AIo=35+267Z+bd;YxSXPzIy~=V zdepdL*GC3(*@<3QPAE*c?_dyFw#(U7BId?5;!rxwB<;`-hbCq2$|w)~L`d?qlf9_W zrz!L1yLXR~7oBX=Q|nTomJMBwEK!~oJ*C?xlk-uL2i2*os<|oo@XZ#BP8uoQrt5#HIj0^6jC=724~w*adyl; zK3Wdix3bm=ez28w7&q=;x_&H5U#hhiph%s?Kr6e2qWG*Gq`;lzZMl9LY(cwfocE|3z1B@J z^N(ZA6Cr^i*`J>a>=WMiZp?R^zpw09#N9tXN?S9t)v?XBd!zpBJ15B&92*%jpxzaf z@EZBxeyzk#@1~f2gC>9UD18z|(B=$fn}K-xJzOV#SJ)?Q*Gg~4PVj^b=j1MarQv%< zYO}$hZ$wJKbAi{oQ?X?w<2mt0J?WpH{;8xR*5|vw5EH+L^Mnt6aL-lKvDMUIRJWWC zYbny5W;A~v&uE!4+`L-h$?FBGG@6B7VoxbrBE#~0*KDV--tC~HeA8?(roe1|Z4mdk4uH8^d%NTUvv zhKW#Bk22QycXvMO=p9k^@3+5Yy)n46-Gw={^wTA~{KnRae-~0{L<-u<=)KN2IOKjgonroQP z6B54e+DICUD_xY=^^!-(F!_;}&`X3zL1mw>Q#{nG;TP#158qZlqgsGw7ST#b7>nxj z9iLeP;Rn|QU+;?+!GCqwGBDOcGC^T`uPi3FHzOUDNUX~ld+AqRjNxNF^^s0tUW*8S{)$Tbvz*#X$)W?7IoHiE&Q8Y7h9uC_?UQW^;mHB%fE8k+&Z?CT>3~A7 z_{Z=5t%128tx4AHPv2Ku98sJe6?D~crn=PQh*4O>_V7Rs2;u+B7#ksJp~@b^-PF|# ze&0;W@KAHb7cz12#z3#2XHwHgQfceDIbYFpm zEF;`B&~iQbrq&t0&92qvGt5HYyoNb0MOJ{yMcNfmf}6qfmpXx1B2c#=i$hBF5vU?xRmO&f&P`4%#P`sOB|`Z5-Ndf4~{c#q+OI>A35T_ZRn0yN6G*@&+K_#!y4}r)Op+5#iqgffyHWAq=jz>HlW^8Cly+Q zwIjsXV+8fFG@ay@ci<5t042x2rNk^?ZI|#u{&vQf%V=)@VAg}Lx^k+y>X2j49(Oux zpj&B8+NbfMTM50xXLt{VWydR=4*0CMbcg+D3CAid2=C92W#>p~NOA$Vg=Ng+Whz-O zcsi^fwxs(X6La-PfSH~wPzbZ_#6K>X1@H>Pj>olrvlyxJEh~A=47>#<&4(kE%*an9 zV#gHk^4I}9r&vp@v+{2-{szDD;~3)=HB)O!<~;jXkyG;{?-ZS`%G!DsrPrkO`d3^W zO0taGwompOvOCAQcW}=xs{Q<2dAVlwg{wb|Cl604bn7uoonLtQ=T?rT~;A zLyP?#Bu)myB_hh!!AahQl$wgO`%B$KBF<(4$kCdziOq4r-RFSg+3{bS$=CPIU7>EV zm{s;B(m`9P`S;TD%P%IF^_sW7=;=Wa8FmegCCAfp zOV>qdcGx~U7Jb+m$^j#jb(Z&$FmrUq55p?ni2-4A_ z`7t8MYL>SM5u1|EvE5HnAnoSZ7G0%MAO#O?)W%%~F^aK;^D1@lbvXkgr~xBTNOkx; zJ&KJx+-oUR37J7{hP=beuUWYaI`fr^x#c*+G%tLQ@(vG3i4cDpVqO+!mW^DV+ZyZz z$0m#vweCj4H0HV|SNm+Tle^K($pSYD53ReFNwQw|C!7Yda}W2`KG+xC;|t^9V7aSq zQ91`MdVq_Eo5A?YxdH z3s|Z9peNs**4z5Z8mV}hcA-_t)J{Z!3qx?c!rn2}US5TXr7}IEoC6V+dSa(D``&+~ zZi{zG-9*<9#p{6E>$*%`SUG-Nl)4YDA^UDtr$0k~XT#dj@!4=_w2N2`V=zuY5h~iP za|ZD0(~(!QUMBQ!1~tkXg7_jnHz5~MyNnA?(Gd`iZ@i7&=T>9NIyAJ^$?k8PaPusN z^toEW0&ZT_Z7RMYn-Dz{Looc+igrj0HtXy;M;S z`}eot5%mVH&Sc&0pL!AZeTHqc4>xfGR#H`gZJzCVdJZ0=&tKDptJ`*X-Z#zaN%TYS zhFA;GQ_i`GDC{&$v!?BY7k>L>JIRJpw3;h)qoCj4b@nhN>lUWZ%v^ZLxLJMwd>NWs zXZh(ANaw`X$?Z&^FG)XN9xoKmRV^9I%;`&^*2a#eyjNp+>5=;L_3+*@<7N}PmQ9d*gC$hJC!n>bs`gebvaMw` z*!9beYqwdKe|2HoWzj662CYnK@Yne8cOLc*v{-JKAQo~qF=Koq-Jirrp=S_a$*FP3 z+@HBBdL83QnBHdF=;ue?6baE&L+jFF0qG( zt#&1f$~Lm=!ljLc6YxMgaFA^i`eZqDT2naqMo-P+$X<3k#*ks(@6Xt|#72r+AGScW zwFvupTZFYM$CHF_Sw!Ykq}FVjA`7A7+CNkd}Y zk-e5|y^6v^OcEb5p-YrAvI>^&+lddsw|TjIjM(d#B#?%0fOc}LA~#1Z1l7UrCdc+|Bm{*uX3xU^fe z{VzcC83!hLU8Cr*D@=PWPRr`oGX z+g_Mz25-wl0)i-jAEuh_ zzri&BhQGhffSda&Ym^gY{_!x=VzSgB6jf*TEjnzjGc9AK>`Kpjmei1}Q1o^hTvHI#|5092EuMi?-y@Omw|Xe=Jzc z_bYUtmv16;sFV{TnPe5Ed-quVNd}v$lkdtq zD#+BO9NPHJV;FznB!xtMOLcJ=(=6OtuL$e(7k}ex;w}Ed4<9jKkTE$^If~5>p^)azw~D zg!dp3?FnK`W%eGG3ICJFrx&8+To3nk7=EwW^@eSU+i^m}pg!UAtBZC}>l>LWx zCBKzd8b2~@ra#IrNirWAEZj>in>8}Aa@R_dao1kOHuc#XZa7#(cRN@d5;#OJwDc}& z(Ts@sdf2ZG)lTdY62w9GIZl66wqKL09h~Ln!m0yY!+;lO;l4l2tWl^Yh`x_pe|27E zWR{vsfjPEkUgc)&b{mg}tErupIM@1i@<1(k^O)$T`mC*vK3;@;luWm&z zx!}GR_OxXzrP9lfrU=mX^nowZW%+?G&3f&2?Y><}&Gwxy^Z^Ji_x6?1eDkD79BQAt z|HkY0=DTwLN>gho+4P40BqpROI_}KUumXlTh68X<|6 z``bL1bk`Op9CrljV?0EJ-wile_klQA_-%}(%rc9r=u9|R`{amG?%9l`>6Ht??Yy`Y zt2*`@pLuRqZ)07*+m#480$F;@^Agsn zNJmZ~(X8LYN0_xih2R-MqDY@g)Ns7Q8h7ew8Z#&GA1g2X#xUiu<-^ z9ZpIj)4|h*rtcGZ`eeFVD!LW;t8#&&kcMm4A{*Ra0}wwe8sDi!fk-6feqI*H(gM$o z&`M6lk{8*us1{;ra(8-HEDzR5?nz~<0V4lzLNMC>NdvW&uL!VhA(o-A$$Z90f|%B8 zEOJQH&TJ}^XeROGpin}2tIz7~j93kaM|#=k#ZQqxPGHwakrKvFF`RjV%_JC*L$ zLy`@DMmtZsYZaKx{aP?e#C=T%%2PxWiKU435~T=EqUbA}M7}l@xm!Zu0Fg|yF6eoE z;WMgJN82hi5~)|?cUD>ESi2WX%95xh3GF4P>ngj)4$?8qTzm-LNDow5jk!%h0 z2XKHfcRUGN z^!ZfCpQHUq&T^BaL6M#Qv}kzca1vdLP+zcR`DD2vUiYutdz&X2E$)CHRq#$aR!=&< zlGU5PQlYj59E$SmzI1Y7Xu!0r-V9iY`=6Ayio%0&vCA|`^%JptvgP-HTENphe+fOF zG<-wv~1))JR=TE1L$1s5Pt(`A+l|W)O7wwt5l_nE@2BJnxrf zX+GI+5U(u+^jFdg(-J-Z8V8kD7WJNz@ra@fY!1n!S-{06#e@$izaB^@7IHq>B-Iy# z(e8jGz`y?kt(Y`>rT!cHAEd|A?fi=wX_Y5CkKUM^?+`^GkXM`B_yd+)!&g zQ*>e_N8~Cqb@@?APp=i?+2IH#Woy<^#E;!`ihc|mbYJ$ZvnSG9 zDP;1hxo$re-sjGE1UTv`gL+S0Zi3oB7^l&-9$pU!JpqJe8_aqT0dFb%a&OHXr45jS zpuQf!+ck>4cCV)jLWADBGAKXtYZbQzmZcZ|n zZyF!oUI=X}+Ixo|_%0a9Zr@ofks4uiPnVTK(Am*xOsmk@eb)>zx}*px(@^CoNS#oP zCE_e3k|G2nW zU%ujG|6P8hcf!eDe!YTYS=!j|+rzyudvAxlQeNv)=57?J>9onX-}bf3SCh-pTFc=- zFNlUz3}a)%Px`)I&EVM^q~sS)LDJV8uxm&!zPGM+$-c?V(DZfs&kL*4F@Es{0DnSk zS?V8q6Xw+X^{RpUeU-`P)DO;r_UkLIcIq}Sr&FV@e)$Z|U$;4zD{WoA&;-nufOyzX z`6!%R0i+I(CeV0mA#;G71+ss)c=|#u?&Im8+(J@HY=-{6<<>J=`LpR6gFUj zfWUTOf^$%F_Xo%;^z-kB_9ls>0EHdCQ@4G4QK4uA^q&`gB6xPCHD^KfXI&mgxwjXgh>orkTOq{R0Q@WfL2ko%T30P4?WTSlNwEjuKM zy+uDTI-jUK6Pez%W5~Z%&gT~=E~o{AjJy09*Y{+RU?8zDHel6Q^tPuGISaJ{)b3KP zBSIlZY-aI;{s%ibl9PBU4_CaUZ1|U>E2is?+_8~kP{m+GjJ_Nf?;`F=)67vs6g3-@ zvX!MJXNPuC*me=wUN&fl8#G=0oiL=7) z-p3bow@k~);MD%*rZ{so6F_bq;(tK%bNGNFU=#8Ke>&3bs*(XzMjx%ox#ye zb=Ee8AW^JDAhqNKVIp-T2jmtv8itA!6c%?KM#U_8Ug&mg;CpST$XADoiKUp)uSOZs zb)nD{L!47i+C_syB?5N>A{Zd+tG}b8r4c2Fbx z#Cz#6;=&cOMf(>lBY=&2!LI0fpY;BUoi>wr>G(b0@Z|Wn!{hx)h50h@(*-YP&)C%{ z!(S)8FyNKAF$Fm0^Ob0s6Md%TJa=e|x1RmzO-Q4&WI<3ah&dc+ZD(aUk4+IQ4%j#qAz?T zOTS!5LORtM_?>nG>xAG9ygzaB*-v^{|H8+MnXhc%X?kp(bsZb`L1z7L9E z5j8;1Cr?7g?iS$F@Ubo(x%m{Lvd;AhEnn@5Nr-f2uNx3h7NPPwIF<~zr%8b`Y{7+k z$Lz8Rb7@X(Jd^ znkf^ZB`Ia&hc%LkBOcFtE`G-Xge^tN;mT~5J*?^v5kV70SW&vr zM}z*IX*P<*5O;2@rHS@nLrNdFPPDL}C~B)Hq-j%WP=g3jP8Kgs1ED5(hhB}g7eTEu z1Y1kx+&NL_++DjYl!i=AQG+Ha9NJ|VHO2J&E4i3rPeL(e1#I;>;v#Q_HsoYrvEBCrf(1U3&myfw(MAl_E~%s>Vi#@% zVpB?e!Y%ZRv^Hw6S|^{$42_;Pt4BlsR1pQISsNJ9X2B`5VE4OQ64ZbgC1Z!nq<+C? zsGyY9S4i&#<-W)WVM(sSJB)yF>n%DoS3!y`RQ(J;kHn;==w?uuxuZfE&tr!X^n=CQ z2tEItFoNcskSlIvVfwa{k5b0Tpl}h|CDBeYaD=ioN~utsAqKfqr zglkUWx3#XD+pBNc+g&%Zo1P4I$$Xv#m=~a%9G@vaZ-+Gg9p#qz>qC;wY!33{HIj{@ zV*+y(DBGN2s3B^38fNDQ!Nz@20$(!wg0s)xNp2c%B%B z68$qRUyOMH|7QvDcQO_=f!TA2!SZhMT>%p&)KeW(hSCW2;}lc9vKj9_ckNP*&?=j4 zy6_sC=!Eck(tfXBxA127U5Jk(Qgh2V^~HeRTe$mOJ+|z0Z*pO{I>*RoX138L^7d zuWghs)qDqZT3XAR9y~Z_@4Zy1>_7#DX4o_8DmPHE>5OS}p3NU=O5*IIu+_a7iL}1# zIj2qD^#m3?-G@U^$42nqhC|?aUDB(%>*PKYV2=R*e$NR%aob8PbqPO7w^Fd)gqD5^ zd)K;zPI5!Pod03TX)>be5Ss-hTq3;uIVKv)k*!v%730j2ozNs7T)SX(-$F zkavL`Le@#58#x>qq^#0unWPIjDn!^L#zmZ8YAYq7V`V(g=~ai0D0dW^k}I4hhDcKu z7EXIbF)6J*t(eD#NIA!psKBt($bZ58yuKOPv%J0&5f;?K)^nEB4fqlKWj9vVo`e=K zWe%QpWW06kzN}jRg6pJf>zuN(^<>75vO2cjNmv?+A>bz-ZKWlAT9BOxSdyLf^0iDD zI8STM6sw+s?Toz zK^h%v9%X45Q=xim9mbT1WXLuCe}nxGLY85ACl64uGGXU8{_c1!l(Tm()L*~DXA+5L ziQ0Y61LpN;Kz7$`mz4{Emj-0}0GOjElXGOS;iZ*&%}*~%F*24{>Eek(Z$`nnQ3N-c zoP&-jGagaq-~(dyK4&+Byu)K{7**zcs~jw)Kq^X6+z6a8w>6@2bUZW};6-I4!B&5@ zjO|JQeN46bJ0+rQWMcN4_H=p{p5BsiWV)VpDMm88cr*b6y80oKrkSf1jc2%}ZAT9V zFxka>l;J!ReDp$qKp!C3M4ABzY+T~0%LVAT!1e-U+#skUP9q3yGA6KFB{-fdg1HgXWZ|K zZt!E4hT0#Q*ie%1on{bsc+u51GCk9>6d9~5KO?$&9a4iSSl1Fj*Qvj{Dh*ewj?Vgf z>HU>y`&VWOATu?e3oP>(kU7dW9rpi_Sr)f%b=a;C44aXU?9?#N!pmOYG>5^;Ijlke zEG`bWK6$Yfo?Xw@$i(f0c1HeeczduErTATVdoLdTp^ma3S%k0c@6id)r2IqAzjXg^ z;E##qr>BW40deJ{8}W})>G`7BJmSjZ60}2(2WW?;jZU&x3>l`F2m5Iwp7v!q*zCF( z2f8dzVx?jF&sd;!&mOs%`ZLy>=?sln-@?g)vT5`XJ;65Liph`DuU$BDwZ?}8_x5>j z#%~h92 zY>rXLM?x?2mW{qNK1;)@O#GcrSJ73}^inz|rEDuhMmV)c@18*+ zYm)L9;t^$vg0wMZuO}6@jARy{3}Um#1|O&xqc76kdy;>F&OItSFh;6#PttDjHvK?L zkMlSYgWV^Q7^1-XvQUr7vnX7xe_#Ut88*Dh6>J04)kApq7$S?NO zdBgWl?86G^No%v;WRP8=B`e=rZFEX!%ES^(3+3MhrtG1+^CsfA@5@ai`Bh<+xx~Mx zLzqT!`Iv}Sl6Ic*n^R($&%GZ*Ga?uLgLmcdw%1UpKQ(hW_& zIZxF{Xu|aK7yi3So9i+|;3hGk7fO`8GnvdLYS2yM4TQmXkW@289#1fPmmML5oz4ne zLp?GYV+j%OtIcW;fxjIWxDhb`Rqg?0W9m&onnN5R5qNdm`Eqv%3wLZN zd5YSt*`B1BLZ$AH}}6NF+eVos0^^^+I-@NjG{QesOU$}3jP&)B*QG7LUHXk~1*y+PwR5qjU zvLk@-c~P003{bh9_OHrHzS{dTbte{iP>7L%Vb(l&ro0rSO3*_y7y}BgKEn;}LUhky zxRy25_ig74Cd=RO*s%2{ZBy#*5!;P6bM$Rz50c5B@X%29lWs$)?GYV3i-2!P6OB_= zTqfva41fGcndXN=qy^t|y2elPqZ|aWqVk@twW+tAX=QGlD;*6Nc@a9`9S)rrkNB4O z2-kqeL_W@rUFIj~<^LSEQnvEI2ypL0-Hb&p^HWE7DdGE+_+SFEkF(uzHhzq7>tD!5 z=)Yezr{{r27t(D?s}xibiE{#ArWrqH)o z?CE&(#daA^C1AX7v6z&o;^xp_i<3%9S%W|3CsF4p=O_*!91O6+t362TiuO1kL0=ig z2460?XOVhy5mC$hzTbjMBQWdkN5IGMX0|~J+>ZP?jqO25%v?G?Kq-@RJAi=y(a+Lm zTQ-4jHyqR8rhl^LI25AV8q~1M1_zva2A7z_!5pa?ZZ9I*)w2{T!&cQ;6^o{OAgMOF zCs~S7zx60(vGCzb8Ukj{vIRrhOEg@R+$H&>3%eZdJF{s7=hX&b7s^3X%c$8ZC1m9} z2UDh4LG8mM{6$pQW#!G3UqXdq*88O70KI@|FtNad)xlC=P)mj6)d*B5UekLhp6&=whp0}c|!QJrqVdl)GH+EQs)HGS7ynk6JWRz8S zM8(NZpkpCKlvUmUeBB5K7p(9}fPjfNTVVN=)HE@qf}*C-p%5nNc@}eW7BN{4YwQH0 zwQUxSLD3T2Dj6se0e9E&lku7AMZcRktY(!FA0`up_(R^V%L83-Dnk$#D=uI}DM zNbka9x!6Py?{p5w#`#F&%#8K3RU5;wNrtoKR+Lf)U634cH)2GY2$7;Yn^&uen*hDr zPpmLgONDZwNi72E#)#@9EJy(bCrRXP0_!P8*sByxc78JWHCgbtPDMqiS+?i1cW?46 zJ&KDtQY`W$Obj>TSLh{fdy6rx_Z714@RZ41nlN*sOmQhufZJ0-8uAFNkjH3D*hK8N zC`h)Vuhz(C3_g+v^94t1OeIsrY5j7h-bQWhQ__*k47);>RfhaJaNjLK%NO4bZE8fyFsnNT+%T#5Pwb6?C z^n`Mh2Av0Xz4PF#PV}KivFAw-zU`F+eT@9eD~2DRWhVoC4FVC|`*B>2Pl$W$R)BWn zA|zVbb5apnzvxsAv4f=$`gL&h^moK}d6fNtoVnZTLM9k%1S2=tq_A73>MFe_H>s^7=VdwGe5(q%KV|R%IKqk~ZN>aCz!y zhSKIG3Y>CN1%EEoQH*}CB1U3A(bE&od)WmoxcsH~+H?e0VQ)=%s z#(h7Gpg>%@`08ywDp7LHK7gdAy2J!@uP{Y<>P5|7q6LIv+<(3S4)HRUzRPaQHwg9N z^yjb391vE=6=YHGyMN{S_(fMWAC>q0x}fXlnP99XBi=!_b}SEZbF-@daRFL4_kjbDp_S-t`t?ol)8y0}FQ2n)<(p&7t^4T8>y$w}*%@PsL(Buv> zI&jU32T~dJSOt{%T19oHG7prU3-X-aUrI5`wBx;FK^NEDFZp3}|EW?&@Fpb2V7Cxt zD5}XXtXY)E9!%+~ln~Gxaly&i5>n-F3t48j`vv5?b*{C1S8AuNGBYNrd04*G^h69= z#MWqVQ`L5~u1i)cW?O2C)x7vjAJs+u!(&ExDWHz=dVzzOJ7=4!aDsfHD4 zP1sMM9YqC6&G29l3UVpkRqI`0t}#oS43>>CXjYE2>p5FZD4I#LM| zWAKyGk}mDVQfa3R%!*=PAdLBe`S7m4BLrrI0ZL?%#X-2K6}hSRC)Onx5dBY0)f)Z4nG|LFx!=n979MR-%GU9BYp)MpE~nZA1o0P1K9aHE21_i??}SK+(YHBG%I7Mjw7 zZb{ALr>M3hL=sIyU>fURKsXPA8Xl@DGojI-;$H~aewrTZ1^*iI01s;^0QH6PXCNr} ze02UK|6hG77j)_;IjPId7i5*fFAS9)C1hZgN@VHE&K5Ze54b-~-e-`%{{mOmekq}fN=GmM zdI)wbn8>cHm?!zPqF9;)khK1(Z|(EoK$#K^-& zP@0L8slGQz$3-|lj6AfAam^+e^T+(6b#H&fRF3%3!(l69Td^eHawi>{1Wu&e3iZ5U zs3cqf{@6{aTE`#)wS#{v)sr-Us&||Ua>gwp)kEd)w3WW)E*Ob)!7!C?qb|jfR4G2R z;oWQ4{GKVkS)1(mO*l)MtjDrf3JMy&Zwb``fJ{Qt?t~g0vH9 z#!0)Ux%4^z0214=lIl&#q-60zcoAz-XV@l8hiDut=X}47vecUj9GN#LQoI&qzAkv5 z^xN=b3`0U3+WdZ8oF;qATWRuB1-8_i*j~LJ9y_^M*I4j9GsEARh1{F^v)`s-Nv8Tn z8boEF-G(&zk>RT-{&nE$WzJri!Ag_8^y{|=52%~cr78q02AoLo z;v?8W01l|ts;UEE#`~$+XJNskpMS}}ltIjbpX_@A)N29if3qZHf9eNZMMO$SV2LRPvhe(#kKTtq+Rxnf8#2lE;tQj7mQ}J;U;3_sZA- zrQ$3(Y0WOR_>(SY{hjvG3Evqd2N(AMkBf%_==?tdZF#_B!nODxwRy`Bwby?c zUD}GjXT7QITni=E&70PE!zl}eh+Lcn(mDzkzsuRoPgwJa0-Ng#i7 zr89s?t^@AL-P}^ULyInzWk9LY{7bC?Ip};1PYL?$Ulx$%JyE&`MgKYxaDQ)jKPy@7 z=KZ7mM_O8U{ZHC2EX6VBB#7=FLq}}wz5z$~D}^ZWxLU=_YWKurH+PK@dnpP|Ckq>v zm=#Tm&YVOVa;fKz0E#}mG{Yh7E)#h`zHV?hAzEF<2{yN(V->3J$QaexGxoFh0Oed+ z9h)Xz+0ZejyNTv$>F|R)Ki@Y)w7Sf$WxcT|>+pL&1Mmn|bgmZMhIBV%VgQx%KM*_s zQfC7|0`>rC{SO2OfGU^(5Thjkn)n030w7a5Lz}Y}6%SC4Wq>dA6`d=1)j^%T`C5Q` z%|8$-0Fsd%+*p#Wco1=}Wlb(1tsls!9B>*gQ{HDOS`5f|D|xkk>;1jlbe!S3+a>kR z*b$&SOE>-N)V$i1hDpupICaEadQoW8vaHtKJiW(U@={90!kzpjT>t01;w!9*CWl$A z#w`D|3uRe(W~y2B%8Y2jd@7Io#c5vKXNJZP03ZzjtN}nF0Khf}0Ez%069AL|fR#Uh z`gBtslS&)MDNBc>SMAD-?zD>ulgiM?D4l`lHQh3TGe^}%dq;EY$NAB6K*&@h!X*C2 z>ISceEuGf(%TCBTn9I>(ncWYT5Au(xLpoZW4i5LvhyB~r2=c0|Psg~Wj=T`!LV>d0k9cXyPlKCa&e2Byu71HJB^Z_COKyP_sP zo}cf%GrjMY@7SetT`n$KGsbjvbhO)>J3Vh-j;2{C1%`#K4!0{4=*dLXmvkr z@0P20JKdbF-(Oumot|%e4&k4>=tFJ1y*h4(O}FW?CU7%KUP(LGd7djZoyU~vgAmhs zatN~s5HEPw7+onz@Hd}N?w;>&MK7yjcmg&(S3?fBTng_a(x6?#7rJz{b#&J}-rrtT zF&LU+n*62>e3t08*0k!o1cZ>xug@#`0Ipe-d~h$eW13K$R0Y@9Rpz|loP0Fp5t!%t ztb{v?b9B}~=6t$b$U)ZL9|sNHZrB9eZkqY*AFf1zo+TIQx?aXU#b~lL)wGg2;q{E@ zP|ieY6+Z`l5dD_0kuyL-fs@jwu<>mc0kLUG#^_|8mNVshgW3h^MQ@cpH z?n?OWv~29MDpU6z{o{RiZ0vlsY%Gheiq5CS^>F~BrH3hFwX$WQYrR8r8tc=1TD@Ve z@E%c=ZbSE+M|$in+pfD=l#|+5+xvVXUBK57n_~Dg+4Dvulo;Y+nX2M z-l=7qBaZpwTn>efYTsV;xmSN1W5!q4%D_oJX?mG;y0uor!r3mJYpI@qo8)~k4tvp#Lwl)#8gP|iWkXsUAr-#=I z=flyJIL3LiXm}8E5NA2WkI4U(o{fOLv+ecqGNJM*VDQmRjiF!L)!Vi87eMW45VDZp zbI31emlJ+cH1g8JrT5ce{xW7toRRgxiS;2JhqDXEMQ2WQ#+LE!mWgJ97W0G_lMUnB z8!c2_gLYhdk+Wc*nA+TW_0!j8KiNVw%GKeZ5Yk86U!;Xo9}%uG`!VVCY5Ui2%i7@M zq=7AadJo>o5k9Z-ys5Ow)KCsiV|s;T5M z3{i~mn;c{DfB4nS!ZS)vLfs≀)|x;9X*0uRm+AYhQL~g1c|BEy|4piDh%ZL-=}Y z1HGpLzc}G!2d~wGMom#1ustGBspxrMU4I|P;0-kGeVHC-8ZVvZjWO+2jAxq4ha}~7 zBRf+YgC6hU{1(yTad^FZ8#EwF0^{-XviCNh3G6sdVdljr^ICeU9etxke;0=(WgL;d zP4dHSkkwK(*)4dv=xS;=#dg=@0P7(7@sHv2*%Z^{*Fpk6iVuCR042$u^DzW@8tGFc zq=CZZJIAzD_ETs%!1_LVF1jk)-pM!;F5+i!Yf4-uxy~rat0T&mo~&tak7P?@%iHu2 zOS8FXz7v%?4Sv!Xod{q!<=|l5P4*01`QMz#o9gE>^a?Ka6iWox0~1^KN>?>bM3$=O zqN;6-OE@Vd*GFh*oQwQW8w&=Vbxj7D6;;@~IfL0-KJsYCIr<1>yEZ~r3Ee?K zy*nXq340@Q+DnO;(;tG%8O#gk$5}3gZN#ej)|PXRY#Vrj?v_c9tjC|D3cqvF7H^nt zFSR0I6PaIyN^gLz;RXl>=#1MU5q`~U?uR5_B)g=AV2tfO6seBIHg29IcX__w>~sy? z676&a4)MR~#CTC zcEy0X@eJoO;J^8@aze-=P`!yi|-okB! z-(R>Law78%0UqX46y&{+?b!Cg(mwvIepy6#e=EQ5(+jsdq)!}0Z`m$C3dn}VVVD5v zYKfItuhFVr9a${_==thXO!&^W-qb$&jDAW)_`47&biB*&_(ZFd>^>9~fCJI86}0JC z;z^lXhK%op$!D1PBFx~3OfQk`556H-dJl=!BJy_Gxg>HtR6Gh+?Bf*)31D?6&JCne zGCc6ZcON&P!zf6@B5}6K0daiKV!yMj4DA)v3ZRCvBR_X0r`mm9o-#MZ=b2$(wrsf^ z*Y$uf5!_P{Z-&~}_(B~5n-KJt*y(|d9^uxweDx2~DdB6IjW7&uVa35%ikB zvH%-hhOBe>ZZ9l=#dg#<0mL3kD0~m_^An($)-2LM%bL=E6n7Z`icM>%zP!9$oe3M1 z1o9WU!kJzJ(~Ssq>%oCm%@g^y=Cg)VvN8)Do{9*Vp~K&L#BA?wX5~DSbNEDdX8GRW zL6MR+B9->n|lKGb|@WM16AN#ePRw`+5=?7mJ8uIxB_EwNj#u(q@$Hsnrk-Hr!%ciGWt-U zMmTH95wU|}91Pb)VY1{@`zAWBb=U9~Ub}WD_U5k*egI=$q(}p$tQxZ-=`~|80~=Wj zt_%5Y<4=LbwshPMK|Xz)kNF1ek6R@H;aY86QLO)_!5HE~SZUh|S7&*87g2!NRhoqnEbF2B!CdqM{y2kXE70(gIRrVE85=nztWvea}U{d@)_qH2ibeOfe0>b( zRJiVAxM-n6chddt?x@$IHDvd}mYn1yW%YE$jSb7$Oc0H582uzRkaU3+gP-Nq(&2I0 z6L8+gsk@#Q=o^>Mwf{wM22#b&>G~mo_uym6I)=9etTlgO;Zs~&!4UK_pSC0})JNgh zH=aMRi15d<7IN!EMJ98>h21OBLleP{?JE(jHE;iZMTo29)%iDqUw)rXuR9a)*3Ht_ z=PsX_JfEU!z#l%FTAiJ)DcTRWO*WO4pyh3*2@$}8D za@xBFjZpZPa9FG>G%Us?YDS}MRik0Ts^M5*oj9U^Hex{tXJHdV=paJl&>)oA1{tYE zM(t7if^MO^U*VTRi;^jN1FFv_1+ZB2IEaX`V>gPF#OKk z8iD4nd_vXr{Lo^n;d=T3$cTdldg_2fBXUnJ1Sw%8Vo&^^1ALGFpcbIdo&m#Sh_*5T zq!%a!ED)Fx8VWQ}J3i%8tC3jo=km-K#&X;a{I7@wNrqs#bLNqJi5ihep$ZlZAo2#3 zCbE0CUB+2;F>w!{=NIcQ{yo3+l#7#JRTjRG)G9&84=c=z5lfy6n)0hgeA6P~gi7AfB#-4^bkAuljDoAfI6Np~f1~`02 zuWY3^K<&}%r%08roF84(f_J$OCq}X`Z{`K;5XQ~(0{ij4YPxy8`3wC+r|TUuDLbcB zI>bAIT17Y^A5-uMO-Jj$a#i1d&Sew8f#B5KNrn*)MUS_~Ng5;sA zV-6boH*S}9WlxqOIDwhmMf58r<>eKA+MV`H{>I)E>Gah-xQl{j?tOMLMcG{Rvt%yDfRbNbp>}8QG zh)qHBK#E+b+yZH(Z@%c@=MYf2_#Lu_&alKau_C>}#=xgY=9iTgHE@eTY4(Qcx4W*u|R>3TP zDga#w4^Z|Z?uDf09$*yxIhnr8-xKB3qCRx@-rb7oBv?%>d^?beD2`zvK=PvmI_|ER zOvwHZ5MwSt!d(h2ADKs0&j2oLg&-_lUK{3*RHrrJ%rM(vjzfvbFg9=b+uVcF+#m@y zkDQR1C_u`XS6F*=D{M46yftxKBdJxN5+|vYzrteCsMu{uo%PqqC9l9J6AV%Zj@t(l zU^s=)>jean&$ZXVd9!YNVDt-VnN~8;bwu)6J6%aX!>}2_4mb@7-wQDb=b}=v#QNTAGyId8^a>P7#F9&$&obpVIG@BhY7oxld{Y5LQ;GCp1<;IXo*X z6mvLB*d`rf**59xES(&n2v-szREJv-YzQ)j&fD3wybC#gMeeZ?TSrhj;E{dCWvoJ@ zK@fE|2jlopB7E0nE-9l7iDS?0=9$=g=3-OTI)1ZF=p#UQ20%f-r+`KVc+Y)3k#Nx` z5ZTD#!qM!i3LuKqdYQU&BId>O41Uu3=am)A8{yJw(y0t^6fCo<)nI$=(y9z#j_!Nv z+@qCsV9zj>tDX#uCc*wDf{;_I;rJm}ZV50MxkzPN5`IZC7vLvfIV6BlD&kZcNgyxK zQkv)!dW=0^F4R+l64CN@TqdjJX0J-_@Fr+_grwgvqMkZ#rlpT_`TM7y`z4HpXIufc zv|HD2ppbGIV5Nu~C9mefc-a)YX3GY?pRB?Yo%|AWdpI^xR6}yKhqt9=Qh0N*nfe_9 z7*rmNd?A>8L<&y{KP3l=zW(-JgmRpN$%t&_)p0r2B!0_u8IMQULvh@ouqkn>OxnOO; zqJw|BFkyna|9427PX7tXdMc(p8CJF+Y<9Kvd4~ED@G~*ny+VF-;V+^l>I$)0l@;v9 zv7ea)>Bh`b$9LaLmRgI3{Dr2sV2PQ~RbhiFf=H8u1MH^Bg=!|`V}dN5BUm~rouLE^ zC}fkibLVALW8G8KkgynQ3>J%>5MwJj;QgCQuuZ##cgFg|n^7ZL3QDH z6C%g_ef?^U=9)p}cSw|NZ7w`z#mxA?!lm(P#2D=!a9=@}ss(<+1gSC>5kdymsOCIf z0{IgOv>ZpvGQq}LvEk7{mn&@J-7%}E+UtrMk>w{2m9%e^P-GI6&LF?QWZz8ykGVSY zj3MpPg=?JCIPC<=IcTtKt-Zyj$dCSh7sozLa;gKe4sF^DFSQBM#(JmuU19) zJ0e!1REbLucJp5KURu^oD>B^m(JRr3b~WeQ233M{1sE5TU26m0>)uNwLie33YOn&9 zLilo+A#U%xJqqoJ(EPFGQar4$-ep}Qi*_wGb$Ls z2N9AOor1g*{~32yVDGMY@HVDR{kx#HyY8nNk$fK;k}@pe*9g=^6_p{ppT*e05=vje z%a4b{b%jG|ywfc!p6qHHc7{e4!U@PVss92PYV!$GMtynyJmwpeE^3r6q)W8mjOyK0 z69|457h+J!ODCCceEBl26`I=Q4?h@2vA=@IpKMY3 zQ8;}h@+EQvhof+(r<*776iwYi%*_09Qz{q^K*C~3Kt;26qSRj!-`th|i42i;M}x0S zsUIr7xh?;bSe6qM*DrFIrezIIc^rq3ROspxQxnHTHBoBG-uS}KBBgqNH1i8*>Q_(+^ZQ6t!o^iH=n9~QQ4tuqFP8a04UGU|%p5@E0*Hto03sSdNSg8areSge zUN&2gnFq~3ew{I)Z2}sq4`VUgVp7MK-nj|~FS;zPulhs2=^rynC{4}Qn(!@vo4xv2 zY@G`e_rIH>o3yB_*FM2xh(_GcyV~SaxZAsZNL$GXhac^xf~oaV&|=$EJndPeP3L&l zVtV)np~&mzH!k^05~l5uL(`v&BhOz$MDPWjg3+;pQOyXKu`bDnt?UvJ9u^Uc@Aqs^ zR|(!Y-#yi7n33cz49e<6jDnuZsE5_mr zA_29FTM_>4S!x0~Ob(0n3(rhKWfuQu@c{~zJPqm*lXnB*SEkr-^JG8r1u$5TIC$t` zEB;b)LSZFRXfn^TnzBGyjDI71iea~r-HcBF49jv{8keZmJSpKw=g zlj~N;0qO>WAr{b&iDS8-e3R^JjVPY_(YaD~cJoB)t>Q2n@m_gN7X_(g3I}ac?qO{d-Z$74nnR?r-Jj*3 zHae$M9`@Lw+f4cGdd5fHhFPSSct}36sftFWDXNK9uz)>fD-WgMGLNF!&Kk; z@iDvH-p6T+8L8ts73h~Qg`|tpIGt5^!-Z7rQlw-5aip&LG{^CeU&(SVN9M35Gma`!uQ7^pJ$ z4}3_Ha;HepUK(d3YXyAnQILgs&ij7rejF8Ok@hu4;GeXuRJobJQG>xhj?BKXB1}Mt zx{=uC7}RP{oQSUW-C5OVq;)}qN;;C<7`3OaMGuCskC<_>QpTYpWL%qwBo{xMagR+f z-CB@;KOJ|us%MwqO-`jhaaveCR$|>%#1k#fx3jtAoQXFt;#iM7b;MoHF)yv$UB(h) z%_GDc;?KWU#>we*_h`E?d2h9ttDf~}*?U-BwP8K&!dvtWDp0oQ>iFtXm$XLdZeP)8 ze%|D=Z@rMjDXd#5+_m8CVR&JZ(V|#?VE(rFz=yh^$$7gJ8r_#WL0_>P$Q!5=M_@~- z)1Fd)P{x)Z;mm&MdnrCIoC=W&++d;+OtlM&MMX*Hsz8G)qO|ZfCTGk=BuKjdMYeRm zKXf$pCst`Bj8QS01bt2^8rD}T-A4FVOSl-ZabLJhOE`@&OE@Y`V~dLH9s&qOE*_0b zuQ`5#3T_@44M_s|HJBwWi?!6_b>2dq8}4pI9Z=7h zG3=^U%R&P+8jp^~U~9{|@P7BWvSlZT3RMiT|899%1I9aZgmkoW&j8J!PCz))8{*8i zn7ze4^2G8w@~AEhamiY#!#eknH!hQ^yyu?NL@K63CN3oo`C2N1WhuBH?hA(mgfsL6 znK5t$85BNUH4ic{^AI@A{D6dn`w}X~bJOs~N~93uu~tRT1C9GxfVES%W-_G*A?D)gsWmq%%y;u{rKtK>D~ zXtoVB`#@}Je`>CgS`{=t<~9<06b&>653CtcH^oY>NLU33TL~YS0JM6o09y5bwCn*| z6`}z1`O=N{U+MwoAw=d>jHrv27F?UYV~uT4kyemCKIa@5;9!D3{6`NY!9pJV=fd4d zfRyE8h)*>h&W6FbEO`!Vx4+j<2OnQzme4OID?(3HnX$&tJ|I{N9XM9AJyBnS=un)8<)^0fvwh z0fuq_hVTRchLZmnk^>kD{bLBKM!h32Rhud{cibczYu>8x2+5$%UH?14v?#!|U@5>f zNxup6<}fXqxPr;|vBVRV1V?Cw)ft!`b=z4Qt}`16Yq?w8P_)Bv z_%3Q6j40LCM{Q5q1NAF2*E6F}*(j7p;%S@Lsq!+awpPwc1nyE=EJN1uJ?l`*Qy~95 z4Q50wLw6-@B4>Z7I{>h&0|1HuK&O*Gl;;_c|5rl*a0&ow007uIkiVfRGa}B|EfRmY z8((gw;y7gbLv8gDxrfRrGlTLXb9Y->qURI51Ws6UJ%K z9WL9niD9eB`?UkEU6w<9>%Kbw6}gopTLeTh6Nd|Z7B2E)xO{}(ev3)&_Fz-6hT5Y5 z`kGV+gtF~}#`~Fr0D3zBQ?y;!dau>(979Df)xWnUEY%!l!;OeW zNgf(TlI|fUFyu0#A;QKlRq?Sld&1c%sp8PPKw7nFxvAadd4CWxhS8qJGl!->y-{8vG7`UU^$gUKJ z=ds2Jv$_r<=08G{Gl9BU3s)2z=@VBXwse8c_bFu!ol*9bbgr*#gcGAFqTi<3?VmM5 zbIo77WAPDIi_P-zvlv-DPZK_5{DpfK#duNCh1+;h(+OdS^#^x?4*%`bq-xPf^3s0q zE!-7CDjB5lt`L&<$RuV@WxRIXrUzBKVf0e8T{hU2foR7I1g;WNQTUal^Q0O$KW@En zfZS$W02T47uWqdoDA?xMH*0o(=qjhVvJ^}uq!Qq_cw>lpKf?HEh@4JcNacPJ_%5SY zS2QqrCo#kq!@=zECFkv5mWvfaC{4&U)`)R`ZFEXanfh+er=|7RS@oDvRS3vYwU`roaHf9uhs$Hhz2Mgi#H zG5~aT9RNBE8~~kCfR26_rBYn?JLLD{2ixUPOxJ;{96*QNl~!Cdh@#% zCw?tx*FM5(Nse5Twa;O+=y|uz^H&#hin)o^&9+@X&MVE2Exh>2;73~vQ`e6RJXJ}6 z69aLyAm{MI%T4Z;E{{qdRe7^R(T|7qyz{oh6&HZWfA4a`?kBYO7#*W>ZQA-HP*ve3 z(ii4HF9=K+(OVN%p6;?^*5O{4FEMR~5xi0qPd_UJ*l4ZLHft?3yIJ{wFVc3XUaTtQ zggv)!7|zrBHo0jzj`+Bpp7Lh(J-8XWj3F9qb%&a(EVeqUy9HaTEcSSExpum&4mvaK zbT_-bwvT*fyu7|XB5@;sS}{GsMZN|@T;P~r;9$4J5K27Rq_x=eG}}yfdqt$aqDQTX zRvW)H5kGqUHP8q9e;eqN?Cf>jS841rX5E5qA@(NwmNliT)$Z=(=>9ym-xl$xdYrY` z)zQKBaclbq3!AEdZH}iay0jZ!*TNSm;)k0T8x=>9KFX)x{0S|SR^Az;zSo)^b>Vcu<%wb2 zJx=Zp9Y(a`wfY^!#4HZgp}{am8{&$UhmpiXZgQQ|uubA;a%a@)U)%6L7^N%53c_hj zV%K0ZGB~r^Ub*9nd%7Tp^tzYByc;T2fU1Zz4AM#r(A!3C(gM)XwwIlqb-9H5j@w@?0_mS(I&rbKQOa#e#8}O?p09wY)L+VDKacX;){3Y(`!Vd&t!XLDGk#)l# zUu%XpK^gHEE!AASyF0+EyIi;BwGmEu4ax=t@4pJ4T=v9W9Z%9^o^K^&#C%_T=H`Is znST~z%GfjvybhbRN;%ZheS35EXa~hvjO^>`1WFx#4k{-TY_^+x6B2aU$IB&8Ym-;d3yXj6TCKnY1HV3&nmLanA*qx{>Re_CmPMm}PKTd(UrC zKLRel-gj5albs#0y%~1p1@yao-d%9>b^=BZvBG8%y(72(Mm0g~!%$A{dL4JQe(&s( zURcyzBN%@nt?%SX`wUR;@?TgiI`F|g-R+CYJAMtp7H_lBGZ-4eC-*&e3)2Lr$6sRr zk&01RWAx2t33>donZAQdaG!1|D;pN;yx9*NZb}~oG~1We1f$0#{R1pH^Gk;^P+wji zO84FH5e+Dm#qf#9eC(CdnURM(c2fN-8N8gUo-;@?ej-3`cf{~(_Z{qc1qfT8%jwoY zQK%?jEBg=|EIv8nR~%-@Xaq6Y#?4-+bfouprr9Q#tw@SXf}&1kAXA~1E-#@L*{ZgT zfOc_aBNm<}YgdzJr`H*aB<&`;mhiP!`4Z+dfz3{=J4+C+*Mq6LXFrGE&Tbm{FP%yU#{ck|Cv4Gm zt`;K`FpB;Dh1f<28=QADYtG4CrQJ*Td%l62fziN?(1XNY88ESeTm(v(zj<>g>Kue_ zZZ|buB+-)qMLssOya1|D1~N~6PQ07gNt~HtDeY8|l6IynrGieXLOqDSL>x>$r7$&O zAy^6EEGfz-cSe%fG>UCSiR?gxAH_mx3Qlg9_s@x?W4DyTqmqi@Duhy$3Vz=U%)omS z3DI2lgDAmulS061b;4L;Pj5U47x$m}D#vd9q(&t{Q&b3HjR4BAKfrtWNC3(fesB9) z2V)^cPnYnEZURk&;>F7S!q)Bz`DHxo5_l3&`OSzRxD;Q&iUpzlP=^SO0L@iP?lR4v zo@!Ia&G+fewt>lf9aw>=gqx%Sl5$n&*`&oxjX$7IxGXgM-7i6D_&W^!lCn2U`6T5o z;Q_+Mv){;bl2(A`9=UGK zBK!UPLE+BKvA6RJ?Kc-kIujguK+^&KQpXz>HRG8X8(rJGuWErGz*Y(vsA`3tHLn%wj*X1o6qx+rJ zNf3DExwa7Lhv?TRpVF^EO$Bk(^mSe9*}caExk8`*yh6u#MmHJ?q5BS zNVzAnXS4d%z$s0KR?0S4LMe0D9bXbK%LtEg)ikRMGEF3pWu3}Fs8c4eb30c8F(1Bb zO8D3dW}x7KmGVqQ$^B?d{MZW~ttItJ#mSj*q+1P=N zzqB!V5sdAro#&$f{yURutaZis0Qvnjoq+>56rnR7z!#DXR8 zIQodRswIC9ItlA+u<^*cK``Rh)(GCG0%WP=h04JWfCQWpy9Th7!1*C;SxI=JnGD!L zg8yAp z^6daLSYh@gnL>;)|_P865zc;L`pYZW*vZ zv`nXFBnEbh`W@MXSgvpxH>@?*2ryCJj*-N_1uiO(BG{3vL9q6)0t=a2cVga7Os}?H zu(qfWFvXa(Ag)>x@}A3%kkVfgQPYKhi>#u@?>F)t8LdX(TDf3EY?`4NlD!WsjevDr zj_|NHV?9)hd@wrE;7gV71Z1g=IQ2VxiZLt-sV_}gQDEQm$rJ~BiW9y;YOhV{kYT=v zOBO~3$eXIcW418POFY?6)w;6TuG`FobD1jZ2_(H~7>&Xz zJ@>|38RIFL=yE$_FE#n(&93ph=IS`SK2#Z{uA1r&SXk>`OC&xSuXif;l9jp>rsoVbbC|4&F2(y}* z?3Cj~k^vU>bg~efflK92bqYX=Z@`gzbRk*K#>!V`#UxS(2oog#tI*|zWW5{uhi(Hu z>r$8g_$*v|6LPh)0cdbLM};)2nW@1B92p9ZV*sE)>w1he{Qp8x>%MDcghvqPg!}7y z{zhjId(m(JAtdD`1PX~EE8$}WR4YHHm>X*{AyymzW%JNhaAGM-V4{xMzHh&*#1ApC zuhV?kNc-lJ9lHw}i{V;mIX78b_38%rXu*mn&o5Bu6y^;P_ef1nwW z*GRl5@<{?Nty^c7UIu5T%2PX)sWnXqmXk4c`Q11`OQNztfpcLvO& zJwqz%FmesyF^;e(r8iD?R#e{9uQ%bmD~s7XO|^7YPkCN&|3aqaPkV|${_&eIvN|`S zT!ZnlJWxc%&lOjD%s%~w<ayO6RW?FTWok<3}TmX_(LEa333NNtHD`UH&;Or`$tE<_PDm_q)QJ$ z++yPOLSYm&yWrt6ourLdS@(%R_rI5Xh(Sg;R}gW9`!vZ!hMdz4`JePQa&V~;3veYN zDi&n5bhjTm%9y-RMK{27?dk}j!iH=}a|36yi`OUC-0=YGt*uWC;~Z04qX&}goA^;| z*Gnv*IQiQ%HO-81D;m1o61&{`J1`N%Z;kW(OoJ}smv2jJq14}p{&tv%=r+_bHj!U- zG+spVdhLW$jV%(WEV8Yj71<2Y~RHCxkMo?!&>LiTF1c} z9Sn2dL?_XaNjnW1dURqf*SLU%bG?0Jy?uLaJcL9Y1T42-Fv~XZ%=)0v`sa#eT5Hq} zc}tos8|y8Rc&j?0L`zDviGRML^#R%2&y_Pr2iCMFCpZ)DIm%_ZxeFTSmLdhij*C>; zL+tTGF_&rfawiO4>aP|Bi`sQR)ED)1L^4rvntPWDq4PAAnI;re_E?Ia&`Bj? zlQNe6Odx%Lj+K~j^l)d>YB_(+*eq)E6$Fbnv-Gb%*7<=4{!X|EB z;grVvLqJussOe=Htk8zukEmOaH?3p9dapc@>rrHK`K`e8kj{ulUeSODvteV$l+I|~ z-owIMwWQ}R$Y8g9l_Dg8T?#7J`=E&1Xu#psjph7!`3J(KDM)+w6ks#C*OV;UxQ3bY z!)3>k!$L{O2qVZKlrm)h9%7XPF@&2sLbD4B96YgcPOzOB=|kN&$%lY-w95Z+;tk^F zyf@%`{33qccBX2OFZ?s?^Y%F+LbWRT<6%GJ{64MeSognwj{AK6HR(pC3+6Mt%l+R; zH)T8fmH$k-(Gl{w`&FsyegEr|{pD?ce|{k1bd0qsW)k1~eS##_d$d>0dCZuHIgO{_ zR7<`Zr?*mo5cPC=aAMh<-ApP_Mqwjy4 zms9wzJ6y=xbI(mB(EyVy35_s-TCuu z<9P413ZILExC2vY5}&8#@#zQR^T&j>T4oo|%iGJz`@k6^Md6T3XY1?TbpW*ZlfmI) z8Eci!d9Z#zXy>Hw`^&)zIWoNZkJkC$RVEd9te4ZO2|q5KKiWE7AD=UpjOOW7+xa(fWe?h2?`Izt$3BgcbgegaIx`hW z%-THH-+8yYY&LXVj9S}Y&+nb*m_~TL-X^#eg6;cF9)0>Oop4R;?q=W7&RT1zyneN9##1DvQp^9W@!Zy&Q2tOQ`y0eCYJfYnn0 z;2+)djB3wg1T_oolh$;frw;>Z8CLoPlTDlN9xrbMJ!y9t`*h@y*I5X%rU%ljF^63N zx5jaDSKH}L76T7}cZ!5p(z9mvrvk&boBOq3z{IJD)fc7hU*QG2R=O+1TR(S#!L2tx zOgWfxXHseMT3Uo(SK*X7ef#sOP`jcfZ^JizZkdtBwzTa8WG^ssdYBJZrKl^pUr)xy zQYB|MgIwbXvUt-)%?1+R`3CaBsnES-tOH7-ztfo1Uq7iC?9(P)w0i>D<1W@8jBpy0 zEYSy8N_u?-#x8j6JVwliW~0Bj!8RcV49{W(%kee}E?&!gtKY+vaDxoq2nT+Vj{qL! zq=zMg?W?`Y!|ij&5SLr=)6*9Q>L?ZjD!_wEQUSB|D1iq~bS6|&N9umJHkOD{a=T^>4UqKh8= zeb9%I;*)&zcD@x$@{0i9zdoeAhzNauYKH(xa3cPNQ?~&eHh#sF^3t!lxeH1d^wQV= z?+%6v2%IoA9)f}@=x~2|&l&jMz{3`H0y_2_Hd%1~vZpgg>p(1mR|ZHz=O5_UghtFoWHIAETIE~{T-tLE4SgtzlyPd)YnS) zMln=vmIk-dNl)zikB`6Tf3Dx`wKo(<#TgHT!b-GS1tgTzNzJWrBCYU8bt7}5@Q(o8 zo?JV_RW-sue%}^~5JU)I2~MCTj@#UN{%Art5I~fEJ0Ez_dYDWC3yw{;PdgtV{Tn`j z;QOhTp0RK=ak*$x$GV@uY6cVP?_V0IfU6T&&0#{V9y6EcbAyDj`ZX!nEmI~8ypKmw zU%`>1W>7X~kD9iCCb4?Th)Q4CK#|=J8kFQ{M4_i;0XTyb1|@W(p@4{wqHh|QUy`fV z&$pyRa2o9f9+m85x~c}c09RPe+-mtoMr!YC4nX#|<-4zfz>10yAfudeX2+tzAcGC) z;E68Tzh`2EOj#vWbsQ^h1tfX@i$m{!9YVs;ybsHDH!tJ@{x{UZ$@PjF97TInedtDg zozPZcHwAoWZa+0(MU%WR9kB3zovz>Zwhp;sa`*u>fqK2M{@@x!qH+G49GKGS`|3oiQ%)qSb(uyK2 z=%yV6MG*`CXOxJI|07C@v!@=iZ2H10zfZIpTY=|g_?O{D$jYZ~6 zMv@0mWQMHP)1o07{x7KF+dqdZ`D`Msvbq3b*TB_jpoVm>; zF5ab-i+<;nR#P{Y$umg0fJd1&TOF&LtL{SsS%`k9 zQ?CZu6tCsU7LeR|#qUi!VAsXtLk|G7R?i$eY)LwMq$o_76_sMDA2dipN;?F8z&0TAHFU_pYksMu zKxBGtSy3rEwX!r30Qi{q=Fqn2FcoevDxJI#|H>>&9ICt2hUf|1+I5iQiIn+cQ+nZcDL; z$M-G63k1Ya>kHT@r>`2vE7-sOY8)S+p(5>Q5GgK!435w2cKf$hpYd5>SSFApO|yLe z^^!En1MJ682@bM<&NnCeW#T{FCrxI?d+m?LRUcLwM;9YtrGoyghR1i7!4333(cdz- zN!#c|dq3I=*#RC=5HWW({zRvLW7&&6-WQO05IsyE_r*X!_VM^iGq^#kbz3&HKU}Gq zKlB`%nb5HHsPh)*Y2l;r{in7D-17RUJYp?P92*P;^V*zjG21z8oYWp+FRV?R8n^|^ z`sTMA+|v3uloZp-GT7?atr~R#J3*@^HSrX3et0Ua0@U19ew#QZmx7O&h^T5>Zkua9 zL#6zlJ2K~_;llHTpqs?OgS9qyY;Z!)Y*daxoRW@etRGRT_ky*YzoF05@p{<8h1iqj zz?55o7xZ%9#D(}jti5GWT}!h7in|7Pf;$8aZUKTzaDq$F;OyM^HH?(Xhx!QEXp zyba_#_kO2dy}CbMv8bA9o9>?Oo>_aZy~g0R1Dd(;Sq(dadrwEe1Ukg@TXFlYkT^|; zMwtFGE9cbCEhs)yi~Yy6DsZvt=kt#`UI$h{x-e78ZR<9e*)`yrgFnrn*}3)=a-~W- z+;r7Qx9xVO9SFw0mN}LT0|A%d)|un3XXF4wpt1-|=R>me8-H*x`pr6wc;)P5i_O$v z&jb`6P#-P!Kkdl>)egUDAysUwsn`9!feID!odALA$V>Nl{r|naw{DGL@FQi1CUCrV z7M{!e- z8K;-h;v`m}RZtWxScnNd1l5UG+R=)Me2p8k43h4$3wl+`0|=;#JUp;K6B{==z-8Ij z&&!ADPP$-M(Ytnm0P?y)wvMp(#aiUCc^J)jOnBg~zWgzU7zlCac!qx`F1LXI8}H4klg@_lm*5A`oHhYESZ=o6Kyr`Q{X+y&=L8WZdD96J=Na zwG=2EEn<6>)LJ+p%UZE6aq}gFr3M?CZUkqS*|(Uum?dB1!-PP-Qf62zf043pSqxvR z&xqSQ;%pptgl2vZEVN_`qI@KrXNdhJiIyt&yF;ZkWZ?L zG;%5zDTv21-^#$!kmJ-48`&DpM2@(^(KgS!-7oa-fa|i}-tj|znxzz2qe&7)-1YDG z-h9&}FXLo9J)OV3wjmQTnVuPa)yU*tr@;mBsS)M{} zP$5nqBp4E|C}hFeM*X~|lQj_HjQ8Xq*pLz+a!a5BpZFy}thBw}fuK8rpp1vT16e{% zg8=C!04cE3H@YoBjQL_Qak})UOlE9I2emK(r^SKNLS=v(B}n4i50IP`OwbrdVwCbA ze8E+VTI~nX^gT3M-$QC=1TeeIcVOtX!YGJfGv4X23rGlC1SnHvyG(FpezansKe>tX z#JfPj=+-4*fNBUzlirWP|1?(wrTUQu?v*4;2Er5(3zAj`?iD0zP>-K$pvw-OLN&U@ zB>tTZ?8ksIMR*7>$+JSX3w~LH!U9Q7E%j%}5)JFFaQ<&J_2_e(#6z z3M~q)&Vcz;#g9nTb}RZ4ZDYRsvLZ5W*QYF1e^eb$^tr#zJL@28-fc2 zSpNkyyE@A@|p_&6xA2zgl-z2vs|e^;sr(16Kzo7^bk7T0-DcOk~cZ$thqwhirhS#RM}J ztPUf$g48~R+_7db77QHXLFo`g3P23v#Ww}&HQ@k~VCxhu!$V~BRAv;Uj`7({WyXZj2Cl^`sspwos)0rh_x-tHzd+|H7y3#^DNyR3- zHJKV3Cv~L0%u=hyh`i68KSNAmWeqBfQBSMrK4C_uzsu~;U~8SMxKx!2kO-j&uKQ!6 zW==;TOfDKNvUGB3JlULye^c1|iTMZ?9V%2bzGQIA!vYfC14hW?Fp zZgFos$G>0h$M`0VHf`ymH5P$kXWTZ`G`&x~QX7e6p>%0g6^CkrxxPvDY-}8oi{!%w zdz`YySChRC9h2FP2~#~ohn#4Afe7WhwNY0%ypdW(oi$JEwFCrk@^ z=v9Lv)~n27-C>FEhwX)HOBk)`6GN-vd~^y5l8bW%KfSDEisq)AAxQo80& ztuYfz7jdAp#meIgWo5KAY~enbVVX)mKC)I%lB-}s8+I}$YG^mp4bfMq>wbqnnIUTT z+Gen*iF23yc5v6(nBHCBSe4C$;D1=Rl&s12ly=yioVu!&+qFn7#BPiQ&;1Ucgi8jP ztTuQ^dEI`Q667%W)|5lywe%{&n*!JJ7&Nsy(Nz%Q)t;4~(^x`3r{SVSnfiWOR2{XjOIgn+2sW|oT{GGE5emg`yNeyp6a6k^}*JS4-9Epz`RYR1cpN0>*hP)!ycDKkoCp%QxB@x6Z8TV3Pp%nFe)^<0@YgyX8B&3+|HQnU>s{caQo^nu) zd_$u;$2$QQq-$R4bRVZE7eX*Ke;su)2J-&`TB5z7(l+vKDlr|35Sn_y~vI) z7YrMiSIkf2Mp03RUYS6}jkhnqhLrw8Zpa`b4~w5h!h7r!l@YW2lpzs@I0Gx{&qOKK zn^8!cf{eaX&J9ajeHHhglL$}o%2f9-M~+;Vy}fKQQb~>c%~oz4wOB`^zio{FX+xt@yT)OP zCXBL6AOYLSaeuSfeQ%4o1e%;OU@`t>QWXs#z%)&O6RGN@YK7ki)6@dfGa6f&B@IGn zV1N&+N-Kgg5b5HV zEIQTcQKBSQSe*9RP5Q0NegmxAw;nM9m-7o4H2T+EL?f14BlB~s(z^=@W5j=*NG zU>HXlF0db~gQBsJ4)(%s7E-0tj1~d84i8%^Tcqu@E{b1AzqqWC(NEez#RO&h^t~z~ zBe0)EgxOdaOUTlQ{3xP(`JS}AiV5dyHg7^VxC2n8tynyHpMhiSmX7x_H#m0_YpwV4 zei8xNgt@(wm@#<3j#4vhjudWGin?5lOhlshFa9Kv-c{2cec`2eiI~ zULMdhVHu(eh~Kgx^v$G8#7v$BlxZyZxWI+82S`5X4Rq-zSN4LK=vNkyQzTbohOf!n znEQ4Y{7EYsM1@6n4AYnon%v#YN*j21toT$Rx}Oko5$cU=~d(()$#Xk^rDlb{srDlE+7hpm?_5G|N zwta%)BMw68Kr)Z0C?_g=!dL!a1{Tf_mQ`mV}0>5m9hWisvO% z7bA;ut!UH(dj zG%rC5A<$S=ImJeHZxYNUv{AV%zLv=^?DFt1wnY4AA)lbYXv)2qZcd|Z92;OE6!k!L zk5?(TM(ogF^18q}RvH56ye#Mfx?D>ZpFo8d zfK{nH&Fa!(l*MNwtJEwC`?_)&3;}zR9a}CKG9cSFoP!)rY`|K|ylmV_wc!ThZB+sO z0>|zY7N&^hr4&L2We1<^;QanHY87Lv1CQiNs_n$=9spiY{-><=TqHTryU_;Iyj|CF z8-5fthy`CR6f|(psVYd{{ctqgzOciLAD_O>%i6*hxLoU~-a9AR#>hqv$cNa5QDhI; z(Jg>N*k!y~xVH(7Qr40nAV7|Z635^H0va6ridftI^OU7pBLOG&eEm)2(~I9eHq`Rd zlfdP5`E-mo0-RCh1;}w(|`BS%-v015TFMJm#oFt>hW~DemA&kDr(68Zc(I< z$JuG8^v13S;CaHhqIt1>sg+m*JjvngXho)THP?q^R&Nf*VIyHM3y9 z{h9H%;%xZU-#oL)Hlv6k8sFiP30*wS&2Ls4BMm&DM(p#HDC3l9{9Q zk1}1{rCSx|QIuv7K)1B?`?PL`B+CvyKs{yHPcV`!dW?TGDXlPqStrYXFGK0>VSjdi zH;N_Z`1)YY%kL+b?+$}~v$anZ-iPovUL2h{Mxz_;>z26h>~=AWFm_9!IEHd!Fa8K! zo(u8UW7mH$HfLmauwcRbIUhohQSLi87dxy%vN7y2TNV|*@jPPHzBj`c+z?~k6}L81P^w=bmKb3d3F z!bt}gYXxtcb7+O;=g8LL*MGx825V8BV-{xnU+DSzYyW(pN2j~bL!_FTfG{>5IhC|7 z4e${`t^xH|PXa*1U1d*`lSE7NMsH*6#s`JNY+i*zH#GrcMS;7`PS6%; z_u-iH5~7#(f?@`1MYlzxQnf?0?SPRl2wP8<- zgg-)vt4Lk;fBz*C7hx*|3RW0V^Ox*pw-T1sA`re?*5GZ(b9d89KjRZp7H? z4>q@Nc9EXrnhz*?Vb?oqYALF3emK}YNI5ZI4jH~)dZJ2Ek^94Lg)o7)&b7xd|6KMD zK4$cR&CX&<|AlI#gT>O>27^-U?M@qhsXUPZkszRPP{XG?yu1(a0Ppcq&dMZGS3 zTtI!(cq%EcxF3#0A`|fbe<=MRb+GY?b0Y0iLi%4Ks~fb;j-2YfZ5W%muCX;r%?w*Ji-H~IobgNa{mHS2*GJA06+lXKNJK& ziW?wc=P!U42(UN@0>=LWLh*qVhd@B%U%)a@sKtxW?7x5uXM{XEAO6~R=P=-Mc^R-{ z7oSC-LVo^w$m`=26d5U2%C=I0j_7qKanXAuVKc>R2Se8A1^chM0=LbQdo}6iEN6EHIe$SpZRdJRV{Z1iio* zvTAb|HqSfrhP<8qb^7QzUBFEL?EXV88TQ)?_oIvUJM$MeV~j;*Ql>$Lt^D8EDFmqh zD)j{wPYf9xQEcH)r;r#a<+?#v&$w@*RS~^hdYH1DJn(6x*C9Wr!|{)KZ}0ksXNnDf z*85Z)*M%+NQ*8e}BO?+%vI?lV$%mN#XfE{=Xc)Tie++xm^j_}2HRbz<{LN70e;N9J zWCx2`e^crsM!EGR)0kBjA|IU{s||^as5w4w0&MTwl-LueE$qSoV--dl#Na zEIcW)E69}oM>!R2&5VE0Pf>_Me>$43g^5cE}pVfhBQKcHk0{a#ozd>kt+x5Pa{}RW3%ZvF`FWd@GwGlFe*XYB7 zkn1!s_@_JA{~63QA%t!)(eEu!IB~=U5ThdvIiuh5oSu>tHcywig6`Y9w>;c3AOmHS z5{=uE>UfLaUm-vZ`ui&0MwIqah>py*WPZoC@oSJB2NoRBcJ^<`6}|M0Iu5G^{JGQx zMVx2rV;wOjh5r@>=lN~TcBzDRpz(o3`#*m>7rU{P=HcXn$roqC6%EY$%>=$qov8qr zX&z{y4ZH4J9msht6H1)P|IwEWsIO23%{R0+eI>#EtGng*qW)*)E%X1V9|2S!w!h$) z^k0XeML|q4y;qB^{OeI0p(rn03bMZ=vVuxTe^zdR6`ucdK(q(NPZ zk)^nm;+!?ap`E%LnKF1~pxPqFf5ws7zu*tIm(^Ld{{c~T!C zaQ?~Nl=7keG3T&!`zBb0-@-61u0r%77>GE2mnihhsgb$K0=)X`QMhVOwD%g4PYcGoxfCZ7Y9fLlDqVt$-*cB=6*9 z*`6;I=`9Nc!u{kBz@S*?q}hYu;V4uk8%y_DN0jqda}1NL2G`134gQ_!PEsCCG3WB$x#$cdY0+|g7vbQ0DvKC~| z?tt{i`;#PJE+f-T%+QysSob1>qg@h1XnW_9)|nGw^3&P|LX!J+rCN0LBA%!LmDniP10TI zKp(aOVObI6+ZY~>lu}iKlX%|8XSF=@ZR*|;73Zpw@Wz`iYDYeYO2s4J8>NB#u2^}^ z*SF~UJtm905WNm~2KfWA<#D}y)Jl=UA5k{5Bj2X#7skq0#&YEIp5H*m!B@r_AfpSA zk@l4_`995fzg{isH;}QjT0q@JYYBti9aR0kV{8J;P;7=1M*fezoYg%-Y(qBVKv#O9SI5P?OZgR=>|U>@!uA zdod%M5rN)a-ob#4Ca*2jbY=o8Ag+aqy8;(O5vaN49=xQab_{`9C_K&SnmP$L>^XCu z@t$N^v<~&EctrA-LvSik%yWL(0~R^li_#In#d!vwY&R^yFu3nva^AogU>fe5oe*e= zBX{f=QlO?v$@s)Zsx1kAryjQ?9tuZem6;S-n(ggIFL|8xX3Kxb72a&wG&3RA9*pA7 zf3=0>yqYzl)UZEg>eUvUJ9XT?*@{h>H(Ns70MErKnktK;C;=yT7+hZ?gV7hgHa8hk z>3PvjlQuUgdV4`d(zgmL#FL-yO{;}wS}K1UiP}!GnysAi1Uqa`!~7!kzvIeS5ts4@ z75(DBJ;#{UfFQqaSG35Ie$565L6}p&y3p*yQ;53S#?SfZ2=-2#k#h{QN1-H9kC)F zI+d6BR?jfv(y}Ofs zh=AxWUyikqog3SE3}|U+qPw_icoLwPh|qVAgjv>X2eDJ}Qxz0Tz2J87@+VLW0ZxZL zB)$8HNnEJY2qYaCUp0N7r3)EKw ze$za^@czghh+9r&#igg3;N?IOVsStjDAv3zK9|#Nlfb7yJ=%{u<5rOtQ(RWY)t{`W zYJ6;s5V7XNiopXNBq3hV-{!3%j~0RGhBtH%5Pb|p&-_KN0?}(gblV%60tstgrUX2Y zUFwZ{3y9tUqI=%Z0YEhH-PD0`ujsmknKhc#Mf=k+qo7l{qArcq#!(Q>)KuQ6ThHXp zdCGI2t~pd|ckzhnb~8OezKE)Ky*EJ~`2#!OZQYs|iuPq^d7XaSJ9N??)O1iEWMDFs zOX6m+ljqi2n$>|Kup_jLvaODB)HCwhcxAg`xA~Ov0YLir9ukN-I~9ZwbcA-B7~a_w zGmw2gX7lsP<5;tGz`CpZj&)92izmc4m3+$C8|?PA6aFre8|gjKzVz*{JrTZ=9skEZ z>A(o&D+;$r%nwS}FgC02H-(#FQ!88gdhJ^xi0ZFU&eK`SPTP+9f@9L)l*}h zTL-E}5OJYUzsOo5hQF(`rRzf`2#R@?^~Cq(+VPS|fp4ntwg9hunqqY&xH{9sO;B%9 zUL!omI+8a@ORf;JQJb`3dLxvn;7nP*T>6R?7i)fU7xK)Agcx1pw9Ym-_z?8c$LRwq z@=toFCC+Y<>mg^sruZP}ZcLsL#|;wKp(bK2$u3_#c*31ZpiDI_6if1T!E(s(i|M*P zLJG#9_;+V%b3VOyz!Tz;H88ule>lmRw{N(yFjR>3z^})C;-RYfW1UF?#0Fz_;k{zN zga=r~^5d(caFCG*ondFp#&U4C6bGhGmV}=l(BN%lrw5;#nWHJ?D}O^yR$~^qX8R$6&+P>-7#3?D02+FHr^8=T9+X5$+}45UPe$De^8)9jH!B*N?DHU+|%wX`7SX zSQr8_*1a&A=^W--Ss3kN8+^^H`SX*F>3Xu3oy87;nL$y*svCDsajE@{v`vX$>J!8D zWY_;-@39`J^^$S8pm4AXdTo>hlZmi>6UDDOFr_}S=2zL7D$rN-Qcy`5IX$U1vg7yY zJnZ6kN7^vLqf@Z(L`oV|e;LHw-@hc}LcbJK^IiiEx+f)$$sZ00yN4tI2SKnYEu0h2pJ#2UdJ!b;y+4GDD z8IIU2>>i((1Rb#fwsxwl3mJ0i03dtKT%?V~2e>^5#aH&dtlsnrG2XnaFY@HH0UvZj z7s;w+Num|rI=I>S3)GQ&`B50131ysVJRRU_!5AQ>h$gjOnVhvaeNxP{ivg9kf2yrr^ z5X|heu)FzY+F)?V>;To>3!XE#I0xPptdu8F3_V zw8O3W@o?**Rw*Rgb*mTePc*OMhZ%jX)U7e`!%>@o(Q|?LY;JkJ!SpXvsaqkbTT;L- zBCv}#mbwMXKbX%TxpVCvCydm}KPc=Gho%{KY_Tu)F&w%~D>AiX8=I}~N*j7~!KUe*?z%Db4GPKYYUN#Z(Qz&5?mzxhspJnrG7Nswb&9!(g!37{ssNvLd@{Ss5)k*se;~dOD8*6 z@phuKl2$X{me5dRaG;%eCY8J~B$jJOzQ(@*zepaSR$-?2iu5072Lqbe2=a;~&%rNZ z03r(|fygHdA8^&uK3QGc5L^T8kbn{WENttMHwV|MxtcQqy#Dd+2ea^_Js5$2Fg1Rd z=LBbz%Ii78G!TPbvTNT{*$n9M>Rw9j6vn*uc*h&(`n%t5Je+_!9!@^@N;g-x^B$3v zO_8gB$;`cRc@2>R{w2@fhliR=%S)TJ$p$w(&kE#nhLKH;k}K}R(JFUW_BPk#cUuLw zNu?b9;!pIgE5)nMC(PQ~7`6R@soHcLjyLvG&B6Nz1cH?bhnm{%3@TfWwb!GXcPbah ziw2(DoEjcZwokoh^Lyu)087TzUzDx~($4l8WQ~>mc2AS-=kpBm(?PANselGI_a)RO zmzHObl=uUH8@n^`&$IFQ{&>ATz4(UcJUI8x-lLrQ7VkQn^?T21UHa zpXFI;g}DA4!Vb)ih1&S6%e9ofJj&|QQCQSeeVn0eT9G5t{HF5Ze%8e738>V#&$?2< z%?=K_<9fNm;h%MdkT+Nn^f|!peb9|A6O(Kz%6bvEt8fSJrg+>B^29=6NCJINs(u-{ z6Yb3qPC%`AV>{(9zFyo;`M|63^>TH}2VM`a7qnA8@CpQ8YWo+-6TLWqODqf4I5gWc z=#VblkLGxRM}NvR@oNG*udU}mk+_@K8k-!R0RwjNi=@}rL?_SR?CHC7i~jvkULu0q@|qX7>)sNSsS zl#6%KDUbc%p9~m1D^mG6`%)FFk6a@aFNr+DpndK>6h}m*^hD*)`&00eIetBccM&*= z93c7g$0g%8+^KPUpBC?Y)@&(ZWJT}CBJxEr-aL2esQr^NH1ADw`dv*0%t*WdTS7Q> zx9JRI7>xaUpZ+!|P@7-3=EY)DNtt(vgq)Cb28DTS;81RQ!xh{TG`cpN)}?eDG79;W z)1U2+;1Vb%6ndGYMNj*sJC_rZn)O<^zy#qn?;{j*P3#B@Gj)W(pik)56b#CQa)_@6 z&>SFFMpn1nrH+FIEQsqB<*oy$r$?0YA$Z-Set(iWk}Cl}l^RXXEu4p{qeb&*=fN@F zg#PcK905Ulzmx|8QtJc;g809J($-kl%5bO|b_sLZnSJD;mMhuSW1EB8r!5W7yJ8}b2bG4U^YG`(4I;N2%hn4^EE3|hTk({?{7ZCx&3nQ+-EzVS@q*Vb&!x+>s4X|6v=xmj^p)a2lu%J(oa zbL~SZEz!>LYO2sJ&RRRReeV-Z+R!Wzh2idN|iV39Yh*J(yKE=&f5F-RYNR$)wGhHE-ZOvPSalJEHaDDOQh03cEzEvq+~t!peR*p=1g|y z{z^@>)#J-`*D&&m#{odw^-q|kL!M_*HPWFOvVo6j@waD(W)5)NtMFQfvDT%tK;-Q< zN_FmCCcUp;p7V=n?2cJN9ak31pZaHLFDQKNqyb;%Pq^R(aIaEF?BcvqO^$SWcNI@2 z7FwvDhE-FP(X1tjD|i+(&-(1i^RU@L>%>BY+ltFZGY9|WRrs`Lb+v6iC2DKS)7{LB!sepo z=%4Ue&*CdC4la*7RRDZ(O16O}qK76RV8(3V*c_R+` zxbfir9!o>Ra@d2mQ)Si@yxPuD<;lSwAQ^EoYm@nutVWu=dg6ZSEeaR}!F~^3QskL^ zRe|7idL2IftYWsqb1E>~XxZ@Wy?8vyRZ{w_Y({(baMG}cS8H;cbgxoLn9Y5Hbspv{ z4XA~z_wGBXau|9#O5zW5nw|2j4Otqie{yvWULL=S4 z9T3U^QJE$(ZC)R7ZS=S}a5UJs2_GyRwYXVwF$Bn}Gd3QyLw|1t4BV%Z*o`iS-ltYO z2;?0Ake3{H=o2USEt~h_l8+maG3<7DRc0D%w62F;Pa3zpwbh<6 zgjftwdHBFI9|A}Q5XP?J8I{c_l(jJ-vZP9tN@d^{%qlgSK0fF5$?Gc z?5M5uEPsH3;8Cv+SS@Q=wc3cU?B$;Eq*Gwu0&r*Hd6F{P_1=31#Q?5TBRn>s;&xgq zVve_L3HF~6K~YOfo@Eb|@IN}P+6}tT`>ao&KMC$FxYQ19>R9SH4)~}{H_oavexv+e ze3REt)j*nx#3z5EHn=UlymK-bux_DAR7d)7W9FFWQmW4Wkihn*#MSc7*Nv_DX8Yiv z*{pb$2?zdZ&m~p;pto|@(TKX%eSg4ZRsA6Q#;}d;$|G^j)>e6?q#?7a^rp00%fYsW zW_7ZjFKuRFFXw@%VJGh4`vWdLa6-T<#acZEQ)=xCkPFgtDwJ=}0iKs<_np-Cy6mFH<*}=4^FIhB)mmqNcs;E~n?3Dhg9|hjJb5d}0;F7?x|HazWIb94 z30FMVV@ui9ackLJW^lilh8E(cnz&_Kt8HwAdQ|s3v-0&lS7y!J&i3pmHVz)R2ht4? zH5F)C&Kl<~*qeLtW@** z*}~)DbAI;9@x_(Fc%$=sMl)7i750|-T_v-}x--$@59Kp;nP&jH$L5~g3WaZ{$!vuY zDGWIgL~3LJMM8mJJZT$KMHAcJm=}tYVXO;|6$6m~L$7?0M-J$Pt~!tirQXt6-`{y* zg?Rh}>YOEP>@&F0rlE~^nWmux)jfym`U1sgF2x_xXKtg5THG3C7+Q5wriNrils3Ju z<5>W7UPC+HVAf!2gspP2l*Hk)>{N7AFIYxz(+GpA{`w1ll-W?slHi ziq|?fr!r_1JkwLHoUJ{|b?;d?ap4tKgt6`Y)4NCDI>ywqS>wawpog&r;LdaO#t;7N zgw(UGPbw#Ewy@_S?-H@P$CI6~ludaWcXS$;aprvfBVPW4S?H?iqt?cVs7fIs-w2Ia z38LMvnlCoPU3dKy%mAn95M?_zfD_@E_2q`M0O|dicwkASS!^y&_3Y$l>nm65&b$JTii94)g)v5h@-eN%c3#R{Xx6w%(EGIf2A;xnbf z>Yo(U>KV(~M;Z%CPtX^-tf;&jXrYX3E>jgB8t?&YHy2tq88f#hq7QBvkHMm|J?-jR z79v8a4(}TBbRFe|3v7f~K$kbUn3JMG2{@67Z3qQ6dAvTxC-ng@XOPGX5Rx6xuKJ-* zEkmD1z=M84qX3CUwkXcGoHtX=o=s|F7at9+=gLPeQYo(LpTmOsW^ zmAkn-751Q2_Y}|LN7{F`ey8gmz|j%vzuy?VwkdfKaxO8}^{g)fkRL5fpRV%!iX9e> zsNjI2e*U`gyC;?1gPQ}-gQXUu((@tR)#!&u!kEZ67;*`UB ze}v2t_gr<{4`tV_jEe*3uA-$@dkXi)$lh;CBOO6>p zWrCaK$l+v9iTVc7`MSZRrBNe~y4j;X9~c)~OUhO6kX53RncFgr@y<5Br&0L2e|PDqDs?Wca2&_M*V+5l7D@?Y1%joaA8H~ zy*g0yNqm2L63SNH(^!wV+#ENYC}tWOh^t?Y1t>MIyh=#Plyy@KTTsp`Y1xjudhR6D zU{zvK793BsS0~b?bgKYRO0m=c20iGm>|wt>f87#LlB^C4IMS4Z@>A<%SqN zxcSJ1%p=C20Q0-&RaDaQC-K2Y&EkLiJJ?eyp@d`OZy`Q=Nj{V%qWYS&Sg*@3le)t!TAb~eC8V7 zSZu1Jtxa~{Jwuh!9|xISgLF;qf}9u>f3z!vU}hv%;M@_I$273lOfgH6v4~eXyU6h2 zt%m_-BwTF0D>&aU zPg&dTFl8frcoAA^2!2i*dh!jgo5A^xfBIvDk_8OQl__@2WES`TM6KsMCjGHroQisv#mUr<}T?|Qh1_$E#pjc z;D=oww{!joB20sHqAm7i?M?8Lc-&y7846vEIyU}{vW6u?=Pg#={SsqOoT%X^5lB^= zJ#DG^GaZ8i=fkL$I1Pcsau3NCv&Y8Ap}Q6yG0)!`sT${y*U4D1`56zj{fdi2s)_g2 zF3ix0>Pmy`5~MbbXQOzI2Eh-^=D_!csHW^3obzOQPS)KtiR#Bi;zq)MPpd4z4pw9d zzK_S_U%d_QL7eBZLAk1copCTKzV73gj^DybFsmIE8T#4H4DkHO>v36R+~A(9b|S>= zXhSLoJKqtZ)@3$^cfY;3<7tZDGXuQgcycDd2d-X`^mWfhN*yBjB##C)66L>Z+@{|9 zFf_SJS$Uv#BaxzWFDess(9D&e)r&UvAmj2!pQuz0-0H1BANOGe!^$i^uQHFDQjDEH zXa1PUFpZz(kn&vXQi`8anJvkq)Zezyx|<$6$lNwEtS|Uog3J4TOXb2%Q%P2t^p|1Q zR%P{Jy}8%AXiK(yV@Z4GOjA*6v3vUUmZWFtR+xjz)MPoi#o0l7nb0DbA7ff^bTK`Q z#6m_&^QvlkwSO>5$<~?snTah><$7sE~2%twpr38SZ61QwZaRld!`P=KOvror#LXRB>Z?N z#|UmT9kV3Jz9=n7qrZ1!153pk0#To^e}|%qy>EiP?L9kW@Zt436!+(nX|m@#`Iyt>pQnpAT09x8mW^3(P#pR0JB1b50# z{T7d9ERQR6l1U1Z!|&)jatlE+i=*c0keWh?$|aU9kK3v$nW^GL&Wk7oez%%mwOIGk zz<1qQMBEo`^?0IPi4Rgs8fYC=(krC4cPP-TX(<1WXN}&u&c+QID6SmE<<>~b9}UaK zRaKa|t}vF|;mADNpZNS$eTE%;19D-VW%%;iOrqIc%{k8L>p7-{F@@!9+`ff~nnr1M zX|fv0e%L8wm8Nz{3FWFHg46_B?R)x?+Y&uBx)KW9+f2$ zI;&AzJ`A`UKNMwUAf z@Du)2c&qw8rL)1+I!1#>MrDxiy|}jMuj`$D{&1GcM^D~CPs#BcqnPv5Uy1L{x&p_- zAA38=o>xr!JvSwvqUV*LZp5FW@APd_c;xZO?vQ20p8&BzlZsEz9V4wAg-eUIV*Vo7 z<|5d4H_GZ)o-n$b{0X?*dnC`}3|(SRzDhxz_nsR2{D#0!q_p|eHu>ir*6w=)@ztK5 z`$k&%8+lqV7k9XrTcVH++`4-W+#r_?-Le-A+_KTM>3USg+$|%YPk)6lo(P+{rBwIK zisNXT5aDR=q0N2Oar5Uz-M-!TW$IC3hBeW3bLIxJgP!K}%%(1E(83G?Y*VT%KOQTN z^GAzJw46y!w5&zWi#$+3%c28V+~gNHmWTn9{D{Af7B|etTgJJuj#G2o8yAl7MyPi@ zn}(44p_yBF%-rtELrQNo{hal96?;@pfva{=ZO`nAQunRIJu%-oQ4iKgD~`6O$qv6u z!LMiAnUVl*`|anh8Ml=6$1%JazMa67Am2x5;j;H4Pk`wl&-&_jYrf5KZu;%DF6bMM zcLx(44|bf~%Z7D+55o*pUsg{#T(x`l&K|csvpku@sfK61M}harPK|CoRDpNzLVRL|E|5l|38Y83>(#?mYy9TE!2(y??&cXufuC@#&?9ZPq2r*ukpcXzz= zd;fSoJNKS@C(fLC&N;KY_ts^&-c_*0@F{a}1gTAL?LHZq*l=3h`W6qnpDsu={wD5G zBy1`W4=sPw$GlaVv*lNMCqWm!q(1TIu=XZPU+I(fUY18*pctL_WgJX{5U9cmZBv(} z5f+6`3EyK43a><`+$yK1wBo0yq}jdX)Ie~4{fxbxr+S{pWvd%8FgFBR2CcGr>1l;>?cjU<^; z#xi8PYQY%kt(~XE>qW1gZTUK`x7ik1eQS5gBrv?27mGgLqvvWdxO=r$SNrFNt!|s;Pg?&-D81`-Gx4jIP%}`q!Oqtl4q3~u znzo>asVNmC@sB^ekjqY5$r}xJlHQ`8p#}>**Coz&X?LRwnk} zmY7ONOIA`5e#`59en$G-H!U;1r=rogBlPkDTH4u3(cea82JycEX8F8D9sis^E6Msd zLg3>I(c7OGXislWwC7FYOWeGq_P&1u`_rf4bsAb1Rd!;x>Bss}!~>C<@@!&`;*0u| zP+u9<+H|8;;&)RF$3BfRj^aZ?;N*Tcj8+m{`M5;lh`O8h3!k#|+b|njoW(|y1mV0& zM{%3uOaiB=({LD5p_5RhT!v?A@sodf(6Xi8_1rV_oqU?YM-qAb|Lh`T%GzTCuXV?k z&E5N_>;hkWq;*9o4Opj+Iy#Fg4Qyl?P)Ri&n42pN#7QWv{4F8rR`3|_C@_?6@+>do z$fq07-2Xa%T&=J+!N=gi#1HdeIxXfEwYVU9A!^a)^J493H*?Ii!rqlCId%HJOD1~1 z{aM<6ds^}@yK9xnlG1ETzO7m_Nm({nHwfnw>k?RF1C62#j{^XNL zh0GB)>h8v5U}WaAtBvm+AXIBx`IW4m>hC_slCTo5)T*kCF26qCnIp`HO;eiXSFbvl zXbVK1oz6v`N%?ip5C()+x4Q|iMWU8t?e;lLwC_X8tct{@IMwKP$v?ffli-?*RnJPI zW)AL|A+$nOLx1O&n^+(3y2+SWs3|1kIjXAfeJ*jlF5|D_pa?DJO?Y=Zzs+7KES+rKgYItf9MQ8tvBzRT$1(nI0giZnyhYpmLeR=m}U zX*1PFxUoZyY59zCspWSrxf+$`9tF5E$znEh>i_Xi#=-cxUB0;5 zE=kBde-obg+9E1(XQ0gW$b7SVuJnoBZ2Wuv$xP3JIIoixd%qL?@7^c+_sQj%+f@9M z0yFM1o>^@B77SxDM=9l*XuGuF4FewrRE1+9XgkG#905C&>WJk!)kZBbSdp{ zu)`gvYUr8oEXj32iq)p7A3L_!qhk&dj&7I>-B_mE?BZf98WdxnR-*n`g&kwW|@WW*J%zelUc`1ZMW9A z_w1@kcWJ?c%Mz@pRWay&vw8_brc8Iq>;7;9ZjV{DNQmlmGvzog1qKMzT5#9r8G0%w zF7eM%yhozdZBL(f^}*}*S~%%JHli)TF*tX`$Et0J{;`N_A6bawFwWEtN}@jmi+M|7f@+k2p~5<-DEm!G{21;mzB*1b?Omr`G=a)Uf`i^WDwiv30Z7aa3Iu}iP zdQp#|T(UX-d@HX!tj_{`B{F8Iy{}X4p&ix!);%|-eeoE*c_Zs$!?n`g!rlOmD=jRV z-Gch4k)L@~Nq@0bQ2k4IvRz8Zts0c6hQwGNp-MDQAfdz#s*uQcn>23mrB*KGa!+Hc z&RDJC*PA@q7oR_N6rV@BeE&>uT4o@^|4vD<*N$aHU`BuTSoK_`*nu>1ux3g1<;W8M z2={^BpRWxW zhjw`JW?@wECX|(9L~4AgQMtp=S$>OpHG!s+YX8Pr$@wezck92LBzyz&t9T;kNFJdM zLwm)o&Nt&X6F0G%0*8x7#ynI;-|lpJTXzIXS!7Z@Z%oE#cu&PQ?`1HIZu!h!K>`N& z?-ibmaN!&>To33kWF;Mt6U6p+U()>D5`Mojgaz>rBRnAwQdZxXJa&b&DN#17Ty!>n$SMNBnC?JGVP-jYs4 zu%2d=y-j}dHiD#yo}`Iai(`1lQq(OHe7jvI=>N$1eV*mn$w_J))CV0Wa+@CKtA4hstWoLF9Kh~G=pR~g4&X7Fku?z}l?G4Hs&7bGZZxs0E%%Pv@8m%+* zfmX#l@J(Y>KoT43kmx+*kf<*T0Oc*Bb-dikM8d$On)p&$qPgXve35Y)aj%;?4k@Oy zMI3GqQohLAo0wtI4EIp(^lkC=^4JBJ>WWqE9;Tji*Xb`w3Z z>v4WLP@=ro>DHuidZle$HPk1iROGd)dTU-SO5L@sbEoJ+!JwjF@5eFhO0g5Trj30~ zT??(p?`d@}vX6ECX&*T+g-HfeNhf>A7(sVq(|Ex9wY2mr# zuOSoXZ8i#%!xJmclY=}5*g?l7-J^yFrGGZ$5`$`@=-zEo^YbKFo^DtSrxBgmS1@b4 z^Jx#zxt^R$*eL|d*EH9DT>ZG=kaG8v9rwIteJDO|T!r5ZeH!zZ?S#rw?L7IH?H^~N z`Y^xw>!#|>IiwMHqdL>8$7Xp^&nn*bU%D!>GzK#wjAu$`O0_y@vFPpJv@lGl{gf-Z zFrbZgBCsR2C%?LztH zrF>S%#m(10^hdQ#+}Q&W-Xd`ZumjFCM{a%RoiF5D4>~w1SrzhR9$s~85M(%$VoC&e zX%M`w(?OEhHS3|h(e)CT#}lmaxD&1n6XZ%r#tNle^suhinC{)f%|9%SOUoae;A#w) z1Ov9P3FFz?yhu`9Kel;Y3^?ZTRb=M`*Wo0=DQA+u5j)T0Z_>7JpTFSh827h{h6#G9 z77=_4D$XQWW4*y@iLdAUs(ga~z^J+6o#e8$>M>@VN`>on1P*CXalG8K2=8_ew2qbx zH~S%^tAHf|ig~Q0ipi@>Vje}@t4^gUb$wyUM z%qe2HY+cgZahTgT&~a}((6RW#R%VhhS8zs|p}nfMn2GM0oSkS8m*!nP+ax{%#4IzK zX-KHY-DGJQ3uKbo6<5x(lkgaZ*|%Cu7!z*dSk_1J&lYqpUvEAS{`(+E=I?{EOMk|5 zoVFo~o5}+QqYO^{2h?$S$dP=o<>BO9#kcxNV{e^0-@=Tk=Vt5M?w(%{70p+J2iKj& zr}_c{{>+?0xB0WPa+4K);G%7o$qK5{JgLF#HSB}mM=0JZbBEsIyyv+|V*4tNCtrHD zoNF&V?*^c}u3xK#lcMZ>ydukwz1-^{lpzCO>mac*=h%)lcZ0V06fl0a*KdiGn8m3W z?pb2|TjhpBp636@q0d01bW$OyviLlv=;qsSh|oJCCG)UnrA zPc~B)B_}tb$NC$-`@iKDc0&@hhSfQqbU$FYd+qu@A5Ykc1?OhAWJKazRkRsvVVz#( z8?hwKbbD)$!g$)SLRk5*LW`6VzQ=H^|2gNpf~QI>-5c70wtXGSMa9VhvZHn@zyBO4(R~Y4MNk#<`nl*+h5wTr%kI83sI#`FhZE z6Gty8KS2I&el>e`O!F-8MFEOsCn-dtUMFYbeKSiuYLL4+^!K*~s|uWo7S?CZsZFPLwJ)(YJz1U+e(EW()p+LfvzE*;BIOAu zqDbuT$8WNhEV(+}#a~ zfZ!sij4Jrs_{xwb&YDblfK`W)avAJip0X79T{(m0>*AxAZqgacG!+@kF02%pXQzmBaS0KWSBPWGpmAK7G?91grg<%-y~q9o6<5TOAFBt=_MlAST{AW=>>Gk9~VS0BXO-6bJLX^+m0q4h*+`$wl*HGc#3*VYr0;)W4B zT@P$`1Fy4hoW%{T;mmziGI2f^uQ7h5gqM!vc3jt7NQU#w26Uo3xlzz4bihAD&o>*7^3hWD_(+Ts! z6k+ufiliv{L!-!Ci}G|rrcgy#?Sx_?Bbu<6ZlL1Wz~e+rb$c0S8&8Q6R+`Beddl+3 z#6l-n_oeldgrb6{=J!^u`9xlwhYW6NZ(jDtTWHrV5YUZxniwoQIl4Td2u%yJE+b|r z{`B%UsVb(rX(^w+w+hKI!TD47rH~v+bg=%F8_FJ7p`mL`>x^5!@jyh7jNLrVbDHxw%{o0 zdTzA$rIi|l*F7}mxDAUN5(IuJcWGKZ;qRtW%FSfWwOLPB>Y~f2Fh^QFAwC~9$yWh$ zT&jogNzfV|v#dTn$UeHdE@7w74-a*BS$=oBD4=}wE2*Dxz$0GTq(vsuWIV}bF`skU z{u1BK^mHu(mqln)>`iRGQzS9I?I4f1c8}}iI|=!+eg^S3s^LO1njLdciH;=g{x<1q zzq9i{&~WAeN#~D@5`NPb{Yn^luo8)yuUC=f3;UG}F~#HTY>k_`kj2WAjdyd&xM#Pi z$Y=D^egmlMyZ7UF+fR%2TRxTC<6N|`;E*;NCU70QhmKhPWX3+F{8_#52JGeC>Gs45 ze7G)L;Gg{NA`#2!YJ|#M#9Z}0Opj(XBw?V`w_wOzpf_=hV`t4uL(3OU)LcuWm3ujS9|^uqty!+YkIum7gKxMnCto|Foozw33_wfHHQBInKg>p_x;Z^!5W z&TJs_zjC4{PEDn51Rc4|-Ijbv_b%M1C&7aIQY6Ncs z3P|yOV0ss<1!5KqNE2i{Er0pVzTBF&rHm~@$In1n;zwGp?=(TidNe1&+Vnv!!P>t^ z*M32oCJogZ&*QaoUJFKR=Fgw-^%ihE_*R0T=qSCYp>==B)nEx9SW+!Pc!0Fo@@U}g zvx=PLfubALA_QjIA;Uz@lH3!Yd^(UXP2}9X2tNWJVIR|ujhdB6E}LrOGq=Tv96tB= z)!vb`Ym}$Ygiu?fx~l*9agvun=pcBn>VNA&IQ01#Wuomm9OmjGdn3n*<2PQ zDWY=!F54Q=MmR^N6RO(vvP0tjN~~&HYG_qgs$}OyD)MNV(;<7Z%^95f9L6Op)aA3a z&FKcB%yxE0{_s6M@FXXQpe)8%LAxd*-Ts(A)G852rvc|1w zcCEDJY>9t4AL7q4=Y2r3zUkBKtT{djCFhp_}zRW#Vgnes+csU)=)sWFn4H`m0)Wt>Y+8d|{tA3r=R2h&Wys=BOLJFZjMNWqgBxnUbwf$^oJ)iIhG%~tIIM3H3<>e`Xwwf+ z7{Mc=Rgj1xtys9Gxk9~~7U4jI*t*7HOlX0Xp&!`#PN`v}$@kb-rOzwZvagzu6jRD5 z)rJ+ysGYe>E|k2&w8uomgRxOF68VPg^5Ui$#^V`{A(V-x;%s=i+ambK_d z{Dt43x2+{q&98gny=ccp#6RMo9;XN3w#Y%CyVPccKa=x568r$eFz^bqisZ&<%tMA@ z646|;sOlbktD(6xP}OA~kY|cEoK9YFGceWhHt=k|*-HKJ)xb1a+CW1VT|>hysLHE@ zZP4F@wUw$L^cXHJzV_^O7z|#ap1jfwPP=WVu9)c4HZ63GHcf1#u1sLS&-k}6rxTH3 zE+N@o;UPB7p6jXl(|V>0i+cP&oCZX?A9u$-7W*LaP`ZnQ=3@Crm}(GB^oj;*#t-O% zk`S{e1sRqWn(b8|iq87dZQDiYb01QUG4}}qmHAHr!{@(cc)}!&3U&5Fca$XFO*jnR zA(*^E_!zz?2!X!&PvT*Q;1=e`WMmi%iW?(}=Cq~98O&0gV0TCNXj8*xs{V_|a8IGs z1tNR7lB?pjg=;;}=Kb~5lkd+_i9=q)L^a4^bprOLU;?=dJX<-}7AVe}>Mx5#|3o%Z zgU~;Yd6Mmwz}1&Hs5zZ%$ei|cG8gG1xOO1}xqEF5jZFUUB|aogf1w77gUk$joP4=O z%}&npg_rH|SG);^8k%~p65@mXuf%t+HBr2($urBW_!A>FGzn)9(YylV7&GV9G#(W! zMh9!6co8%nGlah9>d7aL+o|@ZPf&Z$vmfr^X`-HCGGJEWMr&J>RMB`yKSXoVZTX(l zLINGnI7m$hx}G&;;ox;3QWk&HjcUvK`eD!yO(Klh>Tm*oDWxpNA+nTTaVDzxVzuTB zwiOEdoFcOBzmmj$((t{Ca2-M{EYVBHB-*lv5VF>G0;N>DYD@&~JHUNunBFc@3Mr zmvuD(PjS#rLk`;k{L&*Qo&)3eq|iiBQfi_a0JPp{qV50^|Iz(^05Adw2B-v>2f&6y&`bcza0rz8iEN24GrkVCjoi`CDwqpPqC|DaAkl@fO7z`Y8X5UU>$(71_u8H z@DCvJzvLBs-To%XN~->ITRGb&)ijeoK~=&qxZhg{^q(v_ao%Sb{0@|fLXpGl%(6Uq zhPzGTMaW@I02=^bfEa*$fM$R(fK7l~fPZwduHT?Ig}E^JJ%CIe44wqA4tK)nE-Qb4`ZK)nE3GC=(RiLyZbazGB?DG%fdKn|d#sEL{h`O-}G6vYq z1egpoa@dSf_Nvr?a-nftWV0J!qyi6TzXA-k5)2h!9)Jl<#&>`LfHz<=tN1IW|@t;?qBkQyX-4ULF&(*ml3ILI9ZfM&%&vuU7Nc|Z>9N82vqSV3mNtb-1Y*rj0;-!11*2iL}{eL;7WjtI{~8)Jc3RG`gIx? z=@tZ>nd%@{G7Ko#L=z=h4ukirL7;B=$goZzHU{Df3m@-QP<8@j-{2r;y8wKIwI-?# zXu^^!SwB#ZvWXBlBBY%hYiBhLH=s{*TNqr68v<>OM~0d8i*)kgyIeR%+w_4|Au_BPIKI_sEKKZ3WY|0? zpMXK#fHB|K(7622L+IXgv0~TKaR1aqnYVyZEOOAi^wUJS*9vyG z^|<~Be*=MHgDL|-H9bHd8$chYU|!t7ywrnv(S|@Xfp`{(k3oyepnMI=k9Ja5FdXGr zF+k1Uny6lP!S2b@7H!~BxCceL-)^Mp1J_}tV?!9jN6W1)8^1HTfuduZP>6r8W=v-kL%JoE zBOIQ9AiEjUbyKrhY;O`tD@BkEN9D4G7{t(AL;=Uu1B0&_fI*dlK}~`|?WF1(JIdLz z*V3rQfkE|wK^cKT0r4yl9|N&F5DNftGEmDP3~p!)39Nv)-s0IBahIrwFtG8JRK0|F zi>Be^GEUHK`03WE8rKx#NQpwo>YP5{e@1dnnA_`;z?HO;SGEl(n+AXyw}2YYDukNn zz|0&v$gy651?(}MtaAzM_qK*6=DQ{;UL&vj90#?dVIg~9Sxxh*77(hYg=~>?HO*xm zh?&j+3%UaqYyd2%4I{IRs!dl7n;NGZRaZ?n?BrMUmuB4CVqlv>pgC0#=;rV0dkK%F z3<@ev8{A7CNmU?B39bX`iX`#)iY#QgoNeT6TfwyIPABWqPrJ6CmB|(V0ne(rtd!*r zEth5O1^qMBMCFx&#jXk{)&jWoG8o(utQ;Aza*8YP=xSw;%s;Xpm{tlk7wx95OgYMx zSb}onZmRwgP@rus&1AJEif*d1JY25!3rM)RW=8} z>E_%^)u*)b@%EV!8IiJ;X08_`D)Ol^zEFeKG~%3Ql3qVh-;W2b6yfWKt-ne#iecu5 z#3~sm88nt4iEXlIM7rYU91#R$36E?74_=0Y7pxrdE;9VP`hH4IRd#u23?p~=M*>_a zeTS04iK%Q=vYH-gsX=>nsOj#gIbO*CAse4^MC|!D60(GRVIpquZ~ehcRwLVA7V9ZS zBxI-A!r|fw^ns(|xGQkgJ0OxJ?;UL-0_OG%r~|A>Rj?x6!HP8e1~?2vuNEN4laNuqK+X?aGoMSZrY<5Q$T)~E%VqEF5i?o8 zRBlMGq$B(uKbFq>^-BH^K2l3Jtu@^f^HfQ>J@6){%KyZad{!C#Hin5&fAyQ}P%a}I zA22j+RdlleLp@XEO=9+!sIQ)wQjtn2o6@fsy|0ElD*QTg*uHo`8@*lKa+h3rK^R0= z>>Cv2B)$IRN=VL#bW5CEJqy1@5)wF{FWi~}#?hI(bhVAVnQ}c}`}ChC+ol4VGa#4k zL+wgjFS{-4JF8e#cxv#o%1~xhpBzZr(7#Gg@hnolzy_ zO)1Bog3DLp78WdSYQ`n`S*7wbbZi^6ZuYny=#9n-zbv>i14xx!lsoUVO&!W z|IAjf(YVRi9Yg(IaL`(pgsi7J)R#&uXVBg-0?Wz?q46CVz)6qqp&wLoa#lFJjqS^B z+!<&<;i|MGT`0U9=&OY*l~gFqzrE7^r?v`S$mG!(#H-aG$Z+x%G1I1&z)-K3!`5bN z`G$dZaKl<|o0M#Tpk8U_6k$_eh9f10F!>sVXb;SU14UAGk+*sKdE}mmrscAIdDA6X zgkWRH2LU3ER87yTlabVKjqW0hS@VU_-*&2u{=DiyKIYf*%_v1)_6n!nM%Y9tb$ApD z-nNHLmC>s1OoL!a=p=jN-mS zK%nRxWS9m>#Y(qQ)3G1JmjpX7y<(AJtb-!rlpCq(FYM*QC@`RStjGTW3nKilmL@wy z6P4ZtgTuL!KOps_;FAm}HX93Bf{tpM>}3tq7i&TB7oAnfKh1o+U-yfM6RoFy2(Xh2 z^M45KdnxfujRgX2jz)%=_KJw}tfk(SEnQoF_Zq!Qg`Z5qPqH>Vq}A-)pi_l|I%(vX zv+wIy1WCvWbA^3Kjv}sF9^n}wQicNP*!Ci8eQk!)gWdD*>)NDn@kqCP+(6v4(0`Li zNUX63+{+orgJv~RAL73V4RptQ1(o9A3`;@KotDWbt9^v6fTxB(1Fo{frSY%Ig0!i_ z;I51y-lUc-`2q65D-eL4*~<;{K7bx#oO*9Gi-<2Sq<;8rEf<#cu`yZM$X_UPFZF|x zlbn2BElu~hCL5n-nYybY+ZSM44LEpoL-rCRWDT0W9s7zY-GlEMfx+emTx*fUN@ooV z@{lEe$Vt&67^$M6HPt}rv%}z1WDqFim23&BxW5U$mbyX>qKt@gp=MQ3MjBBzeHa*R zdcB{z65=FR(hW#+6_DmJ3|`;@fo|6!!@kdnbbkTjbRZr9;w=yjoWkIHt`I1)9vL_T%M!{w zfDZjchS{d#;T%1MK=FK$VIiq_HRWAawqMq^=0r?MxA3xs6*fIc$>Q^exAEzYbW;3# zp`>K_1;X_o5w2aS0IH%*JpRkAL< z**27&^^2A%yIzTFutmlx<4Os+FQ<0@LS&1O=dw8`H`)w6s3U`sHOS5sbQ$AqxWU{fQu(hiY}7fw>i}PmeSy!plcFMXBGQ2_J`u6NPR1)=^9C7 zg^PC$Dc8%y(lt&_56Hlh{Q@i|RTEV<1cN^^fq>mra^m!1V1J-IR0b3_B_jT5H#I%Q zQI1f)Qixij7B7r15Ax=Xw<{AOrQ2@U=`mg;{7K#Ssy=6sEl+rvQe<*x zbPae7!$0?mxTiU6A-!ZYCIvCrgKD)jPYX0rivM8nVKWHy)`6V(%LJeda|o2X0vSeC zi-+?G#PANF{AF6iM^A)ysdd=W$DogJH2j55XM&P%h61vpXz*e)rz-J;0#}Oelu8DX zqNNg{_O5bChF&gg{*8^EsglF zCdz&j24Av=Kz(bFVUqQDIFD?SS0s%Ryxz}>bVu%`u1Gn_v1U~Y32iK->LZ-wj&f^h zV#dKD*anNh0RqLZMTUjWinNBe*M;*jfcLA{ntEgL|EVZieh&5s%2Y~ssydOJDGZ~$ zs=idWxhEN7xl4Z3x$?*$M7xwBiG_K}VKyCJIx99j%q{~<{+NSiqfZm{WgQ0pYX^Z| zRwKi(W<|t*&tYM*gARTG|ZQH^lIgFVOLzuD}Ma|y} z`9$c7JtdPOQg0nD5|b8vd^(3JB@qfg&t1ZD%axbN-=E2^GRqmXR*&$rhRfkEF3bPH z5J^xq=Ay|Aw;8f`k>e$e{XsP&lGZG;J3$tf+E#&e$gPvo0IV=j8G(-M`qb0kdPO&y z1VSWFc{RJ76(=$1l=@Xrax=pwulLn@I^$!obF+yz7jpNXvAN6Vq9f}vI!k6j>9NPB z;LS_F&y-Rza@l^W{~7iE7FPO#l^&O@pFvuhku8S$IELh$;*g6et1|87Yz5WPdWSIPN+-ly z?b8>+D1O7hrYSp`?tAr(WQ!l+i)96Nru=e7-3Je=vntDR0wWP72l|lM$C$AJ1z!vx zrYUn(sg65U?~!~HHh_9tqjCmy>_}qayAxU3zOHdOgD(|-TMWv9CPZBw1crFaZ~_h1 zEjxEr>$uHQ?jCXb6SGCUni+pUdCsu`cEBbOzOFqv^2Y|8mMM!JbZk7T=(iDW^;Mb4 z*ExeKmRKNn`A#s(OEVsUR<^v}sTyhjM8q~F=j%t_PF8j_nEw(&8f%_Eyr8Zfsb=G* zWb(U`G#gs~#m7BLKV_&EVKY)4VZ(`=_6O}`SKO~|{I1~uM7OfNi4}gdN16!{zdRAf z(Msq@%IsnC*LeZ-^K@b9o%9g8n z@&3Qu$#>O#GjMa|j|K9E;~294kTZnu7g57KYkNE*lbgd*DH%o~Zk^*r6d)PoQ9HvXYilKHYkz&<9&A>ITg9Db z9d8&EZvTT1d2;oIgv{rDTBM$~JxY(1tblf$0dxZ{rRHiNQaQMCOE)^N;G1#e>7OuF z{I_Z!kuvuaj@R1m_lnMdT+SCOJV*AvxP`2YJ%F%BWAKYKFT*G@cW?vH!;GBoRcnqs zuAuU6YVpKh4xSpg&;3`qrG;0tzF@WCT`Q(|t?G#nUX;IMS1+vV)7=it8T{hvPjPMy zt*N48-Est%=qR0>%ohL%qm-0yd4kq zVKz@Y1SH6tAVK~D66C)iL3RN_XUDXN_y+LsAVCfT39``PZt90SM>%7=Mg!gG!z>DOtw|$L5V%mJ>)urh&r8d;hCBs5|X;qp=* z&AF-MD@vck_Q4aOVP#CRHISs?g;l7yDfJ6s$|Z+b(vN2jQgh8^XUGz+|Ce)uWtX$$ z7e}|;&$Q%+#EhscU}PiHCi&-9fP)7?qzEbciel&|8EjIhlW<0Z17IZ9CL~)jv{yBO zR3K}!0DJ19(3)HvyfZus_0XxKoT@o5uqn=2{|FCDF=cKsXSC&4?ox&kaG;;SRM;Vm z2aQxjOq(}yMwbBpu!3MvOfp9>{9_uuJQC!&CJgf*Uq@D%tt6NT>Am_)x@AQwsw)(} zEJDe^rlOg>s2G9u-2yR_PAD~iK$Gr51l}uAYHe_;cAh4Z_>JPJcW!>%c+{89F{DMG zF}FZ#qf?jaTqUT!#b{Z!u(`Bo?;4t9ZE|SsRWZtBlLnq!UrANTQR5P8)pI|8`Sw;XC56SE-gN?$ktvI#T^%q z;l!y?|7$)N={g%oTF*2evri{$_5J@FDYQ?!q)zYMm#9F86B|p^d&C+4N>4~)8gvdU zD-}}TQZDyDOJvxaC4I@}QvUxPrI<0kBh4;SG1V^^hs9)NdOfa~!%)%YZ)T+nI#! zc+tIuJw2DrW7vWz*>JSpU+%lUtoms_%ZPf4#!6AUj8D*?6r zEB7ZAk4bxkH-};+2O6m-XVid7m21%Tn$iK439N}qe3;&RCGJxH>=`(Yz*tcMkGrYW z_E`MFVK@ps^;O-s`WGfM#25`@Y9Dpr&Isl4vv+$|_np5amBQuIqgkMbnVM1wk&&OtYPelRijV}Jtc=l2+E6md+dS!qkEOTP?Ir7^Q#})*?`|Wn zF!PC?dyg?~jV}JD>LD8Sk%N|?Siz@EXqCTGV>*<}Td6Uxe4+I9DZOOyW4SG5 z2T3j_rKEq^%VU(MW-<0AVp6TS4TG2-{?8@G@K76Hq-L|ew_>JVIehBOB{&ti>&R6; zCBF$|BFkoCVX}v0dxo=!GZZxR)*ZBdIVHL4Frzwc%<@&1+p4@E7v~vOE+?%kOZQa2 z$BKP;%FFZ5nOp3(pdUB>XZHL^qZeF#HeKSUgn&aS>;(*KjPWK=xpzw`fwDkx%!211 z%5vT6=0wpWkWl-jywe8ZqUqP!Y>A)vu(AE^c)2SKIA5fklfke7#c9f~nm9syvQd82 z#oOQ-<$FILf>Q@L-Kla9Gc-2MQ`-B>GL6&r-0I!>p|DItdx;b!3Uie;7`K}{a za#VXmL*Xj2pIr+iMcwNc!@gJa36xr~^563nn%2K=P2U9m8sD-ilZJnn@MqG?+23$q zVt;q4neRJ1FSmrNvbFE<=`c0$DzX37^PVvQ*(I-Lq-1 zugbmCTsOx_zt@rU49LGz5cnm7G4@?{9o}FouNC-ienDy0wiq4m z8KLO#ukk4E!`SEhzcTL;GK@#LL}NXC9{}y@IFgp|ocs?*xWqZ>3fY z2(UNIFM8fS?`>C$gtZH;sk+-|QjY7^5H9JwUt(8THAA>Nso}9;wrv-gX1(zE-D)o^ z_rRu#^pdp1wJocqXVUa1sS919sA;I!`Ia2x(KC%e)&cp~7K!cIm1KG)LN>0xr75PmP(Oj*3xTMPL3dj%R@}-gm zO?nxI7MXr8KMup>8rQKee$>k4YDjzLf^p+e24hnT*qQ@oDg_A`_#64c z7(SnPvHXv*i&kp&mL(5aDaz;gaG9D-eOw&z+c+g_u-`UhkD%>jPnBR=L*j1lmB{Ui z=foA{v!$+-OQf^=s+~jhm0$Z5RkPYA4d1htn+i&W!jcWoKQfx8;^O%FOQDEa;*;Vy(vfU}T5=n#HcGW;MxS>~5)-hCm0?<8 z=-LOmR*Zhg|ISz%VZSDnk#f(9lfZjp39jR`IR#(qDAqrn>mQz%$>EBxHCAKsiqv@@u$MiG? zqxNYy?(|#Y$MjihqtC*{i@xT>Wc>J#SFW_|tCAG^bk-w&G)^F$_0JscwSR93l31aS zzDLEH{SiDg2`AtZ9pyWw-w+>l52v^8&M}hI4>8Whq*7k!mLG`iB2M}k+RT_sYRcmJ zV(EBI`QS+|MDm4_kcPgZ7q?2i@w>*SEdT4OhB;d!hK>IVo6OY6HQj6JD;`nCkMAr$ zk4cDrgb9rHR7W!jR&~qvly@QVPXCa)IOQp==vopjZHeSF96hs%@DIS1IL*0>;OsRm z_l#7|F%2Yj$;=x!4OMQY<9N51GG->)wpyr`DKbZu`%kLC`f1)cd0$m9g1oE?SL@Wz zKR_LRY6vroXr-4wjU;tZ&*mU|0Vy?AfN711&)4VlsjZL{x=tH+)aSU03H0D1%GmS1MHb0|dH#xkc&65GMsbPYV)%QL3(Mdsvk_|;aR zsZ(YpUelk;2l$X?pUdZsJESsP{}De_j^6rlNm7a0N+xyrmi0WQs|umGZxRamMaRDB zEudAOgp9dd-2dUMf?SmTEmpR%>+P(FWNFt^d*=9=ub>^Z^psK5?Y~5}r;C9$4sfKq zmqtQ=dmV;Pj79>>rgxY|0{f=?4Im&>TZjI1iNnv~dk=rlL3uQpNpdXJKG>ve6^PiD z=TfSj%=t_xfQq%$p2`2NFOQbrNo(Km`0XK8v*4zDD_KK)9cSNsU4RvBA1wUZco@yq zm5!UEc3LDJwWJdc`>G#dHH=B0N~Q}Wqv>vhz_MW*Gh^1_uy!%Yn#{LB%$xGBO@=Wi z3tUIjamUrz|E5dWl!-&~0!#zM&tJC{Q)&KLmaIvxK`cwv5K~9n3$1273b*9^P4vIR z82jeiT&g&GH^F$&z(urpC3RaT5M;*My9vb`4r5ZIU0XnfHR6@{ZPZdV9&h_Wd-0$> z?xI)&(4}ePPvPI6r3t6K%JVV}av@Fno0ZvHO1!?N`w7FxS(e}8-MKH(iS5`jTdNwqPBO$%+x8hE#G8YR?jVE^?%!8eWf?-kR4DFOl8SH_pqMofh6a5ouQg z`$t>s3G_9tirbPL2e&1zvwXb4)1>XYUmv^*cK0VY!q* z4?cLdT{xb*c!A@~zKdwpUEoK9lc&(%(YV6p(;psd6PN~fe`v3#dh1S}b+)O!JV|hF z#uAHhsHvdErLKT&%OVik>NLES3@-Xi#oB=JKb!s;PB-?>LoVyQoUY~x;+GT$4JC`n z4_r)#(G^q1Ha)7hRaCN_Qo_VC#(n8!iqxlt`caCRlHfRwu-Ph}CmeZsiPc_8rFlUo z`zG3caa1m0WFX8z-oqH@$*;h_JxubY&n5Q>bJSsLoe|dj88v31o(c$jiWo}@gp5Xu zVGhUV9kdAkUb~_d?>eUNS<;{8Is0ZyZ6cZBuG6&A)Wgn#uaUogvFH5q2d6D9gS?_yb$5uw0-`*Ea2Zp)%JCI-9{ipWK+3( zBxXUWTy{#c+Q1Qawf2{Ix*9+*lUD3z4QZ;6mhSFZn$e6fNW%Nq$)a#kpR@w>VkdE; z#-Wq%v0+8zBr)SOFmN9Qit;liEZNsfqK`sNkT@z?$xf`Vzb%Rh5~ZTb(!+(!$X3-d z$%wu4=)&4mVWIJ7=&tH_rSIEe`SA$2dYkBTSh#P-rt;+xLsVs)5Bg@M!mMUgrJ65$ z4KK4O0jyeSAH8p(OceWE0Def?=Lwi=zKJq7qSY*xy&qrU(lE+}Qg`oVHxQ{84D^v)&@{%CZ}haKE*bSxW$0L0d9Ms9B#B*Zr&`70! zOT{8owD;V2h5R$5e@|>5+C-WCIn*)};b8C@=?~~J#i$oEb38*CUQA6mOsWC*6#2Hr5DnsYV7Jy`rrk&#~R0(*cbspkh5u2mGcj z#9QM!B`D*Bb9TfCA*9}9@>XRq{*dgEQ-Y&{Y}c?=QTSw?e4tLpz(U%fb5tB~vGU<`5F|CZ*V`_A4{Mz7fGl;d9e>y z$jYvJ{rj(a4=BZ!Yx~bi&%2vvJB$!ao}OP`p%*M47S5^O9v>!=A26GBAqA%Mx|G%O zH)gfEYIP@@x<#uBuD=*oCQy!&CT27KSE)n$CRrL>n~*a^s`1J3iv&uvT>joq4~e4e z#yLl6Vo17p4!lCQ{d8FM?Nz5k#fz(2kLL2RgZI<(3_*6pXv~!tXAQ2b$G%3MBmJG% zYat7!f0en5Dj;0D)lVSv6-e>}u+BAKa*NbawY=Z0PN2uOxmaKK{k%16Ul0plS%B_G zFsA=Yf(c7ySfMZZ%C>zHxsq=+-5j<&76XA`7W!h~0D1i`E@Bv^oj;!>7%!oCuq zD!>~eFr!z{dTN&j-Y1*r;QMC`m_~S*vblDQp=f4mQwJ#&CTeq^Q|wICCK=myW~~%v zU853z+G@vWs}a$K3y)e8J9Zypr=HkFO{>Xly_AFoe9BCGIo)2Pch9 zic4N(*{bTH!h>=_Xq(!isoy-J+?yyRvCpC4lwO0;H}X#oP}#Ne9|ikt0g6Up$D9A` z-0<>9o3LOy8{S4pk?pZ39k6Qc_Oy@UsumHg2gppCly8ht+=oLOv4l+Elxt(KU`2+M zi6vhf>84io>mck+KJb05RDe?Ks&W+fPuoyk!c3acTA2X(*gy7B9IAp+?`!nzb)^C3 z{YRkWR|S!flu5OGicO^e%eafIi9^s2G+x2BC7g2s03t0bJ$DUbYI97E|7%?p?;zR4 z%u|}ms}xe*?jl^{KL}wo?Xt<2K!?GDGPalOQ~wBv;=mfod$$PJfEn9s_8YWL*(4{q z!vnMpjV%KU3k@lN<9@lL{l_f&fiF+~O*S%mg<_K{Zx2D=_oMXr8EfIq_9k;43Xa({ zA9dzacmqv7VVL=2@?E2OLsq8CK~DI|J1kXepOAN0?&lTiOVy#GX|Z>nJe`DPw^xn7 zw@piS^?!N3JKeL4R9{LC7Oi5Mejg%Q%rq?!CR!mM1g%XtaGVeb5v}=HviH>U>nrp~ zyh8-}L9kRFU-Cwube~+<;-yY-JO2_^#1A)IaRCl*@xOgv* z2b*Y;g0<49tf%-p&0=`^S?x<&OtgA}PVwC^F-4w*<_1fTeR*kXp7e%ih>CI>uhX4_ zlVUm$Y5zCJWtrM>tl8eZ#?8d%4sTZZMMWBD}^n-KzIYtW|sT(3>=i^hWw2QXu&Lp_5 z*&Tg1CEVfFpII8KXHg_Je^_~0?AZUbQQ<2O|NY%B$DA`3M>*)0 z;?}TJoRs>Es!DM_JhwQ&xt)1i=lGI|0m;7jTXpDQSm#I8dbIENiE^zmod#$^Qlke3 zO?YngKtx}yo>HZk+h>@brGhgUm%rYC+3nH-C+cW_MC@MUUr8a$Cnm1&NP;BEUI>q|3+ z`Ldaa4-E6KM@F&2O_0`GnhZHQt8-g2HS@Z{%-fhwhjB?XO{rSWTIJWa;VV^I#)y?- zt)FAKZMr3brlf8;T>A}4%K`!NL$o-~t*^J$)0bZFaN)0!y0z34rum~fIkwG?FC`dq zo>9H<5@on8pSefMoai^4XC-0jNRN((i%gA> zsSp1c;Zy%Y$g{$y@JS2G2DVJ^%)!oWAm#8fl!(LmV1U-N#%JP%cazQe{gV?YI~UDh zplMPoKIlbS+6(;kqKN*{Rv$!(j|Wj=dK$OnW^~F0m5TUmX`e)kPiY|eyw6r!L_ck_ zPtgxTedtz6O|4HoQLRVYrk3*z#$Q63G!ADbIa_AqlA~foXZ#D(^fdja#W^V#r4km)(YSfZ*!cw>=?(_2 z9@)^8i)((>84M*6C6)8tmn=U!H)DiVC!M2&@OgECGr9-(oeWo0;}59GGkYx}fV1Vl z&-nIhYkw-yF3GL_L73=hkx*0U!-VJFq`!I3`W@H$Z1gFtKw$T$TR+KryP1sspX>&O zh)xp_ZWGOB*K5wHy4HPAEbuoSjB_%hzmeOZLc726wLz(NzZIK7O=pTrk41ROg)~-` zj@Bwcg03>~vRS>+9+~$ytN1YvF35mUp8l|>Xl*NqQcZcdg{aO<>5lS=pxwykn3p4P zyVzAB<`EA9GkdQMn#WM`l`$rtFWf}b)V7A=AknDel-M-@bC|{o!!2M+ zl13;Rt^8T%C_+hD`^?>AshZ(Gina;iz5r9B+ijpKY*}%rx^6f^nBxluQX!Ooijmh;ZH`|&y zcW?n~B*kPdrM1#f)&i|t>d#>4ze5Mc{$#%j(TPb3EcCjZ7geTQRH%7qYpq^JpHP#} zPs1ZyS3*Y88*E>OCL;R6bN5Tk?^2lju=s@OVzA~WAm7N@A1XR8F5IrPGj@J9{`2+7 zM;G*HUx?zsB!>@$QKkuwihJg3@TONV^HanEH=DuP=ENtwx zJ&@3vQ(*?yiV&j#ToEOuyF+>wj**K+3e`#9ekZq2)I;W%_JnTPURK>n)1Ga(VYx+G zIT^Sj+Qw$g+f`DSSYa#-whjg+`3WMgn_#I)?gE{LL%tP-b6}aVdEfroO*@^sfN>CR zn&T?4izUX0*ipS=i6B32n}K1?8aIIFG%udF@hA1zQQY=e8oq3FDd%`!FP z`JMXkgLA!RR?2vmRcgo%jl@D#S3BD_`A%c!0lBg6swhl}s~M`T<*bkK4M|cbn?rnS zYKaWl!AM*vL3BchJDNx{zgZ*>bDWmqmcJ-f{S`fJ6l3Q$GlBItLFtbutv! zj|!#k=GZQh!hH1C80uA?`$2ILl`^VDr>oU%6K6G?7QjM02;i#O8+CL(O1#adTDdc3 z(cVvC%Kq1?L(@y~6f*gf&Yh$U@+#*9Tx0gyKf=V3T02f~;o2XB<2+j-ZnE7~v9Zd- zgCwp>-}KnI9fbPcP_!0n0lv^$fe6r{oKH)u{j=E~(J!CWT7;X;wXJ4~aW04MT&{D+ z^~@PKJ(R+379&~+>3GoMFgOlJ^fYYp?_ZhfCe0-=9THtt!G#*IdWr+HrW)Xd(Xb1_1{y|7wl4kJ?% zqkOaEsRsbtijlxm5)@k#x=MFpPutnq*IcE%oes;AB+?wkS*$hkZsU@=($ZQ$#ojO1 zwnId*gj|X=UWR_;r+aPv9?XinsG$P;%z5v?+D{9mBphm4)w->=C``G~lAYmTcVX#$ z$-EQ)p0cW>)elcLEsdhgqAcZtS{QG-d~;PAPhU5Q;-zJnIvGW>1tR4lLN*Qu*j%Z6 zd6vfr00lwIxEsS2j;MN3-4)YFSUb)pu>?SB%wi+4+h4+p7^qP+n>BA$0a#s)R075u zN|*{@K;_F)yS9h#bQ#*us7&}=)rO$KcoB>E3`h>czfwa~!FXObkuqa=)^+p}#BLeN zPJx`;j!uVJN$zQmJ`CF?c`Xo?mwV-%;I8o8T5H+23^ZgWZ{>zCg7MHq*eNPzN{M8+ zrX&+d-Rk*tg`|g=l?ByE-O9b(8j?oRs&W{&-E7({Dv~(T9Mj!OZtUBWDgl_Snai+! z-lkB}JO=FCd*j=j^pkW&Tw{oZ*?14P&8!EE8@5vDfKnWa$8k1f-Y%8GeB;rSlq1lo zFa&=VNtqspmJHk+LWdd8edWK+mB^UQN^+B2 z7`+S?X*C)8sXSLrdZF+#)XNOKt(M;6oR`!>x-#)<+bOSIX-F@x-Ec^3>B5WQ^z|a= zjs*TG;YD|fnpk*1;cJ7>DnpU&-5ucph+M}7j%};-r5Vm`lJunpjUnVx{4*KJX=lYd zlN~2vwryNpxE}la*NZ-KL;AyRKQtMBhB%Nf>TD@7hylZS)RqAr#=S-*nWj66GFc1d z`I!x!4C{=@Gx$g0f)+2B8T?-xdK(UzDGQpCHMH=}x)~2iDhsZVHPl9&VlQ$h^+a`X zTYog2&}P6Y>+~E4n7o<E&Pdc>Ro!oDc1H8iO#m`LmvmvU_t4ywFV7Ew=M7L^`4r_N{F zMhCbt9s`Yz{WK>7>!BOPa}g!6HpQWD)MlL9q^58I8TDBVCt|nku@2p#1JP4w23tFy z#H2T#hd~UsP$6|(5o7(ch9r0X-%>+uOEazBjg2F8{1)nKwrL2pZeVZlE zJ1r@vN#81LrP#XHfT6{nwPdAKEuO@!BjtK4e5D9rJlgseAxRymooJa8y>f7B_zK+m zCqKjOYs0s)Lmy1xi!vwEb!iXJsKTP$0sppsG+}u0dQn(oNOq}GUWRV&jE^C)Y^I$| zhHf@PAKOt7Xilc2-F)++K##LWVh%1>cfgRJ?B4$V!^m zv46y6sQo$9jvL$wWLr^OU+sq#`61)>m+US;j;oPre!Vy&J0wPZ!oF>~<8H;xaLV4= z){=B9u%a=9wNx1l?73|=i+iW&FQ7Oys62;HbP*krQxZ^N-TRKA0IJ;^7Eq&xPjL~h zTusBit>WbCe&)wv2CePutu4dl5+v9Jv5Rpm)@cuMiRup51aJSTCVA0QoTHq6`n9-Y zY?;&g1%?nkX#-NwQ}Lfy(~>u|q+CP7j^O`9)&nLVr6OzC5t4I6-+uD_m(wzb3$a%p zR}Qe&M!bJt582L;tIY$oq23l97uLH`A*7JRck>_lovSr>Bo%h627n zKmRdZcjKo}Diyjmx@5~y9T!Di_2g`No$Dt4LK?J>(|lb2eIwL;*5v*9I==SLhS1+5 z+>iGqw+4?JLfwCwxPPx>ne-O~)XtoD(Rb;8(+0^-|DC$a^IBB?vKvds%=4YVOQIlU zKd1SU5yFlqNIizz>zp-3X&Dwy5!5Y3h1U{#nXf{iu+USJiR8Se`pLQ;qvBGyI#u^` zDu>b>%ReZ5^(z|_r|}ra#4@FISjmn|!gHqhy1Jt~8$T>~e4XQmlT?CzmwC4xP$1^B zX_39#WvjJ^y6G3XKhxc=#$Cm2F67#dC%Mh5x~?t1!N)i4hFL-#FJzJ>BUAOL{+mT_^VB76?zBR$B3ElJ7-M~>GP_Djls15eg& zR_S8ae`)OpJyMSu*d%$f?H$a+7_KA7PO08R1+kIs3%O3_?=@Ws!Nyh@=Eo;pHd0{b zv4?)0zy1ggEWU8ewEcRz-q|Har?L4l!9nkuj0;Bbm#ZcKr(5xJZmGcW%O zqHj5R^p2H$#9gTyU*Czn!fJ&&er)PX-+yn}8TjDuoA`%}Yq?{KeB`PYHoKnyBIqpn z&2Shu(&#u8kngr{5mrLeCf>I1)Rv(?B+D(uU8oqVf1T z`!?}AN~=Bh{6MZEjinFYhjpWeTb(@QoOGEh){BVr;N_}+F}WQ#a2}0UguYH~kvulLtBG>7?x(M@jl*Weu`76jIRp0!h22*|l#(N9i4uUzMYyq6MU;(W*vO6T4z zuiqg(n(An^!uA)rXIg1AdbDPp%ABybUEaHU9Bg$6o2_#{81Zoo(`_L=&^YURUMXT+ zT)SREr04wWs^Dyxd~C9y*!})CcdabqBmPTec(759mRg7@cwVyy_T=i9E6Wh4%4aq(*+x0Ry)w{^~rhI)L1auqe#L+5#6ILsL`{h#AQ7VoC|l^M5%FX z9~cWe+s=-3Tsh^|hvQyRw9a(nHn?A2y>}g|>AAR~1qm$Zz>aNlfKl~-5c*9~) zQ<;tv_@X7gE?2al@lj}{E>s27;vuIGAGh!4n)o=#tTJ*m;O}D{w&TM_vHuYmNQT5- z`avLI!-hOun|n#4_`0f>FK$2g(TWKda7@0KSX}UMGu)x9B&neShz1~x7vvG)H0Eud$f&P zrRWP|ObwU|jmPa)sz; z@YA=7LU>krCmUXLxk4?9|2(yM42PyQsL4T^B41qaz(s4Dt{qhjKKLfu+(-UUBb$cTc`4fpu;Inh;2 zjvbj(CKT<#5iyJYO;Z*<@OWRGo{dGpbs~jp&rS>7I3DFlvigPfl1*@GxEZ3&xZsQ^ zacYs#W?HaN$%GoAcW^>iC6JK1IYpJvzVz8dJvb^$w@{XGU6pnngdq`UO9?K&SctBI zQIk^iu3X)8!q{c^TcHqU1*7=anY-tp7KCq09=&vGGI?Xq4s43DM}evOehP^}RK+_r zoXQA}Gw)(H^@3`mFUO%S#2~Ru3)U(fs8=DQ3P#uJ$r%cD-xVuiDM%ZlDiq?87@TOH z$2^KmAtQ1GE>+Rk zqXh!X>d{Ul71WcIb1LJxIyF?J!Y6!Tc&jggfU(i3^O@09CxLU~dTX*?6Xyg09bg4t zt z9&1^uT~OtkuYR8I;-T=xxgR+VI$oT-Q%)E=%cg8`3+s(0Uu8K`#ezgNb{bGZXCox@ zwg`Vtqb#z<+zA~~+@0+H^6i8@`@d>MCa&K9`Bl62xLgo)mRxt>OM766zJ{abEjCs1 zyqh*V|EFRm)34aNEAhS0#61NOCH_H>cIuvjzZI8l%?E2E(f3nL&;Mu}c(qI=*FetR zf1T+$|NC87SAwx}_vDhvOd$ z^fi37y^KIo>A?w)=D)%rf624Qo^2_ipN6*$RzL8EoJKM?dXF>y8hQ8AGtJ+% zJPLmv7fMz&e_K)Zl98(xu>FxtOYV4b9luF0L$#yvnJq{Uem=vZ{s{lIHrL@M33*oh zDIa+8IUQpnnqZ<$c`%R=MwmEOR@k_>(=!saTZSF#4 zNI8!4Dz?iRVsvlhTQM?O6zVs%x7RbWN&D?9K@D$WaY%O8QP-1RW~Ht;mD`-0-* zFHPjA{ma`j`95O#e;o2Dbm zWptfshE34WCW&bod8b*`a{CSZYlgBP^8sXImPsyGKOhOIPlJbRf*L9!%DfpMvBe7( z>C$rmM8OyC*#j;ljEMWmA3)AUsw(h>-|TK@cOMN&mnGE*5;Gw6(~^+n7e6?P7i`va zc8IIvEL#GJnCDA$H62^VHLo~OqA`y^mn?(le1{bMp1`l940V zb}^O(x&&?6WXdSXm|cxjxC~8k57|6{ea*)nC1<9@Xo8|A1+0SSjt6J{W3?ZNf5DLS zowwKAK@W+aDSk6th86^ne&<*M_5U);n1P8vjEg_bY|Q75&teXv(yhAAu85ts>>7Jd z#Xeh{^|?dEuo}oE{ZULY*vG$)Cdb%Vj11hM`lR@RV>@<2kWg}#5maQTC~m5A){WbD z2U+s+EVwXDgUrLPD{~A~djs8Bq)pqC?Vc@=SLgQ5vM==HUeHGiDAR(ZORR z8_%%{iVgzo-wyXE+lkUUCBj;*Hx%;|+$W4il0fdhqCE_;;YEyj+l1X23>(SI$?7n1 z^6V2ȻPy!7(>+unLNyY{tYBVkAUe*xYI5}D67nR@HB4jL5Rc+zs`OMoe(!sd;@ z1=&ZI>Wfav6QuUyK9`im*&*`eqY~Ng*ox$Yy$O= z@IDxx)FFP&3H>a0>yyJf^)*%8Mfn!K4*>YFTQHs6SY|SL{x495M6_iYZ65dT0hAQ%< zlZz?4TZbHyH-=L|$%mGoED<)RK6IW5MTyCJ^vb_xm?IzqQMxC4wc%n`eYAz2+CsV;~7lgI-7(uMA!}(z19fGrtGzbJL!(_sl`|n zC+kTRAiwdd3Qf6Pf77>9#&bX352%sRw%T__*noX!bIE02{NsWLym9~N1m9?sMSikg zmv&JWuXytj?W)p;{)rJgV_&V}Mc(g1Q&V2`GVYdksFD$Wp$Lp-kLcb0x`~7v6|T`l zi&9!sHk~rw2r>mD1yE-yXOuFIK6vGWdYd z#oe`2!&8YzHRQpYcsa)n0tc(4ep)U#qc|TT#y!+{9qUSBQIZjAfMG{@Pa`M=m!~k6 zD0|7RXyqT$q1t$2PGEnONQ9HZ$c2j#iaA2UD9Hq*wfN915gKR*-O(TMzG2j!qb;TdT&O z`{ip;3fxX?X*~Uabezw)T@h&|{GqBO&g0G+7Qy5JcM`!A8McewKuk7Q*aVMVR5+ok z_44`hVmAu&j@SP(ja^c^puhu4l6#Zklmt{xBZBOE`;4^!F^jp`CobTOLs75Uw1av{ znX3Jeiuyhp0#j~+u4%AzjY5EDGeg?vecsoVsCeYfTQPzCB~PdEC5fn@vtlCcRJ~Lk ztKZzkKgQm!qYjp5-1NcIP6VAtAlMz{)Ufto%5MK20sVFy3a`^7@x<+m-JVyQ)U7bN zKsI^x@kQL{aaav)-k$c&vjnbq6ezf}Mq*oF8gTz0Y5Q$q#JVz1)hnoQM8eIM7D!Dwh{jZ1JcTnm$h)REBJk!{r&xl5 zmHUW@NpTO1vsu8jm;qK*_l7n=UnD`^t2ESojE7Fk%i~PHYy-xL@<1puZ5lY)ioUOy z7!tmrgf>m=L3Kc^aj0x;$@qk=v}8TmVK^@gMG4*U&Kek~pU)VZY!xP0o?@n^hcqVZ z1?}0%fcE0@n6`o$1^Vh|#ykkE)Z@0AlQZ&qk;O_dasH{|6#3OL7I*z6uzgRuowg5e zGyIY)v2+0TZ9h8q)H%GgA2zGVvFw{oHz*H4Y@OVjO*?_9uU^4ch%DI3KiwDpp&vG) z2)H}Vc*0gGZC)*@ojQo(Xdlfb$bGBT7SLN}pj!YGXw44x24RbemE<1fya{3%9%CgK zuoa#u`+!WS1?a`mzPS-s%vKBl7TZu5Xx^_ zI_pX#>|?gtk~6H5T1KuxA6QgTC{wnH2;p`r=psu{g5bd9S^^jO2rAkE3bebDnX^Ud zhzNHo7`)987NvpejxajK!(#zi^nJQn_LP6i0%ES2HeIrq|%)55>w=7*qpvtzc9wNw!1-(Ey^3Rbv$9Auu&Xl3;n;&pV`8 zDabzgM>t|(3PY4|@SRxudWQ#3!c#BQ>4OJb!UmAD>GI;O;+XTeCq*QsX`oc2XTx5S3BLa{Um-3GNM^tUa}Ds0rtDsC zYk{=mQ57XBnRgz}h;&wrcH%+MKlqT--}+>Sm_0E_w%93sENXBN~Llp_+s1ubVRe#dt$P313$Xd~u9uwdjy| z!4a!*XAJwOYa^aw&oEc-uN$TWW1H|T0a>(6GWd;`2fKceG`5M5 zVDVWP>%}^H%>tlQL1M3hdj~EP98*k^BN~;l1qNZU|az`?7iPjR2ia8U{PWyth_3Qui1KUJ!3E&jP?AW$Ya2{tXv>f8qEPb!T*=k6y9_8KJ|ECB#!frAS1f(<0|f)1=^9!X0Zplxr6=6}4u4y)S1cC3d7 zt}5xhs*|v9GQA))$@=ozc$&;8{fi(Im?BC!T#t1^%u*>6s)iQ$55H^W97y&Z5aGw> zd{rvupJPARa=8bhk;I{{mbs@Q(19pw$V0|st2UQNETw%R6IIV{C`viV#lH2p>KIlGzwP} zyhIG%CVhD&wzQT1_LI~{Ca}Y|*vBGtOd22~1QW3GtRxW7MT(_-Aruo8ehyMI&rQw< za?b&&m`4MZAr%|ZF`B~UjDi9mdC{Jn%4EI9A}LmqPd11WR`FO3W}a!q5vTl?k!kGj zjc}C`#ylnSWLjErvWc%9X+S%dQ4%>{w17aKZbPRA`pSX3NAk%Eg0(i(_Qk(GSA84Qg-Z&m&!T-=iDcFd_0I2`v-X0KOB?4(3Gx3B4 zD65UgnzqT^5)0cQ!q<9m+iykon-C+C5{iX@+%UMbKK}x7V1(c~^x)dritkDv{*ts;< zUM0vMCDce>t5`Oj^Hh{3Kps;UEIj1YB8c%7H#?a}H*UYYs#%ycYg4^eGHF5Fz2sqw z9%)8FLQ`8UlrGcgQ~GqpM19VHhq_q-t*}~Rp#((j;k0yS1S@O|$laaEaf+Kj#n^pF zkS|&Va8%2KvR5^WlRYX5@YDq;DAe94!%meLFrK3e!Q3DVY(sx;>38=io4Hoeg;reub%tDsHU+A=#^%7ex_*A4hFIS3F{BU+?-B(D*PPL zH9%o8^1{xG*fb6m^Ti}PRZfVhnxzEdp6cU#W!z*QyvscK!_IipCc8lH1rvA>`{BnY zISm!jOgM&;c+=(b&YRw^uZ-eRhk1Np<;?`<(fV(juTH3;i>u<6nXk^LQn)gpP~vf* zJlvegeBPIEBa&j6-^avMJ;r2Xlq_d!by{XnC&!W63U%m^sZ42gLZmwt=&_y;D^~Iv z6bUG;06Y@o&ZAo}T4}&&nA~Fo#T6~t>=B%uvhM`JdgByG{0O+4$|Oz7zKsfnofR?s z*lrCxS^8=Kh<#h#oK#8|KnHK*qL`zTn>`YmS$MxHj=;1o?2L&+IS(w8n^}2R05ZWo zneYn0pR1hSjyxs2`jWt<^uBihF&2Y#r0zQaW+ppPDqc+>kQ7_Yk$VdqSVr!?0+hOZ z<_$CNcSVlRm#DYV!5RFgVpu7Z=8z7v1OgV`<$n!N6}>8@`isuym?`n0ZIWJW8YnQw<#X!Ozsjkt9l-yxAGNj#=s~^(%Hp32$p< zkSU*R`N3Ud(+97}snj@XRXkXtOMq&yyitmQ1;Xa7+kT*6w1SvXz)@bGiJ0gw`sPce z??Qsnj>p8Nik4ZEsO-=3?VtKcRoV>-Rg}I*4L`J9Z|&U!-;UO8|9<(9_uf--Stu?|jCid)U7{5uZ@Tt^@z)r)D6M2NS0niW^(mS_YC!u~tdCkH-%KHmQd~vWEIg-^ zq)Ca`@G-$7)_*_my_@9aH8(0kN(X)oORg>aMHBV5n&KA!xxPddwd`*_x?P9JbJ3N-pt zy*PjEpsd*cFz0LZOBg1&-R#Ib#u|S6g^KANO$MD-k=W5!O?Z%$^&79C-Zu`bUW-`_HZ8I8XQk?uSh zCe(Rft(w+nhV+Yg*{_bymdChT)G|q=uQwM+BO+xhLpn^M+$wADJN|BOq4e#y3}-=3^ec`${l0vbMvBQ& z4cd6({#q!RITsFPpP{kXz$$1eb@!*t%ivI+L{hPT7tAK-k7RzM{mF_Sd`s|Iu~F-j zqir^gix-Y|%G}>3O)scA4!)kthjRGyQ8f$8;qA__F2+%nqRIVE#|y?$4T~<*4)jEM zfzrC~8C=sLq+V3eon{SO?FBo8?w&u2Eu6(zR3p|m8W762DyUcCKmIM~NPaTQ{_4a8 z?#PGV+pwFIj#TX9p@|XzW@Ezxws=zQcO8+X~A+5_fc}S|hoONT8 zIEQcrMD{a>;JH#)KtN8nlN!Z4<7xyXX8S}6WoI1g-#`O#ZsSl!nC>Wsa8_Ui%RgDL z^lS#;ls>9X${Sh94Ki>XJ~!K7w@jiNQMM4b8k7#~WL;Iiyj zKs966^)vl$>!9Mu4F|=+^Fh95lm+GC^lGN0uNnX(5{c%I8S z5qBEQ*X+l3)OGa@p02V7NBF2kM3`()4|16uULipM{Maj zg6X1z-4VwsEYB_fq@<4dyH%S7X5wZ^U3H)=nP1Y^8!xK-su$V|OI+Hn2bwq!UqLB> z8yWjB9RKC;kXmgCB@2y?q@V3qX&T_;_P(xr&hSTz2p!>kkyJgtlie*SoeV?kkV<=&}Q(hrJUPsP0=k+6) z=n7t_&JSh&*YU>3vdjevNZ8;LGN>wbH71*e)pV=Ff5ZG-@q?IUQd`rl^qAk8w_zd- z@mLl~4cnTs)VE(>E`GAfWGOx8!6VKX2EkR8w=_GFPWPa0)Z9yv%FNj*1F1I*W}Ky^ z=i+!NKZPJ{(^Eds|8V#v;`J?(F3M%zO!-h_mQVhi{?4D@guCObnU}86(y|DQNS);eyem+@xDvYj6|RpBm_h-_f_XKJ4alU>EeC|99?N3j~q#wbPMvlk6&eR7K5 zj~Y+c&XBrmZMUugACVo^d$|LR!^XG>P%uayI5YaMijZ4{W15l*2fd}RM%hYB_{+xJ zA^(TwXWMpa)VK~$-S~azs@1Hd*w*)OP+alB8&V7qHRx3>Mwx|H;WZQwid8ld!5Y!v{OQtJ|@Rktz&O9|*CBp`U-g4Q(y`Qry%{~M1PoHBTa`;J33 zD*?${O#Tw=>XNT$%y>ga&QHtj2^7mj8#j>LsJVq+)=MRS$b zx04qt1SN2l)R&PLM#zP8A?x``+5DN?Qxvq>-T}{**i+~9J|)PiDXEtLt^eBbqZV6! z3P4G`=f)FAjL;pz824Rctz65LffiuzccshFBV4Qn83$?zaDO-NsRbR}chl1)9nhm% z3`JaV?~@YPOVIk+Q4n=(@6!ZlIz&A$DcfFv-=~2HqyOyL3g}8EO>p1IryankIlewk zXevO|zXq}SU-8GMZ#;?Q9iK9oCU{r62R(X@bs^cMXay`z%?4x*Y`A`@oT%i_h_RyRF4pdajeO$uEEYyHLJ3Paub>2 zh)zLIy6b-$YoUCtqDf!Eo=g#buSv`+N|HTyw7t^Kpgc|*K#V0bq*Ztb_VQn&KeAHZfx!A^ksuRzvSxxJ` zU_n7o_Ze}h2FNEKu*qzZBCgMuCQl{LFEVD24m;`KugQyyW){n$ERj^;F>`t2#tKmt zK0EsymB0c|C}w2YuG`6U175;Ztw_OxTs9?`PeNnF_j{8-Wp_*)V<@dtst&FGhq}sR zSE8|PD4EOqjoI-XsDQ?9v!~8u154=WzZ2#^)KzB~HbreiX={INka?Czx5PC!>&nmD z*3~$r3^y@^9yjGQtJ1tNFdboTlHIl=sRi6($308%Qhl-6d{Uju>6^LAaY!sGy*KiP zRX4cV#WL9R|MKyDm-N;;Im$WXv%3x_ip6$%93iaL_P*jj)bi5#mO&0u^j zK*b3z9m`;FC=mP~+;JWW?S5A6azJw;gNdP5Ts}ga%%DCT$d%|+2E%FrsYhwPY|Z6mSa#I?G#ZEkHIm`*1FUF>jd%sF$bnJpRJI4j?`D=|TpR z!&+9Z7F?@~8O&@S;@NsBgQ>L~msKz3>2d}W+O^{IP`Hx8tahQ`w%Dr~ObUZ!L;5aV zv{$=fM=oZH@VSg-?P?>IL zFs*$<`8>69$kZ+r{14%s4CaKDCR&I$h`SlgZP!rn2-`i5vBC_gR;2qG%x~9Fa81&K z4Cb|Os6Y=hSlGTHUh5xaupkVQfm_j~#~CbYx3qGBo@B7NU4~C`Pcv8&R+wb?Nd7E? zrR^FjRp>d#p*FL&KreWeYWGiD!8QFaIXq~$$l$|>3|56PVhpgIItS~*7-^?OogJ(V zW8_$>P!|U)!WdzvQlzd9R<_UNsGEZ|?J~Tqb$75ltWV_Z_sZ16K~uX>@c$b<9c&0I zO+dV>^m4HNt4bVa*!0fl9O8`P-|j@V;2#|OIQX5)>~*@KQ(W=is-@Bg1v6zk_id*g_DM{naPVNi@KL|9PiF@cSRie8K-^1t|j^ z{5#I^vArPR4SrwmprCT7_)e8XgB<)DRmLaJVl>!6>Gd2z3k4}d98_LU31X=rWvGMy z^L4*e6iLGz{QF;VKFh7$>7y@q`k>Q?@BjD{Ej!$S`$@00ZzCM!UoT198|k3@$sF3Z zQ4YMjGKcngjDw=e@%`6xjCJtGuh&MGGS0z&cs)hu8Smf=uczp`OmOfY zUoS^{(BR<9uczo*PIU0@>(+FhNe;gFdLCWQWCve*Jwf>{6`$rhm=#Wxaz4#-FeaQR7K$|A!K84)uav0K!R&A%h=>>P zvJ_4fdFxu}U~@Y}sYHt$YzZfVcj_1O_7F}K%Q;%Y>ufmT^OC;Q!QybD$jk6D2Rqs$ zd|K{cXM046Ryf!dPWTm%RytT3P84~MUgcnWJ4C^w)ed&ILj?b&XB5 z@JWP&BkkKM@>tvA;B2^^VyR499h_>12yUQvaJ>DJTt0(schF*>__Vmg!TI*7;JYz9 z9b7U{yn5|&aJfCtUE1y7L^$E)%CyJ9K|@I#_w03WG2Bj(J#KbzGMw;uCEe%XO#3Aj zncDB*T(}*-;?e;J$HIwXAx{S#oX!THQ3)c5ia!1T?2v=|+2Av#pC@_pBefhIcJQce zHgQ-h(Gdraf1d4cI{i)H^m~5b5gm1KEgQsyq4na06XLemQ!>4Jliq3M1{ zy2yb=XnMILU2-t=jjC#wMT1`Ejl4R|69eE1Z?10yfBt+eEf@g2I$w1#w>o1*7`^6T zQSGz>UFSWlIxQ%$LN_>Os4lSdUND#FCT}{`8G~As=oSY()fwF&qf56rs;bTyc;i#6 zgC*5z`S*fpbBCj#+G!=a>tI@Sfx#H%1?V0JHr0uu-STwb!JN>}ay!xkj(I}Ua~D3hywaw8p4oO1`^*YjX z2cxSq2YusK=!Js`wG+9=Upg3HohXX+=#_(+)rq2!U8>7sc6FjClMmrp912PFsY@1z zLlVo>HH%f%iNW~f#BN!vsZIYK%h+8H@q>X*g#>Wo3N7pQ+02SYM?G$4!B)rn$m zyEHJ1WwjI8*ZM5hha|c*D2t6Di4_{0#fs`gF-8hBB#V>PH3@S1G&GBr>Wso_o`z*{ zx;mq1SQ?(ih1zKzjmYABby_g{JQ|tB#p<*mUztW_ake@w$mi1NEG|{21+&(pF`EoQTi+j}>gN?wYxmi4}P7Ag? zkLG3Zq&h9g=h6Hu9#y9W1s13=i~H5ih>j@Hf-JhdS(Py;(4~c0Jgqhw^hB8!Wihxq zUr=C~7H84BIxWcO(~>NPzWJ-pXJy*YKLZpITY;8lG3L!GUq!=}XjvBBtL+9A;nQ&z zgR0YlUaZiHEQZx8Q+@wpWfmi=vj%2Mv?_~%)&7XK%hBpA>TB4QaczOtWHG)vuUKw8 zTAM}R>O^6f55HNwtnM+<3O+Su(W5%2Fv~~YEM8RS6p1cv$l`f*e+F$-rj1#2uH6V_ z+LT5A>Jo*o9&OH|Uv)HW*Rb`Xi_ zZ3`7iWjf2de49em0p6o?S?p?COZi1KI-kX++P>!K0tb1uO}cb3iydwA$s65@bcwh4 zH$Oi3SAR?&P;{BMir1mn|IQ(xE4(SbS?j$1jgKz?yqd+cnuV#)>IHO-_raQWzWlMB z>%4%7zhJfX=jY$Vap?wc{59>cKeA=$Ca-)o>-EXX-{L?Z%#Qk6)@@#xYa(C%7-{9j z;&qo_|D%V1?r?liyQ6%%%W+f9GQRk6v)tp2ux1&bY?k{R64bQw<&Q5Se2~Sfn$7Y_ zUmtSpRMQSOi&}Ud@vc)7`SQoeV_ukRx~%?6C!i-B{k-na>wm}*&{GbBYC@mv%V!+A zgn6XyYkba;Pfd?LIo4lrkQZi0jjflwbG~JDNsC)7(<_dc9XpzjS9NdTwvm>LbZ|a? z19yzHT=6|VFu#Etj_E=qVcVy!Z{UHQ<=|T|-QK`0BdxqHQujA-&(4oB^>_o1jFwef zSE%P3xN1iScLDZ#12^sH;12WNZ=ltV_Pi4Hc>~vtb(EjJQr|al-^hygC{e#R@X+Xm zYH6SPzk$2X8&3M0Kl-TCxBl_(I#D9-{2vtK-+bk(U;pw~-u;qCiHwu@%GbY`&r_6t z{dN88xZ@X(#^2fAsE`$PqvM z`IqPo@xwR1L>c}e_}ezU$v=Gc+uw32D}Uf3=?I)shPt4ZmS zh3!5~{QWNlP?%gh^K($XP9s0b2oK56XMW;B1Q8r7kRsBRuX6vji0p>U*AX=GWsEzC z24zEf%!yyLYT=Y~>>(%q%+I6dsnj?E&cBdB;t0whK;#_MK~QCmBjD-hM8urJl>{@u zaGr&b3iu$HgKFUZsK7ZiUq>Yl$UnMPaPTstj+z*;3!W4#1}8-dIg!eZIKD~_bHHb! zjWUn4dWI6ASFAUj&ImBGu4E%|pd4qJn#9S7~g!%@flyEu}Gz|~ak1b(h+ zXYjLCJAAR#EfYQc) z28}yuREXK*CNF{en6`9bmkTqb(dEtFa@HH#-1r@gqr^5+d)_m|X{bVGAEZ~JlZzLc zBZurZ2(iAm%l>nzjO~Mzf2o=~5QhXE`U@RAt?!uPvjRgpk2{qf-;)(qHd3gUOp%=@ zUH(H?Jfza9$zvVRDp`UvSp78egQGY=6(Vet9CqI&YJ%j#+RGzA_XLP)`k-N&$}=uu zXdSmD%oM^u`(T*MZfYd$!2}_m%aGa#(8Zq4XLfJ zqD~UYATD<*I=Q=m9Rm(EQ&aaOgfRGHzAnGt0u&VpUeltht_zyy;utqYiEneT4Yd8X z^!`$o+-akCgUJ7CA@#^Q+nDU!E)F{!mNtzcan3>a>XCC1L!dGhB?U;ky|l6S{0+HO zQs_h_RN1aYa{feHjkyr4{AAxi@+v|=Yt8KDnMhfjh!&ZP1wr1Il)T3dOIgQX%ml_m;A7YTRu z8-*c}vpf)u7r+@EQzgk-32hso9nZ&N@`NI%I&ovQ-mP3HKHAgh!3^fdy;e{(ipwOa zyt(VzUsOQ^$`D*aa8@JlkIGE{u%zRP$w+kMX#Val`@ttc@*w6Mef9OsY%lUYYOA+Q zrhuB_+3?%BAYXh)yoZyyH;o8bA@qm)Q}v?5t_@G@-bTG#I8(J{fTl?Q;G}+2l5o8# zP~#7mqdwyI@JEH0cZ)g`(9s;|?MmcuNbHm|uU%{EM|(Eg$-*c%@Cs%i3;1n-*WJpj zRbt(jp10Zs+37(XDp-E7O$31hS0YYZpp*S%qrCfu0Qvi6h54m6&`N?|STRz(9@65s z$vgbQ|KlL?B>zfwTpz9?4)+XZ5c#p&IRfI#aopgx0<_`k4PCAO?QJ8_OtvVHujX zsFynJnfDuUbOj|=(oeSX91!CUs;Ka)b2d%UH`?3_%ITf$U9Gf6{^AN39(wXscr|8*N>ZmITqYIHHsF z|MF8HR&_Iowzn|vh~gU0<#zBoZ-UuY*9|-TMN=xhb_iem&S&DsFXmw9KT(uWT>GMJ z9uH(&=FWgoVC_a9a@Dl1D>T;uhLvntfmD+MQL>uppiYsz>r02abwT&PdeWv&J<(6i zLd=Qa0lcPk-ROJG*qLO$(Q467mmkNFt<+SaIoWYR2Rfe{LkwN6e9}j|zmA!h_Bls> z4Dqxv!uJ4|y#V*6VwtWh>`;$t6N6%$jzEWeIa1P%uiM)k^^!@LIuD_rO* z%KM_+1e7rtH=G4iS}e7~jcWQ7>)foWqLB6}thoK~M(09;!zC`B zn%wd!@kH}v@*S3PE?5cY?HZ^p&9b!h#oY-<0Ejo6lv|)&EuK-AYX=0kL|7U0-qOW` z-mvvBi;~HL1X^IO(nah)?wwmRm#YX0fhYJwR>$`mFn;BA;^&3iqGS;oc;{ zMr{Mc9#H)~t);7?9+7+f!4kFS8BZWpjtW&PH(?y0RltGl7w&-{b=qr2)^xZPI6Now zx1b~(r{FxTIhkvDh$o7`AAZ_?%Y7CjIm9LL;S5E)DNs=oq`(04QS@Ffnf#755mO9Y zsGBc8IJhzQm+Si^yUzfIeO$FeDyt{pW}{T3{|9JjFU`%jvnz>DGC(yDlwW;9Wf^H~ zU1pOv8wVIvnm)6ce{?72+7><}bn`K|#fNl&>+ShW2YImv!G_1&R|-G1LXdux$KSs8 z3sT1Ms+(4RV!DsPQ0)jxt5ls~2y#CmqZ#zB_*lxuFq!*-(ZjgA`K(8aMnNLg-Q?xK z{_1gzQL>mjcq(O)-NG8aqOm6Nc%MIs@>J&ckDxrvnT0;i=GXXgIf8g~NblhlpYbi8 zIAYz~#Z&Q#>UiPj?E+Mqm_oT$Crh`8uKgyvi zz`zDZdq1pV6PV$N%M(`xpE))aZGQz*aRwG)BE3JjIq0EWagl*x6y{XTJlT8`BI%b( zHbTSQad5PGS;G`c4{=cy{u$YhBB9XHIZ3^vC~?`!f^ug{l+a>7Cuu z_ye*FD*1C40_w@!@{yTxY&^OFTcEmdIr=BIV?i!OM5A1WlYrzpZCIC#TBgAb>i8!zE=18==2e5y}TZ!-tXuw zBA(wx(>S2_G($+pJ2$$g7L?4wbP&N{0B z$9+5_HYu2XmToS^U(5qgS&l0X)W==8VL>iCs>`tmf8md&F4_( zx#baTFznHXRa$CS4X229J^zRroh9gzsKBm;orouQ&_50&&ZMNbyJHTHR#pWz5rz$> z;C9H=pwlz&?30vp@a7NZ7OXSFnoMASoc?Frb@s3sq=N$l+84qBTt-}SkjUZZWtK#CFdjmcn+X>Xr zU#O9;NWDk#4ZYD8)QWIMCY$ry;(d@#%Nzw6w#21h&$363C8G)h-Q#eiAB?G2wz4~O zcW0C~C`HfC`ujlm!H2)&>JY9D9K{?^?yUtAPVG@yibF$T%j-{^N7AU@N*`dE)XKrJ z`}t^PjmlZz+-k(JHLAcW<5J#WX{(P!jV@oA!p%}@G=G@tB+&%Od!rh~)rbTm{M73L z-81UC(Lz^4nVVSDqxClpU4uAR`H#!4zcu-^;Xu5hB*uCBDvL{>ut-v$YLyw_l^HDu zaH>=<%p@vfU$Ke#7gm{1!36@>L|X5b}qV5eK9z$&&+rU zGy6ilBSFhm8}QTaZYMap2 z(29|zZ#=AINHs6j&Il^8jq;TP_s==7t{ifpQS|KA$8E5T3|s)^w+tXD%v4Q#xR0fd zMY;iF^c2~%ob`5QW?{vkfOYZ0%CI+AdTI@{C$B2@Y*C(|Rz6K|Dm_C>5%*4eXf|ZG z)1k{*$(O=fn#I`5bqjK}Z1S9zitK|v)ya0+mdE*FF>xL-TaesHb>r0zW5vo@>3Ip0 zavSNLY6$Ni1BvX2H2icV+HS96I;@y-FtFRok+ZT4Fue^N379=Mp#gFO(qJX_(73Hy z;FsHgN|{28Z6B>Hx=bmM;MkKmTgu+F3$jqZ!xIr({}?*AC5udKAfRQUykE;jagLX$ zf+&rDe`d&Uqjf_M&GE4y2^Zj$7?=R=`P%mjk;_8hV2NTKhQ$8$_rwuuadfE^7Sght zCK#l(Hp#Xi>dHfhZ}Umx*hhIdtiNxh!eOr3gY)Y@8(=}Yt0yg<*L~O2K}78s+Gw4i zr|PTL9XZ54DMeQ!M9orEJ<*u+C(szmU5YUpUP?O;VQOFM<$cfi#9dyrG~%%Gi3gVG zWTJhwyKjOh^Ox?0S6jjRW&1|=E_New42~Jm%LAW^3xF^atJfN}ZxHD82^!M?#Bv@D zf*9t$xqzpSjM&EpvqOf}J8j;iM!_@=ACHnBL7|BVaGsqjmCV8@CvO&|>NN)sPV#CqGL&&KKeK$@*Xx~f}!jzxnVsBHs={O_76OY=> zyhu0O&f3mIqc)f@avLuC&%t1fG}z#@T2v*Z)Ob;SHTeiZF88bd!>p7|O*=+a3JRz= z9bBeX({1u>VL^QIB&RH9ezidx5InW7FLttt9;3&sxyYoHX67G@(#LDgFiOsLT}R>N zu<*?_{e*i6k-yMNFV0<1GV5fW!3U6zD*lP1h?WY6%|0Az6V39`Y@9V+KX2*d`tQZ}+6E^=dWDA>oJTq`JG;hBVo$~~oErs0 zb<8N(j{lp5Ulxd4G##f91XLC3mO|R=ozOUGZyo?bGeoaq(+|6f`zr@fi(Esl1amIT zA3HZax>9rTq^6(y$nhm%PkJE>6)|}3#KqyUly?yRZ-Oh^Kf8C9%+n!7YkEX6#pqhc zj*&`MbhDH!-v@9hMk5qv!#h=@G=`tgPH4=|xMN#28elP4M`;btCeR{2e#9hIITSLQ z>iFz+U=m*7Z77rf$%JnoKGm&=27KHwCu`8#h@c@|gB$+}@>oUgz`yo%mGkv}VVGGf0Ns1Tc%d#<+; zf=yV$;$_Y0c`%NanyYT13R9ljDML@T=0^@kYFG~Hrn>&Q+fwVe3+!*(k2H42H`znl z8GMF<0)Cx5ulYJRL5LEd0-i=;03AE?qE*dcWB~WK2F>qzvb#w?+2{cdZdpvtxyyiF z7_H>wMh>Fi@r4IYm^~DUA`v`0+5O$wJg8w${V>Y6FcFqu(e!#`Kh$c(pU2i4 z6)FkM1#c2^_!do*Fj8lMKC{t}xs2l!>;J<(tC#=*rjs<<)A|dOL2^gY+QLYpD-FCZ z6N294!6Hx&^Z7sJd2@}vbgSw0tauL0kXPedJ$@feHIFKRd+@SKi~%*)Up?$YheVPL z;E!^^AxZ{ME)hDw{A0H~@t_?Tgp2bB&oTwhrLL^pNzn(9Gc`MX?DuIBv?;fED}Wup z24|u#kPf9AcMh#gMHo80jLl+r8fN7Ot6WSC16>o5SzI7g$%@gJ_y9{m(Hd1I)FJDq z-kRiU`QC(sWKMysKb#k|)1Yi#)t?LyCr=VQm=xMfF6NfUwDE;+o^nXWr$F`Yx^bk* zs1-8{xsTS1#W2me&*??EdO@V81uGG}*v;JeC$%Xv}s` z@+NHb+4gx{X;Y9igfq4b-Y<)aXh+2?2lLIg8il<@?ufCR%0zbwcMwJB;oh7RpYT`@ z5UL5f_V~XAh1iLM#m{(@S zN7I6gU&2131S~>D*u>&dftSm2yc?_`W8p3{*@R!s!<61?JJ}%FrXI|ZW(rwLnf)lW z#LYeS91ZVl;*`jBNart1dwOJD3}!@+nlCqu zBwXAs^E;PXACNs8f_wT*ra_#ms^mm9Q>pN*MMilh$h|DP5G@6ofy>d$)-PB67(K!_<%T+tY50^XW_{qF6Wmz!#7D zi=&i+_zoN>VgMktVa&X})nAL{eD-&dE(NY88r>_h~1V$heb#Ro1 zcfm$ho)Z_%`sKg>!Osg3Q0@BAjdG>fiI2(5_*;3GSSr@=E>G;sZM4y+=ao4s6<_xK z!-xBmJtu&K)#HWG1yfX#QM(5W?399>2NhLB~;osT__ z1OpoMr#R8tPe6o0WzD1b*F*8TVy9j}9a|&lV1~fLb9N3M&*d%&eLT4hHQIgoJ@oGx z4p@X&q-%V+22SiaYNFL5>f8;O1?gBGDNA3pEc2n)93g=^Ucj*HRR|$C1@#3h%1-*U z3KDM8N!}X9_8i-h9STPxGOw*~yQ6IPrzt8MvP5jqQ&>27j!x{l-86BUHgdQv>tW@+ z9@VQ`#0dCo4D#fvU%---d^|^tPxK=m?Z+IVHdcSn+YT;6tL^S!NDKg4S~bQeRz&gn z>d33>h8lAry>93W`ACPH$y8CsgyPYpE;Z89ZH9{`|Bp0Wi}17<+t|ZzbswFQVWkk3 zc?O;-O#^IS>!(=v+ESxRdd$_>G9f6+VZOOk04&q2L_UjWF%Vh1d}TR3m(vx;7?hzI z2YvcH>!`eLS7CsC2f|B+)wEPid29TsZmCr;_DzV#wEy;c_nft?%Ff;8vtg$BDtb2h z9~pxC({;}Y06eShADw;CsJ@3$6O+T&*R+a0ma)JpC;sGXpQr;|IgOZQdLh7JC4oBI z>+{iPRfM-(ETu~paQZ_juO)(e zrYK_AIiFNe-Du@It1jj*Z79g>T>Gr@?{K?{r^o_FZH_R;VAGebY!hm zCuLH&xpR`OHO&{lk)d1R?4f*L1iCMLtEytZ7J8F&m`hdKd>Te%^?w1?3yWr{!Hb>0 z%^)_!Hr9a550BkaR4Veq<0S+k(l}z3IITfPK_F(Ij41TSE;DYZ`*r8BNj07Q_++bA zX55oZph0Tmm6s9JSQmII(aNZHAtird6tmE4JT&fi;nTkpUA_$m)9PdQ z!G*PVi-I7=-Gm_30)x?JFKgT7UsQR2EFcYd;=F4jQUkKTk)i#$@J3MihueC9;I1;( z=e<6Z;}TQJ@#;kgDAI!O=RV|9$VUPo3eSW9Dx1GHQ#9=u3THg~znF7XVr;#y?^>Er zITt%@X&;Ie*Nt}_V(Vcjlf}ICUD_uoFq*|uQpPGzHfYX)G7%tk?+GySijZV;$L|_m zIzoQ(&7wD!9C9uSkjLF$@kopD#w(Hh?`&;F?&n55%opcS`-_^nkP{p2 zd6R=*qXe5Ta*zG&h?NK%#7K(KZpH_42*nXJ2i3eF#1f|#0x?-_cIB49h|t%18=zv#9coI@ zJchtT%Gr3vV88GQe8XFSQGeH@)`ssR+@rN8&~$(~vr18-W%>MR|*a4}=~T;&;HC1>T@uYi+DEXbLaU+3j6{%p&D zo2C#Gu%RZM_7@71&SL{fFsnFNSN=lVLh&>nHtXd-#S*oeRIt*huZy|{--53)HJ?ie z>4r|@o`eB#jPWN*^ac)jq5Dy1AF`va?@@BV0?Xiv3vV&xkdX%5m1xD|B|>k`lsWdp z(5KghoD{9H+xaw_*tue@9;7>#y0i<7u*8uIdwEI8l<4Cuh!^*4^9WVjlR#+Uk zv^!Fftns{vjrd^9E18n59sgfZyu-%v21M}yP1?c-rduFVIY1ZupzY|~Zhdu{S)xh~ zNYO?%>QO`nj652+0zLWpVIBK6YnFd3_Ttjjd@Dd zX4u*DS%t0tYPRtM!aAMv?ko!e#p<4(TP?E_dW{Ma(AUhgw*(Z)XI#jokUXr`$7!HF z%!*P*wYUGU`DXn`(^I)u|C8{mzh~m0+>!Os8@S zkh)XdiT`h0s*~d2-o^c`R(Yi6VnaG}HhR8q@Hf z#|~+E`W|ISs7NDRI9Q#wOJS*I;ve^&w22xXgBjZ-KK8FQUXz;V?MCH~P2XraHvS?x z!(JSRFGTUVr)q@jF0aM_FRG*T8?N6)AWqv8k6xXh6N-M=ssKQL)wPe@gEPiG-k&OK!%UP1bSE68~2i84GzM8o)b zvAK&D0=*(brl({P0(dxzcHs<4$w7Cn*Wtw)Q?KaSWDL}L&s|Fu+T;)~N4)E`sYIpX z*wuZkJ4E|zdQA>+aR<85r>y^mrwTI4A zkx;H3msQ)|V05vXxycpqFZM*#k&ax~Wk)BsIjtv~M(oEC?>l*IX(APFF%7ms&1cwO zz$gL-bn0tnZMy1&y^rZkS2~8r9ovMa=OGHXz$>gYw#f)wYz1=h#-+4r8=Sa!fG&7~ zYb1MjO&}0N)tx5=*?BY(Lw|prFc?VEK1Tl|s<@fC{u$qn4E%xhXiz%yC&7Gnn%mpf z=|Vz5{7P_v&hWXybu&Ccs(I3U>e}&uRp+uL{I&CC`)K60SCW5DexBz;9dT8%Y(YJkx+;<ENBlRx=r5H;ox^KI#7oPT$CY}*fbZ7LL-g9MT z*|$mSHWy0IfSiYD)coBAeisf7hHb`{o&MvruBKuXD$m9oj<0DYN!t?EtJd-mD`l;S zXC3BO44cH4g)|~5Y14{u564|ume4P6C$Z7<<0WU2F6N&O-i%^qO|~d~w`C8#Vo&u? zusL7)&n}0WmQqW&$)M=JH-oLdr<#i+;@eA~VD-F#9zR9?6+QL9m${cxj3I<0SH0Ai zO|-+3;4UPc|BAV=DvtWx23+uf->hI-nO<+;eeD^I7!+#eK)A|~Pv39?t%ym;3-uh< z`aWM2`@QpU?yQb*dMH|U4$gr)CM`U+x`T+m_e)=-*dF8?X93w(H0rxJfQamDZSp^X z+OUN8##F|>F$WhG|yJ4~xVg6A>M<1G5!0#OMMkAK79JS2(2pZ{qfXn2v38tq7%}uf~<$mM-zbhL?eCN$zd4Ktt0cXhuAt-Y( zT+-xF_p`l5&Q@P(=R7_V1{$I21CG}XHy1$ihOq0lgHN=`^m=h%i(t4D=@I~ zIOxaP2=_&P;iMx-M6#=9Sa0Y6J*D$+9$s&-w&1S#uc#{fIP=)6u=w4?-L6Gw=Imef zBARQy*4NdIT!}e}^qHgq&dElfvJrxTL!WyqZ5%wqqC!U!d4hjRl)rP6*dD25&vs1g zNgx!q7=vmae<9{;RV+uaR*K^nl$x1=#}({51PWKF*0Dj8U!iFma~Chq)@b9mZBE%5 z8bvfgZgWON@=7D_n=DBm?ggacX+BUe3iV>v41@XNfJVj*?;H+@V1}Lmu^c4+S!{yN zmU38NhsGvEfuRWo&#M9b^ZtLq)KS%~SZsJ!F*rp+ARr(hW??WeARr(hGBjf_$d3BN z<3L~5U}RB`rOn{kIU2?2?VRRR;Oij{6oNZZiip#E5CxN60jt4Z_iH9xt|w&~*^kqj z+_MX>LPs_8q`~XoTCsa4EUQgN#0pw+;B(uz3H0LG&rg#VDW6q=|^XV(oxFw{ZE4hiO zp#MeJZ=q6rs=%%I>8q*6VK;;tOBKf%@qbeNHge?%1zpJ-sW$l2oy~!FE37{s#*mIt z`R(b9zY{m`yq%Q6>njL~5tj>gbYbo$Jk7nRo` zKd8VG%F3m#e0m;)=?urlnv_FQi_UHr`oahHVb=!ne@dXr_nZj+zE@1GT?G^d9L-ri zr@rob$PSoy1_oS_l@!5ptQogjI&W7{IQigLnCh30=F5OSj+@jl|FMDT;@R9Fs^@!q z&uDpwU8=EC+|VeDeo!>+x`2q1Ga2CnScWJmNoLE0f$+}6)ocxg z@p$*Xw&0P`ygWw_DnRjT4jQzpkWb-Ji24;C5M-zM@Na-F>CFM>5Hk!EZ5zMNuoN9c z)+7=E;wl?-*q!45o_Dw?@xU})W0_-vS3v}8UM^3H3ckU^=3wQbu{?m!p_v z5!RL~MB@z*n4~ZWY8l=lUx4dxEX@|ceEtZ+Avt39-7cRRvY1@$e8jGZ|L^3mVhR~L zu_P>6SbJD>Brf-?2g1$WS9v-@i0t;oNzFyZOsQ3HS+?UtKap2jLonpG4NQc2iWkyu zGBXZvbOz=E?3}NteY{c29*r6GKkwT442D|z#kK?#ajNSPd^&487KCZRvES(e#G2Cv z6)R&T-b66@o7vVIpf$DCw`Tn`di2W)9$MPH;g~dM;u89usxj_DEGahV@^YRWR274B zp?J{myO``bG5+CIRDToz9L`Vxd11VmtzdZq9ueXuZ1M4dt>D!#Fj0W`PCR*m2WC!9 z*t_hIGZM$_U#+EkFvjnIHNicFWLTh#@Ay&J?sa_OIwAlDlrlQVF{Pi~txZ^g3+d}L zDLF1G1rD5(rW80ll#tJ!ZqRk_V6Hh;xi?x}AR&!wie6o?LVY0a+<89AnU{-|0mA9^ zAB}JO-VUS!ejW6!zY|GF_lBl~gbhcPYr!XYrB={MAua?24qR^AxiWb_glJ5SrzL*o z#Vg0|*AnBX7hhZH1mPplm{Z=WN(!*E{`z%Ia}WFAK-YKLCv9Ihnn#J2mKvp{!6Qq6 z@%uG^_N*r`A!YSFfx)FSA%0%I`4v_&r1xx+qzKXkM>d`ZBPv zDDxaR1Qa@2?SLMu*eoWIA|fM`cVE$!Z4n$UduHJ$ZYCXS5w{u@8?MQ(#^s2b25CrS z+89F&T;Z$v8PcX`C;-aLWuh+-$TZZpy3YM6fshUb6i5jge{}ehh_~!7VA9$5A9m%e zlq{~jl*g$t?<5n54$tJ}=yQ@rsn)(UO}QS5kpbnkgWxa2k#M4e$LDsk-uo07ARIkl zG%A7uu@|4kh`3KikItT!-+z#q38cJ|o*0b|g)Y1>RtBxJ*^`&{hL?h73a#hVt(Z2~ zTn`W7#pZ(UdSDK)K#DS`>oxP)-jo2*=TP~F&{qn%!yX(4Pc*frlAHFaf@U+1L)eHs zvB*zTc{k`SuU1jmKO_=r49e3+C0g=9vJ`ocs4 z6ojZSM^uGBC)*oB`VL(nWbY43{Yb4qP_?v9AS#3VKCl?DvW_syIS`o!FDh?E zTFC52z!73*+iD7-FzWpa{ux<5(0+@#o7FqEP%fQ98G>q|PP=mK?L!GjrEk)x<)j2S}a zjs_iSPo5Z~jA}?mbizkq0)j5X(TN^f8xa_(aAw>uObOxI(Z;1AONENc$li-d9z4KP zMvYVAQNTxmi14!ZGRERTc1YP?pb2@IgcOZPz|HQ!vBY4P4Ns*l2^i?@2L3hXUOe!J zffZ-DD2c$glH(VsaxE4gu>C6sq{adL+w(%@Sx6Y_(N|oSt_CTo7jVm;ROTO)6II^D9`$rvYtd{LUOHY z@V(SJ6g0@osDL4LGBIr-#3)8*oFHFYTM{x%CiOq`=Mr93Aq?1{|1p9{vXE9T@0*U0 zlR2L%wL!W$c~ROW$M8+SJz&!IbZozCe-mI=x@NMqGB0A%oWw}Tt|`X%ElOXI`NkBk z=R-;U*ubB6pjo;}oE%?0T_)$gUIU;Vh-4;ZFB^5BQzOIT>84n z-E_y8!tQClp6G^VNP+)1b!*Jk(n;^X*2UXSTU1hf@vlIDniN1otGg*Ni)&FG4&x5~ zvmmL1$Zl>y5*vArbLgZ8p)^^QI9IUEz`;*jcm#nvBoQyj>QCqLnGKD{13Y#bg>&#m z&AUUYX-?tC%KW*w_d;3~3$5qvqg0ZgD%slp(%@WiPk~+F9#MxrDOP8q6BW zQjy$=(5Lnv?M~r@XqFppy;F0OX#|n-qYHzzz(s?pM+l;hW#g6;Uu?C37I>7!G@&KA z<_B5U0uhN#&lx>K2bdK7;k3ci1bB2>R`M;YPA*hvUxCU&#p;Fx8Bh1KAkn=wboa5< zJ)tp}>!0k5W;GlEP-IXo%;$Sj)!EL)K@s8w>$fmo*EM(DNmW)DiiPPN`V3DxY~Uu?NTUu z=W|_WN!6!+KB`C0$gEdO3(O>$A>qm3O$B+tJB3<(GNe`_+p9>IRh(ce?w^q(ik{dF z4B;bKx!sW^^7P@u9hQYmHLzi~Xse^$?#Mz35T$R~3Hnjmyyq1Hjw8hB^M#I5wf8*i zP$@F2Qq`2+spoV?*w6~)Db*acvjWx!xpsJt!iH7o>rOYvxv^YYsU9Q_D6#Wr0BzJI zvm(zSo*NneJUM5*h`4~fT%L3`Pwi_nx$!@1(b^Z8;)Eo@^#E0x`gZm zv;zrbr(XO1M=oO<7@w!ij-cd$Eu1m{!QZ`wr$M1Kz>f{+l{*j-Y+ zy|N-Lg=p^e@=zR_@PpQwFBhwxG}zk*YvQEvpN(l-g&|k~0QA|Nn@&amEydCrE4t&ZS83FYW`Kf|I$<3J%1w_Qf{0B(=gYA^$1_*Fyi;r_KFnzd zn5IdF1QO38{P|8TA06$j4tJ&##xE@Mip@Dxbo46E+c&R6=DIyVkI;Y>1~x z)_XjuFFHlU_bA$X!-a611y$A&_pm(6J_-{4IM!?Pbx>rJTpSnW6C)z?;6+Wet@8=H zQHSE`cj~_^Yk_RbkDK@Et#Sg5g>UkGHIP?e+%@HqYMis;=U_fq%6G2HbNbTmLpVUM z*B>LE=0#U(SNYH!Ds^W>jbN6?t?U;m;#vcxo7|2z+8f@ z9?au?U8QFj5>4aGEE;%`IkjsajfJvt7pK_z!mYx8De-u1rgQtj!Z2?j2h&J?N3D(u zd{g&Z>Bgq&dEkq$2SYSyuc&#^Wl;`j{$4Wcs9m!*9l_J%-Bxm=VqtTCj5;ve7(0IY zc^ZlM)q;(gDW_*25!lFK-kcnyZ@vE5RO_P$E<0LtcQuAVyAp_`vZJ8c_N$X2veZg^&9*oeyDG8fZzH$8h1tJ6((D6t=f>)K@ZgO^$5yXck9#s(pcUU?tromkXv@M&W9uZVg4M%G6C>h> z$9B~VNgrbE>+tNQhi3YqUDXpXUI&_XX65r^$66n3>ZA&Tycfz`bwP4MzR0NinYs37Zjh zHLkGRkYIMbaJ9xi>YPZQ&hK9<$}ve^FVaLwm?6#VMMZ-o)rAb z;6pZ6J`#I%^)Q^?WYF;6wS;t64coQ|@kJ8r0Jvqay9BuDx^HX_;bFlN5+@3K;gNF1 znVpwXD(Sv(Ql^SbWQzy;Gqa7Gf^bv-vRinwi4&z|MG^!;+1*=JJdh2A@h0<*aALsI z1MYwUFW7cI2Ygx~g`c3lFk#c{b`_5Hk3xXNxNq$=_?7XDsL|UBP4j^ayBBkN5OI?$rMKz#vf=Z`XU1o&XR~3 z62TEnd%$6hQJ(Famsu(H&4^qAGZ{$Ty2;Vwt&!GulaH$$hBf+qZ6C3Y& zlooDv$+&>sqNwwR|4>&bS6F$guO*FSY^!PHE^o^wV`;FRbDf)LWd)%pAF=56u$Y3T z)jv01L)QZTl}3$!NH|<{NOv$y#y7px^4b(UsglPw za2#?*QhjS|C)UOlCv~>2(T(|FYS}D8GO!r0QvmMMepClb1R+&p&M?}aKZV?m3G|;C zKKm)*-H-qTwJjnQK*nAO?yL9;hb)=@2~Yc?Q1vT}vfbKR3hce(-htp6`L4&{5pv9oK%?=}=w8F1$Cie{~+VKS6Z zeP?LF122*v9e)l6_S+*3mFmiH+3$W|{!w=E0>!5p?Rfnnfy;HU-G@p*e8?nS@AUns z+UgrSqhr1w&Xnbqyr48~Ag%6hF9TA51iTK$8hQF&~Xdoit+C?IDu>UICs?u0rmrk@-r>&@6&`r~wCo zG|u5jPZbCYncU;{m!{OSpR4g3xbeX0VM}N}15|xD)+usNRD7#&-4dD`??|XJJDq2C zH((D@{+xdo*4tv&2o4UBXEs$-0b}|y3IAmRB{KqX2^t?C3;K9cny~!`aCsF0^o)j- zUim=($xa=6@vf)oUe&@UVf@|>=pPU^wQp@6SHHdeUr|qyzoFb3Hdz+W?v zNF-E=4B@ZBPZLjmtJfr`j7jtyXx_ zm=N&`&iQj)WEk3n-tQjNSws92s~7jfTojM9NjP0%Yyo*qtCjo;Ob;dzbr;pDOkcup zX$10yFfPXi0s?l@E4|3-T)7^#_b2?KY(xz3eK~P82|+4-YRP8#NxQZyqkD3mBiL`0 z4?d|m0Mr8|=mA$cq=)PWq~x&VBn}Fb=ou_I9Ng zw$z9*n#Ui^b_YBEv1+CZ_Jx0$;$m5VWFtoNG+WJ9iVL3gHn-hHRr`B|XIe)9a6bkHVo@94`O_Tg(%QhUdT4|%mt5s1_6 zMTmlbR6T?ng1x}?*SKt?0D~L>vxAF59VU=VWpFL5j{PK}n7T>gnw`!Bstg+ZXIjhO zskX4AV9qq;52*sBjJ}+U`Z$ec7Ea@2#>98Sc~>vQ<26#dW9O8L26&5$>%FP>GfBgs(EG%w?$ zEwM4~NlnX|XGLW4UdSV`kY;*m>A;t?vWZC{B-4Crqkmg>G=UN^AA~cd;*Va<+Tl0+ zo3VrwO?37Fzpfy%+m-VGx-@x4c(=*UF`Ub6zSvQ^iAwoO-r4Ojb53RBTq?@d?ZI=! z-Y}0L#UNE*+QAXe>&X)q|D9C&No=nuKW}q>4Htkh^gWt)v7fpYgYdxOhiEz6Fy1nx z@J{>D=B%+CX%Wbny|~Tnm#(dbCl|VrC|saw>_cY;f+2laW2KY^A!D#ucUlH}4V|Bf zV)XhFMd|D^5QRI*$M~>?3B6(9Qg-)yjDcci3Chp8eFfSXL1CGdkhu=gpNo0revZNc z0gsQhr_qU6u;&Hx;oJ={r%N@;v4RH1BCs=OyXL@*;yiur*kK(R;^k-Ky`gO+d?j;s z%yuIa7B}^dU>t8xFeZoBrj11HCtI~?l@Rbs+5_hUbpOn@M_CyxNGdJVrM%Y+z)R5QLoCM{sH9qHR(!+5_}y82K_=7YhNgd012m3(|}G z<>g>LN2YI~C^E;TP|V3t+fdp}`C+G_;{8Dv>KbtEGDg#SyzE#m1~`)7jj1yuIHTkK z+JgHJk}PD4gDTH;6+)uUDOy=Li}%z_ed?LXb(>^Zb-ENQj)J6;Mt-$#s}uAArvl9@ zm2`xBOc-iA2H>f!*66uQy~;Bf8;23#`eNIS*;8RO=-^5719KdK4?rm;_A5Yn3T1?z z6MfbrqQ8X3s#Bpc2+822+I)?=3~?^_)u^Lv#38^a)vQ}~H33A^4SaIUfQ{jkvKap{ zhCTh%cG$1})HCL_{ckRJNra2}YTpD2N=eG(OO3(HjJj0%Swavjs<$=(ih>n1&_iYW5a3v>OK&uNH$uVGaIYQ-y_|$l%yTu>o|fIhMj&>{(1n3vhjFi%{ds1WcUPhIMK<|qD@Vm65gfMAGreH`sUssvcjVDxe& z(m2O_Xh2te)$dIUtx{yhk2+Q9QsJP@Ou^hYGB$LQxv8c1Y71%(!q{5YDq!4>G`M?Y z5!%8hS(`hO;#?jWUFZHHk;G60UGjiu$Q1)gjt@VfF^Xtr>3ho~@hHr=053q$zpl|+ zyrVQM&BcxE_-R)VJQs&(z!2Gry2T2bb{ri*!c9tjURZ$;IjO;KVa&!suG7IE^zOIW z(cw%ty$Gs>@EBr$9mX)yr{^gcPUIl1HGCcz94IOW+ed4YQH3ucfnFo4@v9bY*( zn%NM@#@PWmCppA(cmxB@j6FaqTtFkVk*W5Jm~0f+ff$QOfhBvG)=r{P;s;I47<|D# zy9vCZrK*YdK_inJd?voLeGI6^6;7>D(^a6cwPa~fUw=z~k^5RWj#ji?52-Ls09ZOZ z|8EJXz53O_e^ral!m*J`ZV}!DYm*=)LU&4{H-f!x4@l@%5=Fckeuqh`$+E&3f6aV{ zVV{X7r}$VDAQo2i4k|TVShd?+<>NwO04X-}d<4k+i{BB&cZF|d2bv7tu!mN?|AbfQ zY!ojER(0(s@>AKid4%b;wgusO%3Qb67jOpgW@xBbe8=-AfoWSW0*%)P_tPF8STD01 zPg3QJow9nxCh;{NG$zoh96_9@K}nqsLN;bv>+fpAKX0K_lGr{4z>}3A8L7XtMx}Pc9i28XzRN3WJMe_V4MWEOTf~IU zaDk7)_$sh2!jI6T%i@l~9}Qz{ddnwHQs$H(@K12nDBBHKZapD15Ld=i`ef|}OX*|u@ORb2Z=W0E4TPlX0M1r+5nH>=;bS_#h15bi7fGp!oUUHT%uXvcE)N6hw9 zOpk7puxKb1_OK^6I6#yYyi1ApiCnQHTk`5VBwMXt7oFRe9oY%CCUW2%otwg3#W^v= zPy#PJ(Da6W3ee97dxbMnVMr(R57}~DPP`j2iL=k>`4?4IobtAIBP#hz~N1y99^D5cdzX)jxm3x z1&-RY52?T1N^cE~x6)fYYfv2+w7NCw5kSj=(0Y1Xn*FL>=z)k!i}%L|O#dqhrt=iX zui|l5Q~K=JrC(=d2l3NCkt{w%Dlb8ml{&$>M?JlkgyjI%P&#^qjp5~2XwDSJv$V`! ze}Xm+VNF@U2#NC#fHU#!xT?19V$Ik9CKd)6qGj8^Q22tP_$S2YXu;jdAu|yot*~<5 zp%!vQS?PAC>{HZ-bXR2O!?{#f!*mKijoj9N__}tQQ4T^;YZ}6@yrW5qjHRE3uT#g8 zy_4T(-~vl3DB7lO>2XDC<)OHUcwhN#{Uy0Pp>(o1b>5pzjDlUAEjDE{b-Ne|yz4M| zInk9tQ>!M0!kr>Cw~p^lcS_gZz~=~Bh*h0TIJegIoEzIb3L=miQ(WNlKHE=uJU|mj z0~Z7lb&u6CsM3gkk6H(xxG7pLw!72U+=@3WIi{;fO7pJFdEsvLFZ0UpDtc~re3aBu z(}sbiE@wuWnlXjBlrKV6*N(~~OR*`!Kxgr#yjnT=?eso}{$U_F=o?msMcb}#ciCDe zKtN@tl_LOFqnRyUD^YaQfDdVgUpN(4k9^HeWVzy@O`|N6Hw7|GMbm%If23~!1o2c* z%qfPYgc>ADe866V{PJE+5uJ1l?WLud3f8)wa%afGgSYv1g6yZPqHek`GzM<_#JdPOTW|ULPR>ukEx~b}xrB7%#|Ml)1v@unWQ5z8RFwaIQ04xDxBITINiAIkE39{Ud%}CPa8qz<37Mr z9f&tR%*|so6D{0{7*=+-7mAAH=_I3UC^+HC zmhsP=-5uu=C`EdNR*&oyMxGIqTVgW#lL{>2`Nzufh6Q%40f@YD-WaUKwI|*%2b-Kg zUhBFs6N&T(2>SxT$g|_(`EevOQ7l%lJvM3z>7kWN&*Q9&_w!g7&b_4l>JSaY>!;|! zape0DZ0~Mb`^WP@Q1%PqsYgFszI~4Cft0akVD0RKOS9r;1M?r?&4NOdCbF!z3)C=uQ&>mqM z(IbFOW>3&J*1PyOs1B1-Z6sfW)riUa8#qLLW1q%nRJ)R!)ojCkXy0 zhX5lSFlf(8Bf4o;NiiV04n$C02^a2FznGfzNp0i^0Ppp9<<17R6wds*N%6^CJ=sKMeMDb|`y(S6&*_^B1S%`4DWfyXN zeOu?9N}p$+0{jxKaQE`m;ug<| zSCO%y4NaYQW^Y2~SFH?|$md9AHmjn#^R5GOxO9bApw^@rVtYd4=ho4_i3-jWZ7w;7 za>u$pMW%fUpSf-rI*EZ=q7{4Hzm^D2uPb55%SNkDbTL=3&_SDm?i)0Uk86!IFCU!< zPjkSfBE)&bHz{7UL#M$ARsd{Ffd@+))+mR4g?~TMLUIO|CNs=fc}6M+-DGU z46yk9((6;sNXF$%HS(&EjMEG|lQ;kF2s?dq2vS=(?424gp33=nfJY}X6wX&;JE*P1 znfWE&)dwJR<&Y{##iG_-Zte`9#`uaMPhL!ELdKjjb95x~2!qDX4Xyx?S$@{Pp2Fdx zFnNJo;pb{D<-ll-Pv=_k1BKi5Yn@<6(S)Zo0@(Z0Zm+yy3bUGjUB{tjznWmt#zb$( zLSTN>GD`V%GMx;h9Qq?C-&7Q5&^D%bsz;pKS}far^wQL-JO?z12GI3X@+>*cM02wvq4+xRUFPC=D)KIoJbT zx^@U47jl=$L`L#^#<}g3Xv-2YDm-d;xbte)A4Cpq11UD4zYkc7i@xjzbhTDw6nt)e zmxI+cl@oJxf%D^l?8N0X2)|m1bp9ogR&>emLwQol^!fbbID7M`+=Qo?E7i=umPxeS zq3`n2Dw6Y-^>JL}^PtdlXQGb=eXIF>yGnH*QgQym?iqh*q}W57F`4(x0Jp3s7wFov zF;mbqBh{_yockD&PF30`?Bk)%Z}4<_y9HAG^EX}?coPJ&#AW_~$@DUY1Cw#^BGM## zyYI~e=0SI1-GnHYWi{}80$RV9Hm>hlm<+uqcsb0Ha0>h`GsA)QL&kdXGds_38sM%pkL*%pP7ZPkZ*wvrpVsVcOEe zOe`eqA5ka*2#Rvo@JHU3AnxMM-^|!p_Uxws9;lfa;a+CZwsMH-b=&b(u9WMv8XnxR zE@xq&U$aE{&Eh!-N;k2O4BLTnv^s*^6qiOiySPz*!;2TxX@D+ZA>}J#IOSZfa+ty` zP79vFa|s)O3j0b1r}S0g4kj7P$l#%Ybz|U6*-g%$NKjM$g_!brGEJo#NZ3h3?;!Wq zX!Q+y(EE5yPj;z}*hRf#UDSXrCxDmTrln@IYpNR~myS1uL1>)@!!8GXN3hsGZZY~n zP2D{r>^7zb{Yx_E*kJInWy4C=^a%`#-=S!VOph&SL&zn?QE>}FkD>r2-0S{$@g;pF zA7e|Yof*aNRtu17WQkCP1caNt>n2`qKRjB`y8Nh&qcTngdjCfm0|PRIC|YHGROU4d zVE6^K$rkYH3ema0P26vUl6Yp=3xu!CAf$13P94N{p5h;U3( zGU$^%2ktL!BTLyRP1a3>J{4d4cfx&8qtt!^Y4p7N$OsEGVE@@6V^if#Ha~`t2$3l^R8o&AW%f$>9 zHqq(~g5+;=_d{yTXVIw3!Og5ur0dxQ+px0N(aZiJ8#H|#9JWR!VX=*GZA81&Q(TUtdC)`6~8dI>n(1N8?%`JIiOU$6t3+JWja zZ;R6|kZT1rP#l><#XFNRnS!Rq5Lr+e@7QWFH%H2yMxj+x{ibspcn=}#ou?7Qvv?So zjy6$-kOS$l@3~_&IIR&&Gi)#n_N?+ly8GJrLh4UB#2mI(;rH#yjnErhtB;zr069&A z@h2U?t>m*@;IQkYzD>a_87c@NfYw z>e34Ss@$WoX^cPaU#-_f#|Q}u_tUZ`Z|v?2%IzN$27lLR@-;};E!c2Brd2jsa=&mB zF#{iHK`#t5@C_aSd4pA0SE8?rqs;q?Yq~IEq*3?&X0c)I*Nvjp7F>wlR*T^zrJW5L z%XVEfGSPgT*EM9LU97#jFCYEyF^^$wXuF@Yn@Vv)qynGoCPzww4dm(Bt)$ft`?V+Gdo(*R}s>v!|RSZ z9T$^<1oB3?a+nqWgOwZ z4THQSfA&7ae)M}2ngSK~Tybd<-;FK!a1}M~ikDHRUZHt_eqjmfw>63~DY4WuPFm$n z3rO(Ny+G9u{@Q;HyfXJ+>zwu9TPTHG5J~2a+T{(BWOFurIDK8&Xu|#{?HI9m{ZEQ( z1Rif_o52`@uXSoQu5AV9Z2&0=08<5P4!Oyzzqu1EDmLK7zCydB24boon7jtH^6?-A zW)%=z@k28fI1^el*<^Xwp9jW1IeCCd!u)VY$!92Fy|R`u*p!l?b3ecoT8ii`N20Af z+P}2lK8ppxz5dsH4<}scZ%8QjGs?>X-+FS){|Txw{9Qmw*fFKo9iISou9aVit6XfSBVBFGz3}SoxaO}j<@R+)9aHJ$SbYCr>@e+ z16=Qm{Z$!%?tBEyF<>P>Y#6=qW;`{GE~<=tq$mC#D_xPU6iMT>-MevZnh08K^qOK= z%VW$NDW`Ovk^&e~;uOcX3^bynIr@EdI~$OLKW5Nz1%Nap7jQk)1{OSoe_19}vad7e zDJ-0(-eXnn-L~EfzJNo=?$#W@?9KF?MDr~{PoeXAf2dhhiEPhiNwyPE-kkGZK$rVT zP!gsig3RPKri7=|3pTJJ#;*f*HWpcq zUg_R~#N|TXNp>C0PI>3iIViZ)sumkc)beH@L$T!e#tjwFsOKuw@7#}UAwTHd?d{Q) z7w;J1*e`!iBgl$;3fA5R#M7`QF4Vl~d9dT_n2(3H`wpza*cH7&UeG^ceLyb9Aa28O z;#ug3r^$!vQKE zubw|Nx@(gYJqQt3=iY(-XGOPomtY&oD0Nk^8nKG6yx-dw@+PS^d%q>J`I8Pdy?-kZp`oVC(7XMNxJ{+I2|Rr>@Hi4mLz zAlve89DMdMQ^uuNn2S^}Yi2aP0-KURd_*aAvdl&cyi~AsI=Vx`X(Pdayo;2d3Gc+tlqLkp6VD9KKkxO652 zDxf2(O@MvF4fn1zkjWm~2Q}mRBj8VpgDzSE!d(yUZ+!PWq@EX5^!>7y z+!tEUsqZFQy=^Rh@CWZMGlHJe_v#f5G=ZS!*iG#iDRcmYx-3}{5AQ)W0Mj5-!Cgdd z%HrmdFVOaLu!>Ob$D5AA3;Cu4(Q=@3o1|}QOI8$HY&|#@0DPzB{AS?;No@;2Y3Fuz zmC9^WiO^hdEGIQIqZ+4dfbpAmSl>#wfaQ_6!c+~vRD|7@@Q^?Ibh?tw9=n=_oG#yvgeS-=$yNFf*_ctAwX)}brq2$k}QSpID zBI$r*sQd~^4QG`Ccai9Z#E(Ye0T|IQPHRMsOv{gzHmQ4rFH59y+bO|IYJAe=!NJ-1 z2y9VH31bQjIuB-R22sKXFv(3y`W*(yw^m~LC)=dibn_nUDC{a@C9CWmDw=pU?G})I z6|}M`^g^m7QHw$;3L2L2q(7RNqUY)n%r`N=uGkp4sthlNb)boF{ByT)nQ3G0BTQf$ z-HWe$lb+x2^*pcCCUrHA0`6ijB>Ic`}WeI%045;U(Zm4v_eJl z{n~}!VXTeYaY19#t&^H!O!sLWEZrDX?C4!CbKh(*eZ4+{MG&8#3ydf5ixOveZbP4bH>gmWwP1r2O(O+gJ!ZCSFf;UDS#5gaCS| z=*XAS4zuF}<*1`u0nM(%zYaNO(;vRYVP|WkOn=#Eo7QuX*S~n58CFo03IKV+dgfZ# z6_UlfysYN^qAQNf_XY@?9sH2HHAHX^5={xkFRB|*D!f?QJA$|+$Ox73xGwJrSNUkS z`zM5U;}=j$7z3ol+>1eai9$G6X#W8{T_aH9+}2}Ap=4w!7og6#Z_ETsMGJtjktVt* zUj@wOG%5ih+j=ro<6WsfOfV&+^OC%n+Df{0qg$dUTo^L(q5ma>f4gONpYgnk+y@x< zfAezK{<+SLd|ACh2yXtH{ir=0{sBNwvc``i-{eE-xo(f~`6jiy80YVG1;_%D*khqAxkg#qXnp z)KSK{r8l;bFk^7UxgRmkvgzC*-Gebc5PY`a8W3lB_Ii`(HoDvDqT_$+D)cAK>yJG4=GmAKO6F+Ev?U0(eyt23N25Sp5+)?*@TsH*OG zt5D>NouVo7c)L1VyP5QzNWhbumOY(yO56syk)a96f z)dhUjB-GN){a3<>Pv{06frMsd8-bVa+E-Ro#((@u&ifG8wWL?V@$Lr-=Ak7ICAJBm zyNO57aLnZpw{h97w)vMoVzRmXZi^{(s?m96omu(qMLGQf6TqnEBtJ{wYWQ`JZ|V?u zUH?aB3nGO?GgUgS#4NHDSS?(97JG`6N+~Q_gEAoF$*{Uv^l%0LzBWP#&kv!2+G!XIva7~iqi9Jst& z;)$=^asoPiqDORBjiVV+R>23ul5-vr^A_NeP$S5+rHf3W7!p4Z1peDHV9m8#Q1O|m zrmr_>BZ+Uh!-m#to3I?dPE|+?S14uq)x=&oCCR3-$%r`{h|kj`ysH*Tf~)RTY|wG> zEec@1BhCGp$^0gYFtA#sKHmz2;Krm6PtK1cua%vpbI4KPvDQg?0^u3E-@YNwf>mfd^=G zx;I@3#h}kVAUt9^Y8Rgw#g3=$cBr&XZLMOdFn;)uUISvLwL!B|flL#WB(yO?ebkBc ziSea=B;vF#A3`{wMBg!N=xe2NR-8UXT>~9_PG3%O0(BF*3g!?ZOD_3=Zz>llFfKIVDDsg1l`V z#<`WP>9!UsT|eoW8kTe3tDmgNJAW9Fc}6iQ~-7S}9edi9IYn^t<}#;wY(RsZ-&? zeNGcE4s;J=*YoM6wEAZ3eqsFj&PgIE$c*+jw)i%0hc4j)1nX1@!*Dl1DY}{mMRzO4SFlWgP}-7eQS8UHzt+JqGWF87b`;WCRD)Q_4nKRoN1-PZiW2)R*Lx0ESbZWdpCy?OV2Jw z-u7_qso7|cojM;X(*C_Agg--|)E>wGL05pc33>TNVC0;a(9{+*+T~_C_BD9GlD((X zACl;?MCQiwSMZj;nM|WRtGLniwTODH)ft59#$Eu1H$_82w5Kz#X`^tJ6w%A1=}K-} zShOX_X*k0rn_NeThA-q6Az$H$ZgjS7`$SoX0^1ON7?5+n zo*#!9FAfAbyF(Yf;v%OmKoQHYk)zr3l1zG`ZlQX?Zwmu8H7tzKJH{~Hh%L??!xr5aom+=jf8)yQ$DL`H-& zRY@H}kVa8>E20O>0mBh^Qke0(@vOkhbA+N$ZAqx*hR3OB-3yz`@^&KH6x$xP#NjGLEtX(sT zxd^)OMDuaTL4X4rhGRWQR6yq0T-=m$)D|Qg`|aO<1muvl;5!TwP10q{U7ghKIikXr z_1k?C&_p&vFljyeG6=1nX~(ULa}q-+!pV!L+{9mp+!j9Xxra1M#|kM+&{Pl5y+Khb z=U|w?(=qP@g8M2O_3qcuKPyp<>Bjc^9=d>M*bd`-5Kf~t#O9zhdDNRg=SSDZHYja_ zVok)a5C#QD0}>;KT#Q!MB}2(telRIJMhD}7_bktM_TnvSW@B_~ZH2x%RKI*t!V=vA zoZYA;iC*(Ecp|Sui5oW{5Juyc4#sYL8bhn4&AWt8%g_mDt^P+YmaxYVEqg!SQ1$}I z_M1Gzy#L5#AbZm_EA>NJMomt6g$m>nPi1}~*d#RHIlb~QIr|iMjM|66Po$LFBPu7? zIm?7?8=;synm3J@i1Gh4f9y{hN?4aT)pgH4$3gU=nzfloIh`NjOD2c!o*)E$kel46 zPE}1;WUM7+N5w*p!f$j#P6gEyo^4C7e}|$dM;@5Viy{8|pNCuXEZ1C-OVMNiPIvzD~=z^EfUS;z;w^= zhYhOs?&_rvM4LgYF|BrJ|GQge@j(JqJ&$>-aZU|YyUdZ3^c_>C?hKjJZ%5$AG9UeV zqJ8c}2eCv2T3{YO3J_Shiqka>INLIGc*GOwv!HbUGx@^-K>l=oq>q83@`&x`qGQ~q zk%zeAl-e8)fO{WqyRHaF@*Bdgr7UkcNb2JUEY{(nq2 zJR0GH%`5((xfHvcP_lKLRT{ZeM+IP?5oWv09R;d@U*<30WHRG-8^PM3!{!>6NGkE} z@HsYK) z%bHV)6s=kj4~8LVPKG-lDnYP}6NrFi^;jzDMHjl{)Wc>#eg<%I-y3tt7ds@x^mg5) z%HPwxRYbj1qt)~Tf~RAs%#5uXiO=hR$T@o?5+I#lC%T|T7LRG?86nKuE_{y5IE%v0 z9q^P&NC(Xiz-6F6%(dimGdbJ~J}M(a_KLuL=u>ITj3TW6nju^?v3)O0RLf0OcuD&8 z;F9SG8eOste<}&5VUdewLnXYbp|l%WY)`U!ubTZ0@9#w;DI`;8f$W{6?zZkYErh8O zS$Kj-WCYq*FRiw9_U;cOK;c_Q{td<26LOoL^lG1nlLdh1JDHNtu%O_!>AmlsGq9O( z=~4=kaLfl<4zvROOekTLgMjpwN>yIt!JDY?QGM9x2ek3hbzf}i!9x6Mz6|M&voPOS zfuvmb7d*qy8SWaaY9$^C8~9fz!{>oFDG?5}Ed*W4Gv$zh`4zQvHjd$sk{%;aWGYDH zx3NBFbHbmdH57op?2X^U-V0s5OB>kg$CtAG?*&NvWU=h^3}-= zA^N1_zdg{=p&bo*ImF#WWnQVqmeG{L6{>VO6Z+iZ#_*5Ls-k1Fq0Kydjr;87%@|Tf z@2#q(O9<`pi$;qlJHhSqY($Ho&$Ij(%hx9<6KFq^c>&G)0cBB1XEdvd{OmN|#AM`F z!UYKNpCiVhPA7~)dH%KEMV+4UwiIQwtNU>?G6fAkncP_mPkS+rLV=A&bMD75Erv2{Ag z;CF-PZuUjP{#gtt-Ly*y;H)gO>~g4@XZnBjk{LONmZ#>CZ>|KpaF=AS{NRDfpAKFGBQ2QGfLei2_P4d_IB z05wSH6kq>>;LH7!VHZGSrb4`)oR`}ycj%BpCXvCZ$A>9xsM$jj+?d7SAwy-q4^Udn z@(7I+X(baTv`5p_BY#<}&jFQDw}YZ?feg1wvs%m+%qbJ=q@ue4%lNhi#?pW$kgOj( zrHY%RAY=btLF(_SD&eRvoO4gMuH_QTBwZAwq!XFlpm{U)p&4SJwn6`-qe^DV-0=PP zCIacLx{{*BgZ{YQIG+5$ROLDanCoi@#H{=`kS!-^Yc;@%gf3-2n};Onq`)GhKWsdN zhK+!#vsM8~FN_<8pqOP8$f%cL!eh6tUHxVx^|&Ad057x{Qg(%~L6&UFrpQ+%4=^r{ zv8o1jKU1T>`uLzCn886CQ_kHH4vHh4M186E=)dnRpS}GB)tfY}6BWuD{kq30|g8gOd`^Sy?55}&vE$rcrOfnU*~q%pENj94((U5bq*ft^e7 z_K7`FpOg~Dpno5Gq9)Fsg7ag+k@;a(J)|WiC&o~<_PeM^H}N}${Oim>I%R{J(#t}Z zqVS1W{)Ln25iGZ8*}>x$b&^(pn&pWnKJ3}n*$}u5%};a0dV zVDuYcD_)M-tVOKjBC=GZ<-gCJQhp~C=haT8KtR%bL>j=e2#`%1PTP*{S0sDwWh*ey zBEyQq;1&*M_E1O&kMiLm?dOPtWO{ zzpZZ^4zQ(4Xv6?qd`MMd_!FLqy`;s4|MKThjl(|#FF+SENp`}I0nXJ4H{X^VQ`ROU z9KV+&!!;bqn?S+KhxzzC|JIwk(PY`|_ZOa!f|Mg`$e$8p1M>>Yfb zmeElh8eQGq*%x^GCFtxN6Bn3yToE^P4H})V9wxOqVd^YF3dz>r@ zeO;rxDlt)S0aCtIjAZtKiRbDA)h);hsiXp*x%6(Q1yF7!$M(So1bnoJY)uT$x2+F) z4tKA>vAC?$9VqCGKv2nl*kdv(G<@=bw2ZOdgISXI{c5i5{7qUDT?ky)P$s!nuy}X; zigylB8==BUf=YQ^LdVwVe4KizMoJn(_6#8tOH_LU$I*4PH?kMkYq_fk-Wb)M#X-TR z4pcXh@bi6uLwtx35OybZ2w^s?P1__X6mCiGK(xm^;Er5m#HA%b@>3vas{5*(z1mhx3b-e)ikNp;*w$Jb zK#F$+$TadFgf;GoA4}0rP&0ndBG!naX`tsQ+nXhWYLY`!I(|&G!p>3MWbfvu2D`H2 zxtA02$jqN;?-W5HskqJ>6#tI+=*&3|kAd)1BpE$+H(@?Fk#lS$VorSb*s^qsLD`3KrGR|DGC1~}Cq z{TuzS##+@<;K-P$M`svItqZK|M0w@Dca7C$3n@toLQuMiuC=h9-N7GUrZDmK-BS2& zf7P8g+g@;QuC~J;yczxhTRRk7cuu~yGav%%Nu>4SLE|26ztQD}okjj1cRniVEhIYt zLO{L0)ep1JjAU6Vi+O%UYRM4~$-Q1aUw$OOXLZK2@RPX@up%@LsEH^KFVeGow@oKt zWf~m7IuY8PI!4gWh;qfk0GKa*!Di0o7U%eVv)J@uoF{K(ehiKM@r@?`;J=v(2$mUi zVE$c2ONlQdf8p4{RK3UTt`H*FUGCE!Jh9%S2?*%K&8A2*LmRbUn25WO^HWjEZ7Fbe~y4TNUZPab3xTI?RWDahDz@tdT&^J5nR!j>{5`|fjr!eY}(0)Y> zA_a8Lp4!{ijbv;Ix=l(v1QHa`y%03@yc{jw7tIHDxJcK#y|%-NZXT-V5YO2kaU~NO zOGr(*q;C}$3wh@G&5PAX80a%0x7cpsook=VYY~TsX=AZ%f;A~~?}vI&krd6mn*ns6Mx!I_D579^w<*;14EG;=|q- zNNE{-x7lVep2D^Rex49}4ECvw_sm-qkL_v^G>Up(iKroOAoE#nsB2+F1w{-KN>cg1 zqrWCjuXx!^ybarU9l!gn2E7;2Hhib5(KSgUx&C)G~HVWy`e}U&h)xU1o0a|b1Di4L0 z00Q!|a=+3G?g%>==-&D(mj-Dxzr5^yrX{CTo(@=Di_M4!&K_*$ri+QAR&K>WL|sUtW`D4)cT9uW)e1t9R23?Qt1z-7*Wsu0e zm*$f}%<|p^8XRMv>GjYpP)Lia2THU}{ur%n$75F{mxdm~%>lImC%0nQXAENEEjFLJ z((1wRJF4w#x+?T_g$c^hZjFp=xDiikA?c3IbjwWxP}mT<%bj0i%tf`|yz_{6s^qn8 zfuy5qjX@y5`Pq$@*O#rDxx*)E>vx1+GAz=sq2&bigtvXmf~5r-n5pg!uRYw6J*|5% z%7Z(?t$I)21XY6Kj(A|f;V+^_u1c!42mkT#_;-L1qpJK0^HNQseW6$|_9Vk)p%F&J zeXWoj0@!QxN_r;E3q9hb{g*wy&>v~s84JOrzgk`A+V~6 zNRQ(Y_DX)1%1B}fPRj;Q0yFl*GRaMgO(Iybg8`j)KB+W}2IDUI5HjwwO{mtZVBhir z(lxK~l!c0X-o-&T>e4=%ezeY~V#aP5$-dy!<~WR|pSu{)t->J7L(h~R7bxDf&WNqK zZK?z6FPgDhGk}v$6iE>B1EVxj#xfP~C9e)0w@u@QJ^2Y}!o2q+drjH{n-S#Wc9Bwl z($LEvIUr74$yG~pW#%`6)*caa_~6jaSRALTRcN3b2F6wD`em%n7rYg#Jm|n|K)$YF zH}z(D$|VR=EHzT{Q_&*$Oos-gIaf0@O>72>VzJtIO5+T!@+fs#Q(jKJ4JaZEix%+E zSEX59sAO7uEFiV_fXd*a?!Gq|b+D2?7=9dBj?^cGugxlz1l^WCZ<1jrUjj3vOxCb8 z;;Ri&B1*K9{*^KG-2yPm37U#C&!-hn#wokmyHt<2#B9~x!^HEj@JGww_^?h9pWH4p znji8qTlmuym!KX!>yo7d&qJMXP5QZ<2Ktw6v`Sg;kd)%y%%wNr#mO(lpTzNp^N-Yo zMY~E6{J3nzUJ$cO2R}suw?1T^Fn2h1tS>+4Iu0gk9a;z8I4;#g1PF^ll<~&c;c=)8@QXJrmSKQ&yS59Sl4TYyb%grl9c9qXB@vjst=az6# zu5_jO#OgL^qO^}gY0rGE_nRAMrnWD6aEY0FX5cSi;^Dkm9B#`wl6!nEiqckrXG8p= zTO1niZ@m8rEXRjF6Vbd6fu7-EvFu#gZWkXxHTr#J@@orjVd{%TC(w zC(j7`32-w#BdFRHwuUV_X#5AyXDr8i``Q0Vy?)myGS+`c7SSv=ySd^Q-?T*ZwtdQ5HcnwM0Gd?=69gqQ6r zo^&I6zIjqn>i9<& z(n?_T1ogvF{!7#T;3LkW2o)PZ4Cv!d4qTPSTIc-{l%e}6o+f*U*|ElY{>Fp{SkhSn zP%X}Olo^dW`2o9d48R`$3-A4e?s$T)g80Q+>>7~w7F3ul((jz3#j?=mPOI3sJXiJq zf8?0%DAoplc3>6yP<~{UrSTX8Az_8RwEO}-SCe0UT=7hi$B6~cBzL=QVejTMt_Ku< zB0bOjoNMsdzX7g9iLr}KYJUGSnG2|=&a6))PXRqTk{Cp zQ*(6TO26IOxZXF?izm=}ZvM32l07?YOMs-BX0)FdsQ^E42km{O#*h9~z``CfR%?9r zW$^C225xwmBTvOMfrlZtLe8JSBJ+RY6@$?c(*&kZwA44Or^XB>8qaQ@06k_>xgqhh zH+RuMOs@Blk$k+G5H7Ov=bXaesvm@W9O9Vd%K=7bigz?{5{=8Ug#k z6Q39^(NzP{u-|aI;%48G`{^lJTZ1wW^G2H8gK=g!k4YC;Y?HrcMm=oZzYRH>mG8t*Zpi43o8Kk}E)Pd0;SL1G9E0a%8s2+~2XLI58VfC0rCD*E zOzg-WS>u$eLQuzu)i+MIMi;hH>d5>J=g+@u+#Rl<%jN--n8x$?PjV0qR#D}{_>omc zL*mr08%rGRfmI@D{gI!=uoP1`*zw^<_BqkoC<@cBFlBiYYTNd z>dQI@tASJAu7nkIHWa23yil8HMx17T7(F{@iWf$Caw)qqSopIa0jYE2DKPBq+OB!mG-lC27@&o&=AMsx75~(TqbmFuVeEp9+T*eZ>Ga02ZCOP^ z)$KF$rv+T;!(?LCc=MqI8xUvG5c$>-v{*RNL6bPra-aRm*jolf z%7c`9`*C&kayuivlO3_7%E|bC?c!xo=y+q==E-7iy7aLQNfd?KpUXL9f(EA@TDHAm z&NUgI9Kg~KliTdOaI1j*ra&tf&-}iglNInJ8F^#j-F-L!9Lg*k9_hd(X&n`=WV_`m zPM?KHM9KF1R~^2bOiBP$kwDjWFP~4-HuVnNVhIO5HQc&IO@R>E$ZgOEGj7P}+tWeu zxI;l!R3-E}_Opn>Z5!Ybi6_#RYSf^wbe|apW04+K za$Y^eO64^FZ6XlZc)DLOvFQV+9?#{hZ$WLn3WHdz4VO~YgECWeMjJ`!G{6db#E3g{|<97mV^^lMgbqAZm8($etP<=ny#+`EnGddZ#1R$XKFzv6VUBn=y`KS z9^A+%pzJ^fXNIOIR30EhAt<0*Sn2sI;4)5V_l<&#@8IyxhNTTTsrR94);I!JiZxIj z4C?^jIx|sy^*nYk_Y*y=O((5^_KO@NsZc>>*C^4!QAM=iG}~Z=4IHe!2L#oN)KO?v zV(3k3pn}aIhQVSxVx$Z|PugpJW`CAz1YWtwQ7%j&H|xOEQ;;%)pKa!V$3>MvoXmK6 zn7a#L6D=eBs!|RMPB4Z5jGlEzF3>osq-?Lc+I8Bn#!|+Lmu${7KB9HiF;U5X3j9L% ziB*7>pSmoNam0McF&Bh|;d4qE-Kqp>C&fOa%a#iHk+`#=AAof+ICN2YkGyif=0dviA{M((JVO&3+bSg4=g{6y@q8>CxsN>z;!7_x@Y02#~b-7`PFH_sb@RO;Pc&& zyWY3Gx$f@stMp(01+(eHm_k;yBZEX}1G*?)ix_1V_}>u@pW8#+d^3fZx zvn4tyM;%ks5~+!xfn^2)xJf5cf$RY*B@5yp1`QcwtM~Z1VRG~TqXbZoUYfF!wWN74 zMdC9iPRh2xcPu# zIwXRop6wi+B?Ea_g}MI*t$YHTg8qhq6<=sD5$TIljS9mfuy}cw;UNlsO*ZSR56?}A z+KOZuNsndEmCT)7?$sMpzHROc#v#1MK=OBqTxgYl87*nF>>`XzS>0^7_~w1uC>7ze zD^}$_TT&#QB#(jxix@iD4esPdn^P< za$kBa)>ma~NiQw*12H;7<1Bfae9t%H{CBN|O@};s}f~7VfT2f>o$!;so_OyK)QliWzjd+WxUSmr| zOif0R5VGVa6IYQ>3*F*N1cV0^a-`USow2|QL4~oy^n1`vcxl<4lOE0HQep@{0JvVi70SkdKy30)cPB~5@}H{Xeio_R{J;0pp4sq;sFQC(CtO;SfL z7B^?FF-J8~jsb8bxS(Xv)IxICL|rrF&LEHF2?l{1u3XCX4DftNEpt0l_%FF^2>+Eh z0z~(>L3xX%S{Q3#k^=gnZ=MVn?@JpE0**aabB%)F5FhvD0rq}fxanZ zVEY3KfzN=++@TI>FrcGs`hdBePEk;iK-9FpK2e(E~jn>iv#dB zx?8t}=e=N#c(UVp>jTd5b>-hWnnOo#KT$8$pOU~?Lp$LX_KDe4?RS^lX1WqsCQa8M zb_2yJtc8o>`A6De;aKX%e06+O(qGw&ON!rbCfwVCrBS4))WumAJ;a&`HfmpYFRG^g zpN}U3S1xHoA(rt~vn>;biKkXxk!ZKvIUQ-IBmXKRv@t~x3s5fg?=XbF@(M=@Nu@22 zCwA`9i__79J5D&)l2q+{J$ySEG2z9VoY(Xn^?bfgq5oh$>sUKX$ZAfwXa z$k@%bfTS$s7+&!vbs4bv8d-YBM(#Uzt!tU!qW<^DNK=DP_4CEgVt+c)aQv1Y zyH@j}1^H|wFOlu^%fedztd(a~KA9fhNo_xl$P}QWhCUVEo|+iXQzKp@Qzaox%KDus zs>jl^N%7od)`PNaym-`p>OF!F!UdiVlD*$73o8>!1k19gqzYik-^(Y+JHm56(nBAu zOz~vdw6DC^F%50`T04p+M7Hgc*s$MQZL|7C6A2Ks^N%4@3Q%{QY%yF*=h4&)+o4~U z4O#sr(SO9&2b)27>`BYWsu)51n;p>DQG|~NItwOQ^OMxHX(ee>(7C~9jx=kH7Lj-Y zUP`tnlM=o#Jw}BTwO|)t)kd^z4dPl8e=DX;h>fI3b${r;pvTf7iA@N!-O3kAJvLv; ze)x;jV>TqsRwKYR`p&N&Fxro%?RKgYl9=l(jjI*C=%d!{|BQfw3@3INM3iE)`4nlK z`P0qPzs;yu>B$M3G6vsDJA53Cb;SmKV~+#DH2bdu0l%kFuh@ew8J$3sDC#T@L1{dZ zy_Mv~11Jy%ap4@Q_0O?t)(@#{d^?wXHA(=##{*I1uWdExpi?N_dt!^5(3CBe(?iX* zndY!1e-2*VgkRmRa&k*H)oC4Q9e1Lts0>HhsqyZxoSQI|!tj5v71VB`B#%IF9Tek#lmg%xvdNNfy;IhazmWq>4 z1w!lP=p9Mj0Hh9L66P?8Dlb<5TktxVNIeGIX+tyjW+70k_CKnVq}Hubv9%j1tN5j* z-0f4Gwpu3n?YIl2Nch^vPIPQ!=f>F8m)(avuPwX_bpObj-I&fB975(E^}2~fFg+HP z`)BQG)$#(f;L)K1Dqz!v+s$H8E1OA7&z{v&4Aw*CjD ztQSb1{sK3RuZ97d_(h|5d`!^xd>kmp-DOu)ulCK)Qo@%(=_tW-e(6Vq| zghJ7ymp*KEhf=N5~^-ce;CSqx3>Lb(qi1k37JZ$v>FZWq431tX&Z ziHkS`x`y{)Y4!^!VXhvn))rvhnne00gVKnT$^NVlEN3!eOc=JO{TT~(FF%sr_yh^J z#NYM~KLdumHKZdtb)8}1rGU?h(>08GL`Of9**JHJMg_YV{({z`ObP94MiD9(cFxq5 z(y&^~uUCRXc)Hj+&@ zX;(O&it4pTo>XN(6x)&OH)_VK+?Q@1l9MM=@1W9Dy+3XO{N%LTIjf9)K1ekJp9_XZ zmY~|LF43sRO=}i=BRf`_d{}5sT>VhwUPJz0{suhvnUaH5UWBEd{s~u(bP{3FeT>;Y zE;HgJ^MQu}TvZzYwr{F5O*6J+@yX*O+Tns4(?;Llhey);-1p| z#aNu(!ca=MxSM|aizq?<}$e!20gax{P zrC?K9V?C)+jc*ag80zh747zfAxT<1>{4($zzCsp3fZI{i0o>WK%b7_ii)jDQZm5cR3XlUf>H3D(>=)w;h?9R;X0^utcj$prHDbG z4G|omiI})D;!*Z5q*m;%sgpw-3BxK0q^tYqRF^@3{!p@rx5`vHbQT+d4kHyYo99zZ@AP>paHX9#odbQ!Qa*7VPZw0Cn{TmRV% z?Vx9$yuQe>0kC!1LtOQw zl{?FL`7)vr1s+$a`vVlG;V{O&^oN>m z7p98+0@?ntSmbIF`K(Z*kCzPj2eOj=Rd}WTrj^hFB)eN^%{+SrF9ODG-%t{jq9CrE zOT|S~oXT+$*?sIHR2fs^f&)H}*FBW4fjWVkn`Iuui_EOTW5owSk8?XdNZdf;$$ zjDo}q1{@K3F{Two&V1_BH4jU9{WFxG*v&%wG)--2A=ivDovo8Q)u<#_7GPhFis*0U zb$Ea0=DzUeAY+jFUJVA=5}whnq3HsbxA$rf%H1GDFSbajE^cI6+&zu?nTUq^dO7Ju zr1;f$XHNP54n|x-s@qK18^VqGoC>)C2_4<`gw*GWOOz{ z{;!#|7?Pm=1dtRv@-@kU7~==?Dp_|;Hla6sGKz-Mu6~_I`Xq5!`XWq_+DW|UtANt( zK-zFtWH2Tpj^UBx*%(hCp_jO<3xzpoDqqGROhF1AO0;=>g~6hlUyi~bKtV`i|bW|*FJsmOTEW$ zz+bu~LJ<~-buwYyyQ3!=*f+k(g#5*_P{94XE5C%M-{!W!_n3H`N+6tyTk#e7BDtaQ2%E@T{MPUcouc=lb5Z$&-a922W0P8G#}2JZa?pNF@8*uZe-T-^_7AA>)Aj_N)5N!)0duUqqWX{5<5S zsM+|J88V!w&k7@_rP0xVLsR5)a&KDRkrH!4nCOM&+ax#6}M4e(>%AP!(2nX<3Vi0tl z?{sd&MFgAuC*?24VcAq{-)Zl<3|6wv1&SsPG`AJe&LEzLbL>iD^upydHJaOAT~=WV zGL>PM0*!5Tvr%Wec?6FKDWGYSX#!h6wF|WP?mSY~DSkKJ7;?is7RM_N$@T@P>NRYb zYs`bdCI4^R2GBd@j~ClC@wIiaFq=>&K}T5Y$7zhTm>WZ0miXFlcgAqEFKW@m7 zHk&tfmt_ya{I#*U%xx=t3X>StG)r{AH2b+oQHX$CI@e)Hb6Co(%Qc6^rVDry zicn1=%zb%f4TW8dSlWUNqjblDPyd9tL4FZu%AA z)|7z@ygXwGo}>`l(CnbuduEErRj6eS|Mgm^w6yX6@>*G!9LR)u4n|)4HznR=}C=` zOe3p4us<4$^ca=1w;PaIoraER450TTXPOU`M!laA5ggrH9!mOG2a^2oD4!|`IuTW5 zNqZL~@U|mzC7@R|d&@6{(xDgv2QHlnDf-W#6y#|4Cv~^=B|${Ea+GFMUrDJLKC2Vs>txO8f^a-FZ>MgoqfmY1}QLDe7uDmdWYk>jp*e||`%x5zaze`Zs zXfV4k6Af33d>MY;3DJ1^K9*c%F;7nGYXd3VszoDo4wLzYu%A;4n2+qMC5a;ckWxC7 z)Wg$akxuw)b11pt6ks+2IfY>wWuoTp+>0*--}Nxxl-c=a5J_qriWN8x9YsX{KQZk+ z#1AH~37y<_F9-YPC{^K{&tDSZErA^`=-wXquncP}N)@G2kb61wA1`d|XOV7R6-)<5 za5v4{9+9FNqK23{Kt=177ZEr#hxIoXLMs1faM(i=+Y48L99Fy-msqgOZyk;{) zUiCee7*7Te2cn(XB1#CJAKNJwZ@OmF)fXD#usQh711`H<1kIJ>X{h_(fL9^nrF((= z5^!{D=~2f)H-E$ZOwD(hAel~nJO;QBOWV->P1JNrr0nF>CV@%>X!kK-f?oMzE|@d<#$kft!MnpopP&ULH4g@K4+ zJ+&pmf+WYZ(t;``2(KYhIbN>5p~MYe9jAxP#kO$H;$#2tQO|#cAtFtweXm4C2J9&U z47j>i4Zs@H*I2an?x<3J zbuNDSUTAiPVbn@YDtTT|&wf4YC#|!2w5Ag zE7tzjpkRDXi_d;HM+r(*AUQvAu6&h3c~ZU(v73gPcVjG}w3<|whr7WBcRy(%$+!|W z>(V?GTR@b|W3rUTAdl(&9B+=qRxb3g6iK9-lPzZVm5i^mxv;3neR|R@r`!;;j-_N! zp`cU|>G}mnqlE%TC95n{Gbp8NZT6Ix8`=e7Kwfb^TPu&mH(DKU*vK)FNdZnbuVOgL z4B%e}5K~ao1rX%UN$}iUYzDe} z&Sy_RMAyLY?dx=&XW)!TACy8&X~G8R9yvZpZvWl1o5^c$pDo+fq+TZ5Fg+i1ft~P2 z_z0yy8_)}YjIE?#@?hF(dnMo~Da=#r=oTvC3kX6*UbSx3B463Yp-K56(dzZY(sz-W z_hkhrK+`Q#j7;jgeQ}o+=plAg6bo8|%~4^Mn}8o1DPnC45$jn5qYL}vv)e?FtF8^? zZJO8p9tK~cb(`XC*KZ@v#{4LykaMkI^w=Jzx7$zj!64D*DT>{)T{948{kw;#4+wlq zVOv-I@C^;)@ex0s$B#@F3;qo}CU;-*f6gN0qjdtFj? z937(4$w|$dI67>jTmSWo?PsB6?zjN@>%2;qBqK5Lp6D_OG_7%sPLXai%jsHkA1m_$ zf0M$c<1Bf33gK$#D;hTrKyc=w;$rRgUkER;BVpV{_6&opoIw&4)pylRql9m+!8$V9 z@_3f!xf*|O<`hV@TYpbk46?us;B@0JY`k>ghLkuC%0BuJ#y8z~N1)5HM(|2Cp|8E& zQj@fmJO%hu9b<#bX3>hMj#3b<_;nFwmZ~Cj#rlK7l}Z*6DDZP35Cg%#PqY{DDbQtu zNXeC@A5`J2@e6^aMK++7t*rUc5O5KGsU7e8i{hmgc}nK4P?9KnB=P{&pQjF^E)9fh z!an=iVQW6-4zj9%ie!a`p;R#oekKNK0%q&Y)iWSJI;2^c5f$x$My7Go2~MK5McBQRd7yYgYjCKu>_)00Yg!kO$+9&=yV!iAaRyY7K#aevsEk~j zg*aKXjZNI!5cAqA`4Fzo%3h4rUar9t0$+K!j7f% z>V4oSZ1KAAU85s3+Nfo}(t)Alo4@e=uaccb1^RCKVhY*wmscY_C-E$--hPgk+~gSD zQ49?245!%-uBbU}IkMO$`B>x?=y80>M!fYOmWAFd}|G)xR-d`S;r_* zWOE^8f7y<)hQgdtW-v9P^YEZhey-gw=6(izxrh!|=y$;*m`g(~_-~j)`Nu`jk7w?q zR*zk7gVeG?GlmY8xz5JX@pAnH9qU*YegB;PpsbCCNeY%GHjcIf9w-4b72s8+fej%k zLkd`R-WRE0`?~XIhNnV(gZsuG`n5+@dYZ%uVz^-()N;>Wosx1(<8icG6=mqVQn%%} zB4IQlN`bP+3nnUuDQgBfCxDtRlSCrCmX(#E>8JBbMXI&OScPU3__N-vgMhS2? zaf{RfNXsKcJqt;(=2e>rdMHe#S|F-Y%2yv12aFjd`6wefB5!PSBF4Xd+@Z}}&7LUh&Xx&;ZE^rhnXipiBu}YWWXPm@d zbv(Ztg7iRzFt)ry@wV9w=!ImycFMM};1hRk^l@zGn07_K^U)Z@tTDI#+1q>>hLfBz z=*u%VI17PyH-B;CDk&&Vc1eIdf?q!nj@gKA`1e}NeJfTpON082#f|S{Iru?gn7t_( z>lSiU(HbRF3*OqCN3MFD`k2w;`HI*iLG#m@Uy`*H_pi;FmBXp6lQQC)r~0uAsipw4 zK*kOJZYZtawkBN!&nD^eN6qgXt$auQ+(Zg{LR!RZ1poe!N_f22r|JQ&f+f&J`%daQ6fu30s;s&ANeva0 zVH4pd7e-gWLEPmSEN*g99ZXltD5Iq35r2CtS>^azWhBIutiz!WdvHq_&`%M+(4j*_ z&irJIJ4`8uda?4&2>1F^^uXgh%7j`qss3sk%y99W{>L{=;C$sNR37Ni|3cFmf%^(9 z=XAk9)~`Y)>UJ*`WaM2{$$w~eL>6e4yxI1PltGN;K^kS{e*2%|(jjj5k5P>qe zP@l;3gVLAY@(RLo2kM>2p#`Jz(zsEu)c(Hibpw5^#NL;h+S+6P8C#4gKO#x+DsJ_` z>{;W-vKx4=<#p(LVndd0jophtcwp_j5;EcN05G;*F9o5L!!n7C;9GacvyS|c!)i-- z+VlLz+CvguiSHIcN=8=89nJx>un|g>2L^3(k0z63Dok|?-)r_3nqsEEwou%>JdtwK z1&7#`F2ss&ig+c+01AGBY%RgPG4mFcwK+c}pUG@f48?SZWunPH#&I9d`BdX*dBJZ8 z1W@~Z*e&)a%SvyPMEi*o8j9=ZzaB0d^h^ARA()MSrAOpf zfvg}26az@d^??6h&$;uPam=8qKi6__dJ3}Uo;`<(+5)uy^Q(K#0ig`kUvjjb&G>7T z*1*=WnO<-iQB+E8AASVoA!8PJO7Z2L3Rm4szD>#1i&UHP$hD~zn)oU9x^ksGTke^r zZh#cRbSta#r3ke46O%^FUdwID19xQ?Dmt+jyl5sSn?s?ef&1`UxoTjq+|Qz5XO8*t zufV?-6S+2?0R^}9bJ$EsAh=~69YH?+HGG=-yYYza5yE21@+6^>_|Ir*`P1u>1uJbz zt`DD>eW;nCweIF2!t*I(TWDRBEga?K(UdOeGsA}%z;wfwI~C^?9~;I_W=XHFoY7&b z>?L7Cd*2}L!Zr^*cefqqm1ASXR)B;a{?JIC(ej#v=<7s(GK;%v^Mkv=j~0lVF+0RlaqxtR_0q6T>*>Fi|z>o&lW*{SfzLkF?4r7e`}@_{~MHE4V4HB zC6tTl2kYyaq!p`IqbR#`vpDx<8&zpBQWJh;evKjSNr&^wSAi||9Ye(~s9cIVDv%od z%si!EBJiVJxz&isOV9jj&EyNDHUe9VDjf$-ZZtewx+?5aq?_*@YgP#b^8d!zavB@s zY}VW*uP@i9eRmQ}_ko{gC5$at3xslGmHG{~k?G<+t|HlwVikJg@Q7?|t;?X6Zuut zS*3+}@gR2X`1HjotdK5}B)3sh_yZEx$h;M46FX4?Oh4t2tQ)yUh>p@E2l63!)7u?Dfx$oHf9{QvPP5jA_ztGpL3>9YOX=G zBIxziHY!B1%p-llfiIo%Xa{uDmY#nE_4W)Xr~3!gN9Fkf`B0S@U_P zLmA)cA!H9m3b*ui?VgUBRsi^EYlOG}slRT@s3gnS7R_8dFoWo4d+r|6c`(j5wnAeJ zlEgN0oq}asw5Os}=%&ae^yp8o_Jxsky5W-GA2uOHze?90YRAz>KAF@;sYKoS->&-C z%W>Ra^LAq=tB>8i5O+Y9&5+OR(%S2ZDcVjyp1opqb~pKmmm9YfbIzy(!4UwWNg46h zYt#aN@lonL%7nm7nXtbbS+#yygz)zTcw8Nhi5(*ElodHlBA7@#r$T^_n;@9@bIURt z+1O)z-!2Rsk9VP}KA7D?)cbF9y~tI7d`q~G1iJdMdFjUGO*3a$0LHPgzdm?>z@9?3 z8J9tU8ScsjCLl4aWk!x`CKJG>O)OLf62tq>9^=Jn8e#2X-DtyQFNc6PWTFyDKZ>>b z3o3?#Stqjuk$@hW=@X31jRiXjCh`w1xxIPRGW}>B-W6>pDBP(l*-ei%UHjg`A&{Gl zPN>d~xCs@?%F@P_qY|lq6a5~FI5b<;-9^c*86MoG!b5ljtZR%ecfa{gOg}&&kLaM9 zyW!gMXb` zBG%ljYqNF2`4&XAB^T!^j0eP!Jz}+%cCs7(LA=X8>1GDe{AaTr>w1>SzVi043iP0r z3NPvBAZ(rRouA=knXunD+^k*Y+1J8(S#fP(IXgQLfc zwa*DmQU(dH&A=|Z{+=mWPj=F|HR(}Vp9HR@radho)(5RdQuQ!tmn@$eH;7U>n05I(_utt{u+A0#D#lD3q=*blz1@~qH6>(I$X$c1tmsZ9P8y09+eX-tT{nqj<1a_ z;hB+`Ugn&`FkY&Fqr2157b?g5uSoSa?Mz()uAZfCqZ>m z`0C_9ed@*&{*VZEX;y2hKyk6SUtknsnG<7$UqluOJU6*GRc4g1*ZOgq9@ipI@TLbKkq^nV+M$axpmfcyf`Tx8A58I-k&eWT zKo*ng(0xz-xMiAflOocf4`D;23PN%g))P(RPtii+CAq-d3aB)!cxVXJSyc*;S=aT$ zvL|&v?Jbm0Io0IX!{TJf05QLSa$;O<$we!6qf1+(Cuu(B3_yW5i}SrY9n=Y&$?8x4z31i&iz^h+OfW>IN+riKng2 z7f+7Cu>eqfrA}mkUBWf-*V%|P!I(skttY71v3_sOYsGCP?t^? zZ5l(mGIlWSwc}dIxIFM*V^VK37&pbgyndt^{J(Kc0%tYaB-?E=t#dZc;cg1t>x9GN zkjH4QS*Fban4+H5@Z2h=s&|KCY`>3~N~_3LAYWoEakz>cF^xUF0z?P_Fxhg{mrVI? zS}M}LTd6>L(ZVk7j8d>Gjh3#nBTATGJ1Ze;rK2~`VfUCzfc$Xm7!Oi2H;$ULlB;Lm zV(6)+-Of}oZ&Z>`sC_M^i(PKE$4!o^P@LFHHRWO3y_@&;kW6ZQ$w zx}Y|Pd+uR2;hX5X=His~ZJ}I0`@Ry;wWEzPR4;>MfEf9&;t{fFT}rJ6dyA ze4Xgl4NvVUNk_i3IRoo1C`^!|+%e$lN!2}5nSIMY`QNKQ$%f8Melq-}{E2kK)3ah~ zq*Fo~DWNPb`O)cZ+SPU*ljPN_b#p{FpEH?EHbR^2FcCM1eBet86ECQ2%_`Z9BtuGy z%X>?!*_H@iH8rPpZ z5_r=JF6X9ZlW#Z(u<~nSF%FYr8M?hm65p~_sSIAP(e_H4)VRkTGWSu4h2>;5d;S6s za9%As2=x4Q?Y@Gn_fH_=|0D6Qy1x)Dbi8hFd6pNs$PrAfV)DY;46T)qWomNGSrp9G;raxjFsZ*DSK&i#&nnlENZL`zn3ON`_{mG3F5S%7|Mae z#+z$GeF;@X`*WAF*DDH&06N40Hq6-Hh9rCiwgg@}-l}LpdGdoNdh;z$hxs)yBE5V* zwE0APeMH&nN%z@ASrzg5!jdPB#IQEoo&ZMYYm(YsXdeEzJ>Y&N*oGI&ZN!>#rZm{# z8DX3TD=Bx36KheGA!47UKO?Tr{*59r&Q~(XH?yNm=69qJGNpe72|1je*zxW4jE}Kx ztM}LbWC{S{ipFsquw^fnM9ieJ{TwB&GQK6lf<5_J!(^78zUghRrC9yz@y&ChC3L*+ z&{$R;p`{FK{xjX{b^t^VSk^t(**g7!0?%<8KIwu7E$rs%Vh(tc*W&9Ss)bP2hS_!i|X!g<#^09g3o%twN549UuLqNT(*ZAbSc1ZMBGl` z!S^J5VfYMvGkffOoA)JjOl-4+Fnx*92PZvfpwoda$N%@-`s?2Rqs8=xzPl6kH#Zs| z%Gac(S5Xv3%4-IVNo84W%Im0f*1AS98mJhf-a*SqL)82o5KJ>LwqKnlVtuyH#=ga*XwHmlRVmqpcN-L2R!*YvpJt!Jk4S}ly$ zskw>-^rOzGW0xCgomH?-8SdDLr90D`zqWu!YPdDc-yx!x+(%{6EO!H{+5V}uA>rml zU`SCP#W~yaLge^)wbSKYE!8HIZ^EqEkkDS}RZB>(hA$Awcxv*1{n3v|Ibl075yPrJ z4FxfHDwh~gl)3g9GFQss`AV3|pQZqfqXCl`$uf3w@zU7RBPY&K3`Ha^Chr`!EfWHS zD+9!3D4AaIG3qb`mlWQpSYhFDaE8w1{|2dRAOZOK*zwR8&tC+yh(8kjZWQZ6E~6B~ zPh4Y!mWDCd#*`SI&jx=wV~o+^Jt!$kuD`<2ZB?7DjENh@6UPpMtff%=8BdYOICmbEfl$TVBNdoe^KkrFX?z6 zb^w~U^tzWhL#&(hMX#lq6ym+_$<54D$hjL4gkP-nDoK-}3-QBcRk{~VAQFDf)6qWA zx6Vt$h==KS!QTS*91L^vqLm;XIhHAI@B|C792yD=k+{Mb?XYN;uX@yQjy3hLg1qq} zq6?Dv-ISSv<>|Wm2?n-QU&+8qTRIB>?_C3Ri4FAfT(QejDl#B;LGj$Abb#wnpGxRa zqIS5@RVFS96M=WdlWd}4`ETiWdCuG`?(9>^=Y`pT)TJL{duTLx&d_-?M43KguTFdj z?5Nlggkr!$hDNJPW#T9+q^z0+AM$96y^nub#vq!;gljHQS97<;$B>h+2oN2q3GC?) zA<`2qGC}6KEVVRX%>t#xg+C-`M;Yi63(5hP4o3}F%CdN24Xf}FL>M4Y+Sr~A40{&l zUTp3CmWtBtUU0q5GD$9Ev1aXrB*(hcNXxTEYzSW8PvnY;0G2&u znVlTafrU1^5y=L0=jIF?&|b|}O|uO!C|BsdsmZab#Vd$bU9dwtsx*=_B@pihiZ5(K z;GD!J-O%T7!mqAT(Qn~DfU%%B)iD}o0@TaWL^XYx8doiS6#7hoXI#F}mci+O>lyMk z*?&{}m1?=3mTuRM8wVzT>15?~lLz$jN%RAu7!SCgbcGqF@n_0RlUsh-g7zF9<_*Q9 zX+7&T_I;D$H%llhI0is!(tS+bJThl;^ZPUll53bF@+wm3e2$YsnN7(^&{o4hSR`w{ za4*5~td$m0yy#z7W_^xxzoVR7oWH{``$>MoXk~r`l`AmY-8Vf5rd^`jxT<|depdY| z32<&o)^i8Fq)&KsKupr{EAX=3|1F?Oz3(fz*RH3(h>p4t?6J2ZR?m~!pRgpP|2W2P zuJ10DY6@~aL4uY|d8WH6&4vZA!Cgxt-_%u4%`nNEt_uIEnA5^u%g(jq zA1&Sx10!BeWIxQQE9@$a_^u(8Rf1~5MA~(N5b6a>f6%oXw7ox%hjys zk=u%A_tC9yrSYN%!+$I;?D}O-(6RAZ; z%9Nr~`PQ99Wz|q4B6R^?z2>xx5pz0rDzpYggyYp8uNOEqxUY3fXHF9;zANQ?9)%~bZq&4Apo2Dw~Hb`x`(v7-UN$iQAc@4n#{B~3LeGwkjc zly8K8UMyV(=f4&V7RCX`z5D0y`&8(JGynU7S1zAXKbB|nmFEtAuj`yJoeA^S5ipM zX7%e@*#ExGVHdNo&tI6i_H}f2IdrdufNOKEUNq90uaC*gzepb)J znRW6P?b3-KN>CSwtbe+ZJ3rrlT^Xo8q$$Ma=XeiQp6`Rc*kMY|?~U7iV>4 zNfFNbi2VMNz6;CSDFi(Ru5-q&$Tz){L5FptZ@z^r2>)Ywoq#ly4#I*XgWv zfVXE~g;OlC4Fp%`y=~X%$aw&H4kv5c>v8UoHa~dA(x~R5;q@A=LMVCXXhDC764w3r zuUpuWouH(s{cqH+re@ zU`@*>mT(*Od`ek4G`}mcS~FaKJ)VfH#k+#~1VP^P&cM#zJdi70Ht&C!dC~r&gUe{g ze*N}q=sjOTTbh&ZY*3*2cyS5XV&%@^C!m#2t(t2uZ9P;u`dKb>j4y13u(xWbUlYb@H`Jm`IbB1{E)QFMl%k<)Fz4!PjIC$LnUIt$`|UCU9ADH(9+C2< z=tXfG=r5fm^4Tcd>GB>h{ODg?*TII{U&yO)g5g?qL?BZ;D5jVWHi`>*;DYr-ZXarn zKNVxt$+XU>C#eK5WxQz+3n5*I8!wCv>zTR!IoK!KI*}?DH;&qe#&pO5X5i=O7gwbg zeGfA%t{9Y^F~n)gA_`?}a+YL=C<&f^S)?;MBRx1Zh>1CJd=b-$;`i4hYRY@UTBVbt zW&2%x^qC+!P8kM(I*=*ifTbx2bi5Hs2-X|M(6~2$KGm1wEwW^}4tihLivIy7OCeUn z6i&oETTNmYlCQv3_Mow+9nb6dKP*dtfv!9eLg0Q4LQxM}btxC&I`3YpTztB)EKYWy z<5I><^VW4*H3}QuoFN=eBb{-ImyPO*<%We<9_+*mzctg?VwfJJQ>^HO2TZgCMW9VU zJKGbL4V%E|32wUiu2opE$T_Ob7q%Cjl@g7<=vr0{$O4yz@MF3F3k7_6iV2|Om z8ymKbDq33?i=7@nNhjKpsV1BjT6#+l=Z-F@5Fxee0J(tyi4WxP{;ScF^GID4Me&7G zEJ9By%7$TX&SJ@4ol0mz8CH#qd|IUsH%VMa=bwfL_M-p!Sk?U712@666vCFRe5*wJbpYHZnTsEgxUK^g)n-y-DFC%iVJxt4Zv(&;=#uG^RmzS(fsTiPug8F)J-1b_K7M!#ocd z>c_PM+*>9Bj_?O9?47oJR{AMcpnabn(SWI&+__#-Q2)W2~k7gRh9(4 zra-d; z$e|;1LcHN+*O>eK`ukOzLkMO%1*ix4rX8=7+HL!l(s?odR%wX(Ys0=Tl+ANo$;7?a zP|8+NvK-0@gAGajO_A1IuR^}{tA~1KS@=PUqQyqHE4)RZ+Uj)N&A=W~S-W<%u-_53 zLxN5Yh9g}@`vPfl%u=VUmI#tfb+S^kQGy(q$@@6@%lYtwjs&-(A~aG++IL8COqy6} zoE34Hx7mWI=L!kqS6ol?ASQqE#8RT%*@-7yj?Y*dDzY)`v7$!CRT@%QUA9ES zvu4Jx;~nzcmff2b=`4QCRM-VGBJABh)6;Xk#yVb$1ehU_k}UwTs;>90K&y>CHf%)9 z`h#PArW6#|)uwZHINmp4c)N;}<5HY&e(ryWI9g&WvIKdGW@U8N?166?;Z&zxJfc+fnrSHLF2?b8z8uU6A z#_EGCG-g36yD;~NP^L98+N9eI zG)1sP^nWh1M6&sZqx3&6(A!{Zh@^E^f1#;`C3Tt_Q8CP4+`bqud(0LvZUdytDO4Q{ zd9T~*j}OS1q|aJOK3r7pH`X^jIGA?*<_&nYL4V!o7n7X=)w3-5#Qst__Htl`iHh>m z%=_2x4a%ollYq<3gn?i@xv#yxxu;8you|U(%b{?Ni-fpk6$yHn(TKf<%Df= z)@8JdK=~dqFU+8>N*0C7(byWiOW_@x!D&o4`tPDFiR26h66xes$ruu34}Y|bhhZG) z^Oc_@@v&k%(iF7$C#!`QGmS<77Lo1T<<`{~9C}L@g&TLMKg5AnILEtz(u|bSyCx_9 zO-2t1;;P67Wq3+;OMgrJw`9DqPOH@%DJgjpYdu{kMgycXRQh}IcD zwC;L(K5_sSZtlVCP094?8S@i1(nLC&4sHGtXuiFa6&iX{gpx$%so!P4(a&UO`P6kjZ2eMf7O{mVltQ&8hU5+AZrS78DKrz`f{@ z`cbwd(4f!^=J@9%LIz-E-o5Vtb-^#d$Wv=ofcr7K-myu89!}t_n2pn(=*REbKo#4A z*P34|h|mRzSTFPsvvC&g8^#X^h5Hd7#X+nBoJ&}Z^IfoDB#7;o&2;n)wGtxBba?ZO zV+)Yd7)auPI7W~gg%-=5<=!QCS}5*%`Hg)0MJ339W_*5&L8uHamb@RL#Y88H&k92>)7ubwr3B zt}0q1DBttylwCyP2tE>Cf_P0^lmtBM*&+~+WV-6BHzm~M=71aNPya0d20ZAGAhaMe zT#=U)79vP~crdxyW7TF^1vH9bAk>HC5@KWg&xV3(`J+BCgRK6#+juj*^)0 zlkvBXOR=OAj36(M738>ML^(7v`D*&$x(=@~oG?0&f1UXLR!y`MB~y*Q9Z){6MROBT zYF>{YCNfzPUfydI;vI_q*MJlW=1eX$java8iTHy*cp(}%?k%HF49j6Q$Iim9+mDIm zq6%TN0Qs<9IbT{e2X5;%o5cP{c1Ax99BVvk`~_bOOYqcYS~aanhILwlC!Pb=>v|Qz zyAZ3?-1DF-g_V^PQ}UlDr$pMMSQ%x-aK{mwi^Qe{4R+C+T100Cxd^!Ix|x`#oS|?h9vx z@Lqo+1IiVwKGGLjz=t!MZtZXR*#^V83~}lTJ+irpu^?aa2F`?aPQu@9VE|RSOM43F z6&*y@N|}Cd7DyDODX!E&#+IBm;cn}5k!a>UD@{XzW7Ikh1}|egf&r>{IJN&9lx@EZH_y^;=^B6oQ}Ce>o& z?V1sL=8UELTLPTg(Xw)1{%eSP5blQ}sckGaJ)V^;puS8@g`RdQ!jtC+-v1DX8U6Sd zi@CNl6W9su;-elLl=mmFQ*{RCo;(k1-?DdusI1!$6SXH^p-$_E#uhYruBl6Iu<%~f zsU(bKd~ES^$mdB#vhag5Hz2@hb%{F>HgNl&gHWBfL2O|8V71C(WFy_t3(NGR&kI%v z3tXV9R+CS;5}aWC9^g+h=CE0wbQLF>I3Gx-ZAZHAF?_dMn=!v{%7ia6n%I#tmo^kZRQZ!1-KMXE1C(-p1;W zp4V$5e$ERM3h-MmV8PI#|B{qhK80K->!Qm0 zcUU(xLR##=h3`kCmiRg!6+G|t4%W}?M?QT4+AN$x()(GJ^QqU>aRKi3^xBGKW5X#? zd41Q8-NZ~E@Q-^*md$+9y8Iw{Xdmb;+K>8eQm8`dJe}v`zj+H(bmn(aU?HP6^!-<< zn4llY3s!$|UhCg^Xm|N5znrnrSX}mG%O4GfIWpb^3KFozwRyRaV4Yi^xQcWDa5$%X2oKx6#F_?2J5H~*#aV_(H^|RPK6>~e)RX26=|*R8 zY!)ItNtIFaofD1rVmW42$KSHJ+=^Zw@m_`;@DGn7-`;0G&e-_rhPqNv|M2>h#-fFs zhhRP^b(I`I$SrD*G`+S6g1>-Of-EDY6ON){FhVJ;q6Pa?5s8N5VXhNve7pou>SC!a z?km(SqA;f3g|PCL;0sFw$60{cj2ms@cRkk}3Fv^*mflC-s=vGF*zN zoqvj~s!#9>ANj&JDr+j{y!hsF@9e2C#q7^r&W-JAMofPx4r%rWBuAnP0FH@5v@)+O z@qXz#` z;pZQ7gCb8gR?w_`3s$XJt9Tc++P529pf;TO%pbT71n_gf#9>!s5ZA1|qd+;bX8_Yu zcKi+ubtL{jxaKqb`{m8v<&wZbw3RNdMvB z&@0g`+*|_HS$)Hzq<*KlAT)C+o~!d1N3#(#b6LRMI8BTp52OCVXIC~QuqTS9QSIdR z+gT~&#Bmc3dYiR39F1|3TY1TUUYlH6$hsq$N^OruCd)=$tVSg7nYLk5?kX@ha}iHZ zI8QJI^8OvG(m{$F90eWbRKpce?XEPPjrEj?w+IWnjeuX#? z$?*;OQ}(jP!=0ZLQ`vAJ;ZyQn2$tY0*DiQ;(bD^I@8jZrALRCwS+MViBdI5^LSQ7@ z?*1^a^s0D`^-GqJpDa6U%?FJKC+Z%#G#pbRU0%V1U0)@10rtFoSN()ZBVQ>wE1lRU zAo5uT=)w@XtbZm#uu|0z4~*uGA>+xIR1^BYn4GhtHv;UZ72Q9qT+Z@&b(>q?# zcGSclS~mglN{bdQ$Z7!t2YxCqoDn1l29>*gQgiaevTVshnF<@EY>eMDE9`RiBULMiTmj?-6@AKzZwt}e)1)lVab&##enhjo2U{=)Yo{h=c@W-llJ}|i zF7<|1np0M41^nWyHIopJC3YcetJ)aUWhHtmQfo`R86SQ=ICkAb<^d$srd_+{=tMnB zeAzAp)QhM}Nrj=GD`wSUB6~c#v4BGd0AE8hJAEkgRlLVZgVF4YD|8nj?eWnI8FI+r6LVET59N_p*0GX|qBRX-YkwSp z+heKxwpv{D*`>S?-d&qHE#F1zko852xi?})$^8UV%o8@Cx&|9GkgP=RF&Sit;;nHW zdIXI-Bf{xVrL(ihW%z4Pa*fTj`VE0y_iP&&3EDIjUo#%<|z)yEe zF@Ac|)O!UXVR4GrhWUNo{RO)F=dWh}85wy*t(*#QpK4)$s0i#C(Hkm9Cs3l#^^#w2 zI<+SIsJeh)G`c}la#B{YAE89#NcJ^Y>VTd+UxG(fsjo1p;g8-Hr#k9uG%|^Qc)=oB zWfLK&PkFnqFj{g6jk8(jMZ*fF#r`uQ<`CS&^2T??26*KC-)3eQ0Q2; zvlC5+@e9PST6FPns2qb~I?gN+dk4~KV*|7uqStT}$2+iZUM!;$@@VW4E|kDDr+17P zJdO~fHSW{Z)dwFaAEbhM+QbQ7v)so>Gl0`MWykgjhJ9sp!`CGd8ntq(2H{J+JrN1{ zWZ|6egeWrLp((Q+1-9JT{nxgiJskObvZRjKJEvvAUCk=aH)7c~#qj}^IU*89`R(*! zMp{xH0x*T8Yc{4dK_kJ}CGY$`L8|iPweuQOE#g6R1yOW^!z~4#DdeS8{Fb^t`I&{) zCTKFnT^;kN1AHoukhe&c`KsaxCFc;VGQTp5!BG zkil~9M{zbNTTHw$w?TK_h65Jm!T;Sp1w2*pUQok*reMbUi+*Xc$7egyBSq0EW-RjY zDNRU&1>K`+;O9PQK7f%OxQFKCBS)#%t++cp6u5);us(ToZlIx&|7g07Z54OfT**^H zR_XOTmHD^p?pr*saJn#4O<_GTIYI=DQkq4XT$bL^la$vwP{t2ssCM1ChSEwzf0_h4 z1arNtNzbYiio_FOx`hWS;^9>Bpk~#uBof-b>}jl-e9oh$$zucv5}&TYTqyc`MBgB{ z3?1SYVuPESrtc4gyKJ>}eA_gWQbS%L^keO0JmqlSar)+AObMZec_x0o7VL62m8z~< zHkP^l4g1=d!~&NK9#xfrg($>cw76Pzs?xMN_r@fat}1DICLC2QfzX50;hqLY+fK^N z-J0*r7T7+MU+OrcBi*YEf@@_fG;XfXLCYN=rMBkBQ%h+|$A;*#iOK)wsAa99H`jI< z0LSGbF08AM`3nC7u!~u1o^TGa82SVz1{^-|cTP&fcnhle6UFxdHb!P)EC(S8Kxd=9j!p&+ zA?P^`Eo@JeX=ruj7~Mm&FBpCnD=Wfa_@lMNCMMMV>~{J*#IZ>zf?j zGN_0RDeNavLT}JP4+^Z7FKMy}?DmC4b`SGz36@Uaow@T{7s3sja{M6o>aI)K)Vx(| zNguL-lkSqP;2~^5x`8Ip;W&d#gX}j-8HXcTTZWLGWYFZVn?N$ zY*6-s*W`*}gROyhc84j(Spr29o2>$yNa{Dfyfe$>fgyHngC0#w$v<{0M*KvjGwn8o z`25rkj;)~Z(6-jN1DpDqhibz7?r`{oju@LJiNBZ=so6l00oNSH=>lw&yM`b0QF09F z=Mi>tz{;RG9W^^bGO0;FaL2YKpjlBho=7p>pB--X<{AABl=70PE-&7il-qPYy_szO z@RVVHoWsAVMEvnxaTW;OE47gty^Si_Rj!Y{4%QQdM|DfU+{C3~6W7`D+ z{)2R zV`n|K-xRv;V--099!cA}_%WrXK5fyp7K*S3Z3PP2bem+R8nT#lDO9$p+X7S*ofx*` z296 zO<5z~n>^=9@!p1E~06L z2Qf}7;jj!!CXlxqtFt#5kUbcg@K&ic9S;eIm4KU>SupnPkROBffXFm*nh764B{>cu zc5fSq8QB2LU#U!qm?JPqRUs`4QAS+akmon*4z9v%XMkdZ1ie+JMSqiSKuhwCGip6! z(xuM?Sm|*1tcMQr{OgmaFB2K5!6F3aw!Z09(&}4CvVNwGBB!@^BS2en?G+3I1YDSR zK1^00B8bf?q{;bLR>*%7RZAMhC@y0Eef-a5bJBPJftLi^U)_GCk8}J5uvFP|Z8}vc zt5T^1^=S80m#xfY+e>p4JFiMNW<}8A1r|O7Zjr2JXOAvr5POa>b~*r3Ubz6G=F~+V zFy2^fcvdkuMM5ATARuO8Ffbq>ARsj|IWQnMPX6ir;pS*ZOYY9L@_W%H1D=GK4HW@| zH<_Ma1AK}FcCxUj)`@HxSZ&Fww6df(jsYnp*Z*UtsE)YLE_nStPa89`NbatO3@N|+ zdA(uz|MpcpX=`DsW~IaF&4O)Zp+lm99K##^-yD2%F13X#^aC-&5P46&DU>kXcR^mJ zOals|p1P?7I*qDnAq$3Lh<-7B;8!W|)Im!&fpl&Trnf}o)lGzWoZN?_glBK)IGO9b zHBMi^=-IbR#Z*kwWa!Wz|LlhS_}F`X$4E%<&!({!g-YJZcN&6>!ldg3b*xSRGUhwK zVwRUTi3eiyWPAj*>uM7Mz@dKGGz96}5fbDyAS~^l8&~#@$JB}rJlU6HxEUJJwa6Ru z20F(BsYF~`%4Ec1vM5=aa-J?IS;`@hzIz-R->%xSk4PLx=?6KfQF?Y7n#^}FZCu-~ zclB5yCzK_Cx>$c9iFUce641!YDVuS5Y*gE>SG92OC} zUHckhzFK=)^Kta^=Dmjf6wQbo%SBt-{1$Rt>e;%IB%9`OuS$+ZoDw#7qWpm4J`5L5p-yk;w4LaZmU zZTCMbUyA_KTVIMER?V%~n8^&EpijP#(E))_jk?Y@q?S5FM2N>ucXbZd_jY@YQ*?Ha z8z~}kAgibG3rJUEI8~AL{8^+dFO4NkLT-6YpBO zENY??5|zZb@V?>4XrZHeU+rKPB(MX*YqWRKGM^Z7B_hCq<$cv+%rm{|oXnrpSf>of zxEAvc0E77t1`c%BBbIfBWY-?IA}AZE-M5H{9QgtGcS& znUE!$A`q#)P;OXJUN<2pXBPi_vJeotMTbo<*Z7Sgtyvjdjnm|4Vi;Ykn~gEah~Xxr zzC!q31+}?#Yg+DJWICofVRAx|47!BQLe z=OWoFSY+fAkH`Ot$Ee>*mYY}fKM)2u!BDEZ`fpx)z!xv+zr{e!f(MG$=9J0H3&CX; z5EC4k!LVkK*F2pa%KbE*Za6k=jxZzqf?@uXrzlo=!TK8Ps;Yw^H@dx=;a!GB@=D)= zS+8I1td)cw-T+@CF3#u5V3G60pc?&gCm6u4jc<| z>tNe8y)!SS-h1h!$>%DNS=9lyIf@-c-Q_kErY5Lwd2vdNXNx;%!)Jwy%U;T53i$Rn zv33j1(*yJV2Ek5OK|A!QXZ=#LzIa3wMs`@uLW3|YAXUGwCuAL^$wE_iUr9FEyzf;i zM_F*sSe?$FgSZld`_Dlj`Z9=xqXz}!UT0%k8%BfZ=~Gw0*fYJ&*I7C9(+8=H{dilp z6>sl5^N|ZI4{Qq`h+r)kdoJ%EYn9<7_P?Y=6-cP)Nm^sk{Pp*!$;-p~i!hEXR=x!Cc71Cq<<8e_24Ur3a>h$5U++Dx2u{K}kcb-A*WYFW*t(fVh`~Edm4)@;8 z0t7BjWk4ld6J^+4?E0h{jtLcdCrpp*N7FOkThgWbueSX`{il(nMRuISpXiG|V?dMA zseHz#8MimP;s<&AoIBNdJLh*SNC=#tLWzRW z6<#_agRfa4Bn+8HSL)O!4^S1Rn%~PIHrW1XnO2@GGKP-K7bsSv8Q{NUTD@IAe~5c-BU50`RQQ5(TMkOsBNOs0lg!d7NUTg_fScH5z?Vgcz-WIM;g< zZ4J^(J>QfcLT1-2u<{-!1CN;tPR2MV7xu00nGv;-OfiRgOs`h|cyqFCehs z2WJd5d6L~;BLbXz_UR~dj8WacWOr!JB$5<`EFYMx3_Ywfzu>$7eQFTBcIme9cqY&Vk?1zm*CT_uh( zCZ?^aPEU0EF^&(8$IwO%Z;VB$m)b$x`xg<1cZw3^*FFVOKPF<(4RyN{^CrE^36`1? zp+A_F6jn;?wjr5M1m5RZD;5w?K)v(BmdawhY6;&^`h5ngv#gy%d2ooyk~$YBwsR!Q z^33PhZQ9lKPJCEnPBETkj9}1NiC1g0qn1&C(wDgoD%_`}_5dMfC!?>)DCv@8T^+Fq zL3!wX`x(|vKc;<@vMPCqZjpKiOrRyO0d3W8-A3#!TM2`$*_@UNirBFB`QYw2DM6xa z6|a+CQzu7Z!oeU+k#oA^exXI1bK{*c`+X0K!3$=!{fPPvdODC-Wp(@i7}^V1>$;4P zmRq^)EwLP##W-+S3T5K3A|<2@V}(x@+yeuXj`rnr+?yHhnBc=$8nC7)SqTzCzSG}H zW&LpFWH}`Yc1G;Godvrx4z5PSG)U!Cvx}%kIAhUZRy~_JTzqoKSdYO#;`SjZ9L*v* zEn>DD-*mfuUI8!Y|5BaZs4$nOdy#|g$#3&k_E6g5PHw=hXrKcnsOGwe+@x?iY^z4tgKTDQ3gBUb-4O)0yu;(5GZW^Lk6_5QIS+rb% zb8dLGz&O_=U^DKn3mt&?d862mhKlgJOYWDZg;~Q1X8I9r1%0?c56O;Au3^4UdSaTBRyMF@zel#H zOucC?>7$yR#e)z9;h+9Xgf=M~4lJ%`#k*JUaQgq}^R({`9WAledb8)iSEY3p{q%lyW=7=Jz`I{T%yet<1FmsX;W;qOlt$Fi5VDVrS)C43 zYD4TM(hdaghit?Q?{vIG9(5E{$ytENqrZ~<(Tf_OC)|lkpY}Z-Zj{JwZ&-Wi%0+g@ zre3Tj#tN_`H{%Vl(}^CK$`6rIqpGozs}ZQLgP<5T-(6s^^F_WGpJVgiZ1A{v5%5#l z&b=G(IY2b6^}v!Z%|q2k%UJb#Z*ZhLn+MkNVGOk1;w^NV7=-%Rxa>WfMqdxHbYgbp zaT;iEj7%c^0{^fe)2*As3#i;}#0_Ey5hd^2l5$9^_CtWHH2lHpOFM@e&3}Aw&$Tk& zTW!HpR1aqK{?~&;10J99u$W=VeZF^u(0*E#DxM`GNoIhoeIe`b6TVHWl~)M|C;R(@ zbR4XxdWQ@fEfMyAN&sVezj_`Jl%jE$vDW8NaukJyy-!-MJYs@8CDRUn+Y@zTAxcYC z<&vrb{gfRy5<2gq=5rA{zj~BzUB4po91dLM2Qeomf@K6ydWOCuizhCee*-7X7c za-Y&{C=swFrWyqE7YnH@Fp^Dfpl4gLyU=w1Yp_>?Sc2B?tf!To>d`}~#`JmQfep7! z?F}mz4txWrnoXlS^|Y?p9pMLD-v9P^_Zm07Q+T--RbzjwGc6X7i$gv*lwhsWd953$ zx7zf=Q{)0igW+N&`5#m{d{Tbpp!v%<==8vpRHwlFJ_hmhsx8qe{!1Fpye6}CM6 zlthpUgPDeVLJ5^y2eI<(uS3Z6WX&30ISY$s41K^5FWucDB zgHrdU5aQn}@m{9OTc)mF?i{>JWKySr^27Ub)WC_kAmL5!l$3rJHfzH-<~)~DKZ!*K zG|B2D_sm`sfBl<83K(b}N8eYGC1VLiBQD7mW0hIBA0$<>=&ho&1TQUn4D^Db#8!67 zPE074Dg1Jrp=JrhUjpLxw8Z8Yyva>re>3vn?qOmh=J`~+ciqYPRV%xYFN<8B=R6gj z`KZY;-ti8Bf^@epO`Slr_OClz7$_qOhC3g?c!hn~NvAMo*_fdOgx6L%jiM;Z%Q*RU zE$qYjOr@b9xg+Ojg$fgjD7ASRx_@WTp#~;&Iz}X+LEo!rMs53Nq&B9KQEb9e{Yj_T zQ2RZmuZ?)L|}ccexN%VJQiU9@StN$)qq{s zlhy*Ki#?Gk>HJyeA0}Aa8il2hei(Es4Ko{H&&rSxxI}6ZF8%(uJnJVzIX3BR!k+Pd zv3NY8=LE|pR+es#a)-hI=MU-{`nGIjy8Y5g^24b5>G4+;g72FQLO3sYWL|8WE68Bi zUJf2g+y4qcXqW_ImzeeL&!q?5_DTLt6ph$~x4luXvHv}*hlOJ;IDeA3YT-d+yChtH zY(axkV8Dit5(JuoiD+;=0kY;4@zAamd-V*LC?_xAM7fl>DXC4!fFXQ}*L+u`$Y2T4 zJ~b!>vr8z>v7;oa{6#dXv!t0ZgQi9i%ledXlm%l&hB4}9v%G_3$--B>a`P#NO{U}- z6{}XyqIdozBUXexM|39h{)Z?kK=?o?@((!MtH`3clyT3_34QZkafA$J<{UjX+ z4_a|GlE41bcO3)YHxMOZiL2y8UluzBka|K$Xz{&gYj43_T`xEc;{8P0~%DnbxNc{F+*i8O$L0ibGCZ zS=*~7wCB0QKV*aNud;Y16&hG%Ce5F}h4}qJoj#otF3r?I4t)67zIIE@3Cmra@Zs)B zKmt&8O)Idu;%(?9XT8P6A|--NLPv0kKTyBUxJtkGQfG`xzR8`gXcaVL>2#=j#)jrz zirI;vNDgT9CVt$xd6)9TYSv+CKRc{^26d0i)VtnJ%8OH{U?=OBlibyf=Fha(VZSuH zXZxA0%n6_MJbtMzE|ZZiOU8;&_owil>h+v;uYxs>gz1?t6D%VSb2rY>fe0F6^!;k` zHVp3oFU`WxDqfqgjc*^^lFmhbPzIK@>#M$I^Anpd*K|!ELS6dd5x6p$jY4O=w#l9H8vSoe*pQD?^XiB1< z2{}IwnvR!8kA!lSkCw=*UYTk4dXqP>LruMd`)!etEuQBa)?w_An0LEQ{bem)9}v&`CVF25n~z9}qE>mZmG z$Lyaq&hE->!tI8DNtrzR9C|Ln9$ycpkCSjfCM-4Phs+^tdBy|6{~H_F0Y>kXyUAgt zk3X7*_E{LEj#(%iwhM6Xe3B=WaIQ$WUi1@_BA9I|L0~oX`U-h*6NBR^a$`ugYXtP-Xf(D=b83dtw9oMM$Zp&y`dKM&ozGDR;*DD47p+2|?mPh(R~1@=P|B zY5KqHhqsm#s7(vxEG%DWF^D*Lk9T0d`<6QoEgk0Q=Q}xI*|aoipW9=cGO0*DI)V$% z%Q&vQXlEl1^?oy&JeIh`J-MVm|8b)BzM;oduMK(rJ@zLwFFv7#;UtlaZeQhF1uz6@2@D7>2jtTZ1oA!3;tnBf2t#4$t8K$Y?S7HXKFrhS&EN()-{WNxM@k4rD78)<6GeEp#8mQ9`NZru}mOK>q1w z9koVnH-X@O+C8ahW6J>{X$(}kgO(B4hSa0t$raGUjQJn}NdikrmP;RH^V|4HD@)(X zDASPTlDafw7#wbA0U{s_8vzHQBVm}iM{adffZ0}G$F;OH9MbNth98`u6?inyjFB(L-`KKvSE zBzK}DIc-6hN+vpTr)txy4A!Je;&IaU?APDn=z`zau=50kpNF{O+95TtlD>Lf=o-wf z3m-j_QS^*D73cP6`3t|Giv8A+lb-1R)*H#;#wkMbXS433rcvsW()etE@^#>!C%>UVJ zWdXj(#27ljRzFL^dGX{9mkSAp*6w$dsZf5U{Aw85K-GUk^lcMx{4DlPc;3xmc7ZC| z@PB2a0s`;{*6=J;E@k`Ijkg>Vd6Y7KF}`k`yCg3dQ3nb{&IYr=_1KSbi4eTkc?4}C z8ZDN?A@7(DeF1(tcCPyNx@CjJHMz*kpSCDSP`2@a=vMi+WEF^MMXGCFTDVH2xVr@ID zN|zUU#HCT?J^|+>=O4J)=H@;9GVKC7&BT4?k6YXKMSHqGXTRh^iPGQwRm<;=5fJ?9+GXy{XYqN;uG2szMRs*ji0a#%^sqM0I07 z2xDU{ z6jBFNQj4A1RP^>wJ;)R*LPXVYrwyb=xCVM+e!fG`*kD{fM@W`Y{qW{uvo)DiL5Ly; za@}=HeiFfr{fLODObvP!5{4^xfgn#QK9)QuX9A|8A`@;`V+a1q6z4FVDg}KMq-<^2 z?9hOIay}z$jphB%faN=MvzeFGir`5N_na%d;%XH?1)eEstePklKkBOH`L%kiI2lE2 z$a<96)9T=*M-f>a0+<*+Lv8(eZ>$h8Pi7~+ z3^2U}()g^f*5=AQh+8qBOEr;-|A8}{95*2Q!t32+(B^9f0R1OF6%Q2~P!r9(Z7xl|xY7D3MoKMOqWZv}LAq=h?lS zcQmDOGd6^ohK#VlcSm$A!mtQcb@DD7mF|VZUKa!6E85ul4?cGSrZSm6LLQBm=_0#$ zlXZ8?vlXZY-zdW&W`3Cyi@(up-xF2zHx<{_Q&rJ;?oz%)LewOQh7p~95E;KKTeGH{ zN_VDk5n8{Lx(LdMdcQrC=bp40h;Ona4DAui03?kS^)f>LzX5_z4?`9nkhOzrF5Z#O z1A;R0s=P)8V)>uq8!_wnjs%t_a({AE@6!!}d_>Vjpo&D#I`p>2De*!~$_D?L5$mez z{eT5H^U6pTd{H2DG2Q;YQSH>nXOzA`d?_wr?pMt$w;UkDQFF;pF}}YCqccp$7)*Ht ziK*8E;@JHVt#F-3Uwc<6RL6Fnk_8%zGQ6!P>3UmG<{{(}-b6$Qd;jskt>URy1O7PH z{bl`$tJB)&rqNC;Y?U(ol!R8}vcsn1DQU^*a6`Mg&FJ;5ND97DKKXB7G-IH-6L(~l^M~TBis8ND0Ke|KHnLLZSRzD!O4nTx- zbtRIFZb3T(hesvG7x$Td*S%sW!PtTuSeMjZgC-H}^4e@o!TZoU1mV}j>my;4LAd=dr0_i@D!NZ4bl%56gRWJVSjiaG^zosB}n>+;{^%^YQ_}ka-DWUfj5wDWdPfOiH4HHD9(ts(W62@ zAa{vn)A>)z8?s*Z?K5<@Fec4K3NY5NlJ?*$(8eR zub0zaR4goqog-_5WWk`<;mxu+P4uk0$V<>*WkZJ{TDda7qqu7t^(4N$&~9dOZHq;x z{xpUUk#1~p%G>t<8bIa0R6?9}RkSKn5dijK0m|y!u~=^Ri$P1^6E7{b(cfqlr0|#4 z-)8_zqVXkocoX#z7>`pj*(eCB*Y`O$DTEBE4WF)tS|4mjVFWHu$7x9+L#w?ph$G95 zcgRacSA>W7@0^ZpVEtStgmnPdLK8I7Qw!P% zQ||hALPR?l#1Jt4SMKsF6yu^w{<;LUE(sPgokxd~#FMF4nLazABzgmg< z|0E^MG%AqYl|5d1It4t_MqM4h*>OdpT(j6^Z*gN(!XlUJElohTY1XzWqQXILk>5@6 zXq}uy8Y@U-+I&1Jvw?@6$Y|+*Bwu@6xDV2~JwC%zl+F-hwgO`Z@@CP}HYyZl^UAgp zEQ3^SeJoOYi=|P~lQp*P53?;N0BRG1(QF;5vG73rx(0vfb|b1_mrRiVk*p8h0yo3N z!Mk>s!pB7hCR~beF|$Q?@#|TioPtN?et6W?E8Nf;WG7M_uOW{KTvOa&Dx|HeOx{ec z`|tH#algiA4ok9m*Dz{52s;k4+NPfp9i5Xp8fY-~sIeNB-Zp2wCi@o5lY5$nZ_lM| zf%;hE`3E~4S0d*6lE9`(!mm8Yky0COo8^ttc!mSOn-=TT?@LtO#6x8>MR`Nx0^j6$ z!jMfMDD^aJdHCz70eeu{I97Ju&Fl=)|3y)K_MP`Z#hV8bDhh?|ES|~`C;>qI6m@IX zpI=5R;Ot^Zl64BF+oPE_rko`#|FzQL>k#dOPC@o*;b?sgjRP!NV5Y?7{000w_Vcg4lY6 z2WUcTr0O{rpShsS662Gf#S-!ARB_U31AYa*0m{{iL>2Rd4;9QO#1Z8UnEFCAR;VNV z@sMn$PVImDLk?La!-(IT&hXJP+@9LbnZHicZHO#;Dxku-Db|S=^q~8Xf|@nz zH=Z81mBhdF`ua8~0H8Nz$bwMkWjWVVnZ6qdf?_V7YXLStM}5}qyyhb^JxSQ5AD zSYYR=;`sI4UK2uWI6~Q{wXTe*cSPFWx8YWs)~vT+4jckwk+iNYZ2!Q>q>sNG`=sMOFrV!$Y#(vH7YdjM>n;nUnoEv3R#XOw1q9i5l$2Y zQxh%Pr1DR9-zKX)bW}01qZxWAFrkSfF3^pzM>7Ez{g3e;eYoLL!!P+A3ysd1e#U%` zPmTxI>2-#qI%Iy&Ttp@JIDUDb47~&X%73Rs;4R{MdV!oS={8?l^4Q9ADs1c-A=b!{ zLk&0sUlQjvrMY@26kB-Mo~o@o@$t--F-`7V+2783i95`5;atT_^!sD`lgKtmoth_h zswPnEs#A=LaI^xwg?e_D(2dA7H-bETLrg8BXgt95sQ<$U%B{g_kkH(@$|h$V0HuQJX3=Qv*+H~demubd6f|AaWa2EKssjcX^= zXj!|PJFP0FIpv&HH#I|9(VZX5A0O#YLZ_G$QY5}yS}xdEP6b!XI+0}U-9Q7zdWzs&c}++xMNCndjHP%Oy} z$?Z<9BQ{Q?x%t4R6*rGnakQARclJ6B>Q#`kUz<>rSG?3WeDqT{gx>De83)R$;N_VD zG|;!(B;V)TTI4}k0>);XI!eCiEkwoa1sFUMA~RTfyn~Z8ML)`*D?sU^C`bk5BEBA^ z&TDL9x6RpVyM+^H$GDV=&8ohKZd#NC9=r|sE$cJ86pR_y?}M(W?0k%xE4G%UzS zT$@pTtiQ)t83HFZ2f79As+R#N31@>YPu-K|y@aBJ-20zlHxut-?9KfeR=V5U1QEC^ zSgGMee1(6?8$qw!UR3?egZEE{%NNGK1LhV9HTz#BwqgnH{H`i#wy)iYfja#`0w>eF zeTJ1o(T=BPL_{*7`G~t7c@K;$^oE#jFV3pHbplrWqn4^1J2I6&&={{sno!(~N)X&- zPi#CjLLu+>DmJFmU2066bihpkG*>m^gRavmqO6|wDGoQ3h9xJZl;)Zygu=M7CP$9Dk{G*hs9^mT#Pv1+VazRlO``)$fz z0O@g2z&Th^;K81EI{3*@^Zu8Pqr_GOBnqE1^En#?JXTN&OE^S)n@yggYMugWVU1;i zy-=49$a)(S4r3Ho#7LPQ7w^0N2`I>$+52EyP| zJ~17T8Aj6|CdHVz85V!fj19OAZS_pxCl@{1wg?E>s&jZ_Crn*!Eg>+tl|$+yze}Q} z2h732@uP)n#ah>LQe^b`JQ&c@Y+E${MrBnY;a7boooaJL^4_^)(mhO}64-Y*=2j!j-^L*&jn)K~-w)1(;8qP$PB-%OG zMQB&2dV0%_FgAa(C30>5m`Ro*Zpv*cRjiSB6}`EzyN<6f|2h8U5`eckRV)_Hem0?B z;kCq%nC&tm7(RD4&cFE01qBs*BYl>5anDV&J)dLwzU5du;qn+LaFcU{Y2nbZvE?L) zz76>z=7c$u8UPJ76m?uLiE`x6J*Jf2X9>I+3c!6@Y9Ln(2+y_G0?2KBKU!q{_ic+G zYee25Zj71-zy^^g{FgKJgMqQHG)czMOS{P+P3fCU`u;>`U{TbaiBPCksqhiX$2g$B z8vyp04uCMhSs4eBr$eBoGa{|mlk!FJ!+;+A4n9|D{mI{A2h_5zsm{LEfDqmlt(kn4W zcc-#M+j9?MEkRj{IjD&?MCMoyl^QKVAR0@8dS_O2HFTxi3i@jR@t>!1Bl7O#DZ!@Z zpph~;_fbyV%>G6F zgC>z@aMqM~afSLQM2PFA>#NZ=9XvE4@ zW3M+`M8sQys$tlP3M}XdGFE?uoZrFt_gUcDB5H(7m%k*I5aUEAM%8n~xXJf`@Ik7t zQ&6%E$uIe&_{t||$fFPf!@Cm}+e&+1G1rXhF084&ydmKS`iHmg$m{=MnEthh0gIrV zk%fYcI;KL1^LctR4?h)B{@`AQN+J+Ml=d@`ECEYTD)*{(nS0z+*r}ig9KtZO8;z18 zV6ckd$`qi=YB}s;#&q&EsMn%bQy{2$c)`JLVt7RU{+L1@dXU~$+NqIY{wRQMwkotX*(QKhWIl^!#Y3$({8QCq6woILD>p2_e z{P=+F=-k(&iy42QA5JB`pKm?c8fR6H4DnH8I8O)FDgz$-D&MrxS7d6niaYsk15dfM z>^`-qx~n`%{KY;~H~F?AD>7n-Z@}hi%qOfGWHYBTz9F$F4*Pse)Mr4!v#O2D2WY8_ zqTGX!W5NeOvGvU~s{7I#&Snt2SH!XF-v&mCy9LJKS+#%yH=T~SCP^$4X(j|&$jKpT zu3fg~J7MA>oSj?&D_vV3LeK+Sf1mmM1ydPdd&#L{ZJ|}H_Sm%y5};&4Q~BNBJ#%u~ z6hqbuvHe82)kh-V?)b?ClWRz^Np$(pq$`@6xcUJTJq>3VRPFzBO*w+bPFS~j#;&1}7)H(cMf@_!y zn1iGJSxUVoPmLPV2V0|$qCPgyAT=kl?#J2yGx1-UZ#Dj6Ai}7CJ{5y~L&c_a3rM|P z$X)6i!?UF{W9+o=v zI_jvHkGNav)vMkX_b`yZ$rbx%4;wX>A&dmGMy|f0ysc<;)($stS#`$`Nu`UQG;wY4 z6FUVv??L$BLCh*=4_p5uArWGaINtu7J9V&LFy^xKl8v+n4hD0oxAd4>LlgyQ;fzN4 zb=qN=zE~i;r9qG>UJKG9_Cg^Ko+DF4tjcx{4Nl%op6n%9|F+E)Py?NSMB(jr7(_2F zlE-=Wbl?J%5w=t*(>S40IWzZ-n1K}sWk}cR5>|Sae*CMwt{K@UD%$~3!(|Af#9`z@ z);ZYwsh6N7IKOM(IL`IRK*t3m%j2B$YP6kD1`eBM{sx_*pLrRM7NUi2t@|aCDXZKT zK0?nQ$m-*3g!`QOpX8k80kc+6-pI@brmzj-$FvR%YJ=Gqj>feziStr!q1xKKXRrNA zx@PXs;~NUtz&U8{%o|$mh??1U0m8uc{JNTwvf4a=& z0xtYakf^I8nT6Shn@@E6x)P@M9}!env@z-CuF#$B;~%k9Z@UOi(8R61{A-_5hj_2G zyFoGt^RgUd6r7x5U}m2-PE6m70Y3oinV##T?x0@#ppLGJM$tj6c}U{)+wufr-Z<9B zIZmv*aiQoxu;e}ga_8YuGDhg*5HHpiZ=C-qb%kZley3QN)|T93ShbsHa&uf69*Btrt`>Mm|}9RQ$s=O zm}Z9L_fTEb!&;u`lH#6Ay1+w(y5~=vSI#;(#sJgUmjECgcuvUE%6WZ*t{Do9tgy<~ zyK_7o%0U47>^dzTt6(w!mossCZFbXY!Uub<@iF!)FPoG@M@|E*f*bmwn4;RT=8I}3 zBb-FNx(4H|BZ)cN@adA@)821F{jl8|?rN14V3nY&B^23s*6)sYu(JYmUzC@C8db$Y zIUQU*K=+X%LYmGHHW1ZOq3ae!u+Io0(@L+q636M*$LYb3fnzOqb}YisS2ZXjRrk&t3qZ+l%VGIqn-da5Qh&R2j3T#!JmhiqJ7& zi050-Ru9LjT)J37UH;P=Kt$h(&lT8h)>#SM+?lZuR$@GPRiMBCMQJha;PDMxR*#I*OEHO@0o(g=1QekwF z@ly+=MXT{|`z|N{;`Jv0e;DE44V`}49jfMbwTOBkrD&aUOj7};g|QnkFB8o@HD8`J zxeE$Jw2KPoOX1qrabAH_FQx95pZ9xwWdS?NW$HP+WdYIqP%^UN;WY=-oWm^dgaK}R zh{CJ*u-McFfyh@4#u`QHl+lP+*^R^(vNUxTK<+6PZAK8jSXJ!MQv%bpa6yJ?H5c0{ zYT5O^eK*5)hrclTb{0jBAIJ~FDV>y7RHpItdyMw$>}eCb zw@rkr1i#RN{UQpO{pF=K`gPRon$Nl$9Ug~Sp?+57r72po3PRtM=NVSFI#~~py2(cM zM4v*Kc6JL)9{tRg;=U7miRn3ZUn1Dp3{cj;7u2|N%f^5Ys5YZ8W#cWe6R+la=~JE? z8;j^uNI4j^G(8={eBpTaTDYnwl;XtALX=XD%VZ>_9y^2y?e%>6*cPkaV`I zuU-GClXLYwL(MxccF(P_AwzMz%L>sCs*1xCzN}JzuZ#$+Fc0fd5S&BRUAbuv^uA0> z7`3;JVIwsI6MI<>=D0=PF&eRc5ai8Sz1jRfMoIS^lfrEop6K=vXX3yoBChmL!Gh#b#fk1 zIv&>A_Zqm}=o#saGe5GB%rnrc%U~;s=~^g{=z6v15YV#DNmo31skkSr%~-Xjs0w{J zPbXY_>}H*L!3)w{@9Zh^kaA72cIl?aV|Hf&gd^gI5y@oX)v*Vnpu;V$Wa)q zq-9B>hX=#*8^WK(xAU&S>976zClUg>uzwx$!J_wQ9>H8+qb~hTm8uRf5zfreBzDLx zj?xdP;iM-}F_n23)YMd_{Z_ny;5{PTGsU#J1?TZ4 zz29hRvjr)p4`zcgT~;(dlW27vS?#$RKfDM^q3BT=hEnveXWS+wEbNkq*6ys9I~S0= z-SoCjCN1o4mGCBF=d&Fy^=b=i%s{3`0C( z0v0=UpeOB8AMVPxt$VxbLGvYL^7=GgCY`j#7&qXl&=^oeaXfKYbE!rrso3@UEy=6V zbd>+gLS{6a<=d)kApF0@<(q!hE-p?_L}Y1nvj?hrT2Bu6skLdQlt_ivBqHaRA9Ir8 zl|I7gSuW@4LYK_SXgk*p{Bzt9vy3QeGcxF)X1SXkA2z7wsWa#8{%_$!fQ%{doMam- z+xQ`2OVNx-uxe?n-boNWMBsFHy$T?m9zc3UoU)pwalEq z4h*iWy}507cp^ja_71he?IM=jzxKxX>g2>t2}g_{KrPhGIB5?KFYVL;%bz0N5Q+Zh zdyuLf@4V{~`-%|`9_)A4HF%MGVL+S>MTyDqGpNPJR_%_xk`IQ24jApvtO?X)tIq#! z(5&=-xaG%4arA&~s6OW7HZmH~qBAr(z&Gbb*~<>9xD&3-J&~V#Gvw*Dnq?8cfdUD8 z`ObwWgcUyCm&+tIISUj` zPCxG0NU5!ZS#B+CaUr{vJ7e@twir9Jd@nbFBo80#c}n-wAEf#4CUU@{Xga9l<#og* zk;J}z4ET8^JX);AAz_y(HrrIsfK^EnCy^mjyI#mEs)TfOEHep&9PgzRDjdjD7uXVVjNme zm=s4sf-k9gjg&qS%_nn&j_=Q9VD--%Vdb+4ItEo!;Q5OOFehkg3VetwXogJAe;*;P8A9a9ekK7SAyhZp zn)?s0El=c!0%8j{9@VG_$B+llS8h6&79pU6=fIUn3SH!GRCXpVb@slity=}M9-@Wk z$24@X?hpyaQ-9+z}OMfyKDggX1{HrM(&Fwl;(zNEwQjJixjjD>|)ciCm+ zWDf+(v)&usm3nvO3|u>Hbak_$qXy%=1o!DLX=$$B`rsMxXv(3{LLkb}7G?P{Q)(PDo z5?tpV)n^}t0(E1g2CWux7ldvw9V{S}I19$2p52+rMm0aTTRhkpxxi`xbKyN9Mx{pG zV&5fmY06f_L*h3buTi}B?BcADo?OLq_=Wqt7|;DzB_e#HN=W|T!V>BEWO&PVw$&pVpx%nnqV3bp9f_|j zUPtMOHK&}bX2tDR+##)q>S9O^;u@+NP9*x66FdCL>A`#k9lpXYG|ADNk!N}7cxy&$ zqm8_DTDXJjOA5r#TqF;n?`7tz7Vy}>3C}^e(){^LKAJr*vRlH?t;{xQ_K*nty@L;6Dy8n*767-S%kFc_eS9r!(|>x#F%-CMB0ivsK)Q%fv`H zzd#}Yni(U(PVgDESO(f(}^Gao{4Hrn3@s0j<*xC?^~5EKzP&WjX?-sA7CWFqYH z&`hPptt&Zsl-4opOXC*1okRro!f1i|*W!qcGP?n#*D%U7H%E$Y2*2>~wUqR%}h`V!r*Fkv9iI4W#uw)BjWfETbWQLpb z&>J+MIs#SgoyZbY_5ni}*Yn8MAXW}cHJk;s78S_u7b_bdX4s_qZ5wo+H?~3+cs$+x zDfP8KO5QN$+-pAE;iDH%B^p^Vu2a>x#!r@fb4Vq9gjg$AuaA zz8JEDQe0~9Cbm{Qg7Y`X<@TXS#ZF4~_{HSI`X=JgL_vCz%cFa-iDT#nQc#akG+yEm z)YVWLEX>8n7gp5zdEYDl)buHmz@96(>E%B3Zj#s8!`w})IR3cT4Sz6KqSvcN`!1$X zs8iAW@-&VkMFy)4ugxY7zL=?H=@KJg+8edsG6b1~(_P2oF;HIMf#c;a=BqlB4vb!N z?)Lyy`W%FqpD)qU!GL)+7lmh5vVpw8%dgz@uqNK07Ce8;PjtK929IVuh@6eRo4cVX zzdPR;2Uqv$G6R`E-Vw=ybe=X0*$3#u0gQ0+W7(~TORIlK8~kx)#_W%B1vx2RrS_=1 z9|9?Hvah#Qq5)xNw2h-w1QD~;|8yz#)9Q@%#JsiTHJq<|w7zd|{6(64Sn8Tr+^@&e z(I+XVS@KRIv^Cn3$5@U0MNnk*FgG|oA7C){hgsm5?beXd0FIeC`(lcddSA`t2p%M& z-T=8$k049~Wlk+Q?T} zm04OtZ9%HxImoWm0g41BTb!{<=Ptgm8S$qPl43~byZK2G-}(McQ_kP&PkzWNpzy>* zm$E6Mrc?sOLTosAfR%93jo+5u&F{-d=NVig@nkZ{NtV&O6;zY}z>s2su5HeK^F^mM zIIPM~fMV~T=4S2~4=;hZc_Qnw$No@Cjv}+T2r7vBt+Dc!=Fcxr`t|lTLXYN2jXB$i z=}s?;_b#_#RXqZ8jWXWepQn~?Z@LNc6;2}85a2SI(<+0aBidDt>?p;7+&}I)wCQ;6 zfk!3LtEO3-PLXDP@%0aw=a#+2OrGJLzjJ-+N62No%n;b@bIWTPiyZ851bBlK&?LdI z$P(tfZ!vO(DpsOPT3)+3iEN#_QPEF~Ue?)sDes9ak$^MoJNf)Uh132WbOp?K5zZ7C z&AaA?5?x2dOs_sEuNy3;!;42Y5)r!d!Z&kw^@!GD%q|tRvJZmE+=Vk|P;l6dJX%vH zz;LBk@nsf7 zS9dNnvNS&ebS~tY4iwPbE})N45nVyi)=pHHQnT5>!TBJC{tyVx)4$U>tMaO7R6`T} z$JEAupJV)Im!^T`a~kAbPfzS}nDC?3f)U#eHw~@}oz#}vD)rVvimtIKq!_S53`RGT z;L@BjvvUbKne|_?v}d6Xkk`SoT2Quzg+&RaEU004wBNY*+gt00#+%`VrlRiQ@g-BMk#;EAsrGFr-IY~H|m)(RrtUI|jQ$+7=OUpy6y&Ue_EEE9A$y0;@nhG>JV9)hZZu(8(Y;Y&&iDaQ-4jo-|F zUSDxN&W)_*cs&Y;(WeT_2xfQ26nXM;lY>J2A@Mgc6swUh!Mv`Y%J)T*kN!dg8CHn2 z9NnlMI<}VLmOpGn?ujX=26(Skt%cvcxmtl(cqngG8?gkQqA&vPQrX?Xip4_GwC z$(@=E;bWtFK}^*VvR&fN+*8>Ck4l?lQU=nsVUQ$Sohes<7#8z-F*7<5`v7(u9x|nH zFh*^J4a#xWdII9O8Lzj%RVLHZi%k9v&J+QdL^bCvwSI|Fw`Nxm(fwNFYGEW z&s*6Sxc!EGUt`-IS6$)(5j`UZ-$JjCsXcuO&JLM9U**%k39l#oGX~Ckfz!8v;uQbt z?!l_FGQS|OvYRsmHPtlxer0z->QOQ&hL%Aga^gwV6OI$Y3Oip&!eE!obeE%_FH@0> zcyA|(D5D4bG!l)*y-VUVL!SPRB<;x9MDBN%I|xDJ)mdM3wmvD8F=$}vgs!n9UO+0a zjNOi^g=tH`4JF330%(X&UpXzu!AOkAPM(5DJk)hU`G=S9aZ=!|Q3G`4P0i`r`E8Eb zBL1c&Nqz5!|ze6LL{#Vqjktv8AF82enu=fvz|SXq^m6{R$=RsucbBb z;HX28O|X?f1jz%EI)G3I;RpDY-&%7ygJ?4*uXW43php>1euQr7)+d1i* zX|#IvR04ah6EH+jKC3*O3@aEg7j2?jxpC&pY-~y#Mv}avUAfQYFp%d1Xvg+>AfpSd zKT{|(ygzz0;w}^dFglCZhW$N3=#4NH^-!U~h(;UY?~KojB!tZ>bj>#@N&i|Kib;!r z|G@w~AH8E1G~0sJzj2GPuhSAGRVr$3f2ZuOQnGa%;IK3U)eS!BVi`2|h;ic~HxUUN zf@3jvhP$WhdP!MLWQf&X7Gx(6#pd=xxAC4t!@7#Mu#Lutd)b!sEUZ3gyfhUqJTp7M z-?rt%tZf5yE1B*;32r=Ai=7KMSpQ(??9H*O$F6w8X#Alcppjam+pa9Sa=UDp+$AVL z9(C?o@@04|%iN2pZq_eMDy+v#Nr6+hV($B}YjhRnHz%)dgLP@43#-x5dLD7Z6{WI2 z>RtmJ-PDu^qJvigh%D~NNp`BXPC@h?#R@+^Cz}~mNgbA8cBgUrP}p(2ehY@trcSWx z9nG6QgIqRZp7|UEm=+XC5?Ivmx2`H6=dVci4vT;kk>cjX&pcaa9pV^bFSs^a+!2aN zx-Nl!uW0qUHc)S67ZG$^SvM1~RfhzVe z8EceUw4K8gte^29V@~cvdZv{OC0P zvqGxyc1&TdNQD{hlEL@iq#bp|i(RKb;+zF{3ctDn^G?48-p(8}<55!_OJy?p^0}oA zBnA$-Le825SZsJ!F*rp+ARr(hW??WeARr(hG%;f^H8Y6-abAxBkajD*RGl7r=-%u^ zijoF+8;OBZ0aidC@z|}Z;V3EXAc0dEFVW|A68qS1U2t~Tr6n}YjS8xH9|zY-5O7jF zb15B^C3xT#?mHDWOYs?XVweDw=HwqWjBMq&j`ohv*fEP%{)`(D>-*oD2e=9aHv#U? z7g|OF${k-a4&DIxdZPfw8G(xfuQk)GJ4R9Yz`76ZqwC=X-O@`r{Fj`xADM-q{x}Rq zOyty$-`F?gFV2pDj?Wg(*v{|aAofuM@wXX4u#Da9mTIBlJ}+e7$^WwvM>@&(9cDL%6KS7|e_n|A~d0R8UvJi_P%pJV!CeNrx zVk7lsg|_ACTN2A{%Ql`LQlm9Jhr#=p9XY=dzQ7$&beJdtr*kt9`Lk9LR}HFcP^rm7VGc z>E5*!Qop>^+`7+W=oHuj9lztV;khiV#1G`knYd^e*F(F3^uLz+qE{IV{!{hDUlv_W-U^u2nG#s zD46i%Xpkj>d5_%MnTH&eQi8;`Nq3q9T|8J88w>2JoxC<(Fm3>xCNKL@J;;fC{@v#- z;kE|SEqq`)jN`>VV*$8vLkBJG?v5W!?WBgovBU*hyFQXoAZ9y|dFd+_QZ9jmA zFQ@#PLh%>A%3xV(l=lOMLUeR^%A86w8V?5<8Tr(L!fBJa@+t^)CHuiV7}a{l$M{hH zsqAZ{SRckE%lPluCe-ePrOj(N-lv`t^K3jIq(*g39Om*I)l<$uMS(wabAg#i|8Kzb zKyy&Jv5PQW686Ufsr+j7;ravCRu{>WWtZ8&al2$9ub;Ns90hj;vIx4NJ?VS0b9&->uQCqBqRN&7f&s*shE zWO`7?68vF07ngrbv`Mka7{iI5b=k!v;Fc}zUlcQFW#1x5)7}O0)btgObpKc+NDUR3 zNv>WJz5v76PDm6+XK1^Z$c>QJ>Fx; z&C)sv;Ico=To28yzM&*GGeD2w4$4fkgu3=PJ#44)D0LwlR02H4^rWZocdL$~=C_%G zJ4Vi%K+uIFe9LUnZIjb}Xx4m(4@|K1-!}10&~>8u#`)Y^h(V!ZV$Zptt4>~OMdX3p zy@N%hjb&)#Zy;^d0Q|A;mgsqJb0O{t@O4sRx(4->=buI+5(O+H!r*pUU$Mh2N(@WJ z#3Bs?Y9%EiUn`~(7&K$5;@W)y`X@Jc_4Ifm98`=NG?)bdV?dn0?NHD-8Gr9vBY4ZU z+_$&8+@cu+zuJ+=+VoX_?g^+|2kcR{`Ie&{`S0X;a6c$14KF7k3}!9(ZXS(XwIXOk zlav(ciHMB=H;hwNjuGGexkYCKG6A6()@s$z+UMOI_2~Hp$h!R9AUD76?H5l?q#+c5 z?up)CYG%^JbPFcQ8ckOqe8W0&@MzlJPU?P;eqFoi#or!tO&_6dEC~wdMt`(^#rItZ z`gRi5ICIh*ig@fIPYNe_PuH@C<7^rZ98`E!a0)+rQ>zC(pBUvJtQPb8;;x})&!|l= zj|Ia~u6T#(^vmkTE~SB{kJXaV(=5ok6v6b%bHV>qV4~WUbQbVNFA#d=w`OR?f69u{ zA?C^u@1c&4_AY;mAY4ptdDi{8tvKYFmUEQ+ca`_xD@S5&*x>4{$&llbatbP4y)$Tj@mhwv3_ z^jj%&nw>=rYW`Z=9&w<0UGgR<(o|uJ)`DKPdBV}*nGLi{(b1x0yv}85rtbBXyc_YF z{P%|opvXop9%U}6N9(`uZQ=q(s*GP!-(97pyo=4~SpmMvM-2~N)@uLBfm11R(-jy< zN=8cMR6_S&QY4xa_i&*%gBrMu;%($n8yh6@+@IE^uWFcGA7qiOYvDf27R6NgAWXqi zuEwcfncSLyZSp%?Y=sY^1_sgZ(R*bytS)1}#;S!`m{<@_$WIcr*>Sv*G(PF^)SHNK z?1&!29PUiSeN?MQKC~)xZNU`Ap|s3)3@I$rD&7|Eq-IvU^|B`jqD__=!+9PBgcM&)De=iCsVr}!OtPlL%uc%1Q54R|Hhl?$Flp37pk(< zP@2;Bv@w$q<3mg-Syy~h!2#jVkPt)rDJSvL)tAIu#~`%~mhopwJGF*&fyeyFVz^Si zOVw4B46(73Ay#xOLi3w8Bt-6cA*eCL0&lhi`x6uz&hEs$g|f#6U|}j-N3j>(Y`{$# zgEMzoQ1%`28WOl_N_Oyw<@3oEVC~n)AB|;lxEnmufMS7&oG38lVknMiK>VXMw0EU5 znws=tV=^k6vRBG=I~us(zmgGB(G$OrLkI{YqG8?bT{;nt3#j&h^?>D!*?VdpO;wZ_ zSTHoKCNX7`ssLy&S;Q!$OWuKL?vXsDIv0Fx3sZ+uUp=i%?NX&5NsY=W#_){>_g9vu z+M$VSs2(){Y03E!>@byR^vQt7=cUTQ-9Sum{o~bA)^qKUEJLwo-*;qNI3vPhrkM~+ z;0000#X{L*{4-K!jpCb1E<{CMHJF-bA6@m4O zwi33Q1U@aRM;|ZBf zTw~n5Yod(0_cio;r2oB;otH&yFpgecCzw`N8(6zU6_8dpg#Wk5dh-e_^0jx|iZdvh z%-m_XgQm@?cC-Qydg2wLnk2sO2R}-356|kx@Zt}MGni8b6m-JruItR!G_K4x9+evG znilA}Z?GjZvb6wvZZl@jI?G_J{l+WG~WqSuZ^qA-no8~s~W!xgi(%RQrSA!6V8m*i}+@F`5)k2X?N9I zmzZ=Nm_DJ03cUQT!93INJoygkR7SiH{pk@u-^La3x=+d}M+T{=ML@+~UiIU1qYI7` z5Lo$z@|0+(2Qm5fx0uR-HkK4ji)YpJ;fR79Zt)!3@b z#905}HG{rg@pJ00Us(O_c~m0VZvb)!d&(pem7fM3{@9qz9!pGf&C5kB1ix3mw>E(= zA5MS3?0%VSB%S+){e4~ZziYN2#(&IxSkPtW;#T3?zR?y69KgM&=eLQowqrhI;+II zQmlxb&KmIb=C4`?E`3InyW$DLMoG3H0g1R3;BSixR~6zE;etr|)RzK+Tk9buWC)bb zAj-YS31eb1DJut+judTZE*OEn4@G9k)Cp3lhn1uh>6It_rL*mY_UYQ|B0?Ud7e%w$ z0^PqjDIzz*9-v8O^T~*BWrQ3TE7oIKx?!I3ClmxywD1i*%ekn zh=j$=z5Pqw#H3!1c^>YM$DZxZu1MYiRf_;6#sWr5XsG->-F^fT1db-0{u7^`G#SOyg6H9IS=zeI!p*2nNbsNorjE1UuhY%%y z%xzVKyhIG0gRz8^Oi@a0hsHD}@kMnsEVTH^*sgA!+kG0?EZ>(*LbUBtT|<7`PSK~M zabCVB(hO}1p1r&u6lJZ&3dZk-q8=D1J|#ZV(40X-$-Wp*MTi6(M%NvkaJ2%jI*@Q4 z?xGU>w$&Ba7N)tW8^TdNs{C5T59efFFz{*JxueALm7Q|8;kfpaMX-uy)tCJyM{y_5 zpUcDt?pH>yJhn-5_RWMv-_TaF@MjYZe7jK$TiN;G7r&-R?(OBnwPwayw&eeT2H(g4B|;K+oLSp z^j-pRhBOdD?&=nGGK@6RDkjk|G!NcrTV+bzbNKX59mZ@i^`Hzl5G>%3W8(o9OS8Q3gU`0VppM}lVyZH295a0Kv*t_&HnwVR|2TLOnmDsUPi68P$$ryg_Q(-~n z7`*1RNcyDDLLMPj!W7DX(n`YgH0sJ=Cgu>d)zCxP%-; zP6St9oFV0H?B0DAfnw46dyc3gk1fQTdfMvrW^#z6~=8J(MldJNl(SE>7WYkBi0D*@TRUBo!bN zs@qF8-m^JO;q(xVM>$n7ZBo zB|zH0(&afFIJnVzi;_gGSU~`hUc^Kkd=(#pDp0TS5)&iq#iHlzQN=qVUiTV zagN?<`5Q4kfRje+d0gajjR$9!*t-Nl$J@_bc4G`{0WyB#&ZLxRh~$1&YXw}6n2}W^ zcztqww-}8@Zlm8uV=@c_aiRLME@n+Cjm(8ubYcOd&{zNhQ%urO;E0}wK06uiKdm_f zlX`CDAyRC-g3$b#fOe2oYr7Z6ZhB%PXsD?%TRX%%56?_zdKsAMFS7_j4A#$ToLV{@X2}hHzf+=JCG!^0epKsala|2qu*+nw-jl$PlGULL zst?kC;!A%}Gb?1rIs_if**OD>VXLS>`y^{10#_516q!*uy_)$=J+y78G$D003b^ec zHsf zkL!A3BQ!|dIxAQeej4dB5RDZF_y@!bT6?y}dxMFmA_uL(HWE643m)>TJ`youSgTd| zaJOtLpudaOV~(gu>zljIwCFk{ZSI&KembUct@xTwK|_|#U*7bqGqYec!)5-BijurV!`oxCr_7^f_drfLb{vk+n$=8+N24j ziN-m!O-;ZrC>0;N3{XKEx3{q8TX1Jjjj^bH)P-z=meVms@{rLJ+ zc%OLG)FF7cW<3jH-ZkA(P(sgZMDhc=a3=XYg264&m&hDy>I}pnjmqc{f^!^nad58y zH6K1a@9e+6)B4!@90JMJhe*khV`NqQr4ZzUmHpw~9@ z2E;VA$W(Vi3P}7dPh07KOwXM>ZeVBal#&qo24_ipv~jcPyaprv+HXN7Ba z|ASTjJDP>LzGzK6>0q~DY!hyU=Pid`CQ8Z#Wi%=dSSi$blRL>2rUBz2pv2^jvB_SV zRQ_Njt#`VMz|($OsrCIscy+9uh7Va$OWIn#9PcU?z>+-N6=A+-j?#RQN{)LUGQn(r zv`S#iow&Ma<0BYl#W|=#4dvhk^ZUWt$Q4XgmM6v79l@4~U^`BgBAXoE35|A$R)-V0 zS(v*|;H>~cPbIu5AyaI)v3>&I6F^rk2?`qA;+8c$wcsK00)*G8id;$`W?~(XV`ndm zNt;a+kXv|FMo-OL#|p+=`HOsOt{c@U;gp;SXDu6wULVDzzpCKBU-c**e1Ttqb1aI5)l&f)<38u=)&{0Z0Ulde<@zdRE(tH^Fe*;kbWz=ngrA z>h26H?=x$*Hy^w#0`8C&Q$Y#ydn}7&8%%WKQF)+HV!L~~?F6c*Pdf==CPOqUX>z5l zXl9Vco8`&~TCUGPI4Ws?yKsW+i&&}bQ8j{x8I^)k%%f5Y!HG6PtC}ZrxpF27cSlE_}AkxI?+V>N$b#x#*~~g^i~yRiog&OP79um3C6ZSxewx zc#?62CJis*&zT#B2rY)8cHoc?vjo7C6Bpz$O84CDqE9>^2vQf`inC*m{ka2*<-YWwS&JONjzB1r&$3O&G-hItY{{tmUgu$*axn44MaEjv z>XjYk6hU1X{43wSwI`T0U~Y0+nz=P*vlB!3XrJW&T=iXHaQG6~ud3Rga^~V$a%9}~ zPb&Pnqqrm3@YQSK>C!}oD7wiN=3pR)rSBeMuumW`tbF~TmRI6KSqcVI^G|7wRcuQK zzn`SS-xqMiDo=%kH0lKox)9Fl#6YmxctMSlv)xC7Zf^`rHpE+Sr4*p!BU6E!r-4(o z99a)=Ey!tQEbdYq7#L((f7{)Sq;btp+y-qu2XLr|;y~(8&iS1;@jyR-f?gi!Xnkvj zZuXD$Xu!a6n>Aj@lQbs^S}f0MX`~9g-k!6 z3WB-DO4I~l?R5z}9LgP2fjqsDUj&j->5#}Na^thueo!UEr}axb&KSZ;q_iPVjok#m zRoJ@KwBvS8n|NG6Dj`m4F*0!~T+qrAOFdTKt=6HHd8#zUDHvvaeGS>M7uu%Ac|Sr)tGrp~ z<~JM@uotIJadIOC@XGzu%rVW8&Qp))iv|W}>U-{sLkymLzA>tcgczu^k1b;eARC zp&o+2Zc%Sb#0_H-(>~XaS7tI|XW!IM&A_!zHYO5>R(z!ita{9shJNSocw z0ORGlbs}gQ;NA`cnm#%C4m=@Qp0c8K=ylh()j}WB+$b6^94lv4fpGbop%svh4y9IR zXM9A@N+}y~_bZ?X@^=^L4lk*)rHEVe<>{&brvYt7Vv07L1m7Pdse+C%Vxt{#i_jOM z2U)bUd`|``A(8jAdc_QcjnhZ0UiMdGBz-YHi*Ev0=#5&8?FGZ$KVB83IYKXXX*E>G z6FrHx=?ZI;RtE4+H0!6UMXH(jUjhQI+(Q|8)3JZ&BQxB;V&zAK7RS*NDVk@+ZM zlDa6TsI?~>W6vvkwA3_Np@mldWg_ilPr8efd9{$GgIfAW=lhX*1*jwMnJ8uS@+Q#7ZvTq1`;ygaRMRo|CsYaBoD>xu^`lO&%pVw_b&Y ziO9k+c_`YEp!gN_cZ)owyJXs8!3mMI)a9`D8rLw@&)hB>OrCi_x-zm5o@81$2ZN2e zy8|j{6$hogw{tymOi_(sr@P~4J!*)M)+&LBhGuGbf1=u004bby5Yhp+V@s^jLRfyVy%D&TG?KX4M!L~*(m(0Y-aDkS-jrE zrv4A@Dp$Z6j7v0KJeS>~AxN^?KuUya#&1WBGmTzl|ERj%f!KIaX-?)@DQoheEkY7c z!V2z~(#?cIDkAxxtC81;}0hMmpR2}TV zWy*u$!ZuAat_lnjBGN85JkOh-e^D_l)Yq~W2b(eJ5zzwx?{VvqRU2YyAdJVnAu|R! zR`wh_cBlYQGf~$R*{5kCJq8mBGar3n!bK0qkxPZZDCY%R5;FMiMt!SUnKzkN|AmhA zNxc&{RRgE?j~}x$Q~HW^+MBs;mm%XGk4Pvv&%o57ulc}s{@(frlR|&^Yj;wf_J7Q(T(8`2OZxLa6Am*?cQL! zZbtfh9a3Y|UY?%lb$Yz(`0Gwa{ylY0_Ssmg<^g3fpz;&sqUTHt@6I57ov$2`h}|9# z-EmULJWsyITyz!vR~&h-|9M_?O;?m}%LkwdfCr7ylR1K48tfSezQk7Ha2myM= z>?Sdl&GN{Bmes44C;SA*U~`1j28Wmv>s-?g*gyBuzbc56jR+L-IXqmMF2S z*6RyX&N#TGAkh%sXQa?|%E)fjSg;Tm(-Xto+|AwCq3WL3Sc7B-O^@j9MUP(n5u2TH z#U78|CxhHw>_0(;!_@qKU)?Z+khnOojEM&|h;y!kuX&?ApB%3@2f2K_?3YNoO-iyr zN1XWG@xpz*ji76wgg6@|MoLf&Dg-=06sh+(F_-`$XB(pp;-5B3)>=ViusicO0VK|v z((PUK?JB3MUob*y!e^s@oCG;qmQI;>1^m6z#uG}Ehm`d#7R&0u9$Bkq{izLJW2%dp z){2-(H8Kk=$R@}@cn!?}$9~_RiNzQB*kQ_zFq>qyj>Sk7r(9ThoS>d&Nq2u_ojt}j z|E2pRtf7$^?bL5)O&PXM`?6(eFPna@m4C>{n6Y;G!&^ncXZ$Vr}SRu-_tES z2e%$MvBOA+>bGw}UZyD8wL>9IHEy7f4epS7s$XfT2-wz?wS)L)3F%lO@>Z9EQ4X7D zzE&&$r>#ZbzZ@iPBRaY^!-DG?_B1e?_FYft&Fd&8bLXuM!BG2{Qjvf7r!+qw z2BD_m*ro_#3QTSQ8|8Q4?~?V6_W|_c;*jfpdwu+OC}z8Ah|f_)y0RrrL+K`2k2g2C zEY63US-BEIIAUV5seo*e-{~I-qJ%pAs>?sJRMLs6NwK?Ra4c7*KY>Zn(EdU;gW_DV zx72zxZ5&~;| z?`~La5xxvH?nkufX#L`1sy81oq*Fv*#lt{3U1_n`cH!__^}bAkT`CX*u2z%3MY94q z)ko%oH=NslArytfOG@{kvwA}Ka-W5|?uE%nz+^On<`_*`m$;E81pJlzT1%G@){n@_ z)D){hDbCFOM{zVN0Dxl#%URT(1Rn0Rs~Rz03}c$ImJUV6Z=|BnX=+lO5lt{ak8Y;X zpf;dsda=c>D5Kciaj=G(qIhFDrP4X!@w48DOYdy4oLxJAF9<&=4|I*Els!yljj?vg z0t6ui`PPwXr9X7`=c;xX?dG+Xl@o%FQR8ja#ivkk{<&h`YlTXQ_UtJL-}u8SJQ5f= z{k47a0&;gU3|<>x^6^;o(*uw;i20;i!Ns8$gwbW;n=K_@dH68VCAF2ce|W?!V`Q$b zWDZLds6+6CN%NTWgIQZeUrj|K-CdElzp=SvFeI9zVK(qHW=))H>7kk!^sc&gmbWwF zG@tx1{Rxvt=y6XgNgb9qkfqZm-x$-qllvZS%FXz=x5CwcX6V(Ky{&ixASolufQQ9(TFE}+6JU02*?rTbkBeJLYc2&d@opKCSZXs z|K*9kM@VNF9b5iJ`!h3Bug!3+EdAry6V!nIj3yx*?v@1iV9j^s(T+v0y?Dr-SJ&p zscu4P1^?a_3~9*#qeVRAk!Fug1fxFU?{oFJnT4r3^aZ2*vAy5E39mQ=A8Szgz5=1$ zYztes&H6sn%e&65MIjc1p#p{w)V<7(#)z|lwYp7qbz|t2>vATQ1i$UW9f4@RWVkad zL;+W6sq$?z`0ZM+cxB-0c0DOsQH+cn5c_b8i_?wldC0wonywRDcKVzMgV0ySTXWHk zLT^IL>qIJ95Yfu=fmGDQbK!SKj~?+``L^M?v+t;^z9L(zyyhhfAL|bWp`~-1lgXiY zy#PR&cn_g{$1~{5Yxs!(H_e%H;1Xc8Eq3Xwu}V z$eb8&Yg^by3ia)m3q?{R=MG4XDIl$M7+fWKl7&q zv7haE691La>V+oTufQ0g7MZZ(gcQ(pl?VSiAty@Do2r7gb=?ql^(>H|V`?4e3;q3p ze0pqp&r!9R%gAWjU(7wj0k7C5B|>GSS3@?v+PGeF%;$PxTS{aiNW{y`f9IQ!evOdX zRX5Sxu8waOp!5YmJdbDhuapkBQ+_0iH*OB#I;1OIH^FjCv1tGHENhINhGJ)XMvuU6a-UC#2V~9Sq z_M+PASr}}lCSZ(f8sE2r8s=H`EABX4&nfaCTA(I9Mx!yISChsuE{ufsms5kXj`O-5_)$Hq_-=}%6H(;M-xR_%{Euk%kZ%m`WjP)VfE?%JsD{PjiIbw7Kkd?fu=%s+0622%i|Wj%v?8OMM3J(; z^}Vs&IQXqaSE=6K0nVEI%h$MXrKW-NVa#C~=M$*@5Fv%8`E=MU|7&@7;bph9dX1_C zd8-p$DnPoS)+mJ7mn*F|xt*D#45yz{AsF@+n$J@|EZA!{@bOz#qWvnk#=r;+B^m>! zk~76QKq)c-?aQ%5ebj-OJeCVP>qv^S1tOdiSDXELET4{?A81HMr+Ccs=6o{}Wvtk; zQR)GsE5bRXyE;4E?e|IFC-#%jek10k>wuI_SakDP9De=bsy{l;>KRd8X}5aY9Ct17 zZfw|tz%hNOMVR2l60y=dXC#3Lbh*l)V$W#0Q9*Kdb;72~#4sFm>|?rY2ZHb(dp>mM zkEqQH_+{NUEdlavDuWi-M%eIWq}c7ID%77(@V9fqDet!in7=*8@Fx4`Z5t5&IJA1} zcDd)P*7m-wYx4Qr9Lh3N!e3?-r`rH7@~>o!MeZr6$me@`i8lz%i*iv%Kok6VpS>iRCdWOCw-UjJ5e0S;<9R(pO|iVQ&9(%I6junnNbEt($U_2W3I zj&2qoX9430U`(&>OeLA|vzUdAuCMaHf{~CsZt&ztjBJtrx&iMbm*s^BsQt^x8NoxL zv7QdII?y{-R$hLB1#EDk6{3SBaW;D40QLDVvRm(dZVn+Bf^=AhZOK_7`;ij}Io>^= zpF3Dd3iJ)_hIxIlSf@0~q@F4?oFdTEs7J4g!X{8)csqs=zc=P0G9bZ`tny!mt{cKY zce|H*%B`zIkzWOHxk4-3XkRELXx^pKD$V5A(zzVMZz=`*!X}H4YK!St#dI`~gX{A%%MsPqFs zdf299B5su9tR=+5CM@yHqisGy-Oz2$CC+=*H|X>!2Z;5h6m^gFoc7Mbgi!xWh>t`j z6DlC-5Sc|sD#XFh3I}$q;t@aDN(8u0>_ysO8Xf>+*oLMdKdhiM! z?FS?(4XdCaEo&w-mlC3Y9G320`FF!v^?rg&aSUK!cZuFb+9bL z_HkMccbiDTNxh>|)n#}@$$zXloL;L$2mO-PH@eHB7s2bcg)lqbkL|)bTf@gJVU~ht zPC}-WP8cF7G+bA5+GT=2y2JcXM!FyjaIZ!mB+D2tL%hqsL6 z#YBMvelU|K&4h)ZN5d5&)S{w3bE)WHuS9EBKwgo|b{M1e5YnfLcnhfra185MjtToj z)*~WE-wy`Z|M}{92lqI32M?3UfaDUub(b6xG64I>E-AHkVMH$X+-Y#JMqFBGHfrIZ z7D+PpVc-SU4J$B;)1Y`LeF9}1uVE%NG*Bv^CiD~X{}@Kolr3R1mf$#awDe-g6M7kO z{bSEfnb#!F(9x&aYHWQc^acV%5+$nog{H~=RHI~k@^b=>Qy<3kX_d$u(Dm3mJ6L{@ zHg(S2#ewCb3M3O*OJZU>vD3nUP1gTGep{Cm?3gF%3N>%<)dd#y?4DA=!_u)tmj!{aM`lKJC^P94oqY;x(jljg_#)Q(n*`-u+ckdDQeR* zjx;xKwYMD*qE8Z(C3BUraK#IF=GEagdy6tkxWk7TJmCux>Va_0_k(AaI^H_q8Ce`n zE?)f+b<^idc7hBOV#QH- z0|vjcw@CLHM$R;D@7iLW{{+v?Pa9M}x1j!eBtnvdagOZsTfZ=9*QgPA?)44sH>@OR zJLTp4@a31>tBgVsJoTqodl9T2X&;WGEV9?p*!>q4-#sI5N?HSvVnT1-<`Z8dfvRPp z5u!vcCP*2hQ00tTL(xXkfuTF&hm^rv89gj!=u*ONrn|1)0?*I#-F0#+F|%%~;S6#w zLSU31=%d|ky;Y=a28_%@1rrDDuLz4^xhz%pCVb13A@kl+kH1PpGNy>VRzbg9iExcU z*g9wNxS$^0GVo4=Y4-Xy^cr_<4=0(OgHV zR}jZijb&fQT_j~szCQApatg%vC8t@-)kelUzoUZIj4Mqucjg0p_ATWkiYgN zYhELplYbIF(EJ1TN#p-Ev8K`BP+oxAb)C?P1}0mS+3F z)Jgg|5XlHAfn}6IW;9Gj$^hGpQMn-`ExbDT+ zJB2mCSSFoT+E!aAlKdZsU+tN&H;Zue-Wi1i9la0i_Bt)GEOp2>FU_C&sD;I{@||Iu zy8lX$bo$-Wsn0^xvb~>q^ln}=2Zze^jMe;9nK+`;5Q?@XjHOKQ+c`n`VVNT|W0*EU zsV9;D?6A(x@EzechXpHf42Cgc0lgrm_iR)?7znAP3`xTe>g;jlKe=sXowe&7AAOm{ zHTx6s!G2VL`p6!YiUs|S^p5(xrjn9EzEVavBAlp4R&G}pAbereYDZP^TLN5v7+?$KE@c2>0Lul8V*U?odnl(-0k)m~JQiOTNafG#F7 z(GRV@^VY4u7Oe|n5r2C(Hl;p?FM;(c0*qj4bCDTyeUn^cnGRDzlhkXmUU!Yb1bpzv zc@Dp9U<(Gk-K2GAMjjE_@*Pkuw|VDEwgNIrx&(F{N*2bHu7N=fuK6uE=^ok?j<`B7 z#XFMGwS`ej%>1O$rqpH^JY^L~CYV|J7Uy5Gc?eMwo+xR<>+4qMdEz z&jZBuzDI4JW^E1o4*pA+nrc5X5r`^${LG0w5mhu(xI;r`;R5H$=`OCw13LIrJ~&U_ zY6P8KT4}IO3L+YMWRv*p8S6ndO$1lbsaj9af>GlV_el+1m-|WM84UEkZGGJ(DBGdm zFKzlcf^(#9N<_Y)7!MWXX$d1jH|;RR%={0YhU@3NlokE~ZYVyN!_WMYH}FNP#a~;i zcIS-Fp+kO?=174J9p744)5{eZ)rU_G>NfgR-sdDdXV-wt+Ur)@N*v<)@dIkmimP8@ z!${eSALpS5v-#zFxZ}({gtaX?7bKoTSTK(zYFBJo_%)nln>W@f*rs`I0?Uj(FH?@` zEu$nWe}A9(uxEzc0cC}KXCRJl8l8tc=_h@vk6{Mi-A3k-g)=FhGF2k=eA}}Zkg454 z_fguiz|9TCemp}}IR^O?Ew3(8t&x9;{nQY#?|N~?^OR!*8z;L(AJZkE>-5OJynZY| z(^r5zFBQOEWIpK5_LoPZ^iIn@TmWqkNkQDPG%F5tMZLxOwh1ig@6Q3Aql54^^}qp4 zt$`@3+6|7|So#VODujW_{AMq6+i`{`Y!t`}nw|4jSpYHcxvbvf91Re;0uJLgII zUlFVmH5|C{|%oQwti;8 zdnM0%gx^yYHM~xb2I7=kQlrg_=J#P_WVqQ=P~HgW*i;? zMZZ`$YVIcFw^6Kvsi0f2>{#J!#yb+S+HUj7#iXCRZm=izF`(td(lNVD4l6K@9cWgf zG-7X10gEg7UO0QNm?j}Dybk#ywx*@#_dXbt$ZAJ&5V%mO4^)O;YXUROEa8|UGRPYN zLY_LzAdZByyy|!AD%HEbC*#i!?F=XuAz-%LHzPG{X{Hj#E^$RC~y6toRVCuE7z!t2|BaF z= zXfMX^SRdgQ$|MAzZUDXL_LkB`7mG@69INkMl6T*YumJ3&ZU9A{qhM;@{*bz!uVpqV zL#Jfb!CH)g+?)Qe$ouNa>A!G9+cDsi0{fP9NciWi_^)IQE)mO@8pp6o%uxDO^(hBD zP8nS}tR7YgOnx0Q-0Ip?5m2AtrVs4iqL6vDkhE*uL<5AVU4vxAu!<$Sy;l3RsY!*` z(pf2}#+|fyDLB*Uir7hH_er&Z5?*%n28u{3N^0~t00xIxo+Rxh1#C4IPfITI? z=jd{JzOGbX1_XDtfQi_iHc7C|&N31SdC5zX_AS&>gpPsVrRmafdLIjVR*a)Jlksy+ zC>ifK6fDH#HzKl{8pHb78a!QG*b*E@$D+0G#9GF6ORh&R?Vv(Hj0xt2TA{0D#_kfP zFO{^<)ScYS5wr&wRd-qs@sLqp_-$ZBy_Uy-uuS{I^8NCuWJv3)g+8U4gqTAXDdcy~ zkdM7V`bev&5HXG8%|@WoP@;PfKaEp=x4=(ZX==~_z}}k|V8D-$Wtof}=yu`o!>8N! z@Od8m6-hD&rdEEj)&E*r!=Eo`hJxF8wX59G>gn;@xy;lnCA&!)-3z3m<6FzdfiH=J zdd(7?RM1r>O1ZE>zt{yK_yHj`+D8I-?cy&p64I~~GGH^x6A4H%%+x|OEPz>{;pMA-#IDf(~kIbvn? z+Qa{_30P(m?kMs~NHX{c%35RaO%N6to;AW7=1ub&+DtH5mliWEIr7V~$)J4Ymi*2p zI@#Y7_>}IHsBeX!MADzzr9{g4O{DwOv8!MY`QdyREHn_xj%R{@5=;6{arLLV2<_R? z>~D32kJf#k5G(3S@Rr>P5Ys%%@)}_Ugo`V9+V0QQ*iA2{adu#VXje`@)23YvD#j!sR^3vgZi6Rb_n~ zbb76Tke!(X<`lwm;%$yOtoOQ#kfUMztf@O+occn!V4`w-@Zb~tcjBR-$YhivoF^GF|O-aT;witOTY;|($+%vRZQ6~iemi!EUJO+ppP#k z7N-|>^Ga4)t1W>WYA(|4e3(T{9_?f6qvD}xkcLR~(G9(KoI!hv;eifas4R5)xY`-} z>63gcq7mG-I;tKM zsrBml#B*xDHiTHWQdFdn#63Lf29Cy}i3_EHF9)v9d6ZiMZc<`mG@RWh%ZbLBZ1@xs z;YI_C7kxz*INWoRd~k9Bw*HQ4q>*nn^y>LXp}$sGh~;+O7DzQ*;TPuww=1EV$}rGC{v>^Q}=NwNr>o#c4pDogKb#{rBxy5BP(5yQBClfY%pE>?#|#dV+(+g{g*|vhDU!_sqOx@V07svG z>rfQ|`s{yErxbH3Py)C-_5h!Ym9&%71{MW;0N1Opsw@a^<-mzDOvkY??C~&`dhkN| zD~Dvmz(cw~n#>%LU9h0(EnMqyfHQ{7#U0+d4k2rASuOK#Ey^Z#c7HqeoIQl6C%TAu z-lFH3zV{UFK61B%C6Nk2!e z*wDN3t$S_1>%{Hc@pimnKmxz;dRTyIx{iZ;4{k4pG#F*}v0wCT=Q$3F0k=MQew#q*EfJ(e(84b9sN=`xPtPCjKxN@v2h7m0I4Bw!7=m?>CFcLVH z8*?nt7-Tmyx`mt|)*J@aYeKPCbsrtOaA`y;Wl64|1FNYpyQ1OI?FL5n%`%5uC#tn- z4fqnYB|l&9 zb!u=kh2VBY2x7>=Zpf?@FddxNW^CMGPadxs2J~H}mOTIK5t@%Y?XsmXU_vYP@vJvPuvN;mfw|v_C(tz6=R0MB}>7JLkGe4?YV%tRY)Vd zB2_J+M)9V9llao*P|f}$`9bd)Ux*HlX?CTum}!wTbU5Ioc(5ZWnprJ@mLh$Inhb1Z zjQ!#Ou%*U_o&MARsg_GccO~ zeqpE6TECHAZ6CT=?H3!O=75s|ud-Uv8US|lGTZjy11Jl-Ers`-3UIJCck&l3HaWcf zH5xz0Toc>Ao5_fK)AGz%^#qe<5N^A?zk9c?$x0u0*cNYEonK0VEW3PnZ*=Yj`%90N z;O}ST4>WjDCx*YsiN3;m)}EQmN*;O7;mBV~`a5++?ygmxIn0J;-H^qEGE?8a|$J&PHabi07vW|q3OG@0dHFJcvf zCk4I*yFg*mJuzn=q&e9-eA%|BG+raV>R(e4cRyUT@&2zN;YfR?X3KOD*6cjKZQ^W& zI!veba=porH0*}YzJFE#_7|g6DEk5l_OxdXi9A2It;HmkP4Tb7cjxoPBo3AHme3k| zTscurjNO~bKTBhJ$u2Y<`Q6fFz^1pZ&HxAOs>&6C2{ z{3Pj%zImk2AI*?yrhv#N%B%E%kXeg4-Wgp&nb=9{=`dg1w*Dej>51vLJPYQjd{+7* zev35$PQHYBKHdF>QeZSz)+HnrHp#X66LZp9%2eSJ*RSzf=p#JQw4k9uO-Yx;d#`HT}#P8B{5JTIhtr@?rK5&%g7g&gM4-@0PLCApk| z`5O$QWDNO3FIf_}hoJPX45BR>#&(W=DxR0+$SOmp)AxpmDL2}`lj*740k_ac-v5R> zH6I;y;S-{kSAmP1Uht@YrWyk}21;Syk%Lt$DPq^tQ6_yyePt{%;I~c7QF7{C8xu>k zRqS=D4C(D?VG)=`d70~AOcYaMl~$c!R$EfP&u8G>@G1(@!jX1M&IVh{XldxI5)GiA zkHJO6un$Fn{D5tdR?3Fw#e+9f_;3Zd)LklCZ8uT%_qzRPp#@v`@hEl1drU<#r9Emb z-DtiD<1lWYkj#baha!IrJML?=d{8@y0nJ16#?}~q%@Ld7q1`P2RY0o0AWVMuMu=LO z3CF?y8*|FeI}Qj|CJxGVW3+*KccAKuu)A~8ht8UfrV`Ewu4<#R!@*TSN%CfDs1x(OW_g!n%*FCJOKx#SpLgUc#Lckug~rgh zQgD!Dzv!6St`7m4y8FM^Y@~}?>}r=x>ugLHrB19<)ZB{C_~I6scE5-j_^ZK-L;ih4 z;bb~;Yvn&c!3sAWb3S?^5~l$@G~-3oU`01#q9C7oeQrm{oXqOj>sVAa?V4R8ja6J^H%n@q1a#PRevyD%N`W~I|uL@pv#cWHXD9CqGE>G{LNt$_dDS1wt1DnM&7#nCIbB1 z8+j2_XA4G8<7{!T6{=fJedKM0$c9F*d~K0!XyWSKtFEC-3D^{TXz9Eh1JssrUsH6Y zw(dgkOSttd9fAUbpT~gkI-eTQZ#>D{zJY$Nb=XbyA+P?2x`2wIsDaEf&ir_=+lCKJ zBKyv;gDPA&R`Ml70Q;eYT0-Ni|7N(8k911;Kro}Ny{7>@e+EGo=$wejkqcls*vK0@ zk9W0wuL)4`2$-%uDL}i|e3oPN7n60wA%%zD_77=G^P`L*IIC-T-vB{7d+x*Gbf(V@ z6HgDalbXeVza;Mr_vsLwBHjcX%f9J#P5T5G6!3UWo#91$;U>TwAvxEcFVs}5xRv*`qaUzgzzn->p4QO z97`)F{^shUO@GKT84l%;Y54Jc1)qCXuX{!cAE=XwNlZ>mFZaZY2)OufA3WHq2XiU` zMBwV24;Vb3Ft7-W5|IEdFpt5a2cw0PSN%)0P==JwSUmL%!_i98d-U{~8DR5b@EZSt zl0R4+7L`FgT2Ke3$V?R>W~?uc$Qs2;I3xc|X7TbUeyIif|&Sz!gvwP}bI7kb9FX`j>6O0x#N z^B)oXr?{rY_o^{e1#EfRkEP+&P(XRma-f+Npb2sM*+CuVHc)*abR(qD!Uh%nQ4s85 zPg=r)$fqnYucA?FchIka;RtU%NOve#^pZ;23_Js)P$=URp7u6L)WthK;$pg0IYu^i zz;NL`e;Xz(f72C<*Od}L(Vv3dLL|AcuSUzFP&48t9=|R}ubqnFTItDsJ0$T_-S0N| zE0T`h*l(&pxPgtmTytLHIDoq^QI&GlO)%V7q|D z`WkY%2i~El@#>eoAiM&3WM$@wn^910gJD}8xuQItV{Bkr+%2Gb)1|8?lx6EzTPF;!eo&|9LtUOM?B;C^r{S=KyDM)xZ&phO5Q!v6?DJMyJrVRTY}x0j zCd@4)cWXq&<_NzsIGi}%lw-B_j`y=1|HB&KwD!{+s#D_6b*yMVvq~^AuHlK8RdKZf z)Zf;FsI_spgs#nPa;ZPMG4hY`Hix>%|0;i{ALhgy*IaU-!mg8SHacs0RoWuXMA5GT zY04M&lpK1XWY$DEu`T8jQG}@sGSfQ>^{xX@YbNnv&m6*}Rn<04#{4Z_z!Xsi5Vx1+ zGqE9bF;Y>{`;Ni>Kzai5zQLk%->UH$8`MGzov#8lDk0h69KA2y74O&VW(Cei@cU7I ztdTtnB2@L7LINo9TisL13=6ke?pRj-Y)waKG_v6EQuP#2Frb$-R(p{=SIJsT;&JHz z`r{8%m7_;xhitVwU+ z`Lzr1L5O2^nQ~!Y{Cy19EV!1=GQ=kH-?ep6Uodl3^`aDi1PH*O@>|9tqzDk#gT`px zA4>lW)uXi&o3!Vp1_>fD>v+nw{$sH@^e3O)*m5*4cXb}E5qso@u0*>8wa1CaWFaO7 zXpcQm?J03qCjCiKU(~iaZsLgS(m)?gHWGf*C^LiEo8FEP!*U?K#aYfy{)vmSe)np$ zT?NBQ>&ip-)B+oJNaXq?^(-3XK;PjKJ}IiM0BD@MD(~Cg}N0xJ#sXl;G4}nvHO_SH-jja@*v;kMuIYOgI)rtt6sC1r(`r z^o5}eWFdtY%am-xQ3qZRetLjx$*0qzCDh)VWX{D9-frrIg&D-G8?LE7rq~&FzcSW$ zY=w0Xv5odWxOSG;Vn1=3+7g%^I0Qdqn!EWr^N2OSs{}*o5z~f-dFdk}$fAVXuA9(0 zO-2wO5Fkcw+=Y&~T6SjBf&@rSVyXnQrN3)9dS~bmu&Rs-Yoj{00tkP0fEp7QYQj5PkxGq%YzxwT`!c&|DoY62WaFU#IpgYP?7T zeCKd$1$?U;PTBjiX6?rBU{xA@0x<;OFGltHLizm9n;c~pgI4%M`jm@~Sngv;`8&=k zxH|MvO<>Mwrowvtv%Bc)<(z&e|Uq3%6ZNbTFyXtw7Q&HiLA zzsk8v_w1=28KVj}Uw_5|t1q2%NWSFV&w=7L?8#NXki$JHujI;Mff4k4TgjRX(i(Tx z-o|sYMWnne@BunNQt-HEKy^@-!rO^}@kx(Y>lQ3NO3HCW{9$lXT*CdGB)Xi1eBbuk zQ2fNgB){aMj5kxZv-`nTWQ#wL#^x{%EVS{LmI7)m_svLSB(`tu+P%|46~QTFbv8W6 z?NX~Si4etA$5d^HEGifheJT-}rxuLA`&nNaiOyT$rceTDgf#UdmdQ;XK(&Qg!iIV= zZ{@-gFk@!<{QatfKtmRQlNkm1A5h|{%27KTGOm$c|5d=*B}fVQo)c4;n#UiwF28q*hzXGdf8j{GB^zhQt4&IV!o1&Ev#goIpg$9LGNppN|C73TjUt5^@2 zNZeSchSq=DxkIYDb`T0jn7a@yZ`(hoS&ittSM+i_^AG2Hy9#(LjV#q)X5c)oH_zz@ zsb71lbQuk@8+94odf|@%2KVo$>c;+%aY$Vh|7ey=now;_&HdU^6@k&rrn4nui81#E& zx0Ff-Kzz1pN*lM9H5AiBThkR zk1b2Ke76x7vh1^92tCsTfW0WbSM%Rq)Xh>q3F^tnXPq1?!D(`g>YITEJKwC>4{|}P z&y>lUM+_cQPnZ-!CBkq@=QpkCNOx#VuxA`$%$Z!Yof?XjOa`Wfh=z8LF~wYfo8Z+p zfKHcMLcL_XDw+&=m>l{}%>%n`PF42J`%p#z^pB2=RnCJ9pOmu-OZ+Z7h9pCYmFVBL zu6L+9Z&rfO@Wvr&iSGz$$67FkD8m^}(%#W=Tm48uoYmzq+M$Er!{ps5xxY*+d2jrH z)Q9Y^m4UMWsN)cwS_xMhZ2Ncs*Mvi(r00Lo-_Mod5C z(sn+;L!pcDkNPtxQcG=H>1{4jPJwkghqGFSFeCkA+*Y`FzDtK0n5&#bIKDWz9U|;q z0cHZTLmtjr3?hW*2sB!W9H~1mW--JbQaeOSFeQ4)rf~Xoclc(d>^o$;i8a>f7m+1t#pd6)`)#Srgu{5yq2!i#qKD|^X@|9B8 zzLrRs#w@gcxQ~ak_<$Gw=-lHjjjbP&<&DZ1Qq)lNXBvNTO8yGXLfUg=dCJ?`YqNSb z%eN(7IipKN zV|Q;^m7E4=+BBT&=>b}s%n2JwPF@3w3z+1&bU~!tn#6BB!~lz_9+8?1t!{g2)BeO-@=wYtnhB|a^Q-vm|cOjAGjx)@p-E^h3*+S*DGuGK#rs3lh zkS73sv%~G?!-67YyNq40mCh;rLq9H`XHf>7Xm7_ok*{DLH_2S`6KIjNct*Uv(gPj_ z0Cn~SJK9Uxik(wyeydkCkw4e6c#V@m`OkTk^}LFxyy;ua-c5^-sg>;8KMa3|=@K4_ z1G0$!2H4aCaE33j7JCLLJdqI~)+6ie>)u>_UCs_K!42`N_0a&<;M`tmoVFG^l;#Hi zL;)p&rK;APFHtG9Fu$gOnp3Io%&L<&dpTeD4-btt_tk_WHW0hUaXn50WA$~2xx&1_ zeH7ox3)>fK;|m##Roxnv?iYK1DQfx1d4my8Go8b5xW|fOgTOXE(^DFu6*@BuD&*LQ0Z;ZG5TaiHI3uY?_{yL<0g~x=%nf?j06R1d13s zO>7tjnl^3MDW7l`Nnbrx()f}M9k44(k1T`7rE9~)!J|%g~XTQXU^T0#YDXpj|)qp@Zy+0YP=b z-{l19Y)gKimTmb}oO&(cN61Q0QS{yzjVAw)zhk%P)SU!kKr6-L>m^FUKqvTU zP<5#M-w<4TRYSa}2H|2J-p11piyT@(dqA=&YCwT;2V&Oa0|yLIjBxL4SbV0@4l?2N z$V$~9UA3w}IcA=pZ8?641PDsw*>cw~!2)A4f6x(CycN*KkqGWpxAf6fuq;%J5 zZzu1nx)|T4d3Aq)Y5GfeUMX!>7x5|f96xDKu4sbs1x2|(QwB16I!_?hg<-uFq1RyG z!K$+5tR9O|t{d3*95U4WOKltW0cB=uK>9g+j?`xR}wW~{Hl>^kTv)aaU;cw_0TJw zU*BAf!rEdvo4YY)te}KT*nf-W?^k0bK4dydB=il>vD6)QX#DY2l#hvr#uLaY=Z&iE z#4Gc2GtjPHcHP0GSNm?ryzKLUwnD-`5}ra!e+NnN8QGN$dKuq0Y4-j6e2b6u>N4ec zrzhy6)WU{DAC?3}YFy#hS&_pOZ>$&NaJtEeCg7N?xgeT&QSvGodfe-O@2Q@tJH<() zEQ0OO$X6`|;k*|MzoxG1x>Y-?)Xg{^H!<<7Wc z@ZWYxbBy?^?4$jN9BL#l^7bTZ>Tbom{MfqkR|H8@oTeS z0a;owxWPMV{~)8KGu9TJH(1F(Pg_Unnlw=7LuP`I&Z(CuZ>n~%++(tU5KoJLH6nin zL8ma#$J*a)j~d!!zz-FymTxh1Fwi6xRs{64J}V9#9KPWeJBsj?gMOJFzzj`dV_LY) ziXuqa3*P>dz&}3lERfmtgyD16YvziJgbxaMUz9j>5)+Ey&`=DCEiX= zhidbB_WCL;mKf`C#5b}yoQWgEbg7m5-mN+Q^_od64P2PkC3v^qBtI-&eKJ)Q5x>mj z1_W$Ohg`>{j>l)3Ca`Zcg6N~S zX(WBOt%y%WJ}&8SQs1^kqLF4dF8ifD_AUqOjPcIN)uVH?V)F?Q;V=Bf;`d<+;}?;n z9EJ8tm~LGh=ezneZ2*pYH$O-ymy*qrbZ%R54{<{qdXWcd&!C`WAAk~I4#b|2BCCw~uWrY-_0IreMJS*Fwq?c)adG;)Ed$PtKWZlkKJ(=0VqZKjEH+N+ zy+LsZxxS2LDqbly9t`wf#Z@1kpyAw!k@t#dyNpit6ld~Q+br4sZ>s^55u`u z!d>)MPmGQ#M}K2G2SC5sFV zXN#aNg{06)XG})jMgz$AV32EW+&>Q$CO>nx(i<2UA8apX-H10TRpPp8%Pw$#NUB7~ z__K5E-PJ#`(pK-wj(IZGc7G#1;aG1vO7)Mq8?(d1ueIT^t6*k|Iu><*U2=fZy)7|C zB!WG7p4++IVrs_V-1d&Bjb%Oiv+I=> zyI0!BgZ_{-4#LirPv;uXsTjrWMdcPSv(?{Mm@Ppy2F) zmi$Znb56pi1ntEwYq<;-{5Em_qkR%iFxG=^H`b+q&1f2b)x9qp_gH^qh@n=X?5cl*C*( zK5XM-7px@UoGx$y@Sq8L0qKbyG2RLuikEVAcOygiV6%aCgr(+Vy0QXap4;8(#?ArL zFY|9BaXa;KPV4&9Czev7*al2LY*Gc@%t$}9+|`+mo>@tW@!DnANXo< z;g4ZHHcrWm!13li*dtT;8Wu!uIyb1;QP{Re6-XRYS;nOS*w7++_)7N>e0`W~eYoMP zlt0u*nM@-jyGH5~2oNg$MXD6)#a}U1a(R|R3+*thj<8Mw90-&8jv%Y{SOHGmp{qve z!VSnG7)7W*RG1x%SMx#te@S)B5inOLfSAPTM!%k2C5>=mm;z zP+ExsrwRZ;z-v0lbK3aWpqKXBbi3FfFLNkIs{SEuzS12-ajrPX>AX-9;5`02(;MDB zLj))yHxtKhUAaCM3u8fXfE$g{+Dn&3ED;Oavv5*LYWjx<_MR2WiPub;U{>=>NWobN3ygAN%zv^~u|DjkiC`bC13W4c2ku zx60YDa3=%1?OF+*4ufr~F%)%@YE(_6au`Nm7};akD8Tf8B9Cv8wS(So2X~(BoPiMU zIy$u}c#o#;I2=bRqbEH2sFAI%(S=v*t50cg587v>F|*8qFO$GuBGNX`>t%J5x5PFG z(?bdXeZ_wcz(d~pPN?dZ*KkTwBLUzdxZFkp+bp_{m+Z>E^XSwiS z4wMU3%MaT{_-z9Vw51RsP3C_8);7KDtoPGursDRj`nrDOxmiy>yG^-lgA&3qf@ zkPe{YMFnZW^K~3J!SZQyAJ|+OB|kzVAtacfkIG0^_e0DlLn88rfWZwv7TAyz@C#9n z{(8E}gO6Mh{g*4~J-|I+VwZL9Dum+?&UVev`-?NAY%#u~TWMp2KGrig5V}SJXOn4z zjkVj>#E0PBhV9Y1x}?l9gq2lm=W59CXj7{c4C(8CqYj;=05wu7cDCP)YoGcE9Gj*G9U&AhPDQ;N!)J9u7|=e|pc*|t zNx@J^H_a=Z;|xPPE*F_pe4v&0UK*cjGotLc4D!aeLjJ99drM^Q_qR>~mK{tLVtvuG zkDjPhOUD`JTcq(fKdC|OO#$@*V@#dbL~jVOnVaIC5N)(YWp6%K0i3dAq!@^yQ4ssX z-*D8?W1b#`q=umH%8!C2zlfc8*hwO7db3--i1U$u*X}bI!krLlL-gWwO82c6iD%kI!33O(kqEw{ElM^aqcK zwq1QXvAY4EW(DDCRAz z%bNArn7*k&GZrX-YS9d(R;T%pf14pZx3~j&lk>6LEoap6^o~C^^*dqiVJ*kj%KhRs zZ!QyA^rWSt1cMhbG3Yz;;okMgoOcjHCMMS=dMH&ewO-RA;WWgugjd(Ym-gR%6}eE6dUd?zbtl8B0JFsNaI0Sw3e3Y zc*=!mmIk)o43pk$PM9-1r!%d6FKqV9CSffCNlE*_DORVX!t5X^)$|w08dSgxz9p{0 zV}j{r8#c%zFpg*Pl}wTD*ri+vO7`E(k7l0p?W(1#^=!AT$@dqk7Kk1AxD)+Xe`VT8iLn5HQsG~5(KiZO)sm= zDGZB@|6$rfP(OEOj?P_$$Q~h}&gD^wY$RW+IL`x{hR{m7f}a*e-HCHyJBFiO0027L zOt%9~1kO@YYo!|%$= z&F0+Y2%|As*f@+sJ+T}SOsvCIGeN~5YweP;UnGlH73Co;R?IZQy`WA;tY@V)Xc~kH zfs~ToRyyP}C^Sseak9TLQk)Qh`srJk5?dDB+LN^21n1$GsMyz(B@H2;EAvo}DsARMY7 z1jfQX%A%XBFHwzV&MV6he%P{q9rB}pLvlo%#K+zJ_x?ohjef_9je5twV@ zVDJ)FB8?|FZU=AuqI=Q-5(W^xtXmoJYfDvXw>RB`3%=R;!XfKS+0vhXYI^aNWJ=ug z-n(73?720FLFK7_w|(;so;(S9@Ej9|E`O;;!YOEp%zx{~XgUQusGtYZKl&598=5Du zlS}nS*=J%NVSSO6Tb@$|V~~xTS5li^(~=#8SPmKdo9AkSio$#+68f+|$SS9PUQCEH)vO6dsE+r2}9FHfBR z5E&qZ04TO24bA#2u(^^8&VqCCHQKz-Xt$eXR3g>;k5UF62XXDcTZbgUZ-yhJ%@^H zs5Tl+`b3pfIJuCsOq-*4EV2M@oYgrd1RP#3kB&73md1eDTU21DT%&6#!g(XSNp30) zbUCnIYX>9dJ#{k@g<6qutep!tN$EJQ8xJ>Iste4+ulX#$aN2*Pba{9d9j(AT5V=*k z>{(=Bn|Pm>OeDYeYY{MVj1#e{*4)ho?$21{fa--~hg*!Hi`Uv$xjO#99$O1$y%kxr zeY6y_(e-lC>j&u>mY^jyxX z`tL>f$dSDveAsu%7@WD>TqA>le*xy(k8Fu?k&;m+xc9XA-PA~jl7)N4#7yNAIEoD_ zeLFAZDju%*Zo*Un)`bUJV@4v4!uu6PeOXejKWw0Lo`3YaHk&!=@^hgvr16RE+-$Jx zKCs)RI@IJRI@3aMvx{y04rY}MF;0f1;Lh@6V+Xw%?Ymj(xd>7o4Z*d#Q@??NqkUeK zdEMa+e?&p|i&LBs0~q^9vYTUECb9vOzB!~DElqf# zTv=dsoYPrVC5K$a@U`c0jyuFc}P2epy&hicH zndHU+dGbDa)_qe=5*v21^V`yIiBc7$Yeo`iQ2;RY^aZO{_5Q<_W9wW>k%x{WTq-Hg ze4e!u`#?TBImRHF(0hS#-zFLQCdGq$c25eGFnH}P!R0)#;4q3zz=IYeW-oTZcriKE z1=%l|c$h`!uB|=JrXQjw4v@bB`Q=E@n-E%E!gEmOQC!m;ZKj;0t(S-|nyLA%Pt~xW zl#DYtf%jX(5+SpuRY<~7!Vr?mUrzHYFQg$;y|H4WuRt4NcK%ZRjiL_YAveLl$c4De z@MDn6aOy9ml@ls~;ESmrZh#j+*H=(bS~|3K(k;f53GFjF7+R z?jf?x>n$mW;(7wtg@R(dVaam#yRh`cOCX{jqCAwVpI@qo$8J6TK3-C9}Y z@^`bNi@)5!VhHpq!3Xkq0nVMboBBMSzwxavPv3I9jJOuOjCH${cDPPD7aSgRZWXD+ z;7=_YAeJ#9lBO|={gL#eaGmq>A=>z8@F(>mu_=E40G38O z4a0rYz^J>M|Jr-+LN=y|p<|I!q#pE-C$p25u}Q74J>Qs81|SRCyfK*FiaZ6^E8VWN z8b^SQ=U@~SIdS5BpWdeA#Gj^J!c3ajP;%y1t@$t3gDP3l=OI+Av$O)+4 zs4q=I4lO)I9_AQ|DQqc>U{^}VlfHFrvr{;TP2FGGSr79kh4`&shYDT$&lo8Cgaa3P z*wnw0`ud05UGRcWU4PhRHlMnNzl@+SX&V+s=yl<>KxK@SoX2U-Ku7^H3_1**t|^{H zCwVSI>^=#>&bg8*a3(T|b988*u)`5%) zB;hTl-97a@TkKygm}7xOk+1}e0P|IR(HL58_GCi%rX~p#8dG`)XAjY-m4iN#+&nxi z@Zm;4+kB}6wz^0KlQSyF40WUy0emNrUk5$Rvg3rd*Rib;H_*TC`D@}Aq67xSz^`z= zK&D_8>Ta@o34;TPr>sr}04ON$GzL?m1G+rsX+6(%XE9$isd=AL@x+RxEyec%HMM`0^Q83g6hbt`!p8!??VH3NDkJw zl>mfRnkyTD-4NzPL|-s;0|7qF#oc{jkM>Kcq)xg&kI1;)sph&d%ybYQ45M7ybp5H| zSm42QEoDi23K6vOx~p=9r;#}`RPVuR(HEsGL#7@uUw_-L8BSO{BlwN-d6p5AF7my- z&6+t|3e+kEUCJY_`W?lbx@p4*XMQvm7pO50Io>LNuj%*BBpSUyIijOXOZ?q;J(rv1mly-dA$pS z-w~LC_{DarzTL?5Rcr-mxGK~#O`qLND5?t#1RPhk1Xn-GMk@Rq#a@IqjzIcp?`8e5 z-2~8oB~KaXB=QM2b>XgXw>4|xF;JdZftHa!KZD#7D(3YLuu<%-% z+L?4fT<1=FrBf(%i@GSJb8GI@-pbdbnT>ox31Q!Kk`Q!3k+>D#tpwGgq(NvtcvnGD z`w)T!3!;te1mHzH63W@cs$lo-+T9<3b_o48$T3dNlD8eO)BjR&ky|{$&#-9*NRF`D zO9MtJ_mv0HZQ0FYfjLr5gpDfSkSs{Tk+jCpC_doPiwn)@6->%#%U21A}d$Foi z^XQcqw9W_vydW?&;177XQUv(f;RBuVKWe32Ql^VKRV;qP@@gO2X>`X>Pq2qFgZU47 z?lAM<>_SrZuMt+Yzner=r{ATJ;oH4uvrd9khUmBrz1xE{%s8@OC%TwZ(n zQ_!UwRB1Dl=T_4%n=Tk9n8G@1DC?KoBGj>U$4dkB#1t@4(&XefHn$>MWc{Yv^a_a< zxaasJ!Z2NNEvwJcr~3Lwpz_^TzR{dkUXYtES)5TKfGT-6O#h@Tc+@|8C0cFOcra1}$gd8yb>vaJilt|k< zaDy3Vbp_0#-smlmJYfaB{SOEX=#hHfC%EdGrwi|8bEr45$Mi#k; zGmzCF{03^+4jMus&9Dkap*swW)mwa#9w0~LA(NSRMDI6qlgY>*OCkoyroX!hDYgDb zJ)u)Z5vJouOel5c^LDWoKv0+TK(-ZeOT?LsX56P=H8=7>_V4eHtwBC=6FzA7n>`|` z91~t0oJFl4OkP_%5vU*@jzNoJp z1w+Z50fm5sq&pMKiOFV1GcLUAmEhPhCZQwsRv6Z^8v>LqFx`Otc~|vN*@e8PJcF$se(+oQ8fEV6LCZKx)_t9&h(xsYp| zJ{DX}lb3WNQIG>ure_D$z9O5`#1X>1>O4Z>IjTd$Db!XgIh@pPe?41#-)K~XtChT( zaK)(GkMQM<^`oLDN)b~wJ!eIRxS9L1zLACZfrL$%ouo>cEZwz|^dQcEI6qkia4RQ> zwz?j@&OCFhZZW6^eV~9rC4wm_oES<>DMnR_V*9yvjwoNY|{R z*tv-ECL;Lo7-xJuJUC<%yKcv?Jhr?PKQUEevRI3st!(VCqNNoFe@D$$>>7h5 zCe3w#SiI`D`4WAX!9rcTE^-c~r7XmFB#CK@j7q@TpvF;`nAc{2FPUis?8SWSMdEB2 z2Q~MjHv~L@&f1o{Yct;c6shJIB=c}gS_2_4v$O%7rYpFCD=!3Ty~Z#(qTU9+m>trg7XYV5yC|$Wa4V~y zK!_5LxXWKsT>8tM|D+*G8KOQ@d@xmpq^J9} zknPGia z`1|DZ@PkGmKx)oY+16^8`j4lw3#vS;O|D!(0eZkK%u`f1(i~eylbg1wD%jPgSm9T{ z=?S)N(TY)h^tsLV-)1e#)qKlP(G?AXV+d)V*klTT#ruaAA$Q1D)>W`SXuOFi=f9@n z<}B1BKHbZZU7j2V^*u&${O-<117nY*A*W`c#y1^I!fbv?|HVNF(k)8b++9!?`TpJg zcS%j;{s7P8K78Qld6cXxW+j;9|MVJBL=4`6TfHjpCy>rMO*K++HK2I-!DMcOZzOol z#B@N7Pib}Nx3jh#$XUWkMoG=T{X$LyHN?yhkHyA;ErYzr(xh<2Ea_*$sgcy>ve zda~sMyV@H-b)>rt57W5Y=cmr1!EvQ9S3{cRr@pDBS6R}nNwXewf4x!nTAJ>MTaVU8 z^@$zhw5p%@$!Ea^QZSdIE#Qp{7BAtySc zESnbuMh5pW&`=YfM*SI2517*rM$Ed{+J;oImSh>F6YU9g8Qe^LE3u^~p3o%jsr6N{ zbx!umFd#U&^hHpnTHW;;Rlu(n3+ka-*Q5sz*;o%a&X=m$8#GdeIWKQL$1U!)4N( zr7&Y@`E6d$Zk>|icBMT6q?FY&Xq{tZ56TIda~(?(kowK+g!WOKuw6)~LpYw|R%iaq z#qSZ1l!f#&WYKN@_Mmb(&E=-KP?{n2INg=(L2b+wmrjrX8vBrP*SMf;zh*je3l%-B zWZ5Aw9MbLJCKO{pOnb*59|X=lm`@&#yCr~9e)_m`&#NCEBT-j9Nuzo7{z9c z|NHR&p|&YM~`y1#zU(<@5*W-#jBg#D{zIm~0ZC*L<(aZbP z_ioG;7c$I(+W)~oG?Wp$?X3@RM^+yQ!7_5c9gf8hnr_%y9qPLMG*Yn#9!OKS-F}7Q zzBN{)YN4&WrA)5@+?ZlTPeQrWCiBqLa8Sm=bYI~$D)x~$m?+~^($5L?jeUb#ab<9c zY@bK47E0VHFJBttmTZz;@(>K@@GvOjx=3JCgbmG%XtL6eY@K(1xP=lgjPZdr_AL1# zj<@1;YJzMkKMnjgEcYCtb~n`-HvnVFJpFBdAy|O^AFn>xp46}SRKdwLINiwWE%A&+AL)P%qh<42TTk`0#s^!F`3 zILy^P_QmzhvUNBEek%HuY26Q9nATRJfUayQtlHB7yRn|eyaTYs{n0)~BRd6XZ?g$m z7iR~sa`I|HY$F^+4lE|dh8eTm_2&I!9u(fiW&8=};E_ZV3i5z?2<^@(Ub1L+!jnAH zoi45w6~(hOd;O;^*MyBvL!Acps3U}VUPl!s0T&pk7}1PW@Kw1eu_b^l!^PL41g!HGP-61p zh+#~s3Qa0EW>gsES;jh6crFgt8i12%=mxZQr~p+!s=u5%5Kg*QQ)qSpxC1$LlX`EMb=nwWggo+M3k zs|fW^e<2x1WB$6osDVf@5)Oil;OTvvO_^<6&xJ0Zx!#bPdZrj6-rja$(}KsYE?f}) z{{hykFbQY14kRtCcKaHH+_Wca2Zt|alr6C!q(Tkl%_QK&{uxy3wHxx&Ws)D0Z~v~z zCSgp_ULy}BV`pS1Rey2p~;!IB6;l>}4d6PWZgJH$zpVN`%Xr zk_HAu-R;N?tWN%3aRt7j)KKlprXfZ;@bTD1B1dIV)qu(iKf}o z$dIx`RhsC!%|zFkP=`(a}Hd%)8_FJbcRg-S}U3jKN?yx`nvVHO)%!X~CFg8{th zzN`I3R7yj9fx4a9Oiwz1k@mu60E zd2%NvNfW5UgfMqN-`w!PE+R9Vlpc7f0Wk~^Rf&kxJj88sg!j2C@{q)qo^yuONz79o zKW+{W88-G9&R3(ZN?4aWN6UZJ_@3Y+L-~lm_tCaGC(oPX=KT4r!q?!2S+;^1ekwc~ z=(1rxbQ{Of!)09HH6?Odls1e*Mm0^XhVt*fpr|fyI5WF&wNwJ-*1cXAl0?mdpc1LsUoR2j6dM$tg) z-upk1vb*Z$p^i>c}P4 zOnnNYE>0h+vT~pp!RYOS+;b0+e5dhPqxH7UM3Pt~1o9OB*sSs+(~omdVJBY+BpFhVI-oK=Sb3^PZT0qP#vdyz9%Q{xpyJ#Lg`Y$IVXj7yv9 z0AYOlf9`+6|1okO`^1EOQVuhKc^x`>tU|)k*MNh4FFQg4GQcklPvy?x+U#9>)~>111J|p#p5haSY}V2b(rp!WIss#B?t}?qCZHf%!3% zp!o%!f>QQL!SPxoeuNlifg%FM5nbq3+*Xin?#AS#tSyM-@fcZ3hV>{{zX?LMflvU- z&)_QF2&%Do9+7DR`m=(tNq@6h>{0}#g4!=c@U(z9eg?(GEAt^=3I?42=n6AaOV)dn zR)oshmA^pzC3y&{!U6uuZ(5L*Q; zERjmR)3V);km@Fsd!wpEk`qcRZ37%G&lUfnmOpfU1g0##}HN_won2n=SElig+^${R(rTe(FIqF2`)M1GQ z`?!w%7YVGM0zs>o&InBn^u8CLe)3+%3N>a)dI)8+s#aV(rr1+393cfdEe#>_JX_Ii zqP{9LGi3T)tSJIQz#|JB8_hB#5W+v1;5hIfM?(cPA*|}sY@HXJj3{GjtbKAhH5Ce{ z&<%Ks%tVYyc#T|=+2CIzo$w5Na|k9>#`2EhvXbF4yNEcSDNdH)s>b7!Y(4@LySQq} z$E~Md#WXG5pBzW;-$}AwF1H1B4d(p%8^bEY+K8#AR;~<@jZ~eAyw}s^?;iT)zI);B zBQ(T5+2M~RdykIy4K@mH6^p8^L}R9>Xo#mwM#U~kAu6F&hWQrqHJ&k~o=hgEBAFZ4 zu61sb3yAy@B~1)PSj49KX&Gv?S6*DS`-SGaI5)*J@uBs0I>SfmYbe?!Rs8hRF!9}{ z!>gH};PEx3TU|Wt?~q9>M6W5dLLP50B!Y25W(^0#Xwf$4=~#%DpRFaC?WwctNDF_a zxTaH!8aQTTE3(k$-G8;R6F#WuNkqzR5E z-CB7fPP}}{rWZt@9X7FRN;ItPd%r8|qcd zsMnLSl(>5~b1BRx5?CGC`a4_+L7oInsQk!tNa-waX^P_nhmMVFi@hhFA5C?8$OUe- za64MFb=*;sCg4WW$%@2wFpmf9t))>U2{|t4$yk`o2T;3qea78>LrbOV8PgOXX9fo4 zu|9;GTV#=d0707>{385A?(b&M1Lng6boaH(MT-3BN2Q0gV7Hi^?qVXtEh1fWMJjQk zDaunDY0urxhr9XTg2(c*st`hqmX`fw)Up;vU{bPDul-|;;+YI&;^nqiry$eA-;Q~I zvPk3TEclxh%2H-~>=vuzfBh6KX-%IW#Zbt`tDA^t_*Z4$6UIC?D6(AdZn6^Q$9>5Y zKu=4i%j`%5OL>$+FVw`SEqwnHUA3)#A^aE!+fzwKH2Q9E{<`*nZL73cjoQ3nHYbLp z9}X?u6xlowk-HA8&Lnk;H8gppIKr1T-vx`ZzYxH>Na282 z`a}wN^y7f0dT7^BdIGRG8sxzU_RHIUKHO5oj9)n;hq1}hm`%fb`Rz!^qYAjN2jS|- z;8F)Z7IQauor=IGP0$+al-_CU7OjOab8N;qIjrX3%LlX5?l(k9kTn5e7Qe(bGsoFg zFK*K5UnAk281@MtN6l^90$tw7@ECAlcy`2GspK1hPrRjGdTbuErAAhV(Ya41fmqXb zD=Z(Il;}?zyTKmbakxcqdvqpLRp{0|3n*fk9 z;dhmm#$bysy(hGW&yF)JNw#S4j@#dQJyH>$dql@ZY%e=1skN?9;eF3?Fb!@HgolD3- zpayVaA0SRqowcZX zd;Ki^;*VJSnn620jYJ85UM-bXOtGS7Uw>NYQ7#q+0Tea96VAH#zAfm$?0-VwzNcQ6 z`3fm$1^GUpT>Kp-0u{qnf=6C_IoWU1ywTY(*KQ(bN zgMm;Nb`6U*olp}>QuS6&pXzRhUkIS)SuBUuloH_y>c09ZVl$2b!1Md}3)%@pi(fxO z3cIW(5vq%mzGI<2p@7fj__0Q`FizhI+fKu5Xhj59Cet1rJX?U471$P*3Xr!$z(0#K z42Ou9Uymu=a??prf{s3YwxKJ3OvuFCkMUt|c-tklF}wSn@GKlKNXEDg;f3Pz9*2Y6 z;n-zAm2HHsC2k`a&s(P1Zj+&bt^@ZUZ9eQ1&A@*KmJ@d| zpbyC-BC`eo7?H4Q7Jdb`5e|gC3_QxQ44d@7ZnwE8048Aal*V+Bh7YicoW&bvh-9_P z*1osYtuA#V50(2-!&kyPS*G$TrhZv1btCI24f}0bp0PZ|X`7n0^FdH&V2h#?FBz6& zQ)d>nJdMA`_hlsO!MGM+QF-bSWhP69w-jzj9vEWBf>OI@fwy+oK|;LXBVH1t2Y2i3 zfIJJIfYtIRU6g4BkQ&p>Nx>?gZkw^`kV<>VP39cPs;G~ddYfXuFhcD|bx%xil=7f* zF@7{Pjs4vx-Gt-fbSKZ8KYX3?4ytY~(o7vvm5ci@ZXoH?<$~@lW zsp29bCZUyLc(3*4RA#I>6w<|!6Xs$PEezP;LjNE|GQXc7Xk-$HufOJ~b0zA9Mm>F9 zRM-HQ=zbn1hVJ}7MZnit_X@n!4Jz5ylQib(ODcmI#dyWsPHc z>=p%E0G6sU+>cZ|N+t@NI@Dg@O`h168@U{7&>XFwsw1Jow(~Ug zV5>DpE^N@e2j~(y-NXomW?XW=KQ+cMplLIWmoxg}Nr2Ae>JfDBZugQ70 z*NchtuvH9mncP#rP9Wp8JK}Sm{Z|iNjhbbmJ2tHCMp!&hmNk}mL>V&FR?JmQ zDb?gQ+LUI}Med0D$FQBpw@mvNlU~0|+sjwyPj)Mp0gn9J%#HyhUR_xP8u{VqZN6gN zVx-_c;2UPh3dRmFaoiWn)K%iCZh9tmDB5Q?um7m770SL!4}!D?j7 zkmWP@1AnluCTwN>xn*C`^J#(FZVL^;H`1ID3~lGpz1d~w_s{#~xspz`oHvGYEw09& zi=PN7mZ#OR!rG1>^N7^Dw@ki?58O3!Sl?O==cWv^(M`~bwbo71YfqtSsI^RF5veg0 z5ZSn?jCrNPROOr@Xr6}JbMU$&lOqxnu7SbnisOO)P|Q`H`Y*a6Ysp%xFb*Y()HxG$ z!dEAERozV2_otg3wS|+&!XgUwPh8QgU&@hAga%iTFVrY$IkU}9TG}ET(anblNU`@% zAXA;J0Gvk+67GWSbG+&~VtR25>lvtBxRuH$JOd=}O9yLI`)CQd+doa@#yy->P}icF z)FsUnOySHLrC@zk8N0CJX`g=p&Aqd)H8m*#5~W5({YuCiaqI$TU$djJU1Nt|*@Mq# zhMfMf83E1v#f2u-%nLUf#l3-_#NSS@4rux2{aAjE+}Po`?rCtOT0+G{o#9W$EL1d{ zuU8zO+;XnX_z-_1h_J{y!BsBeYl8o6=Px>$Y0R_SnU-yb+r%}~83}+6z@0`)u*8(> ziiJk(#2R3lT|tG5O{bI!;rn_mlmsezKK9t(;qes-8-9V9`SEgfNE^*9@E>{>PN4hR zEYdXOPrl$1US-$7GhUSyZ7(#O-}JQQI>3Hy8%yPT|cg|IP> zkr{`2pok;7h^9fU3ReEmHb?L35pM6vuEt02KZs_DFV0_wxfZ8C@e{1)=uxYOtv z{>nl{fa=#33kko_$@5Fs%vMmj3OHx8zp1!+ED_r1A?_s0og z7+jQ0>mm=L=hD@=U%;+<-z2B9`rGhlLX|FFhVeo{*%5=S;BHrcXsO(Z7@@W=y-k^@ z2H8*w;Z8mNUTvsI++?Kk00;!u|5tPS;A?=3cdpX*pCCpTBUZp7@R$nZYpsVSL6Y<{ z^l}1(yc7<_87HgflYIUO9-;h`(h;(~0p~)|cecXrbWNjVp)y|ihavX4^u_AHS*Jct zcJ|_L1R#V!y{|PlbV4$s6a72uNmhk2+7=3%?YM&YEfXzXi z*a>_Aig~sd!4awD)N2=Ht=L{>an|db-=)|72(pYkUs?zACwEjC+urC?Lc*02`e70C zE8^Jeub?qK+~$vqK`+uHSde}blDP*RR$nzM$V2NObm;bm{G+eIQOevhQPp;DWJ0p1 ziDp~3hmesC#Jw7Q)xKb=zCr%}7icK_sM{k=?g#I~z7=)trr{^YRVrP5mm+yLmd!c0 z#a2w?xq~wQ*ISx@e&*pcJD05vM79F@!ch>D!zLkT8#xURVYpDs?Mcz-WMnD(4XUX* zzLQsLu#lY>Umi08)<&EM*{RRr20|`(rU@b{n}=UmZlVyy#u29Dl?hAUuEK7#A>NaA zF#T6|f6k&NA&@#l^ch>_Z~###bz?v~t>*OoE<4h4^E>x=UZCsHeK}f*GH}Hkj-T0O z{hrb(94}^{BR=Far}{&`z?kX`QvgphOJ^Y|Qf7}uAcHE17@j$9xO>HDe~6JdBo1v3}pgEwOSm!nwsY zup?25o5$Rn`xnc7Wa|@JR#7EYKV-eNTnTJ<1*cZRV&?oF7pl*~$rAG*Ud^A%G1;|s zZKhsf(1@I+y4YTSVaBYzacuddcq=wP(FLO=Kns8I!jB2?OVbS0^9;ku2-Hw@OVk|0 zYuhXE<+~bYZh!?RsK{?0r&=O`eM((O#nEZ|ju~2|)T6&;6dvM&<#SaqFYXZVXqN}q zWtqUw-VN9!m43`QH+q+J7o-~ZQhRGjyJU!oZ*eRcFtW#cD}zw|E3SZ^jAxbRkV0*9 z8hvw}=YUN~JUx15OK^@}$kGDV&XF68?FAeoc+6ohG*is^+q0F;$d>L&9w<_AhDei!v@yO}DM8k;d@88lN8Z|{H1OXaG{Pi*# zzE~SlQx0a<)^B$LT zT*kvO*Q;K`j$ezs+2eGcLKSB3C7F3J_f9YTj8tgrT+jF+y}y`d*GdOo+ozktp-*)E&d zsb-EMFbD;nea}7=_LpEnreIc1y)ddy%St;NCL8x3WmELWU?(fI+x*>zYT1A-5{vrcHd6v7%VPwqw zQTAz9Ug7QqXPPv~V<^5e*P|2w8h#*_gp3_&zl11z-oKSkBJzdU2?qMQ>H{x?(Rwtx zG`qPy7=I0Mn<0b4*B$}UuCw++c;T?C-(gAByqc{w_jkLgJjbWe*&nBNG_vmIuZ%UEBCO$^zO})^kZwN##E*Oajz17Q(&!RcT~SqoEm{RZj;c!W`m!~5+d15_h!at zS>c^5oW2cJGv7lZ6o_6WrUfPwGl6iLa`HC@BfY^MHQjWuC=nh8dHU(rw_<5ThyKPG z9#+F#!eh0Tgkmb)OLufB=N^Bb@_WA6&ibi=Vc?sBK*;gYs0$&dFiJ)(+V9=v&>kn? znDMu$PcT=bqVb5rIUjRQSX41ZG(=WYn0OjSM0kyRU5#8kW&3U`ExcBhvTG+PG4O3Bw*8h z-vthL9Gx8FryszEj_$4dr?W|3-W$(@*Q@5pL$eP)?yGfTdKF=|ano-lhH~0~h{fdZ6)b=&x$cO^_`185-eiOa4{WEU4B3 z^I>;cSc{w4er4PeZaX^9hU7#<=%;SMz8R=Gdou6Luqegn-g#wwnnLFR+AeH3i8Qde z{gX?wGXugvwvkF1*_cptcFHU$T}6I9h>DUA)q`?+^BfD526CYG5f@gFOj(h;yprV@ z_iQ%IG`fYkT-Wq{vhqnwtGQXLQc4x>UsUZ=laU8Qh&jK3*a7S8X_TI^=vpvr`;BVn zrhVChLg$*g85_5$R?g=D7AMr9wRPP7AxDG#X9#Eq*mK&<3>Sus@%Kz6Txp#(i>I{k zC9kCHsgQ5P9>%fk+&R~feO6lUxC}RN^40t$O6vSh=ikC}K!cJz961PeO4gr74M;9B)5W7sd#^({~Q4d&!-s5tJ<+!tzM znGW3Xic&)#MbAQ&8({3S7Ju(bD1dR`^n9XK1Pc`I9f&qOQ_|Y$Cv->3;0vr2O>{iE zQx_2<3e?NeqG}um7}3P^AF^5s0=XSDaTs*qGstfnhf=DPpcXeE+&dajLNN@@5ZAtO z2WXA9{crfkaH(+{1q$fK?`t(pb1#T;VHhbE0i${5vj&((yLxr=x8w-N_ft$RQJnDz zo$uNg*(Qy1x$oS;xp6iBK=^PlrV`L!(0^`~iWPht_9*Pnhtl-LIYt63K}Y)g3gs#;dQ&w1FNUsgT-c8~Xp|x@W7;5U&$Tbxw|pw2FzG7PrAO~gpGK?iKE(oGYyVa zJHi?7Ax6Rb@8k+<)3BlVe`ZQlvGOo~wVtX`iA%$C8#$96kAYvUVT;@n06ax$D3ErL zeU)VLOY1&%5VDW=uxIP~%am>q1E&!+?c87Vy2H&s2X1tMoe({LjD&pNA}x!a`?+(k zHV93!-(as1&$6|=i!sv+^o)=bq`i|rggY9y>vcD2eAkcRLFU5tPH0w{V7R)M`ImJU z%511F$r{f%%f@Uc5b&Z=BM zmr0d&ZJ;zEwML)c7z{VoX71fjjz=pT~c1BFn*#t)MQ3BWH=!l4Elu1+bn@Id-ncz(^g!>s%%ktFhA z&UbAH#~~=RGro)p_#?aGnnc6$E~J_ zEs^r|UZv}dz_b@znD&A~=oYL``sp&hG~>=|X7M9?UF%Q+tzDpVNER%3Qa7gBzSZ6c z{+XNF$(;=QHnJO##VsMb8mLG3vTILJ?a|i-qJCk?8Lm*Dbv@_GI<+dT)l_eF?RU;e z@QkWPov^|HK|Xp%VsT@)P!0Ue?3MqGvg1MSwY*RlQoUdRbR=fL+ZWw3__Se$0+WXk zGqlg^IQ8-H*^QlABiSoO&{DXD%h;;K0N`KO17q@9;^*(i^!iQuGD7TzT@D?D6;$D1 zKmqsP`$2grcAQGe&t|?o!UM`}&brme&45Bjf-cd{7Bk)|r#^J=m|KYT?Jv zmTzI;&rZ;UJE^p7SEKjsej5lI+T@-kli;yLaiZ7l*v@f6h8{pQmG_hwA70w@U|w$v zFe8<4PnaPzW_}p&AUF&RqKJ6qP=RN8KKHj}vkwfz8;y>{L1IeI3z$&>)HCiZnkR5Y zk!>t98wD#J;S_g~Vx}g*iJPK`>x1bb&oRB76d4HvEs@D$LOfEl)wLgpG|8!~fTc6c znEbvhiHa;~i9(j^8`Urn>y~?Vt*#j3c!9pd9T-H=3w~| zRH{_)%h(VN^!}LR&A{0GKNWQ z^DBROUv<8PE#oC5q@mn6G6>7D3<$8liQKK*ZvQFB5|%>LzU@3qE>&|XLJb2Y&;<4h z1VoXvt&_{5^~6iioQ5gqbei?Wb}nE=j4CvuT#1&oFSndpHlD^6p5RxGqjMWDz4Dg8 zBZbanO6U>#sOeC@KAA^=lmn*lUGj}=Hb=UEQy|vguO!Q%^4Az{-jGn|#kJfvXP+xE zEd~~mh;LD#Z;6gSyNW-@+oUe$41!)P2uH!SU}kr$oPPUEQ@PI$vs=p0^;uvlZxo#C z6f-?#H6t`rI-f89kDDuh-sGtx?GM$J@}Iv^ox6wVMVWP&VJ<+pX%{@*L!<%o_sPJP z*N+|piU5mCC$DnERl8o)&hT*L`@s5=Xy6K-%oiyz+uJn|a)MY9NS?~Ke#`t3i(XXc zhpFMCS;d2CJ^W8PoNrQB&wzb@f$Qtu##yfY%)YXp z_eW(!wF7g^d1^7lZsX8zrB2^z!K|#7%$*V$E7KoYrI(4w1Dza%Nr`8fr+a|zln`%* zyAw{DUYr%I!ieI#Gw&1#5Lu@m+@YNf3j1S|VQfx)oO{$Orm0g&Oo#IrNjb&O$1^}w zA!5MjHU-8XRdzy_Jsnf`m|EVufuSN*rwY*KWu6+gfu&oz>0>)n@BT_A+PpLTH+#1!GjYO@K9wm@;p zxmsS)zfi^zn>~u=BqpN@y~wPn4nRx80tV0GGM~*C+I&Q=QR5tQ``YXVl@6D9mZ6*h zEK3qYpz8bq%o|f{qO7P2Bbw}itS@A8*49!E#m+}5Z{qfowCoC<;2s8_)GYoCVwm z)F2&DyBb&XwIGW{;sJY-GRu3f*Z`dmWrKSA^>Wu@+^zf!PBc(sa@u;j=q$EIZh;D`TLtSkQiMxiiU; zXXVu=ho|XXO!pJP6Xs&H?>(5fMKhpfh0}j_p&ZUzmjjalk}afKZU$m}U@y>@aED}E z)9#M=a=pUu8PI{MK9%Zya8*$wF3jY$6ip3$;S~d6vg=|%GvM$`qjyu z%fKN}WYm!ghklpe{p<6>iddMPx9-NOfVJZOt{N3~YVo~m4CYl}2%ZyXaVd)fHV;tz zuwJMKQweVcWDszpATWciZ6te=-9xW9QJ_z*B9;Wv)XI$L zEJ55BMe@ok{G80HlYqF5%Q%{WI`erMtMxy-x)&D%+TjQ6|=At`A5R=9!Wr;l>_naUn4pN#Agf| z#|@#L?pdu%%L@((MKI^VQEAPw+5!XtL3K$-{lS|xm3#Jm=-E~*$4F8jduWey{Ub29 zmrx=YVU4Fc9Yqaq>6S)ZlLot4?F{qOBQs*j3p2j;Q6NZAYN*9 zs^~{T^>rYatnS;_g!MC;8sdeZbAHtGS2HKFqI$FVVD?eTBwqW#JIv{jr9s4#^gKb! zkehE+%&S}E^B3521EY$UYdJg_IGm{} z@Tmt$QVY&EDiuXfCdq;tS+fiwN)3gHjFc!Uu4x;R`^z;6EVfOH4Q9TxDd1eH5wu5V z_dtB(eZh0e(x)XYdVbetkU4nw-UhFVT1b zXP%3I)K{Y@95iOSZZr@cqx;gCu{vY_IoI;ORDRA=2M%R?Vbxw%*`x*QFTMvXWsB z`tGgKL>ba*jroEpH`h`5f3K|Fp7O+60wGj^33J^-HYVMIT=y63NRT2%LU3uVz8ye7 zGm=O6;Haj;BLppIfTtvYPHX7_srR9)8N3&6MT~r(z36`F>FIBt7+tE@NtBuOJD!TT zyChNOOfyC1wANAE<7QxyH^-Y2>Bop1%9C~3-W>aTtd*9p|H3CJex)1sV z6Fd##OG4IvDjt4b>(Y(ShV^h2rXSug@$kHIVGQPYr~7@}cHn}4v&~%Jts0`wj0(cZ zr|2f?1%J-bKgHR_PoeJcMmoAE44-0VUyQ%EN4a~~=E5dL*^HIIN_^FX^bzipLWWJE ziGq_Q9M}nK4qxA{kuLDb%Tx1GFT-X&$~ARq$T|khvUc2`_B99mj;pN|L793KsCrcIp4-^uaJeL`{?|_e7Enji+ET;* zdD>vHssCMVn!09^WRX*5BH|R}TNtZNdpBWCX_i}+rRp;Z>e>Fo2=#m#SgaUM)z}sW z-hc>YiSUO z$zBS(xJYk=1u#JK37u+^VtTimgo9M!M>s88Ax|bMN*UKRfRa<-DI8Y30?GFys&uBa zLd0sD*)NKI(L4Dc8nanj*u+X;lXx?Nx^ z6}O`2^N|_>lg%!J)|f9slON|3u8UanZbdtw%H+ZPWzOLvY&F%}r3E$z`NAucAU@x( z`Jn5Ku(q_S@t*Qxj-7}@HbD;ak#fTb0b;$+G3o;Nzp-5S(pv|6C3_2!U&$9G%!5yR z9u!_Ur8;?_sSf^IFp;^jy^pE`t!t)9k9}Vl=lnwFP?Ng?okz#h{b_=DHF1~KzEy`Y6sn<4OpC6W`tAMI7x5Do z#UYWPaMN;=zy+t7i<2>OAQMMH`Ja}s71%nS%%G<3*!`>#i_jct$);H6zN~h zElE)4x4?M77N}24PCxN0;}fHyNu*ue>vcT7j;JwD2{A+%)bFKo!1@+hL>Z490M_`= zHWZVbDX?Vf{^@?wS_T36om5$y;U~v?-H(XC%Sw1tFYDxqVbEfDY;ostp6~B= znSdkM8}8QG?#DO`apa|#lC;vu|F`59=1rDfBa>HY+;MD8tLM37IOy7;O1x*q1=!At zr_*IxAAbijr~7Kry^Yo0mPw7&>tsPr!~sVak}-FHb%(gyTZG_2z68m(+{5aad$$H>6f(TeW>5!^)CaGaA#$TXrq#GU~& z#5LOPtnP)k=^KhBS-~>*V4?Lxh4qcBZ%z}fSygWG-28+>T^H5e7VFyDI#r8$p)YhP z1SW0eO4z(r2de?CTD44 z(yW`f&&wHu%{> z!qc6VMKk5=%5@)l|JR+<6m0Vn2r)F6iq(?&&9VaV%)Zk^ z1E?VK`P=K2RqXg@9_@5jc*NNJu-YEwJD=L|U0@s=i114`uUj@Z;1X#TJH{_Lw<_qO zwMwm3ZQO?fP??-})Fj)uHv?%~;0`{~Dl9SeXbneoFKdhh#^Ul~+79vSCn3i+iD0xfwoxB*Uo?YGX^Xm)4&24i zpXobn;#j0YrZi{;X_yNF;BDX{`!x7o4@7BPn2mX}T)d`5 zNh2`YIxN(Hujevml;zl4GLifJN@ot9DiDV7s8l!^)nM2-EIh=Itj+d<55% zzF3hhr2s?gRV80$4A=NhPjO?~oy9IIw$!+ywgXZ%2bWu@+K2g&4IG~fbW)>Y;e?Ip zw@-H~iZ~+Wr(iWv8t;&}tj*Ha>wn!%W@=QgFWm&OhXs#-={w~1!=OUQe$=)V3;L3v z8wZDOYIr{gJ2(x}RWRMwjUyizoL`vggb*f8Noc$Iut{A4ee2#@ZWR5i+JpZpndW|+ z!m51nT3la^aqs!%57sX>w=*ZQ_+ZjDh(1D=nEJLA{ziXZK2Dg!o_ysboEV2Yvu}0A zz^0a!iy;X>%Y*u)JlbWoFb3yK* z3g_t?uK+rV_icF?q?^VLk|~M3v}b;_^JRpzb(7rXBcah;Q->YFQHjYjc)`Td*3(D0 z?tH*rTKg!17_q4h>GQtOao*+f?nKl4K}oGx|CEe|X1+)@Mre+aNp3zDlY-EME$XAHN3aLWHsYraJatl<&x*67>&%5i~Lm8HJsp0$g^U_|a!V!IO2q;LSeGjX6x66{MkD(I)D2=Rt1#l^) zjpem;-g5>2Kj-P5Pb141u0*Yd3TP8vEo&iqIlXEJBGB>$&O2-psHN1b&z`O~T{o#8 z**eqVlPZRlGC{57z`k~PWhS)p)uWvX;`^O`ul1r%>QdfU{d832E9g2?+Um69POCkN zu<%4m-2Hq2Ov*lg_BW62xwquZR|&1e$OvB&1@RjvUY7%m+@_~FO~_V z{FEyr@v~67H3)0KOu5_l*EIpmm*v0riBz3o&OKFYu6Ig3;EDe}?6p}f-kC@&b1(Mc z`s$L5XmTf=;IU#5guw_7N(%OHd1und&E+ZKESslWcLPvlr9U*FS6GwhlCr#X;jR-e z|BxXjL!|38J2~hwVOCmL=G$-t3=L>Gy2gZ8ZDCLwzV9HF0e3 z!c9mp2_cn9*%q}*T?=xp4LN5(!!;lhH8PuJPID`xSO*b;cqt7*3TnH@M0K-65;et< zPKV%NrUXAjL}dKKOBtmtFE7LHlEy(bvsJYm>Z7gkW_KgyEBK2uQ7CBGQVG1HP(sMJ z%$G>@yOa`Z2Gi8oVO>(xna#h$h&c;~FR;GD0Dr{`>Q=v8xB_5>&KzZzsQ$vdg?$0F z(uY^>-97b3tiD`?YkeT+jkzLuZ9mZvId9RL!x{L0xVJ+dfrX0>!|4Fu&-7~4VkZ4> zvB~Qrf$QKm+ljVUQT(Fbd}kTK;|I#73M-(F)a#YDJdA>IOiF>;#`NuZKuJ6L$q)yy z#QP4>j?+W1p4p&sC*08+qr#!uqG0dkHUG7cCg)zt%U`a#v*`cq#9m!0A6gO_HV!z= z&avEX%ih5R?g2T<6so|ArUwl5!r)Jg%edA%g9==12ZT6xMUHlXi7&`(6-sGv%8ySh z1rWEzjeQ}`4<1u>3f5$uuEqA?2tHd1w!(Ew`jTmX0jaLSNK6A1y06=<`PtRE^X?iv z#k@Hg`5wU)b;EYxAFoe^g#jJ0y=Y^Pum3nlOV2msq~T?Dn?6z!hCV%pPFygN{!)vG zUk_iXW7FwY299Z)eA5U2L9Wzi!>a#Tl0_BXn1E|zqQLpRMqmf+B>2MKPTnezf+00D z7UfU{$zC#X9>EZptJnDot~}JjU#XxTis8}uJZ!=dn8&n@y(~vOo<35UHmXA*D1?5p z)NT_`2X=GemITax$Va0vJzjHY$oROFd2P%WOQWQ*6l8IW_R8_Fwox1HN1F6mOSCm#k=RU4O9rI_ zV>N_l(>mT5w_n2bn!DXulXb*$Y9xZ7VL{$I-MQ-=VeK4hwdmT>vz30cVf_$~ws7rr zE4XfA{(=VKA58?(>0;z?JGn-j0Y+5SsA6YdTD0iIqGa4S0#JA?$=jEjOK<*+Wlab# z8ch)zsruUWaF&kbGJoj-aGmFjosfXc2sUg-C@D1WRV zpTvPNYUuSQghTPSlHElFzeoa(iW7(%K*Q-{skG4ZzWAIGPbPpOwgPv^>!qT~V54r) zo@oG!iI&Yeg9O=BM$ZvX3w8!7Ra0~N1)2q!*l}pzyxQwvR)Y)U3zaSFzQlH4M*+$k z(S*|;4t;Y-Y2%rwdx(hqYL6aJRkiFE`-57b=-^>KNvgJ8L?`z1~Gh_YH8 zf%B||3*o%mlpy{yE#_@ zKacAe$xti_yE8^0EEy|#D>y~u4|bW-?=9M#UK-Zlr*F;ABTRsxQx)@H;vK$N(a@ps zNrO&9Kup_^!d+oKCGX(=T(v8p4K3S||J9zbc45=M=#G(AOI1x;KU{6U7;56V@iJ0- zce<^s7+^k!bhq6hF3a+#0N;S5P4ikGSLqt|;=_h2vMkViTT<+ek#Yy4dyNX2ZkXqq zcP={6YH+PX&U-}$OGFt^>o}Os7fEpP0|Pz^&QlXiR)mv6P_UxK`E&pE2dP0J7pYn% z6?wf?Coaj9)W2@)_Sv@sk=4rYlA~*1Er>-7zU5s5JH7oX8M6}lXXK~9=&DLxJ1XAH zad<-0w`g~b6jqj>wwle>67atTQ)|N1`BLY9jECZ*ceQ~DOpnt`e~9o#xCt($=bd^O zo-y^=OI_+{3RcL~7mQF6n!yC)-CV5fkYDc4QK2nlNnEfTK`iG7pW9_%?L;M0@$xWi zQyoq-@DIx_Gu0$iLsdKy(%Rp>*YLho?SP|y3o&47srTwr$H}l50P8UFbC{Cw0l0~) zzaYzmBLvIXXLesaSs{*9Xxq!76TU!Wqu2E#ylgufND!C7@}cg*k{}Q@JMFm4&o)x% zXnY@-we)w!x$^0rw}4U%$-vEv%q+bXnWMf^EI}r>!(FLLJkx0FGCVv~X|G>kXrz&r zFZdW}2d9SyEjYg`>IV{MN`H8#L`=6d7N=r;M+m#!lqi^s3g>T&c>6(#ak1S9tyc(&qDCaW$qah5uy#JmPza?K%B^XNYzFwM0wHuu{_hfX$td zGE}px&t$w^@n-3yn&o=yMIcS+{~0>X;X`tCS6PgwW*d*8{R`auopRo6K-8 z57^DwR03eS4SM$m@%0E`f#otklqEHHig}VXJ8p=K@?r}C;E8$PE%+FccLY7m^8oJ? znn#@*`6wy5!c|V*<8bm&I(0D!0GcI!Fue%0Dq#VvI{iUHz6jLH-22`NS~FMnY+&OH z?}m*DnXRivWvzl3SxBeuERC~T62H%I_md}!@7QjadDvKNcvdkuMM5ATARuO8Ffbq> zARsh0H8A{hb2g}B$EYc^e(p*SVq*)r73b!9#F1YwRlKqg@v<$`8+gqvgOkm&l= zCD;7j=&}LD#md9iTTvTwU=pmzfVMcgR_xCMUO)%^h8%f)8E6Ix&>X_Ucae!@Gx=tM z_Vn8=PF$W3KWrlO)8k#&n_=}AaE4@5dA!bwM^=35v#XDT$e=qOp>wl~ zI$f?7@y5r@Sbj^hRnod&2a6cI&|Vz<68}@vg;3@kzE~$P^UTR{AtT_7)346jyaN(kBs59 zmpHk!Td&G>0t9RpA`$KXupFv(y0lbocfN@=>;#1lF*eCsqb?K-fwmM)zjBm=4Fy3s zrczl)2uV4B9e-f2)b8&p)N0&+ER(^*%}}kabqqXEPZJekGqJ)Fq{sJ?OAsx3s0n_R zb~9Wj6CSqez+TP{_%74z;l`^dxhQ<6@f&|Kf`XL$d2E1zsA2?A54eVl=SG)@!uH?n zVE~_L{c5*`k(wy9XayD>d5vr8pVoyi3>`i6W;kb-K{ikBJN2*W)#G3?=a4B6lBvkb zd%Tvlmtx5OZ%6v-e%J>3b8@ucG_fe#v?mzGyud+Q7kzikp@rT^)laiTMr(%Dy}6bU z4?6>>ok+u7-CY`@P|szF2Ry(jF}l_7x#BKPUpW@UC^wEGB>;5d3s~&r>$I*7Z@jkq z9Vpvh%zOR`U0XJ1&9}@k00BDmb0OD!q2!LW-Tq%UwYON54@ah({3TShA9m)XkcWk+o7q!7KK7d~4*^r(!5lnz)b&*rJkM#+5Aopa z=z#O)G<3hI3CSgtRpktyM<}8ayL3jzUPg9{aPzp$dpOe3dKo1%8w3_S2>DQeqaXHT z8=CfwIx%YQ6d7eYc>zx~EtPxPLm5@r2EJP5WtYzThLFRXin=;*#cmc(*x#q2^P+?! zCTA&aYmM}|T+O$-AgJOO!!S@v+oWg+IC>r7n>oUQS?O!)EhO!q+)LN-)P{&8HBSD* zpCAijsCDo3Lv0&iW6;RiS1felJ$T~#)_;(>eors5A+jy`4y&vGYVr^G4P$^2*#Z{r zsu|!YuT&a{Y-%?2wEJW=ITBcoaS8`Mp74D@)yTyo#q*39oT=ZkJ0|(CP;JFqb2=Bf zfeO)>+~iNHodVPQruEsxdYiDT>Qi>ayCuDJ*@dEv;F(H9`lY>R*;dMI@S38n=xQ?3 z`qEmSgb8v!g;1rgP{YCFau+jfPIN%EIEqSRO-h^VjI?Y$Zx!>BLXt0S7U{GAA>Nz% zM*g$~_J6I{POn#K!5HSk(L6QMiXBfl6eDokIiM6PW%B4!%_MKN;$}tMYy3n_^lFtC}TRN{b8BLbsfCy(o*TF z*eIa)@A%?rnlI0U(4u-y9NV0D%zCiiyz^T=Sdg>s#b zTmugJD84^1_A4zXrO|N7H~wJZOiFGvY)oeoz%1+?L2dbUEp5`8&L~w8zakqm=-f~0 zh_0*l_+B}-lUeS+AgqG`OU zNSoc}Ou1~C_NRfYG+QUIGbh0)$6B$NqW$$FrhD^6Wl8c&_19fsRS3Ut{*L63J0Xyx z&M!$pX2adZnxTEpKYz>U1i#OZ6C}3LaSlr%y%y3g+5Mf@_J*wEalG7xv+K6_6Bp~K zDo|8s`Cm@9K0DY4)P_NL`Z;^RiG;cH`iijRxMZ6bpT)me5c~P14E-JLP_j%ieyD7@ z@y)gOHN`-rs~Ig$l~&Cm*xRz>!5shq)u*cx>lp(}j)*H06sM)c32!A5A0qvvv~>Jt zeQQ5rJ+!QPa~!eJ8&#L_8K~iP_;rT45gopB8Q+WE54yj?Bu;8Cnr>KA6B_kz_#jj) z8@!qCR=Y-(Lz8>#|EMHG^(XyXhsLM30Riq?I-cZ-fzxbn&ZjG8EYtV?ZYXJ3x?5Hb zfo-YZ?kTtn`vx@N*CiP4*} zE5)mM$7F`Nx4FT3#4b6jQxfiWQS|#^p=#uP3vw{Ta!yfx11RE4pal20a}hKdV``9< z2nKga`=7W)Z28|Oru-*n?sa405VLs-B{PTFEJI(TA6tQ`P`WexRUq?HwNPqCB@@_N zGB(m~x_OuPD~{I~GN`qyP)`u%M)pWm9)zWUN^Rm-#zW@v6OPS`=V|J)ieOKW^UAL2Sv@@@x3yUgk_ zL<#9D_2-y#i2RRrQf8*~3Bov}4vVsv!uyZ6R$G`2^VO4D`DoTVuC%34v;GJ;0&;FC zkX5D94vL?!Jir8|AaH&cXUPa6N+4U7tvJcaudsl4x+iqD0}GJ?IO?=s*77R(bo3;K zT(4{#m@#maETW?K43~Is69tiz!!)<)#X(`Ru_<7WzdF*ocH*uIwo%hto@=0Qn~2%t zJ*YYZJbMzt+DjDal(;M%EHqZr)=TDmqKJ*CQ?Uf3*|D#yt14R}%o`=}v>f9boNAjUKQ(_G4jJ2-Zsocd;kV`^`8m;b?==abxPc&BLp2uO z$a z>l8?$BfviKi6tM3Ny#>`{KXPoaXQite;s^AF!{)#%3yUP9J9zBooHB-QUPYauDnW^ zYBLAMRd8;Na)^khS!}QL1MQuJyi@_yBZB}hlL=nt#pw4642wy^)@o24)8cMU3q!X| zBgJ30V9Ek77TP?FtcG^}kz!L`t$kty>OiHB_GFMUN-UiA)=QCxgHMohWh_nC%hE4` z@_jAzKjSb9EvG&3;PThm5F@aRb;3bYZK*<|E)-98-fWz7f}>$4@v@-LYT^P?1?=%Ke8xVlvI!sF*GDzMo?pNhdmkg*Pj*dZBM&^HL za2`Mlcmnq1CA$tZF~l2oZsL{;V#e(~FIN5#JP~+;ck});yMs&AZcu^aq`&87F7VpU z64ZrLmGmr`ik2m(5@A>8rY;5VO7oR#Zy^+_#^9?*!>CqeNt8kI6C2rtDmj^uWCf2^ zDcb4I>jWX)yA%Ssx7U6l4aB`>^_CS&u)SzzLaNg4ZiqRNPKNu1X@McpO?$7x*kpUn z?0;GUMn$mYfx29_f%Vy%SIhZ~&5L zZ;E2`nCGdK=z+@Y#xzzXSv7wH`zW8BHBVJ2CpyD35{q~|^8{T}%7S-&^H0`CAfx!=`iAkg$G9hD zS2|%o!cl`OdF;j=5Cj+qRgIdqyPrcEb^RO=&P;cV<87R_EUd!tDM_Lb54zMtHhGh$ zWr*h~nQ)rS47jcO*X~;62rJRy@v5%r^Hbm^r2q*lAbpS+Q!FS@9zU=6yfi)CP0tV= zvA)s18QdGL8elg!;Q&BYVa3?CbJPY2X)j}%5bk(#CHJ*L&cy{;8E_aB1syEfmL7*J zD0RtyTSKKmo+xSLwrK6UO8GjF7d_Yru9`=^v2cu4BdacUBJ*k-)JeQaSVM8|dFD9pi*MD@#wb^7b~3QDT-qB@is38askJw=w3F(1pB~ z(I($HNd#DYXCNNxuY?WmHmSc-FuB4ue}#B# zG}f4hiX`!4vRCQ_+Obpq#DCQWP6-RhG= zaD`dCaRdKJ2FX$c5qAI(3=ZI|AgCh%Hojg&FXA3%Urjc*8^E}L39@V~YbE{(PV9lK zcYH8It9<=<+Jt2u$)JscFMZG9+~C22Oj`x z`0g4MpuwaOEokxOu!{e*1P(2YSuCnCBOdBV^eCkf)LcEHF0eADpe~rYPhQ8Ie z!G_T*Z%F0Hv9Lsnl0vloRRa?2LGghWbJpD-@se8jt!Wi&e~36Z1CW&VD=n*z#3G#? zAvF_~zf2#-#aK-a49L$Y-vzD}-NRp_>n*qZw)G++w~8Vt-asRE*=x?UGHzJm6pjIE z)txCI(IN%?8Kg!L3Q0+&719aQR3I!4hk`fAz#SsmsrV$@1esmFGF2#d*YAk>#4Zn{ zINz_!MV5FCsrbNi!T;8>gL}uJh?1pW?!w*m_rASvvQp;?xxM~#uHD(4;AL9rX&=GCOZ46N&bz4$siCqM8OK4M=|dRhsQ8gl5d__07}vJwM3ZIEdD-B2lM`f?s+Y z#CLrdMf0z|ztl&IhO_*F{YN=Fsaz_$;hdLRfE_%6YeV;`bxgM|>xMRh^!e~u#<(Ef zUvgi+3!917{emF+gJHJDchiFH)#bkcG99?8<+tF7e~8bs~crogH7>q^L@{JcYEr63kQ=CPEPNGS-`0Pp?2Z^$1xmr)D8mCE<2 zuU=DL!kn(dsH}sewGV2Y{S6+$C-5FyzJxz+)0&OwSPY<14OyGbJ3k7O!}hxvaYI>y zWZi(UdlZD?!yZMJ(Uj}gUUmtvZE`j@V>?UAYS}&u{Q|_bZu%>b4Q#5tfWi+{3Px;f zFj-9}y?BI7^G(^s&v_h{4cde^=2Xz@dQiQ67rZxWJCxwH>iRueL>r5DCT~PB(h|{J zT~R|yO7m^ZNVx2LQgl#z`LE#d$t}Ad3HSRKl0`DtPyWRM&jS-2^WnIkb>F@-EGFGr z?BbR-yKhCM7Y1?n6qWx*1?p2q*enXH!wWKtKM*82MbRyG98>D4i7>OETXkxt!+7=z zr_7k1qDm@y_Mv2D5t!ozbf$fmC^pD|`43BHg4KFV46;i2h8P=rW4v*p~4)_Mp+GjrhbEgpDC7vEMHXlI4Md@NTNOX9tpvon`@Ej`{LdLKWBTL zShok9-?DEbX=@6~9yfhAB~?}r~(yPGHEHK5+2 zG8aziixh3)yosR1v0}9a&|-pil%7Na*CLK4)DXqsmFmHLN#my+fVivg)!N`=66)xw zDVX-we_q({MTq#|H(Kwie1fXEVU=REc&;~``reeAt)wE1`66W>E@?5Rf^dQ!F{ZvW zKuU$|Uai*1hLVYzz=TeAGdDa-(5D9nu)GAaQa?K(K%ZCyzJ%>U`|RDSBA!qiL9`oX zJd-n~wsmry!R~_rc-p?2je(tog@zvX)BN&+^gG(t=Ej(VAwtDu5O(8x^T;l{*(?>1 z?&wguY?DBu#`$R$vjT?)o^|IF~3{&V~5Oo|OpjgKVT zQP=i#0R@katiib{7noQ6CQ=a5(mpJ?->)$D3gBglUx)M-mtwAgj+4ciE0nDf%yIRUow znAh;R7hQzsnMv1d`(H)vTgI5u<5~qs-P67n@gZ%SyVjXYH-X?~8Z?YnhnqssYCEcK z_yb+gnPyjPC5%iOqQ3K~9?~9(Um*gI0^{`SgUi~|cp`~&mC*>XKLmzsd(>td;~jNt zeZf5$Sm2oZ0xmToG%}kC)}gsSu2*ymh*WMLw8z_Bd$60_-(Js5?%`#F#`>sY4JKMr zG;qNaArhHA>G}ExNAy@rvJ_{w0JKxO-(o8Q(e^;5S56xch2{FVzuAuUEfs^k2eT`G zf}mtPL~&z};E-L^l1X~KvPzai8`+_TxsI2b8-oPkR*pu?zHjxRJTtK6#2%fyh=C+( z_x6!duu4cC$1hlttXl(x4A{?2hH*e?@sqf9gD-r0iWsfaP%P?x&Hm^XkZw}IW=^%Q zX&VI-kW@qFQS;=?)XWP8?M&<5=Hp&WK68N8J0zx$LiR~-wddci6@^&cqdcv=b!F1= zx~tGdDW-8-OH-CgeiBfbKBape!^}0kCpRl^pD?aW#ezB;hu0B^mR6(kAvy1*3T4~m zG}A=_lst?CMG9zJyWd^|3{fRG^F)v_=E(CPer^7uf=Cwz8@V#>5&Gf8+ui&5L0bm- z@Beh14>pAz-42j96!)`+jB5-=EJ~KZd{Q0r6Fx+oid=PH)wpcpNhME?r`TIYA zjlSHTHabU1u+?>GWOKM>Us($xob?@CJmGmlEnVp{T)u46|0(gnJI6APH*GPq9N~AO zG&XExrDZaDo!mQBxV5M6ySIo1Fs!9%Q2$6>nWUuvmS&)vZU?%tU{?ZW4b;<;RFIMu@i-R12uWXv#BYE^&j~ z;<9?z@2`|dZ~;D4))V+q2~W5Iz&g5QyU17N_WXFp7fy{HeHFl`ET`2Qc>&hYLUwg` z+MQn$Enq*zHj6DR>N7YdjX;YE4*w?kW=IjOM&AXTr$WAmlYbN)&`@+3s+E6}X7I#K z5MeuQb{Vj|JVvs+Y)W!+#p6R(m+dR%=dyNH&>DO_hzsx6Ix!L9IYl6J3}2sOQ0uds zz=^zNvO)IjY#DdbaO-B`q%@MR>Clp42brmgT9Bdu>dl8u&>VR3c2?t42!*s}vGiRi zLCm5xW^C2Qczll65V_9jagW4eXGhi8VmC*xrV`55Kt-8& z4us5PTZnMeu{#7nUNxS-0U%O^jfwKmwsynWERtDRuUmhdV+Z6tX)q?*HZ1uM{FP%U z+Q_ENOvy?2hJ}+~HF#pD;7g zDnuj*56m1O;GV4IhDI(3D(*Xs9!RXgl2DztNw!Skixh^2)AyeRvG4PTtf==73pQ=U z81l&EL#^WQ7s1u%8E-3DG(aOnz}zJMn#{w}%X`WV{A`J-e((MXF;7sj25RIv6{9m_b3M)}R}MYkZ*RzTa|Yin zB5MwipQD?+@?4Ff<$WGL)c@w1vGFFwGYhC?CS{)D;)PX<1^@mwHbbGdu=^G-+IisG zEmLh;==p+L9#F+IMkrL3+IMZQdm(y18RET&E*bTp{Yvk;+BlX3E5t|=f5;T=*N3`- z7#efD7}TUdq_$%3ZFIHszYIAcT!N3O@N*Dd3HDoiv#CQ*q#e>F9fsAjUTiXK20us9 za^B0AF9EqZm~HC`O@z2J;g0Fq?)Dybt$_J@)UsF4f*3C-7B9s@+fL7K4ut)JfbTh! z1(Q*vIr6f{bVJq%ug|>32x65E?kPw* z@w~*QV=KE6-9nSIB9el~Xlj=a=zID#x>5CIiy8r8u+WL)8y1kFx^sp$fif5hoN<

    V-KU;Yg)wF7$`ED*N^8&Ec{bXt6vXwf$J9S;_j zTc;!yM^`I$_pbHxGfn=dA16psfdK#)Q=zX4+4w{uP$QvqqZ+15eb5fJ)Z#uT;t1bh z-D;{N>e&$IL7<>QJlqL~Sn!e2PMN^0gO-feG4UOod_AX z=)#0|;-TMJ180Z*dE7is2lJ0gUiqKO9^1(sO;+t64C69lehB!$JSlY>RLtg!j8dUY z!dqsMMAb()$vn_+qgn++VA+=Zt)2uT}a<_(l+)pOZBYHR= z$NA7v)*wScx7HXCTp_-Rdk5GU)r%5igP-8Cs9Xq_X3Kl<9OLgcBr4)@xj`Rjkp?w!{hw>{sxbzIZ< zlA7>)&0#on&zMyLI57;lRDiM$uRgEx2$4KK(2iz=oTuX1i7HcYC;aDcDv>okN-KYr z18vwfubo|i)oi30(+I2mDQtP+lEJjFGWsClKo=M~Z&Tg$vIp-~Sul}j^H3NPep7** z>(z(+mdVP)E5*Z{SSu5IyeJ?=T{rh82%UiZ=}8t=Bwm3Q>~#m5c>kTWfRmd7XwE3+ zeUS>4JI|fA8iGE~Md|Z1sB}1UUiT29aPuEm{bd|Wz!&MN+%W7{J`!=gl#g41Neweg zK|(FvG*#ZP3g8r)B{z-9a@NK1x!hE02QQ~2L*rr|nnJI}y%%#(Ix091KrKyccy|JAnNP>pc=LcS#v~XKp9eD9cb%y{{i%?H0Y+#c zwp)%If=g}rEXGTP8Mjt-#=k0y+?Zs4R%tWX1`_#NU^PG11a9|H+!oZFZej|(b>9Hk z#{{HxjBR}3umZ8tD*Lk2as4vQXtA6GcmS9Fu}LrMlYm*v)TUVt@yd#UIrc|g^F4LS z34O$N2ScQuX-Z@0a*Zz&+Aa#aZ5OmVhH7&gr$LeA(`+!3kr8L!)Gf%!SL;8hNZOGK zo!rWn4BOMuv}n!;;A-%3u`^ulD7NUgj0f(oj)ir$4b!j`b=)Bu#u}q4QCySrv>Jul zEyWH7ix}*>%>czi5C8VE28EoBs|nyJO6eS`St{_XC%P}icRCtE>%c;@YjOlGAxkH8 zkZHw8z0H!@GNkhId#aexhaI(hO9xu_(Q798aG)#QWHEigrWk?A#-Air1=tIH*wpmW zz55HT0D8ZOjheD3&M&x(Sg77+!;f3?x*wkcqA88N$RjyO-~y#xnYi<#7b8|~IR+RB zQ0o$gl`_D11C@j+U`cVuS8wcgxWopoZEyPNAkcq&bM=8ol1OU*x~Q6ymApL^aQ?%{ zdvjZfZ(P0d&J5gsd{wsvl8F9*5Bh9m~L(l2{JB&Ly8H-NyW_( z!dVf~V;Z7r+972eoB^H+U(n2Z*kp6sFEt?bENWv;K*bhx%66JL5O7d1R&+err?ySt z?(9ro?3jkS*S$C4PQF|!`pbkk&&$V9Yv&mm55Ax01@SHo<+Bj(lNeHiz~6yWT522k z(8%sKxCYH+0Xwpp1;6Mh0WbBW-dI;khXb9ykCrKfOsEjc@0Ng?M$^JH9CalvvsgOh zWta1+2^nrzkhLdl#F_n#TZbTDt4LPA^AbEQ>Be(HZD@~Cyw0D|BPb2&&}Ith*m3k# zR|p%i8<_{Fj!aALc37^g21jU>aLw!kG6#YR6e@M4`g~^i_tcDUn za5SL3y+}McSFn}{R(#bt{EZ~bMz6Uk+2cJse>pwoxOYk#mJGVH=8+b`eT+ryI|!V7 z&Vt~zBDhelk_q;@9zW2~7i_@W)FxH}mlAwNyV!GKU7mx?0gBgA5;~a#!83~J?`-B* zV!#Qk3q;nb7BG$RMP+Ne0%}~G5*<^ zzaaV4L6Gp?P>fz_Z;5#D+km-veObEh=-!-Q#5G9ano>G7XFno1A3N^nx)7Yv=5w>t zG{4eUD8gV#KvU!wrBV4i`g2TO@~*SA%hU|RKI@Plpwr^TlD5rAhm1!I6Yi|=HL&Mtzug#D9*bTf6Uw8ko2rd+ zo23;`QVsUa2<110+sp}k=M!P)>jb=MXS;)Zmi<$q&C(1UGzXdFTEdfOc(~3&v8nM zlt`t#6N8xhqn~JHgRB#~L3f!pSMzL7gieI%1*|qSq@xy#fI@V#$I@m6o900`C_oxf zLXx-f-z*~g(OiX29YRzN^)GAly0l|-FC}|u2@ci6+5xK(n^CEtb*{fc*z2Tmg@F$~ zdQ@OZ}k2P;w>^4@KpJ~yh?5XLMrb$J2>TUNy0>jeol=m-ZI)9(~*-Owu@z$itj zi;5Gsy&|#s!R-{<)oT?!U{tP!3&(`W-$xM|PU+APERlCns@g?W4fA1X>bvZ8PEg$e zw>1S@R1I9q6BuW)I`~o9c~|Bg8>Dh%Q^&tma1vi+lkM{V+(y`xzQ9R@nS=w+BecDN z>sp%y3YB)hh#J zsT>V!`<@z2<%^v*7$3U;y6*n;jaVKj{*(iE+V@0Qu<9uOLA$NSi%7UEGY1Xl6n)F< zz^%?*N%=ocrPABI=8XYr??2D7Tg^E7sbj1x^V!Q{^>A-{e%^A#Ns0WB;+XqrocN4X zPJk*INuEHMS^!j06pUJpi_qU>5}*bZIrF;zHVDd`frYKkY<5=&rT#XgoD(EFn!2Xd zS7Y4=6>PQoe@<}&Pw~_L&=4gR=4eab0&}lmyF)-{A{~l7%w3elDC~~_R_L(%L(7?7 zkhXd2JAN~6weF$!S0mL{@HNI24wNMv?FKI&d7~}9rmB$uCX@#MQT>cMY4JW|0GQdK z^hZ+9r_!9Jw_hw{!UJo_A%YNjv9G<|6JdP+}C$9$qp(- zaX^}6=)G?y5A@XLl%OF7p2x+PW#rhU`Jb0lQ`VgA2jWYDDi+{$gF+$fXe!uZq@{;T8&>@zKvKvCDVO^;@9N17x(*l69n4*Sz8>g5@ z(f)Nus3(qm$~N^P$T2E7dQWV2xR1j&c0txPlVGn%8SG+G6b!>-dnSl*6ta0)>|{fO;Bey4C~6_uPi@S5$sA-YfDg z)^>N|wpxBAhXI5**Zx;34^VINkPLnIOrBbamhaD6qrBtlVdT{w!`Ke?F9$OnU)O68 z4RI~JI)N`)%|e;nt<>_B07FCTED1*iy*G!2Vu&|H)0=wmp&H_8wI!VDJ}~olv^8ZGeSEGELA+m$~(_etI9|8mO>}kjqDals_DK2B;rtBmwC72 z^0!pmVX79IYsw|sEiN#eOzCiJUmiCscW6>jQ{Yr)1nZxCjzhjhTc@Qbb=|NPLS?0O zfcfc1B<+cbKB{C-46F#tr2*%t)N9{(P^e=cHN{5DGA$6KU9aAB0sZQRvOaP&-3B`4 z?b#K=ex9Yo5VDW#3oMWwjjTeE?i4AH{5xvDe_4 zIUe-#WNY?WZ(P#<*f|2qaLN(7MBt{qzHtXrW}b`YxyS4PaP94`3miUACX7yks7w0Q zz>_Kf-5*bdwlgt29$L}5?^t)+3!bRNoV9ke-dWuQYVwGeP(jiQ{6|*Nrc#|tt#8ge zUP=FyIsRes8aX<8N%PYDXRLk&K56Hg$HZs?8YlJglr7@gWQ#Itl7 zGTLIWby}LCs)pbdaeuB!DqfL(CNd<6t>sRvoiOtd6kjc2Mfn|{5Q za7$ygrd^|=*&lmBa(+rb0*m8|9z1N?3jnTlB|J!+ed5Z_MBmv-I90=9$uRc^KB@1d z>vO*FbH3IQH>5ft{xju@K6tJRGAC2YtnookoS=2(%~aPldPS09i(sHyi!$8KP(E&5cye&LZ(NDe{+zRu0h;lLLL_mlwC zJvbIKK2!FK3i`ksZoJqlBLvJ)#VxDedgv}2xNFm(t|Fv0x=2%~znJ_?Ng|Cv@DQA8 z;~xK3sOxT4!@wYeci)e`nU8TnGDn1xC9a%}o*+k6EdA-cp6oBu;SCRWG>)2>U?3tY zL%&hP!EeZ(3Xghgzr2lZ8e9q)MHQub&3W8ksB&ubQZL)jSbwhI zV=SS^SW0CDu4Dd{gclS*>uN})!{JRU6^1vw@TH+#{VvnVLRVU|0Lkr(_2OXUZRhN@ zBSd$+{@JMkT2MT54^;YNh0>o%MpLI10|oeNNb|$NK{zOReXIl3Jll{MTvri_E&sv{ zZ~;U21Wr`{2p+pP9oqWsQ<*DwGfsgNf647I2C_%L)~fHRKxwo~c4nu~aE=DB)g ze>n5aL=#wI#qJZ5fFsWeZQwnk-EC=w;t>o!(yfL-;N(Wn{+v)O%h5_OI&8%IX47(o zN5)@9SV6zLkE@gwe^mdOR7Ur%$>~!ViLLB+Z`M-uX%m?5F+KxR#A%n(lxVHLWP>Em z{i5G`Z(tnP2n6Hvc#TyB1X;YVg-+odkW!P;>IcI-=%7`(b+Z~hucIeUVm5Wm>Xcbc z`Ys|Aj?eQ<^&i#NP^44*U832XM68D6Uo#;pZ1;wVr~Ld#C(95D$O{oKf6ANh*)}ZJ z4%mclc(+;>6Ha}q!#-)yo&4+l)W+;&j2?#WkejRm{-|+Hyzh7u>J_CW>j}E_26p6? zIoRBRe{X{S!M7p{N2531+^h&(Mon`_I5sWT<{=W8>-$S#Y zoPU_jRT@$h#uwCgVH>;LfW57z%A&jBvQ>^@-0^kD+x>#x12US!xE>`*2TbQByO%Sm z)NoY0B~8&m)ySy--94VwFjREn#l!weWSC6{~H0_!NiqVw8#yv~HGV>@QO+ZA+MFw-#Ve#d@|@ z``LG_ob7t5%(^ugSbC3&g*JDB>5p+u`XA6Bmh08L`TMVij}WgH1N1S>G(vKV<=8y$ za%M}~c|}4q-mbbqn0-;<_#E<MF^d1{FcS7{u^%k&*P5#gKrn>kUZfPJz`;;` z1vK{hou&;@w!z&AIFQ(49&5IoW1=GKhN%Re7G?|XE3>Z+j9m?UTpZXcPx78-@SWY4 z0$ag=SteE}_#lN;Q(bzG074GG{@WcsFnX!I&@}8z1td8n#$w$3@o#7r1K(cg43eLk zS73v>7U+Fmjp*3d0>5*>fhQCaQh-|?Aqs$3|Ki2>n|J9%g?{&hZtV`^d2e&se|EDB z)y4S69`TZrW8Nacc_qVXb9KxVe8O$&`IeH@R+G&`#t0w^CAB#ZRMzqW49- z;dH;46}Say{cBvBQ1w|J{O_~b9GV)1vLD_^NbXtcBNj!8@*yNXSZAYHJ1CgYz78;b0e!-C4XFlViw` zT64#{UozsJM#{sKfj9d=D~`FQ%v)x&e|~k5h{ba2_{wMCT%ASkl+D@(?70-EWjqSpXAazfvDCAh zl8jeGmrzIWV3@DSr~5vpUh+*ElC4${Fd(?H70S?(bZ&Ph;nuEyOOBNuOI9Djbhk;UJHkdt4QPN8UC<{COH1T-d!E z2=WtEO=Ik08UU(EO^+9$j7ntBxaYb+bB?X+TjTtk@aBUq!OI^l+BEM02^yB=o{>`{ zt;%}AF`iAqe9JuSRHQyz(KWz~Y|awl0Df`{cQE~v#b-F#dn$yWucE?Fv0K3c&d=Nd zrR+k9(A9~DM=7M3F}q3qeq+~yl3(u7dO?CP8pYB+ zT^?|~HZ0`MA;L+(>>aK4f*6UXUoEkm0UPsfY<6=r^j+Bq z3PQjcYSMsH&)v@e$OQf3M#aX>JrT9ty~D60w2m6{i6-S5alS7rb^yy)#R@n`2c+4Ie zn2v3lo$R0|(|pQK=VGo(dY=Q!#INZZnZqgIa{yn;3#m(&S*xPW2TxU~*8I0EO07gn znlV3MeDnn#WIOHCqAf|Irk+>2aD)|2#8K4xwil&L{!p(U+JecJC)Z;0=h-6T^ z;tTPVkd50<5Z-5mzXjNVZD{_YX^T6zJ(e25&6{e9OsBe*sP?VoHW=oXFsh<=EXcz- zAxrwmhp|a&0w^yU%m2K?UO>UEp!-~xACZyAEI&_~_>l(q5fpE9PNkWKW@Yy3G{Ib! z>!&5HTC?-j#eqO~Q&72TfAZYxZf|9G3;Rq6+ust)ZUz;iJ&^o9o5KY!`NS`7)it?p zYFRk>{1*q?Bp*{BapxSF2OpN%mSZ(?#_sly_v|^uwI;rr4iAPn6S!m$zU?w+SgKsR zn}5vLwU<>I?n~Z?%%+EFFg1J%746gX9D&N(EzB!#yxE8G+D8HachG)NB^j)mnoVA563;Y)Mc zcXtRBALgWh$fAv+Oxu?Vb3hlY4XWXN4Fx8DnFIO5zUoEaRTxmjVvhX$cH-msA#q$# zIeB8?Xmw8m&)!-p^d%sgY;4zmgoG?bQ|@@7;q?)?ZZkeYuk)qt;gG%kZn?(C<1L)RX~nONaqv+D8gxK@s;F>PT*{E$?W2Q(!XJX z)IUae;Rjj@kF@#^vj~_48)u`bQ>Ec!6uKK8MkN^#Wv95Mt{3n^fNj~oh5ey%AoX1Q zbNkoYO@WN;$6SZ3R;QP1o>qfiZOFG%qE+yH_x{P59)XK{E;)+!XxhO`C z0jaZG6YSJ3@&4yD6^=bR`y7VPfN>#3v)@(iwyv6SQ-)UnN9Zqr_)MDL4b0a;&_EJN zbj0z@Cd*XLn+;*7xi`?tJBtT7nYE!=*pu^qs}CByyZB35gJKspi6`FhC@9ZhG(p`vtn68V?#u`r4W0^L(N!EFz?OnHR35cB zF4b+kdk`I9A!%3hScknITa;S!bg8y&8?-jAGEY6U5p?h;2$UUGSl7DrkE;VK_jn?Y%VG4_xeM|0+09_i|28%QP zB#d?_G-9N6kgF_`r`EA(cd|IVcM8-@vYPX=a-KoIV2W~!Phjf=FA9J>0XyWe$pTn} zEKoHjzZs-et2m&g;?5oXg*yg|Tu78~5QFP1H6oU*VV2Sa=`lqHlxu4zj~2Re!&;$E zi52@gpqS;0wu##Gj1%{k+i-J{n}*@ECluF^hp;_zDVnrYEsIKFsl^3D>I;=S$}7L< zx?7M%VQU*Y&o||3MY}`le%8vS91LfH7nYl=i|F&@`86aG3C5l@nl{9Ie@$Y#=_1zi= z2q6%t3hsWO0Albo8Z`zNmiRqN;eqWbsok$}R^pJyA(MVM@rEU-XnN1V&Nveqp`BF7 z7e9Yb$vDzN$1jGk1(SH;g*3+{rhA0MM$o1TnE8eU8i38bD0xqrx@52tE$zU4=85)N zDF$isQ)bb3NSoIQBa$AacY;H>Ann@?xogohli#O*sQ~Q1wS-1DEXU?3Cy)R7fw?bf zv`y&;rZ*^nf4qxFW?RfAqf)xST~Kz~$HR%rPWyRr+mC>vU3TE_aS`?X+14D?r|S~E zFzr%22mAQW9Hq}7j$Ta&MFGIVeiX_eP~Of9gU-Z<{?;8|<}6M^6+);imP#Qe>LDw! z#`Z1ZZy}TGY4Gb3V2k@;F6T9ZcG?K9R~bq5b*Z zR9YMZc)ugsY6@u>qX<60DeJ$*kDGCNS^7luJy2bw8uN?ZJmvsQK(oJarHmPVqF89z zgf{qkj`$$-2;S+2EslL%O93OL9Q0aQRZ36~_`rEaSOdQsFDLaLkssjqeaygn{`Z4KtV;s> zM$?1u#|40xE(!myM8ny{<^ae~cCIu`(0~fSWc7G^0&$WbY?E4O$bQ&qL#W?vh<&xXen^6??`hdz9rUz7v9~G6)FqwI zKOPQ`n%`jZ9UJL*(%Oev@T5{y;9L1|XKI#6N|t6!6?%kp)E-I`7wGdD4207d^)X>@ zVfQ{AOVxzU$Yd$g2rTL?NsmPeIFI52y|Vin92_fItkZ+>`pi7hf1W8#!WZx&={O{?1HrE(5Wm+D$r6J*f%Ed9EabZ*~w!!c4%A8Zr>Dp zu*l^3SXq&ZO~ytdJrYea(#9#B9(7L(qQTH)NI{*{Lr2jEx>IKX!u+I=0wH-%c6IN_ zVZHXAtU7t8gcl;%JRG`#xt_F%A~-D-L~ zNN1sJ!`VUH77M~su|u|G4Zl<9np7v^Jwsoh5hCf+h|Mv;eK0^ZC;A|r<3s({>fdJ{ z)7UH6Kkl8K@R(_L&jDSwJzVgILriS~QLaT{X1EdY*R>aYxMP8;AXd}Pv%7BuJz0q-yR?SzxrKL)ik@!74QfvBNdbjD)veyJLoz8y)S0iTZ<#EiuItJ51jgKWUJA)^=A+UL0( zI~0k_S^3Pq2sZk7uB~BB-?h_HNJXZO4{p;)%;#|tgT)x5@2NN8!d1?Qy*if;I=Mr; zyOY&PSOwn?EtE8SY!%E^t+UE{QTl2W!DOslVXGC>-^bT#&_2|+w+#xf#j{(MZUtr{ zy=A>Ez*T>FMY$ZB+PAKWdGjXqc$2jfw^0>KXFNe2M&-MdD+(C9$NDEvX=B>?r}9X? zLc{+J=nO}G;$$O;^!SR1(8=A`F+c7XrsG1Mhxsk1skmII^EO+{Mc!PxFjRX09!sr8 z6}NJ)8GpLoa+<>VIbCG$)%wK4%jC>_4yO0zFj#DORxvn5LLeX@AZB4OFd!fxAT%&G zFe2gymX9$0ir-xJ(E#*youHq`D~3*f-dBVkH=FRY7uvVaUx9}I-d-uc>KjS^)# zY>^3p18pCuyo5BHIav_AZ-pVN8=?q<)KNWw$Mh=J<@^XVFUH}~1n8+S)Do3Z8xf%+ zEc1u*ZKMSaDDtuh-n@~=jyQ6SHBDv4)G9u%y&eH`lS1VPB1ADN%oqu?y12_8pqj3S zFtL3jCxXSocsHB550*e6Q6Vbg&b)Gma>toZo#WPiAt^5Ogrw zcT&*;VEALt_81%>V)R)C2l2zb}N`@ zP7@{jPtvZm`5hL6PhYTSp)$KGaWd$N4OI>GNMst1;}QSEa2rVE~&_N80Z5p2|1RbOqlx5 zC(1H=n9=D6ry!5Qtw6M~q;G8y_QAEVX`nqF=c4GXnU3dL&2jnM^^WN>lX;_9>kW2~ zPxN$e5-Y0_I0xp+^CF+m@?~Cqt*=3TWqzf^ic9iwyf_0i=z36)htrRxeWNM9(&!*& zWs8+#2)ZPnUC%F9?*#!aOlMweTv9ls?U@h}{ z@Q-kdKTTo`y)h=@@80(TwT=9%d@Kqq1*8?LcBa&HoG!mnd^h_=r!)QqZEa9~0I2c~ z4!-#&lJhkR=GN577$ocm+N}oAfYU-k-pHQpULx~usvUD@e8R#wQ3fjHT2r9@H!IP}?EaU9jRUUCJY__Hrcq249~+jD~?Okr3AyupsBQ|5if~?X{bcCaigPn;`aKiHm$on&AC^QC+Szs-0 zwJMD68!UUtORNpnqhMw2=uvAC{w^THjE&VsxerftiuX{3!>0k;K_tG)`xIERVmABbAn2}Dhfz?Ueqnae zh15t9zQ+fKTu2MC!#DsSK;OUN;l}QW_fu_GmCW_+5u{v;ZBg~Z1*_&SV4FK*Yx$(B zh=&lRQ6WH$%zW;}H{WZCe>{_Ha-x`c69lpyL~26+j>nL?WT>()WT+6zdVc?8PSK#F zy0s^XKU9mz4VRk>eLmI-*s+Q%K{RH>cW0hg4}fKik$>l>Op-yBgivG(Oahal3KP>* z#0sCWVt(+n=8rzma%trO{BU8#Y|4-$q^2NdR|TW5GQOzPtXETNeU|s}C_bpn9MhRH zRBF(ki}7LcR2Xt=ce}U@%Orfkkc+dM#GaI{$I`;;W6|0;sD`xMG&(sbg(8=ySzcCb z2oDKM)L{hC>|bY|grYI1B|EOQLH>UYo&=yT>6VV2pbRm-`Mk&Gkz3R_ey?SihQADp zUlUm;a>Rz8#(W7#TH6N7R}eSN+y8b7iQihEw9CzYYd9IKSiLR(%$6R&%OXQzRB8LL zrm5nK-}dQu91i)oB$lbe-a%at2>mC{uRrF z!$#B)+c#NGb>~lZSglXprY{@b4a##0WI5ixtAK2Mr5tI!EOgpEr7R4l%08vtck`8* z;Fx8WEk^lOho+tC*sP=ti@Y3s5Lm(o2DV?HD4(z2*SQi69qta134H$_^G2JG%?CQI zB9{ndcq!!ZI0WoaISaPKOc;u_kUpn~t%XM0T351%Tk5W1DHsO7kZl8==%pYdav;SN z=>{(okI1mrPc-Zfr@k)5>n@9+B9CrI&#M+Y$A!-hxboQ(iu~N>7u@$O^jqpgu8$%By+V>0?OSo`v#A6$vjpJ*lv z@B~?V&*UC~F3o#r`tiqBF3iSju$$NbyP@Q9L_%xK4=KJ1an z@~zr0>oLk5Cvi+C%V5N=#K1wB59qvokxgP7`b!w|xDo>9e-8E>3*6Qt6i9(j-VfiDs9U<~4FzlFoM= zZw}@}Qvb}zqnqKP`ni45Z9hWvKxMuCusewZ8o+Wb7jEPCt5*KiFfD}hJ*iXn?&u>3 zB|WsN&F3C0Ig?JooZ;Q!LOe34KLe`tM(2)jDvGP##OL0v&7WRd+sm{4+^=em<|#_z z)O3-bFQ5!k5=JX1=TWWX2S8a{kTRjmMhX)JkvheL;kOfm!hl9HpSs;CSzaIiarDmI z1%4q(8H$52sFByueEn*>^Q1FBxAjrEW}%#gALDn1IEjz^ zCrUd3rmi5(V?IHg#zAUQ0|rmHp??;na+Hp#TlFeVgdoduYHtDJj#ZV?XK_jk(-Yf~ z#6lg4B=)4YLs6^|zRj#?Vf^y4?vCproOg&qdE+p)oxEu2E4rk1$G3OFVgoLOUG!D8 zGJlxGYb~GncVpmyZzR8z?K~OXv&vSOfdErTc>DLH?z%-`Z7|*(Yb1t?#zGnz04c0hjB5WIaTgV z|3Beg7Puhk)n6VQR5E}hb9-WgkGK+=Q9^xbiY@NG^0%v7bPyj_y3AAB5jN-2)nmv> zxd>q|fiV99+43S#4(NT-LEW}zE}vIYN;_;;{Et{TK?Qx6*A;RTqus)uY4>x71>W?m;qjZ+KP7{y?CRsR8ke^pA zkGIka5G6M@BSzw0TU$;8(}j~@lpui-K2*;aMuxz%94OmAhe`#&58XlS_!R#)xZuuY z>?p7r=>-IKRY1r#jT7S}QPZ&78VlpC9 zwdi(8MR^Ac2+98WcjjD_5*3&QWQGPo9<#Sd*5-dR?0dAmgfc(ic^u7$mn71Zf)}Yh zRJfFRV(6|J;-<*GZFLxecCWs-jH7s!?Ric#WBtShh9cvH(*)%iK&-QmPM&7}?ME#9 zAC@CkeGpzbjcT#mA4~22f@SahRd8=%8R4XDj9|!5zNvysYjW+;6a(7JMNPfKpja9R zZC(ktxoXP=&qHJ5X{D&P%a#QyFc@`DSbt5lfgE!8nAU-4_Doq+9Y+yF4+e&EWR7>p z9ggnAa@H-35t5@FG<~o)*R7*ulLp#=b8)xMTtqHhf+OD2x4G7pPoE;B`S_X@VmdKo*{I?9Q|J~2ahOj46b zoxb$ED{NCViFhZ2@g}ziZZA&qc3ePP#cz~I?Iy1S^RY+WYu-Moi8#+FQ zQ@nAokl65B=^A!bQz#V1pdTrJ9W>q?jZ~viVnl{ZTk;2dn3#hC28P02fpISZ@oE?< zt45#T_hpCjmkWUbce}BVK{Ga8>Os9u0si5b^cPHy!Kbp9TGsnM1~c!_+8|y*oB61U z=sbY7=r`%-&MYM>CHb=X2*RD*wZedijuLkA5Gg(uSnM-^?T6bAKiI+aba{l}M_k2! zmHjCg496fASSMS}%fOC&uNNlpxe?D+gA#O>;BT?L!G)==BSj-6`;{^5O$NP-krujT zcm?;@g=U=%biE!%9HrU%y0~7D?mS(od6gyr@6!aLQ8%mmL{t{9_N^|5aKc+BR=xIm zHdFx~_A>FJ0X?QRWZ)73i!9cRxb{PnXPawl9b2v3XGqeU!Y+Ix&N`03E3X>m8lx}WEkNDuiWeW{hMJK@L7GLL~m zxVK4)4hBhGM|nEMpJHi6h5OCZoGmAZAd7Q?7mrf4A35A7&2yYiCmz6vCAaQ${zbMI z&Q`W42@zBws$Di30zXLhXHBtswDHAPEc5Ecd-Fv-=QsgKz*a8{l0DirjVIw`D{#Bf ziIz)(0A#S?34*0tyO~6D-esBIldS;7#AN&8I44;MqYl-bU#mcG`t+btiUa@EBZUw2 z@36GQuaCD|1|=ZHcv}=aQvJ^#x-H!(H&a&YhyfUY6=My;wAhnQC*7M3rEBq@2D)g6 zm~4fczvS2O6*e}-i4>~ z=r#VIDK%_(_qRmrP^nY^inxBeLIm`0cb(aUe2d1?ZJ!jcA&FAc*fJQK?bxBnfwlPV zA!zOBDPg@cK-9v!Ws21_7y13X-y;~(6n=U+Z*RPZroodnko^SH)`OKx%`|qSR2UP@xCl3}^${}}BS~p9eb@R0e(A1}-K2=aOX~T+ z{?Mmkn8D&o=uovmh-llhMS_?L| zyWP9XT5jl;ptjl2wwtr=6AZf6i8v)k0jL?c(9zLVrOeJ{3j|VA1*kZL+h4Jj^P%uR zz(dWizne>0lcY$69GP{6sx`|A!OEuPvh#jg=PTe_$5T*Yr4TiXChT8oLy^3!fK-(m z0+IJl%(})~;7#F67-jbuKd&L?E-xN^w@qFrTHsN#l|L@tQL`vYLv%B$S+HmhBTdmq z{_u;{$4u&%Sy@_@CmjE|E3Yx*@n5HqFX%a60%;mG3<^KF6=}2j+n1H+oY~b)Td3hf znJotZhmX#xLl%Fq39JocZHAoJK(%CHq)EBgki)~XNlNCBmS(w%3yv(m@6F2iOiz+6 z3%m`JI60CL@FquQ9vj-$sop)`al?k&KokuvFY+xS#?2iQk?{d*VDsGl+n&>r4sBs- z64{IejFPZQBm^Eo!j8K(^7daE(QSMx=xU8zO2@TtmB%S77Nww!{uQfe8dzQT)H}Mj zA@jct`~2xp_e6>y-69$A)>9`8f79LiEGkl{h;OhEdcRag0|@FR;OzK4~kf zB)*w=#)SV$3-<||H+yMC^agrAj11^;#fREwQX8J(|0sMT} zAL6ISF=icKYobCBbW+l*-@$#axDYC;`?)Nl`Li@{#a6k(`o>@X1y0pqIQ(JhpBWX; z4AXuJCvs@;w2n-$1L?`~pEaAuGZYTOQOOe=1M?tD=26(~W4~1Q%l(6jOw|!bmX&VK zWpM7Gb+cQzu7r+AO(enRz4V{yBPNo1>c*t8>q)_Nq*{z#4x?!+nwe&;z|8CYvz&CQ zm*7d``e!^f1-8;Mx1SSY-)v)f6>DNAvd5SBr@4-CyIyMH={amYD{jm~7HV;vlM;tw zii7vGbvM=WxI9z%+SUi##j6U_Wd(TU-*?_|LYu#prpnl$^KK<3D#7i6ycrQ7c>+5*LDW*6kS>sn-blpB@ffQHyq?R(vrx!HJdgftN^Uo=JTX+ zf9~S4FVRc?pZH+lA_*BM;ajxD)@5&9gNzPBx{!0@eP(W{?k7(QD5sWJk>a);aW7`j zKSx!h_k3zBf-M09!otJg9Oq>8DUolGvIGM97AjW{m-xJFOY}W57fZV#-)&(bzqIYXM#;Fq0 z;LbS5kCUV6&rLb>L8Zkhz;5f-ob)XMw3Z~feyw^ew%S)1y15e6pkC!gYlhaTbDTt; z;cXX5aMG@Fdd=E6FmCq+84Pv0xYV9H@y0fCcx3DLqabWwz#Z(-GI~iyh=?L^UK^Hj zahFk*zUav>MRo+j;N_wUL=1dl4pB#H2Ky2eyp+lp#HCUU5|{mQ{34lJ#3o&9o;JSZ zTKkO(+ZOjBsDybTjJdJzo6zx;CDX9{y;^Ui3NQER9OIRvbH1%Q-GFZgEEHGa_L87j z1AO<$WAEeR`O2k2NK9)=5#n>raMQp-T&Ir6{O>F@!Ua2ERk#bZv*ns^2OA(Rj}P?4 zuHtm4hmU%FQHWm$YjvXo{Q9{uyVbJx+7FC*RBbmBF{;l8Pr%E)lhHDvGU4wOQn+`$ z%rDj4b8q!0I^$N)tZ3Ew$&u!0z>?3SafI`&(7L4wU`c6P(q{d9UM{|+j2a3NnQ>=u z#>4l3(+*4i%o+`0r`IRLm6rfxFuGz6sI-N>oT?PGC^ZTrK?+giviuBsExEXVa*SoP zxY*LzJYKAhchi{^Aed-GlT}$sydULBD>$~dG$%#B70;gb*)y(26HqmO;6kd~t0P#m zcU?fJRJ5dL+|Wb{6ciGvZU@ufz>!fgXXgxY_|3eq5}epnN@@Z%$uG%x61UZu9b-%sfpc68FcYa;i%`{|<}m(z#o|D3kEP%{jeQ8YL;^iJuw^ zzekKE_}@^fO4ofvaxbmG6Es+Q#ug*iu~n=0;Gn7n<>S)2>-MBP`n@BEUZ0?wpBS0~ z;EP2`oC+Y;Mo0E`tpq1qb)GVQiQP^7B%vN^FD^M~)xh4Xj)$mK+u`{~MYbDcxq+PT znuCWA+}n`nhJ-ew?Qyq)78Y!Y@QSqJ9;AW>KA^6sn517JkYwoTA(uL|Aq)$@PkWF{q)U$KfTr6`iC3#T>F%2D&z8IWv1SCy&#XsN3sW%;M}Yv=!i|Gm~~<^uWpeN>w9EBPwPE;;L2A# z&9zP2!IJ=AbP0TJ7y!<{ti_3z8(%e#V><)07H%d{KxsF$Kg9%OqtSU2lx@ra_H)d^rw z3>`u0ssT&eU9GIOK}aA%jnjc_E7x}l-@(fc`Ag{OaGj3OLewHU0|byCSSh%foA0ne zPD@bNtWQUS7)WCpBC*{K6)w}P*9ii_;ZDCbs@~GVRo*eju#JOoQW=_ETL;^tVm= zK!=z^$KSGkl6$%r)zF@B44U_(kt#m`#I03}IkcL{?H(FT;)&6+9cyzE@wOfs7Qu)o zZ+d#<=+s-G2hQglfSG5_xad7c0ZE<{i^_*TdnnT6ORicWfL7Z&z}a3F@8krC54qJn z-XLF(55v$mF%qe7|J&$ZFaUZ8p#Rs*WH;J<1qx5??l}$NjW-V&;ICnVznz;ROjR!= zeKC7Fs9avCiwKLKy0oN3CJ`9GHY+P~ST8Zt5%?UD}>oewbjlpDapmMTIS7`&-*T8qwau+)>Gzw62H{AqHSXR2lr z{?X|20>DoK^Ps!v03ddB2RImR160Eh;vAa`tM7w0!+=Mp^-l*#6mo2o_^4zp6LYn+ z*Id>Qlg$Z}MUvZ}Rber;IG~aI^#miLl^BmbZR}3P6TwM9`G0!oagKmx-I`#PpCd7q z3^&8n@TcCbj*ExM@>pbba9+^J?KBt|GSZb!mD+KMtxs1iTM*DYDC^QNwVRC$OZc4JV?z>- zGJ+P@e*8E`on`C!AfAt!lxECV-dXmVGyTzEDC_#cbzTq!ViXNebz)M6k%4Hb1Uj(* zP>ExUC?ug`(C_QUVlIf)uNfEBJeVbWa(Ys9)drzmkUb7T@gA!5Zxky~(9POSKzm;% zj(fDz(Y683*a=Z|sqVH@o=%ki40O^H5}rfdTDzin@(}6H*T!|8YA>Gz2w=QQr0o6# zLt#Bk;w*^Zh zUUn&TiiyI!f+NC!vG}dW;P2kTfh`pK2Go-y^ZI2K!)Pep5r}g;^&=oIF z0)tVt#&xYKTXuGzgUZ@_`PLCh)0PgiKJPv4v&BzNxdg7`_Fsq-itA}6l&uD0L+y@i zNQ6|Zky4D7fqSXpvgCZQhLAd*_ol^ z?Fm!thYP`Wt(@JewG0SXjG|J^>aOWu^9^y9aSW$tdCr4t71E6}Np0q_Z`SdQIW2DH zU|^6(LY1}R4o|?ILJY?wlDH$p-u7O6)%F9B`Ou^mz}K{5C{LLA;yy1x48WiYaMQIY zPcDU3J90bNks%dI{`|<8C9|dAZQ(W{!ra|N%5TMhCa>KcaAs%0JtGZO<}R;B{h{2( zR$7U(zQCK)fWeHsbV8}TzG<-rzHti6q|!W;qz@MFE?Eh14DQZvz~r3mgXfA?9eI2c zq*8gw@;+Y4skmnr$>VppAcV{wpu}onF0@`!i8=ori(}vATeIoHFbu3MU=lf+V{6>| zDqdYzcjVP4kTBU19_)=Pucr_=y6@@_L9b|kC2r%CkletHdIjL9n zk{IUG`jR=Jm;aDV1sMBS2u^!-(APKO(DM;7A8EwcG~F z9N)hfOrsas!JuXZyHaQ-XnAd)9T^q75z3`tdRck4VNy zqZ9`@Wx%RGfg7#NA0#T{=NQ}}ipK~HnHpK4)Xjm{JlUpMsfI{{5j>EuGpVB6A*TG_ zm-<6jsiVqR!uUHq^oepGvD}e{Uj*r^v=3nz)Bq??Qw3Fb*DM3%&CZ{}CXS+L>uwa@ z#ThnS5_=lGkQ+5{J>EBrn!e!UZ<94Z>(fEgQ0rEYy+dBY*Y>_)wjawcO9j9d*Yy^Z z`}vQgXh~`#L-f5%O)zRletey7{#&@?#27wK!pRvNp91@km}RiJ*h!wT!#YY|IewQx_K5 zpq~t>%52%OBi!{2VCdl3drItt9}Xr!-s``}$2)1vq*Zp85|u-SJGiymJpV-KqJ@+sX zJ8*{BC4=Vp5HF2x0+Pa6*(h4aWtf`7^3j*mM8q0RVUbLwxanaI#?$R|Zw#GI9|X1e z;N7`_Kn)=XAwW5ozYFQtz(jQ@_BPife)%gahlR1O%-^sT#X8+UaMZ*ZFPh|shn>W4 zVM1s((iJIA75v;_43iZ7Tkl{uqQ3XT%TntAU2K1!psa3=NP3By%Mpr=Qkm;EReOoA zmg5(eZ3fB_*4!SXcO#S9(X*BIG2R>ySBhShnuHD_Yk$e5xEu}h#9)y2oEFu!mM!Os zob>+oKZY{pV(gHTtuPVs+4e|)L%QD=a0(_xu}r%E!TShHh?3)MO+{YN_&^5v@GKN1 zQ9vC;n1r}~=jGTGt<`|IlC93^a*S&5*{3EL*!!7CNCKU5KeYgpmj9L-7LoY=W(eB@ zoWZpJ{hYZ!g-&k*7tEp*=9EBM!G|}Q^Hx(ps1-JBZyw$Bf+HX`=?rrDV zw9tnvCO3)X?!OvO-%pWq4pN30pF5i@TU_>Kzi+w4w6NotfNdl*yv9{|eT2v{u@dbt zYzpXfXki5TYd8UqC~pkDS0_A!dp{{WReL(qO}Nq$gH%%#IRdo^OQiw0}ephPvZY5Fox+kSFVGyp193Cky-i zqbhu)$%>ZAONhHkcy32tz1(Nn%OfW~FkekEx%-*71||Sm5GSR%?fQur+v#rW#7eLy zjwnbXn^}xa`Y#QMn5cvtr@YdG^Uqg*uVaiHmQ)9%lX#|q4K4Bzsy_qmo1KZ}f4=K7 zUp6fvhpwDt5h(a5p-fr$nc*m|CJ=vFXj`lMD*wUye=!zX`uN}!Dx?uGc8*tx^zz*2 z|Ar6h>jD{`C>C*=M4pNSwraxJ-3zlmA&7qL*A4G1J?-zMNf#ui=`q~fq4#)3se$EG zl-)LBO(MVr&{@&nbL3O>NIw28k=fgs731*c;{C4Ba7q2-ZYT;p{E#v?tW zSdy&FZ$q~#HvS_CaHx`5+D?fyW`hSP`@`^7ZOO#6MBfnkD@*b3WH%MFws*39L*+k- zQnmiFz5~jB?3Qch3jTpW6t6#&DQNh9hPu^CGScP%Uos!h&E=NSPcYwHrDniZ=gDPt zJQEIguc_pI_DZ07^QL^kO}ciDX^k>8UZUx|PbydEZ03;QonO*Wx&zVO#FdHHJ_mG; zE)|&#Y0?G=qQl@BlBj^&Yo6+}%TSA(;ONR&=Zpxc zrA2*$hHd#lB2uwoWu2*)ruxTm_Z*{YGy9CWynnh$B+`l3zCQcSfQ(7=0EqemnOy+N zwQDrK#5ceRY%pEdwib}wSSdM4AaLbs|(C*@%gK9VkZmD5N!U8UQ|p2M8P29$R{nODBgcNPS%Ti!~|ecx=)Vkeo(=i&$_jU_&WdtX9BNaF_Kq54lMifgU7`& ze2aurd6(5*XOBGg2) z9_B*g5?uR*VIeuQrH`NKf|)j$`hIA=j`hFz)mdt1fBT(8vM-I%!jxXm7`7TT13uB~ zk-1F>9ZoSGimP>|#TL_m-!)47IONLFh}sKY^;5-!(V2&SHRF#Q*F)s+gxq(V9x}Qd zIGXhUO0r(8k0wS!O&-f=?q^#P{PPwA4i=V@(jOs##F$iPK#(U+%wU(4 z59uyJ1)5<8=a*OZ*mMo=tUM`<W1FBJU-oI za1nYC!LEGsXsEes)Yj#SryF~k$K)AK z2PUA9KSzH%L2U8enG5y4{%!%~G|H__CgXr5-Nf=_YCD3Mr|?fUlLW&8;buWYDST5O z{1hKAXxUwvSM9W2tAZ=yHKQlD$@{V9psBrI6J%`lC6)*HWny%IKlhG>B=dm09`kNc zh#N~z!})A%JocOCLw{S(BDvkR);|#nCOu_$vli05!s=nL<}Xc~$#5;9UD9K-AEvej z6^4B)7h7^NtU^bM>o$6|dO{GGR;&De_o^2-0egf@!WS0+9eHf@(?{-68Xj&x*sHUydtrThxqK>*hNgLI{}cUA zN7pGcOyRC@uKUL`&FANWxv8~WP~dbLc9Grq=q&uQSu8TvZ^pO~&xNnggS9Kvc^}cw zt0=<-O%vM1dz9Db5YsQvo&T=JOltE6wm(gK!0{zZw!Peco7J!O2eRXZ3}?ZK%mu!m z6ApI0(E}cMnDZIS?MeTOvMe6nEmp+I{DG-1zo_GE#s>yddVkGE!S5n3r3M7;ie_lc ztawqnj$c4)kL?kG&J5EZa->AsztrhsnNDqNzxK3a$1wwLLZ1(R!SL;~3$GO6i=9L- zS=PNSP8bBYLY!=wgbN%G0l4ym4M4>Lf1R{#3oa-Y0lu4oS`U7;)utd6i%ys1%H)x` zW_+pW&bJ_E`MLsEe5zxEKZ;^?YDl8Y>Vp+S`I|SqT$Jr&X^_On4!$;Mkfr256T@dP zmzIP?dveQ6y5HsXF+aE1Cbc|J3KpSPhWYV0ib7~NEXmcc@V@h3RPxV8RQIH^kOe*{ zI{dB+*~;^W9FC*89EGW7H)~ZqAzEgWmY`9(9E8A!&!{M=ZWB;`@&E?SA}!CPZ=*2p zxz1-cRC*9a`IO*>rIy9az0f76FG-`W=*b|jBUte%?NaxJYOFl4`8I7P!;JHJW-GD{ zSws+_`fiJHvtb#63gsLg^LW0$D{1+!Bj|`0)~%GU#QB1mQ~|5PC6XfFO~x;rP#N(> z+|{Pc1n)9?w6K8&Y0r?LxZ7yhBvVNFn;jI`rR)l{; zQ-0EM>E!4XL_(bbX10j_a#>9ZUyy(8OfucVqv+9{vM?z zs7@COcj(LD_-f$Qx#?Li-w1SqG@fBKWBMHAXSrhtW3$82=XRq_--$<~vjEcZ2YC~E zSR;RqETt)}fYEi&z9`DyebQZ`S2}93+_sei0vQn_%cddtAr#~^m_X99uv0>Qv-8^7J_ESZVg1#z@+-}loKa(IKk%@8 zA4nxgboFjR=bZGn>y#?su_VbrY!uxWv3eXCm_by?O(Yh9>3vbanm=-{o9i0RFQ?08 zpgJJ0nJ;`}QOn`$sRTxq*03syESDp$Bo5I_+t58LG$-eoXY{qKAk~{pP=u#^lX_)O z$s9DpE-s*JHQrnU-J5q!kpGZInVqoqfN;hHW_ekhV1l`lWk-z&VLXjm!{^SvA{BG& zE)f%vpoJNO{J+Q;>Eb{Y{fRdi%b>p83B6u6s8*IeAz6MGT?axNi~$*_m@s3HfZNy8 z<~T0vMIY^6P&jzkwvT#hjGVXJz;EKW6R^X=l0F%j{yL6OAYmAZj)guP{1QV|kEgc` z^B&fYysp(YW|br?ZA>r0Y0+$rQ$KJ&ZrE19<#R=liq;VmnwEJ0lWj<2j1AG^It!Gc zTRT9^W-WA!3xyWjydgjQZ!8p@P3Z)43R0Jx01qtDs>U?BJ!jly1z!shP(2eX#-Fw?_?FiS zHCtc}_Hs3Ejqt8ev$$myXA)2(PU?W#NWu6Al+Jvp7Hm+|tYq^NK(zdfeRv})Vxb`2 z^c`2o`4dA2+CNIC2S(hA`7#=!F|YSj%)pgI!4rlOZdbZIBZN&wSN7N|iN`MW9|ygj zB0@g2>gsASiY!IOd4^(pI0d+eDg54F%_ zN3OOG$kC2b*83_bXsw~#Of4;DZ^4p9f+K!nT}uFAwfG?$Q)uBh;GZ;bB-!)yu9c3! z9Re9hs1_*D7@lm|wG2!9UBgD$ZoZ?G8y6k$dH_~a+o(YY^&dn%5z)vIL&f~`d(=$n zdtFewwD29SjNIOZpTM@phF-ZWnoRjY=zM9mt^ailBMS6P3!9|yr(W>Oo6#BUy}1!G z%dd`Kx+h2{$CU`@v?i;*dzdP&=YCU}IWL9#zg%&~`oZ`%u&G~@=%=(Rx>Lg3D|K71 zRh(lfSzjBy$t)U4yJUB;dquYvsG989Bsk68&4JaY-MF26Cgy2+r3r6|z#+b)c9?Ce z$w|j#JOff{n5@@3eB>0)gKN_k#EJ{{-5+c#HrRHf*`XOOx?srmz%ROWC|=h3Q+srCd``OplS7*fL2DFeHO0(I=@YB-UUld%-cV zzfO@YZTQ495?$(A@?xRFUGVvsh$=_r3BUUuYdDW3(mYi*7Q9%iA*Pw!GCl5~qsy~V z=s3!&%W|-DIkLAds9S@GvI8?q3;*~~gcMreMd?%l=hye5hk(}7L+g=%p$3lQ%TC?M zn&o0YviTKWT$uslt{#3bGjL*S2C|_Iz*Ku@SBt|kM3H$EN`cl4|4TZb5=1Q$TAg4R zwY}tfdUN;`{aO=<`YDi}vIgeP4G0w^S>(7>qJ~*_f{z!2MmJul4@%w?^i_`Ld3y_J z>5^_CT|42EAczUN105;^5g@z(Pe8E0W@YsNj-xgm`YY>e>HdI8ujDg?F7J|=oDsu6 zQFcS08~~_?JO2xJ5*~f)L@A~#%}Ew};LBkb@MO#XAi2J7>1enXtzvH5O)M!*HMs@7CObK9sKB-; z4`qPMgj9VX+ehP`xew8qcC$R?0nz-Oj+Qz8*wr|GmB}-1&t%Xg^EMFQyS6G=C*`1Q z)F>cN=`h_j&ksv>jPC3%P`039+BA}hHwj;4mdr?gnUfaJ#VHeAj79IHN*Asy8eKiJ zjGni(?Yjk6o2xp+8-4;BO|+anBejGt=&y*r3^XXhQ#Lz~pmr5(L@+YQznofRjzU9G zM8)&u{cXoK+tTwoFO=7?{u%9Jdn;$t_1yh^5f0d~lYM&^4=9Ke9}0btcdK>>a<;o9 zm{#^Zi-Nc3lOVcnLP$fEy2TTkzJL?Kez}Py1lFvC$@T6;02{+}IR;2>eZt%*A`Cp} z@IY!2p2;ya!DHNWV_XNYng6prVXQq`V={|1dxaCE9h00?z<&6nJ>M4=Xk(~c)hF;`Z(0Z(8rU7OP5t&hD@uuu3D<7yccv{k+TTUDB z8A%y+ye=JO24@k%FXeO5FihPNSNucV|A)2yP*o*y@RBlK@gYQiEGeN@X&AxHP*=Q# zO3=P`!dEFu&k~XqFjyvw;WjZ4Z)$WW}oItU3dN$ z;tRq`sivmIKE~|?^z%RBt1j~q1W^X8j@1?q`Ru$PYX6fgE>l_m=LjzCtNNX_iIZ~C z;;kOlKZ?H>c1L)JWT6$fsWokdIT3B}@&xP}K`ibEE+ zlyagFB$6_ta#tJMWVW&MqYxBhB^}6dV=@eO*tKIRFD0XB$r*f6r^c-)f&@vyka8e! zNqjeZ8Hj-c@dfZMo11y%F5wuYp|Zy z51f;bomb3}rA%zMW={1Ld}m{jMEPn|is{joS7U;k2-HXo$nE9*IT)a{hphtTCfSUK zI6}xHVe(f6)bzuviha#mPPVXg4zdZ^F;L-TLVX3LS^wkbM(C8LJyxWj_Cpdvpk2_$ z0{Sm#q`z$;>+j`U^MibM;wOuX~bg=RXOTw{+)0G z6}UWrR#(YqW(EOD=|cWi)KW;kDk-7CG9u)0^A0LmQU*;CzBI1bq0}~cs6B%-DZy8V zWZ>CFo%@SeYZi*_X8FS1wnDB|` ziZgnDz)rvC#!nTqvhs7Jm{SkEs&K$}*1`Sfd9F@0k9U-bGc5gxLry&DEO?wNFzIlz zA^et-S=fi#FUrcZsS~SRy;@QSgned4$bAv0ql2fbn{*BA;;hX_!w zVHu%T>playeef%?C7+UxNe{nH$83v@2t#kG9D?f&8GVnS0T_l}C6-+Cj~@kUwe5wi z>>zKlncPAuQbR^GU%b5@w0G5|zO7|lMj!@N@@~S;n#X4%sN9z)`c?+Dbp7Z_$FEf4 zG3GBEM=Wv9ccOy=dNn{Hi(QdgF)yo?JQDn+$^E(>csW15DhJ(=Qb~XpsdzA133p;r zUIfWG)&bfkp{i}+0PrTRn%nl6^g=4E;!9h_AS+D2PqL2HVFbkpYaxi76g*N+#>=XR{%&alxXir zxjZVhiRln=$}_dg1J{~z1M<|k4w!`7k3kf-%)q_BhHD(o=dO2yAlF(18eYI7Px^Rgx zOo{YM!;MIg1E%K--?5<+WxWX4NZQ_{OrKPZ_xY1}*)LSNiE`sD4+$e9T8Tfsr2V4c zv%>&yu@#=6J-5F+*V`O=)qGRRbfqwO?F?5s54Y`lVf6wMoaI$Dlkr}BrS-L{A{chV1a(*Z^iC$Wg{jR7ox^vz8O--a{Mh+< zssrGoJ%$K0?<*wWw5n_xf+_VfY?c-Qp?=lr&s9zMCQH*_b{#8%Uk~u;xnjiq0+tjW z6(i`Oa_szA6`J{hk^DuTPiw+n--rlu;Tw2Nk1uFw4|l3PIsotGux{qYdUU{mzWK|I z%CJ_hQf*7NpTbkeM8{CrYz`FU?gS96D>1YKq}Vw^G%q|qo_m34V*HZG;L_q6%B7D3 zIvkyh9L0g~utC*^l_c+GO)4ZC@DrGl4$;!~nN!3fiE%T?(8pF^)hKeFs6Uk@PpvupD4uEj;?r0<)w|dZ7X2`SkZ%C5}b*|f( zTWhG@^M&J(00}_$zw6bU(l*Or&7|%sgRl`!js*If&N?uc6I(X%%rK@3t<~J?)$;oy zfS+*E;4l);5NpgF%`>+u2VhXg83uYaV;RosfoiB4y zt^kJN7-iiL^f>M*C1Y0E4(89ew`*=tHcuf@re)Y>;+?nomc&p#sTsHW1h~Bje`K2YD{cJKG!8sRBZH* zQv>-vDKgW~1t#KfI2 zsI=WB+VTgtalUZDv;w?Fcx}=^XTXAU_ov{V)Wy|NB$^V8JS9z3R=U`BFzOk_;mQlO z(IuF*FL?5DyO+_f&O6-QH=(~1jD-kJ#NLx?l+Xl0o_QE`OQLEKiTkK~`zOVzArmT_J_WiiWLG9ltg zU6ovbazQkaW@2)<(pr3ITxb(6mR`sQV=|xLlEmP+*y6X3y?PYKzcf5y?F|0+jEF|n z=i~8vZ~K}HLCOe5?ht&;*OtaEy5{4`f{_JGB_@mj2{dcycBvPT5&>1xY*dN?v6l(& zviy?kwsYl|uLyxPzTAaWFy;G77%Ru%J4gY;9%0!=1Fr0z@!&KjqZ!LgKQ*>z5nz0r zbZNZDV6NK@+b|*BSVimmm2i`5aC$&FQnp+ z`-&(B?{o&1LxM53atLPyR|W`6js!?%YV(^O`Ok_Y}&aiwj~j(^qf zUmU`}jIWWVl0y*lfv31SAliw( z3Ah`!$Uu3Daj z9JeaM4tzZC;mk;9=YVifx;tzhU{27fT+O8Wb5HeUV;Q!bccG}Z}dJ-K5v50 zFD@cEKwiry#_a(54Qh<3{>42i+Oj=;u0RQjG8nk>cyvSENf0;}6LnpamHP8uY z5&w}w&Bf65gjl(LB;xmwY)Z{J*x3?oYn=At;n- z50r~O{1fJ!#G5@1Ss^<6rgH_Yn_0FCk4)nq3RH(RYJ82&({&Jgpf=Jf8_lZ~98!@Y z%#`h;`ma9n#lktkX#Z)mGVSd)S!Sh+Eu#}MzdB%v&soJ9Jk^o7+vj1ht8rXm}@7}(pbiCL!k`_XhBmG zF;G8kB%`HGuKDc@dJ8dU$e>NW<4)lb%vVmSC8+M*@YPs0x%p>KSD?hgf-cmBdHR+V zHE}I)87zOI&TeQS*+il7=@xij!(w1Y?!3a&6?qO-n51|S03?)Bi@ z9O@E1-U%1-w>Z>+$a&dT18CjUSa+7KV~hjA1t=zH-*2TOOvfYJm`jlvj#PV)=t5PC zqzdJ>v`)FDkZhLD=n;0)c4Db&cwb~<&IKdT(k~`2-bz6&2~KBPSkf+jP34L)*8NvM zbBhJU?A2?UFOOGHry=NS$3rwVO)%-bonDor{nKmNje`vReA251ex3gt(dEQZ=QFj89eu(b;_<%!$#!HnGM$B5upG#S=7k`(q5^7(dLg+BOMrDQeW? z4Mvlq|F@OKo{yZL4;L@!f~F>ZIxgiwNGtGz37|6r@IfYO{8964XS!2u zA)a?4S%(GLb8ks8`aWJ_{fWmWPa;#UIF~^{VpB8%y`BV*FL<1pC=HinHe# z|AAWIL?KkfAW+tQv|K$TL`Xn4?e4Fr)DDT^Lm&|dR=N7|UVUR1{-D9fg_&s6roJX7 z_Wopq`?2BT zOS5&8ASC%hY48U#ZnqWeQYes4s&Z>lk?Dz#la3Fj9o3Jwunqm}E-A2Jgm179h|qor zh_K(LCf^g#y{EM*QoK4_kDQjJMsNVgYPGF|R~1`0g7D4sA{!*ZHCw44`y>rV(!uOu zdWK5?vSIY<<~;KN7kfZ7R^O%mJ}Fi6NSNw~V;j^%#@VW6F1`q3zv=DT$;80MQy_N+ zN@^qHS3&)+HDzl&?ZJ7){+pUu5ckUF`LdV(Z6OEUNF*lbyav_YLQBe65VWg`Q>mo6 zOwN#7b@sWzTTGqmvwKvkV2n6ZR+Xh!6?^7KBhB8l6kf}O;x|MZAGT@Ayx0nGnOSk` z);E>6NBA3;A;`>6ZBkMpYRvPXGEj?h^qG8fxn7N%*3f^7!|VZwKhpnO|ABQ3xWN6$ zx8vxg%GvU7*@KC!m-W-2Rdf(ujNZy0SoM?m*l0ISpMzqjRz4U-GwaVF_>1(Um!tz7 zNxRqDW36YFIcWK5*%*YEZ`nrm86kC!SjA%Ap&1oGijxfO)E- zzhFTYz!ewIvc1Gsg_0nWk0{e{;L{>iLnujvGc-81`k7*1wbG+UvV4><=A+RE;}&UDsIW+ONA=Q-q0!$bAYKE+G0u);3!Oe ze*J-78axM3rDpT_d5V5Q9ViDL*>Mkg)~mzAk{*SxfR7xhy~ z+wF9C)@&@&J}!n@bjY#|)&U-n6XJE5|C7QwGtSEx=nCEc>{ZBx%{(;u1q-OQoPqCz z!{h}Ll(A^Oyb%bwRno?(TdDN#8PBUj{2+@W=ivDs-9zX@1$m2S6UKPfykpruh}Lma zPeccWgum!a4-!~pr#^HA*H^p5U*;!YQ}(72cRbn-xDKQS%Cby9%2GIiAys~?Z41yC zSOmNW_+E*_y&rz~VwYik{w zjlyp4Cw3w}pA_z4Y*!mpv$e{rFQR}XvXLbKMTnSQ*^k%ggngD!jD@Z5KhS0#kP3N^ zwz0msHwK4&HzBsd0dw?pz3(l^p8SoC0Afxv15@tj=R5ISnRNMTsXn8$K<*&K4`i3$ zhW|8Nd$|sjCUBNpHQYl8EivJGCqfOY-ECloMk{ZHOf$p;`-8=aow4w)?r;pns?$|N z1C@OWfVIx`2sn<$D`SjV55c#_e-<9_@>Tn1E{$~u_-*!LWCk_P<~sefg&C@2OYqu2IrqG*h#Us`UqmSsmyRC_w<3{v~^)hr_66e%f^L` zW|jdcbp4s-No27fvD@9El&oby&=~a{ha`sDWIO(Sz=D9aJW6|6W7|WX@T_cp7x%oF zitQRv=Gf?%7qT6qcAei-??><&hNH#?R_&V1ZYz|16%IGLIhX~FATK}yxML0jHUwY(0(>~ta>D<`yH;=cy_kOHC?1VLSGs!RqnTQeX zQUg}^6I)g)Ec=N?+h@{fA}_Hw0@9N`y1GvOHr*j4Z;oWC*N~rnQ$q@-jsBY*?Um7=nJXhY9n2_5%gAx)52gU#=Q>> zEOu>gMqE=Vb&vNepD?deL^6POa_rdSbydJs<1Z-=NVbee=LFrq4z_1w$V|aMte!yn zQ9-ZKtw7vY{5f1E1pJuGggB9M$7iT);KsrwPa&4c5=#}YNb#LfUX)nH7L z*2+;u50ZqOx1j-AbPr?2JifJjkfQavpL&r$8JbPHWQfmIWR!D#J-tX)4M`>xrq9jS^p$9-kjy!*ViG`MNqXHxqny82$ zY3^!%1>Ed^-pfMS7N3_k^8G~8>i4O@01*`(WUv~LLD!*V9H=7_|M}=U(Pnk4cswJ! zzLAy{k_k_6;t!KdMUo(zL1#=8!7&{wj-zi~L`hMMmPK{hj|}98mAsrITzVYhD8)_T z76J1qL_FBvHMj_lQoqIgRNlh>w84_t2yNkO4W#X~je;Y0z>`~>cxw2E_0Vyjm@~GU z5PSCVr)`G_2T!}0l+V%#v1e1Fg?>^CQiK~LlC#$rBlh%^;w3RSw`UXf*^kJ z+fK4N(f518!u`;PPf??FI7||?2s3}I8wPslQ!w)Mh2K?r*X_JXxU$cef=))~Melnw z+YTO`x^SZJ5Yqph{yGOCIrZ^F4jF<&sumL0XAlY>lcw{`Y*poLwt{$6Unx2~Y~z+R zh?O?H9^VtSOWZ+?lP-2N{b6*LyLt$j>fMD$$_L(~J6|SeX#wa*MEXWiI5*pzx0Acb zvsxUjnNQE**TW~`#lha%=tryP2MJHhMGAryQa)GaldIgumKLtwUnD)QrF0Y`s~=y26zY|NLVtdQ`aHZDQ`GF)826uxd)$z(>DmlhM2TDlPIR3vfaz)){Re0jZ$C8D0F$AGZs z>c0qTq4nA6!2lW2WFB0pT9)+Cg7j5TC$TlIwLkPR&GJsvW^5L+IsOxaek8P!f%X43&Q*wOm zSFBmZ!UKIrxvqUwM-i4Jl}0V&2Ld6Zb(HUG)&g)t+J$i-O|zM5oarc@3a{h4%5)*! zY2cFlU^_Sqd*MoS4~`Yk7i<#yxbo(bd77$Wm1*-G5h%&rT`N~%cO!86A^qfh%Llg6 z`cajO4mrJy{&`scYjakvFelI7*wJjkMVwELYXhpNGCo(Ukm4_adWl#b=%cy+T%%7@ z3NUEdJ>vvA2ml|1e|zxJMaWGS#c4_@lCG)$%?sGgvII;1&ssj+=r^tbu;}pO@Wr`R z$V8ZaI)t3`an@3|&y9c%u{p*1S#j_sBMU55Rrw#}+gyM>qsLBi<(Wj4v|yuyW1X>zDZ7Yq-Z~euf|y`*l06i5c`8tLL@QTy;FbE# z#yh+|0vUj?@FD_r8voQfG4~kCp@gXvQ~*Db7ev|{y6Bc3fDKEGgx5J=>yIH*K{*@%Jop!!bCYOD%`kqatZSupt^- zcx8Eso6yy-)uiB84d7Vr>$1!@*m+6=S&kx-)Ea=dl- zLn3Fy|2n2aoVP9Lw6Bnt#Y>3R&#U?QO_>)&YQ1yW!DVHl0&scN>;8pi;o^H*S?GM;Rl}{?z@ZCUx zx)RYyK)^C=vn)Kyx|EQ&Gq0{W6Sk4=MQDub(zs!WeGNNBqgwrn?rE6+2zdm@8E?o^k6~?=fn_UOZOKun}?0S7SxY?tE$x3(k>|Yx# zjZDNFRS=<&0d!x!%_M=C%UGB@%zb!`-b!?(L1|FNL|>!tX4#RdT;t87!6p~YXur(Z zJeGJdI=N#hP76JPH9}#+?x!%!;8xp^9UTSqD zvx?lf^OVH-Jm^-)B{#wATPvr+-+xF^2L8%zi^JJ687bzhh=A~=51Y@g z0QJ}C)Id`p!`Fe---KMowB*g?YZEq?$NZ@Ew64;8U&*-taN+@JnNEOMq_6H@mp20Ln9nFcL7d1L=<%u@2XiyYJ#jFfiJ=4W0uO!xpBQ@w%eir zUk^rx@r+lU>E}UbHf~~33B^n?lRpE&248_Q9BWSQfQU26{*5Ztwj^c1qtk|?ITZ)z z!#^E*YuWz`22=y2doqZA{6cDOu|u)jVbMmJT%M+}7>1A1MNj|sBI)i6PD z7z>;d?aFwDv|^i~Z@)l&j>RPcb|@Q+B5b-7Jv7GD@45%A!E~<@E^M;f|9QCaax>1( zuXncNYF1Wcg*i6CmxZ|K5vl9`w2Zt*l$Ul?mcos?`dg70<{&$ib7HAIjJa|b?}{4t zRApe!v(>19<_W%!6(5)#4DfX8t6!3C?gA4fvg#4yD zdx4?Y%-=7P`2R3`YWAi3Xw7PVA^FNY{kPK-O^CbG1?8Eb_)k-j$ot-P>dl=d0u4WO zL+o)ZaQ~{5lp7et?8#KXtkP6ZN^A zp;0T`RNZ{qFy{~6m{;Q$R<@tgT+|>1Qc+h8TJ^c2wgbGziRuu!Di+5YSNOIwygz2M z#!8`BijW#x#)!QMSpa~0*uOipO#f=%;@p&Vy+?=;PjzT8p~%KQtfnm2vMBfTTM)i9 z3->3vxE@oOZ(b9@*1_ebc(m3B$p-;x`8m^LZW~%6|GKohvEl}Mee_8IqxiSVul(^4 zpz}~&wI*czNIMya{Qnn;B0t&A8gK#WuvYYt?8s%^AEe5thMRV_BC=p9!B{Gbc$McX z@u#j1ThqG+zmLS#%F3pOXpN7Cs}KwsnIC$~S8Smu*|Q@!exWv+yqWXL31^jZQ3yyk zZazEzJ_BVowK!_j^;o5Fhm{wv47}p41RZmDpN5?ee1=t0dT~AOQI-a)^4rH9 zBWb)FuHnZ99Wb`%k$0Ovb^t1 zZCBWaO?>l>X3@9m3I~=0L1Q+>PA^u5nqbfglU?^4RUZkWOb;T`nGKjmrmfh2#Lhwr ziZ{7id0uJ}kPneuXOTXKl+)d(chjQ*@#rtzJ`aF{26_>{)S3pM(spCjq*I=*VD)nz zuIkWu^;UkXoilAOE=ejbdpr_+*v5aIQ(~BNBC+Siq}r2mWu?B$^e=eWC`Hoba(`+% z=Prz4N}aflxq1_2Nsn=t&764P?il;wG$m|6n_uttE^2FR`)n*cJ?;Wt5@II6Dx;yt zYUk^2tV>pZBodg(dDQci8dsWLX~ey*L+ROh?_7oGlO)!wAf7iP&lYw>+kEy#VtqOZ z{{)QOmA-zn`EPhh6os}|^d=1hGJh|XDB|$fW#22$sx{?vy2Hc}egSWa$XU4p+S$o# z>bt$1-na*{NM&L~aBaM4l9P&1iGqI9pRpsfEW&L9J*>Ld^6BSY+InAON6+~Y#)4CW z*SG{Ws*y|gp(r6N<@}h~kIw4#u%l}cd36Wm>m!Z2mbqZe7Q@+uX6@mJQwGco5p_pa z|37<NmtQg9P@1zgRC#zk&Z_tP++y0p8+ zs%1cvPc!xTshRO5dskfW%jJ^#W&g+^WxtUvXI;Cji7`QKpBoSZ)oHmyOoY1{?5**R zFm+xI;e8V$)|wvA$Wce8YE8(fa+cp!_!PsPY%}G zWnigd!y@Aqx|U%u_+1az%WGbxp%xl2)fl>iJkqo2fI#w}OEkc~uR1LKf=w_ZYBHDk zs9pY$U=(d04@5=PxHc((o_1r=T{^{6npzcmozf#cV{b8|wyVd}ejFFW#H!J2sONJIhzL>^10o!};e5BviJsrY#9boU1L9zCV)s|e zp_U3D*imu8hn996+p)laF|HnblV*3a3R|#BW9gSL5DjgzZbL!_hkgE&reNo0)O|Bp zu=G~FY7EPeb!{jef?ySxecQAE*I3ATzzbQZT^}R*gB$JA7v_-&wCItyAvAsI# zi6xNee}0I`93^DKxO!uklUNP1Y%@8}``L6TRN(@Y8TiDy8g|;(-!m3Z zA)Kv@1BEq+S#gbNHa~cu(3&m0Zc(*zy+D&oHYEmMy@MERm+t$bB%!h~aWuvv8952v zkz!baW91dR08|74aF0Gg2mWusIC%l*R|g9wgE94Xi*4!eQl*U*l+emWYI(vT+nA=Y z2St_=l5ZSRtq=pv=Zi*DUd&?7;ei+|z%Q>}k*dOsbPk?N|L}sj5?` zrZ#Mv!3u3sXP~hM1(uWVVpOx26s@XKcb>t(;6`e+9RqxI-HZoP_1T!*OU(J7<#;Sw zwtSW=3$P1`x|d+>_nNLxwQH8*UTLaR=>NB{^Y!65#3X7HTZwdjcRc z#s1H%?B;{cj8jD@gGA2>=pjQ&%Zi6gxeD0xPT&1!vI(qDB?6dv+r51-8*UoIVZz^s zl6itS&a^$qH6Q<1;y#!rKki2o@RLEdTppP@)q@Nj>yA}=^lhKiRU~Ltps8LDgQk|H zk=xo~v~rwNdq*~+9z1Zb7bl~ls=$oM_$&u~TOCAQbP?<&VxB0Wl~w-~i67+Nc-%(n z8?Lk=kDRQGnftbEzqy5^P8Cp}mL~!5M}_;cvV_G!K_#+bAQfb>ca=#7ty}%bm|68Q zSg#a?J`_FB?Z(3_mH`0_^++$Ns2(ef8CQCwsy<#cGx>GC{C#x{4;y5`Z7ty^1%3Pw zD74T99DrIku%M%F(iHP%SDH4nW)<%%m_1*ek-O>(Sx%fD7~({y-WDP$Tc~golKJ#5 zBeOUq&|~R%YrOCkD^1v$sq{FgZk`M~2RU&Pg!I?`6wwP*zUJozGW-->d=_x_^q?IF zgOzY@nfaItba$Y#TY9M995e$w?%ZPJ7T_H*zk9Ni_~rv~A}#Ns;OLkpsZ&v^vL>pJ z5cr5?D@+MM2L#k&x$MVte1Wg5^`Fp9wBNK(>S{SLB;AebKiasx04XmD~3I^R2O7s1cS8@^q4bh$m8^}{65_W!G?|(u# zkK+yektz0NpwLJN@!>TkPk87F$++!0@&gUddNRl1h>@#)(qC0Rm+xQQO=nw9RrYY+ z^x7XP=k{;Vj|JWh8o?EAzqimiW6Y}{0hKfg>QIIPlr$f`FR2WpBbQs2`o!1>00 zs^m+jOCBKv1XbBCK)Swd9sDyFHOl#E!pt{TGi+f-2{F8-}>SX}$vLs_)!!f6`v z%EIjh&FCw(g1OkHoxcySKVyMWW7uaxC}G6LT9QFnSrymtq_)WJjFwYxpT-8OwM>Bp zc#ki3=`#)DWg!wJkFzb%Ly`R~)Ua(HNBvPganRU|GB5*$&_a@p#x;n`G#`dN$Mt%m zZxu;HcIfdE03?Idu06u@w5e_t2&T8jjo#~#c{1m5y;84(9T}%K4!dsq@d^Sgfr;+~ z3LHi|rRVys6^L4LTQpM9=^s(E zYk#tmk=elH|%HjbTU)UzKKKuwiEMsz%ut`bOU`nt$# zB-D?|=zfJ^u+7|R^kc+H2(Cs{vSJ3-4Rl?U^jO>u$E^ljp4+gIfPwgu_6pV|=dc!& zo&>BGG%krCJmw2o(HVG^HwWRmpX3}OOTigaD`VbNnj&Gyp;YI8nn^s_T-&NQ55N@JHMTI~*YpC%W^31rpwUu%8Ba za`S%c7%&4}D7Q6d5~fS^_?h(2rUap!6Q$NfDt!<_%c6~twh^U-gY`Kn*4xmj0e!!H zj)6C98woS0G>onLz$Y^z=lES}xCONJSVZAcog9fpE*Idw=lk{vBS=p)JgQvQ>S>v< zdumK@Ihuu~t^!J!}9mxosj5cJyxEQt6D*OP9JnM&1c z4S@nmWG{-t%O(KqofNX(Fx+}Lw_m>H25n|^|9r9mt^G)E*p>YZ#|b>`U;84`nUaED zL;Kk^NleE;5m5`L>Q79HiZ*J6vkSxaB8eZf2~9B}#4m!0a`qvp*;(G+@E$Z?D#-!B z4$^N}p13HdA9P0+^)Cy@F zYJY0BH%paS9V4F}$?i2~`}*t-6UA5mvh@880yHXcSg1di^>p+jHmUnv{mc-x47Sj| z-;kzju*{Y5C5@YfS~w>^F{Xe7&L5iD>4dl8-A-^TS@*HrKViOwlU@R_V|Ij@Z3MH4XGxUm@xS6D2;yE?I|q#p zny@Ac?nR zT?g$&A}qcwm#Z&fgN&Y+I-ZbGm&Q|^LjcY5fV%964e&4_R+kFU)7p zP({MbVI%myipf@!@{p#KU44Z28!n~9?E649MkzjrEJpNKy&8BMe`}*249#r{vD&?^ z(AaqLgykO$@*+*47sNiNm?piH?DUuS_ZQRPa8Z272aF?wYTq%)1|zh|_Qv%;5OsDF zg)2nK+8O!-lmDJopU0J9h+oKhTXXW|w3`muJ|vEag3XLeUin~LmL+#BQdHk`oP8~O zeF1D@Uc=Llm@&GSEJ`sqS2wwY=f zGq9y#&}x1Dkb|5%NIRUKnd(;6;isFmi5aG|s}^ovou;aLc;eP-JKf`&CWlDw`Y!_I zw?K2t>W02!Fv-^nyU?Vqg&})?C6b&7?GmUHuE_XMr0p1$rN1L!h6Jp`O@PFk781WO z61hqB0;D1cr%LvfmD~O*1}2Rc5+?K8pX}(VmBR@v&L#M*W?2zu{_HBAN!hJ;X+0gK zlf-I&JDc!OVq#|5^RtAo>9e*;arJzWzK>cW^FX_)0b4tA*^)h91L`fhJR(-dX(Znn`c}ea*F|T>%`G2 zr}Zf)0O^R!=q_qFRyEJYOU-PGt)3lRaz^#Aj>mx7cXBMyq3uVr0Axz@*f9)vh&%vf zHK~^XQb4W04OWjMjQ^`GS>$6=U@P^rnCh2-)aAzuq-b$2U*#Ml{ubnYYrRcdsuaY8 z_IJdA-IV$&DwqFmcl?W`?-7Q4gE+A&r*hwr{IvIcDs9b!lbBv4_nhdgO7Um3&eo^j zc9-xkIFN&I`qPzw53W=O)^xWe5vD8L2QZ2=lExY2 zsaYgNtY6J=82=8@6VHH=t&7VV+e~}EpQ(a1wska0CD`10NjHVrW}b4pn6FU+yndH% z=HNdbcus*1G{TCZuth#Q8|~i^v?(+sa$foCYY5rRia;JHoVjE4~*3lV5Wx7mOnU1Xddu^J(*tW(}T&j+H-_ z6P)rLNAi9s2D$HVCbyUl{hjcJXIB+z8?9j}MVV~{qEd(E7G<5b+xH<-5Z`loYEJ&1 zgG3vIVh5E#+)6Gw^*SRHOF*jNn9Im*<`r=;%OC_9Z=fIc&kijFeCHHluT+)@NP7q> zC6>(ux*yI{KFo|U=Wz2*9&+cA&s*)$#JIP-!r%&|55@mkww4#_H-#pyw&XkDw1WtR zXC7RODjApaxhfr-is7bTHWR1NO6=m>Ys2jp_Mjcrs@!+PwldCnBrC97l+8wllXq}9 z!a0B6mDU^DAIi&J6Yal=F^bT2S*B%^gUF4jZktcbg~IF>;$h<=q43KV{~Hr8(ZI(4 zZyOH@b!Rt{)ankYo{n_pYK$-Og$P0&AZjy|0)7wTd{X)!51n*)ZH~EjWE4Q9et;HN z5yCUiTrvvKa1&`bR#@4Ph2BD6`ynT3!RR#O`2VsCmn)8dd3F1t(YB{N_9kQCQ0nP^?a{zzTVub^!VFT3(bagb#vm>`+b>qA$2< z0D9lQ?tY>jn>BYz)J{cX-@0o$dS4*JeBav@{1Jqs%EJGcL<%)+kS`6Cq_Mw@tR~?5%%I zPObnsw9@@3_V0^zHV1R zVn4Icyi!zq;Q#{$Sh}GjjAuzTg<-f=R`*<5WDj?4ATU=jSFBmUOp+trupDHCG@db~mRhf!~TE(a5w0I)_!GOq93-1)dL z&8bC)8dVnj&ShE9xy8Q~0?NimY$;8l{nnta#kL45rd~-qss`X5AW@2>5fRO@$)&SG zio`p9lvzVZY&fwq?Mp$FgR(-8yA9=cP1Uz7;;a~Br#e`KWC5?H(V`GVJgpAK)_cxe|?D>lW$L}_>*A3J<<5Q>G!K_lUf$V zg{jy7rbuayX_#{5GQ*i~3na2AT^z0Ehbc(vTgS}QDxB-s`t$aHiUYZ$(}tG;0pP!Q z3_6zUiZI@8XPI7sBto?fYu7GO_sqqohvrCm*K_}r(5D*lRme*kM6ILP0ecNLF}M*m zyFz4{pWjI{FZD$p0HTZ5QXa?Vgb2LsI2hJ1rnv3D_me3rAWGd5Dp7}{IPF+DnBT=$aJ&#&5wBx($+V6?`tbxC2liGV-y;P2+=r9ti7!4P8Q!VeFG0RL>6~#! z#X?2GwB1fiQ4wg+kX9dnkU>&+j)F{RZ=XevuHOEG0LD(b!OeBhOEdpPv#GT@=0>p} zUsS2)bPx~M2vWVO!5voP0FeiEx=j$IK!0U}qP8fA`}B@bE5rhpha z(@T%Ps}axGsU(pMia552^nbn-zFw{~_E}N6w5LFEHx<2UK!WL&=^9 zw;`-uY_e<2iE$GucYvMPopWP3BeyM}ppd1qm0F{yrhYY;lEb;U+JT3%S+Uo=DrBHf zd7q5#`-;IXpB2ID*?t>AZeINcm(~fS8%r#wJ`m}VdR9+!0`Y+=YW&qp^Xl#ZK1+#5 zej%=x1sz`ok|C3vNMEy5L6e~rLK7aMO=`N~+Y?JY_h0;~)q~n3AK4PgIj`-btJ(W} zwmpX?VA1|;B$S(KEhM-e;S&l|YQgpGL~5UXef$yJ!qwWx91Ljzl;V3f7mlMrcT7;Y^`AX=m=hjVp%KS8p~0B|H-*ihaKY1Uo*3Uig?CGwwVl?uo^fED(a z=z)V34mpY-QZAVJ$MzJI;hol0;nj?zfRDF=!K9*Jteg4dr#S?;;||Kk=+WqSW;Bbv zvttBLFKfH*%U*3Fbrag*1s7%r(?_KfPx%LvwT0>0F#?fM%sSVjKKh{$_|oK>fd8Re zbjQe8&N3BTMp|O!49nRN7VM>si;N$J@rUaSQ|lC3JP8Ky6T#Ln^Ket7x}p5Kb;lOk z&HdYgq?}|r+i-V1*Umz~9YU>aS_3S2d*k42Sd9sChcdQ_Guby$_$Ve=LU{L~~?vO!zs;Vq@4ma<9ym@ZN+nrW!GP4iO zmt&~Is9m-Yj7LU;U1`%tO3%0qd-2@4;QVe7++3@Z+d3Mq*`7hu8>w!A?fNOh^38)lo~ddTD<@DdjZG1y6$?6@U+J zVv&p{^)AiYg7E41JXe=!#QDTi1*_X^uLv9DMA?82Qcd&ZIqXe3aUb~9#JvY8+Z|Mm z%QeKM&WBO9 zvM1`qd0dQ*Yv*X&tqH2J>v=waX=~Dps6>t`rz~CoF+k40S<_P$cJUO^M%Z@T86dAO z)E6X4vUJ|gW`_sxx`w)QgUoStm;bAa0V#gLcg*n|7$38VFS=lSiN%wRlgSBfo$=cDG7G+F7z2t@(eGT<0x>2N zmy&elsd=`3+#WnMwipISY$p-@zBeV5O_pOiN@ZIQjo>^j#bVSFTaj)yHX_2>m!}+P zF^_e`m^Ea?Fi>ntqLqGb`T#eCzOWgH8O)RVcoT1hnUL3F2R-1op?`3b#LNUN>4NC0 zFdh{G`u&VZ#tL;D*@m*c_baM3cszzt?@fp={QaCSpj23FcvdkuMM5ATARuO8Ffbq> zARseiH82yX<_TOo8HlTnx>^V5E5)(U_fC#bZ7YU)NYqGn_{Bw6S??)!)t1>q>tmO` zG|Mpsf|Wh%A#A(~CzqT}JPGksO^S3Q4ayzB+c5i!<&MOZz_mR16f6GLWjR6*lwS_b zUovy{?*SzRqIFr2tsqt|&4w`m1*L7>zM1Opk-_OR)led2Pb}doYNdg~VLNq&S;%t`>5^|LGavQVrdjkl8M&o8luKPJ zZ?U93NdLfD_#rb|G-!Cd$X?+pdkwcpH^P|GUhf||ctl}lKEbvlAh?%@GIH)PhJ#(j zHjojV^?!H6l&6a#@htw__@x*S>$lFvNzHz|0&5_%oM+u7q&l9AytY4gE(#)Z3Ks1A z^9BPP@xXn$pycffN zms46ni&iDqKLS3DqdZ10Wq@(zx?(jxVtQvUtqZ}~*uWdp^e$SI>)G~2qWq?zT3Km> zcje60t9G|9C9ab2qmL)pDq188JZp`i{DI3rV7L73b6{rC;h>O=&s4RB8k|;hvt=4j zvSntniAR#Otfe7U|^l@ROD z1zdb81#muiv1e?c-*e7Apj7X#x6V!W>vpCRg?)G_L*xxasa;^9PP!p(QsOfHlJYN5JF^`Z2CG+sqCB13F5-)OXy&s7Bw1A+SGEzbN75fu&Bh16X64}9-W zc$&BLI-lQ~yhTlj^>^aswR_}%~$RlsA27#%p`XUZZ)K#7;bp;=> zeHRtah}x?yD9Iu&#(mbIuMfIMT(~y{bd7*niVg>gts9f~*;=TM7{JBz8u#;BWf zmm}n;Xuc84korppeNW@_iq>S>xn3Zny}4?H1XzHAn+2gKD>bEiQ<@rU;jdC>wU$Av z7QaM+-W6fYuO5O|yx_!sd&fnVSCSw%VuiIT`qF2=`Lovq7#LQ3Ff6Or>?D@49Pk+Z zmY*W5e@sm5rSoMLnn<_iEV8@L8*U8(c?k@e>Zu5tXkqMjm0=;qiZKVz`i6m!f?@X7 zgaG_9h}q=NaC7D7C6Uxy*=iOoY3q$@b4He_`C;2N2N^O&igdk20cZ2N`Wa-xeDv@F>(EF!4vy4zl95 z3!C4sVq2!0npQg zo*^ukmx4KMSJw<7fYU2TSG;VHGj7_Pwspz~^$%XR8gSA`Q(ae#kZ3zNEN^dxAr2ye zmW>^aPkMa!(|nT76na+U*~<4~>J4K|imDp(LT^lMEyIPRVrn!`VCPKT?Er9WnX@NV zt&O5V2PjWWvq-Yepw7Yu+POgrlp1ly|ByZGeg#lFl7FGV5s``D7cf)7EdA&0IcSy& zJI4h-THXhWro$v^x3Rog5sHZp1_!H;Wv+-wSCOIV0e)mEmVdad1}K`T98L%eHN4XBLye0ktMxE&92cl+*JxYQ+F z4ZOV7iiiKZip9YyzuLIhjkC<$YR21q)Cu^-$&&Qd{^hG<&DA%$xS%V= zhFHI8Tv9nk-k&(wY!rYIZ$vX7R%DN+xMdav4q3OJp2ZKW;9JUXm40AMnzwZ?6xoIL@cYSTWwoc{Mv zC{GC$8YjB8YlhsuGMe6tvpGU5Db-5eehPhxTbGt#1(!&=T1^OEAYK#rSI}9Glk%4t zW&kdIwhB|Th?AgZ-500YksQzyK0N1KY$_$Qr$eAU%bD5F3^_+%^{>Rf{$+8Jc>i9J3J7efYE z|Ml@PqIWDF!f8MGmCwj$bU?;Aq7hW6e7^rCUj9y(2%HYdIIJ12pvf*;EMZ3>ss1pt zY_Exa{}{r(R5`#O|4RPDjP`%Z00g_Ds3uCe{xFsXPWopEHe{z=o4;m`b^7>bC-bI^ z1q&2nAJw=J2m4IC%^5M@)!hfCg$o(PEZBWmxd0D$b}4^nvg(R{ z8;3ng%6*7@F4fJJ`9TK3e)+q`D#kP>c8YBi5JS6Zo7sk&1x0_Y4^GR={1tmDW1$5M zEgznJ#JgM1moA^WGJEGkecd>^-e^D@rNyEIFbJ1VBVF70gN`#5PSJ&aB(j}g0;S8l( z2Mo>eobBdx5#lHyzuoFzH8nKYj#=^eqQi4;aVu*|f@m7{JY7X}@WbTs@+E zAPFw4qDCD=%hx2JsZ&zeeKt)!MTNbrT1GcSEFuEqyccY53`7vNR_ray^yLApC5_Am zNIMD}dGhcg@S1>CAGpV|LnxQRad)wg=*7Sh8t5h!cAJq&oOamon5JZux&5vNqeZA3 zH!Tdg4*3NzgHEBi@p&R&wEyX&1rjuB4ZBA0V`K_L)XK|u=q3Gs>U~)g(kGChMj^v^ z4@#m3Ze>#;(=Sl84^9_AqbDcXMi}$ttKB1OGBLwveYrAJgwmq&R|YVRo4x>OZr2cF zk__P#C-?8ry0D1sq7b zD3Pn`8Zzwam&tB*^r^^3KY7*mXriz@u{?S?mFB9*Ur}NVJ{TLoqBvWV4 z2~`MSo@1yrWK~cF4ffxYDmb2|ku2YMC=XdhVT}3GH~2i(2tmbkM^G1_dwedNROvI9 zq~u#4G&e-PT#Ir?tOgL4;23B;ge0o|FG0Je+~OGNaOQ=YPt0V%kDH4nhXRUCwqZq& zq(m%x&VsL9PjxWigfz0?_9($-EEH$aINx8(eK8HLBEhVGwZ%^q5Rnf0x4z~58(5+9 z|06Ass~oVeJqJAeVe(gV2sMY}&Vn)qAy3ytC8u}UW*RAi;Y{-s99rE78-trcC>lpx zC`#)Sj1uAQJdJ*>n{Q;kvEAs=BqWm&65Fipm{(_>h||1EX?5HO74n7fCT2K`=_bfX z?aV)pj*UQ}EE$m~LPp}m9o#e61qt_s0>-mj={&Laf^geJt;zbSyR7^d3kbnHhfuA( zBGicp1xnS&pIY`KnQuUIj6+S~m7mP$2+!TCz1vp^UsrA{s*+vItx{G~(o}U14UipC zm{oGBN6>rHlk6s_^M~!e@l`?SSHyayIx0 zI5OHj7)CAdA7QX=cde!?=g&2TDQA>X#X)H`bH+FpdNbT1asJ4kO8W|ABY(uz#i!46 z&;g9+|3*#bG2To5_NR%}h?yb8mfb9{7YNhl(z$yKtUX>&!+MfyLDB0IN#3H*!Bl04 zGto%MLP$&qc@^%7LC%H@uFh3LonQEMS=?QXZ61?>IfAogx!efPRPn_1hg6nRdH1_T z3khJu3o?a;$dev&XGV@O%)VPuvhS@p;JrzA;G(2Jaaun??y4%Ai~+2J(cUHcORlO> znTWW;^orD@y#{cFd#mvf?a6LNdCaz%4}s66Tha#AaRgDB1p4}$N?trHg%6xbIDIE*acl zX|dC;MT_WccUJ^-{Mq_=8MwNXukwBlrnW1IJ+PUOPKJ?y124K7L7RVCW;Qn-g@6gm zk~sfcv?}x7>>lBv-hFC%E{>a8$pBdjZJ$4Pt)N+n#N=k-wfN1jH1hWHVc(+jBw!=> z@1bvVTEch66#p0v0O!;s?OuLkChNag3W5#`+lb7=$b&K&IPIqw2Bmjx{EAu_tHa7i zAJybgw8bX8sC*KDD+D7Bl9Oem+(66Fr>pcgIUL?!m>fT;XUf?YFL(9k zCsS7}Fv?@3hnCy@HAJ$~e6FF+C=f3nWdVItbEqB{ylas0n!^b;Jc{~bRDegQDjJDb z-ylCEd`aCbi~?Qbi%>NlS~iCKvyvHcB|Km)e_%Gb0U?qri}=oPQR}deYv`rZm0nBm zoQr>XJM;GNw2}pVnT6IoxNlTX1}4Kokk8L5@bCckD9b8z;S; z_n+oHy1be*--K^WS0ZfIr5J^H>AsnsV%n5q=*!42GS(C9d-M-gzDZq5`^_4)Ri~r^ z&=i}_PPEdj`W?;OD(Vlr;=?X`;MwvW%B%evktwDiBiqFTtW2a?47xuaRwCktaOp1?2;lXq;>SxzJj`)ql! zg6cQOQ@2|@H}9=QMPxNdKO{owv9Q9vEjuI1LoO++%cuolNIWZHO*unx#BUN&$=f0rvNmth>B8LZ69>tCmm#nKI@_ zR?=KXo}+Yj8K5douNKD;1f<_SchB84%dD!!CRW_Zh- zEU}(O#cU;;VQM0!UrLmHcQe?UsUNQls#EdFi(6Ht?kRI$tx}ZbKlqSWzfcG0t4!tM zP2H3+JE$aIobQ2hef8F$epzl#55}V*1?#WPH0OV~;$1$&bKQk7+y$lHfJLrc^!+Ng ziIEm*bfYs5*+M~yexJBFYGzK6*mz>#ol2fXr+_M4JSt&1=AdgVH4v%Dl>6N44?!0o zP)#F|14COi5`taeD|oewAciiqy;GauX|pw7ydt8T{j6_Zs2TBW1C0|z{6}j-;`?q$pPh@E(6s-arca2-Y1f~p}f>m{VSWTIc&#Bz&6OxLVQ3lFml5Y z2z82t2Fcec;u@)IO{*?QJA|Kq$Qw7RtooEPyxtca;QxiB##u($M#ta}%fui|h++5H z0PXTTU0k!>d6f=AZLBt~UgS3^3}@uAi8fQWog|+`ei$Q;289Q>oNvJ_NE06c9FrZ# z4u)~swpJ5&j&%BY-nvJ?EBGiIOZ~eFR&F6+1iG6c`v@}7*Apw+Sb@2C3k>VPM%Dor zPtGj5_!{V&B&5N5;%RnQ(dTALij76+Eub^_nJ*J46aX07ihMyL8_-kqpG zXeTmBEd$Rimke%(0*EtRxz!fDRH2^bm15Rs@v!ntCGBHX`GsZQ`o%uPi7b0pah&PJ z(-c^bOSl*;n9~(xesja}ca`bb*(>!buNTm6kd00!&3n)=^WSwM!6flk8VynU(MF5& zQh}E{P|`){!IuO24D8qat^hIU8#S@jDN&s~PCjtX5lF$UA0?SHZQRczx@zTPw$#Tl(T$b&vuUrHCpk}(R+!> zzFEVbS1bzn?~b##TQ!#<+{&fMOo5-O)(xdKGG5rb*x(#nYBo}d*ZQ*iJJl$QDWb`t zds>~bw2_Rh69$>#-Fd12vStUTxDx+poDLK0kvuVgLmG(Fc;)AHL~BA+;GDlPLZ$lN zNNMQA&+)Z+$mTx83XH(8HxgT?_M)I*qTUKAsU?MDwa{<6U`fBy*M$B^HWi21w&A%6 zJ8$A!CFrWj#^=ays2anE(Er&R9LmsT;akQMxX5$dD_piH;V>Jx=x`Eypya- zDYSdWU+jALFd)o3#Fy6;y~gOPcgrAglE&cpShs&A6n77gGqF4 z_&>!uEt`35b~?&0xQZh|qys;H4VO<`qmobt|qwr%vuL-cr&RQoeX z#|!c?1YREf&y*|#qhFLgWWqY1@)3zP;6H0-aUm=dH!`m4m9l78n zAJMgE;eP84z*mI|V;(z!M@f+`Oi9Q0eSYCSiU5!WQ3YfG-MhIr%>nawyI4i@oK##tZ7<*hhnj15m1l4=EST8C}9%vXM% zAqY&H!y6wo%SEq+jXC*E!yd6xhR@ZJYpk7#ZDFU#EX44{ODZ2On1j0*taE1_@#T`O zw0llmV`faND{ljchjga!$|C)AS_b(4`@!~uyI<`_kl1b5DfnFWGkrEqU(@yWDBwJOLRX87Ib@K}i40^TjDXyP2Hz$WXijHVI@0mA zhH_~Sb;h~SkF&Y)iN1bat5IaAZO@UeuThL2woNfvAlY5 zdd&#%Lt2OD|IMA4?rH(4Q5dT3iuy5-4`SV@O9CKEkQK|J$b_?CM0EIKdMg@VKLhfdYBPlCvIQjl`^uF!OZ~;ovND-i~gBADsn;%6G75@SAcQTZ9!+XBq=i z2dO&Fi*5q}J3skZTjHx&2A5;;h8$~2!7bJX0seOVu?(W7crd8?%xRu4o=Z3kS~_yY zw-1txv^wr8l9Yt;|2M?wM3n4oZpEBCbkhWOwAcQqrPFTVRGa-OQ|@H^$^}uc1@-L!5L z7Q4Km{-jEt^^I><$(;%CB<^tiq%sZD+>ap68eg!Mq zFQ3nhOZJ*U7yNs{>raE{M4YToxvHUXXR zn2M%1-O|RLSN=uqE)@IV7LmT5VQ-oPB0iq?sJ+>GBF@hdO%3}Nn$YuRG9_Vo7dts`;J-EpXnSA(+^<$W0_GA(X-462C|LG`wey~I+F~p?^o^t(vLcVFnDfv zjH(T-Q!p#_vBs9Gg+#{mgjy}W#DBN1&S9qaV!g_J`UHSD(>_$YC~Pek&Mn^tq=g>x zGkv5YBUc|I6rC|Tz@6#^L~Ois2iWyrhEtUR2+mXgNz?L2rC{Me`JS;aoDBorZA;h> zJ}bI$G(P?`tJE{Uy4ba&p9u}pB%N@f3u;(53iI2*wq!{8&1vsCjaU&0tYdUX13Hh( zrP3pF`G$hZbK*73Z$7zoic2)Lb2M7WArb_G9YpijBIPDdsb{KDc(oXQ*DCl{7 zIt)amT3r=GgXy+$b`RELYC^K~I`pD?j7iU3Q*PeB_ZJW2=AA3Sk65E0xDwE0D}A99 z3!YC$;VVP;#D`T!<^Wo^^l?XLto#oJ8deyTAxiuun2VNhrNPDSOUD_S#87a*@W)wo zp{HZ=#3}y#AcubhBKH1+5{5$ZVO380fSA(LDH3oqF_B$~dYC5>lV?mEF4rkPz>_G9 zyhqb5tIQ4FVohU!KOyfQKeIPg=oc+pu%Wotl;ytr2RSi>VMO)&W^W7&V@2%{4-jQP zu>z0-E=9I@QhBcTvEN>!y9smOHDG3v*jO97>QhH;s>r@X{}@97*O&M#m>rHU4Z_=- zV`ih_uV#;y^wLCFOP6V8-7|6e&X$YrAIc6FTqs8_cjZqt*|Lq~JBfNGQ62;>lJt1) zOF}|mR1-fU&owMgSZoE9($Lrk89z5#Q*T_=W9gDu3lyZI-OMcO**gxX<0S#)j)dU^ zS8KI@kMwmCRf!S;pptYcYd?w~jqtG7TY5v{7BNn2gL!?lpFjNy(c-3w9V-0zalhVl z39oW$SGX=6GV?TB*e`v3X3uB%VXR_UO~Y8$3zBB1r4|TIO%tCSGW|${4SRHgz4w3$8{f{rK!u*>^qACtYJb>R^b0AV&o3 z$ELfFyLB8e)ptqjiwi6s`slMkFJ}?0`C=5l5w;m$?^=w7w6+ST?$%O;3o`yUU2Lfh zo?SJJsiC20IjB7aB(P`8AMvr3|-aBn@wNx#sBxwfdTj-~{?25D?`0eTU_ z&%_e@ngvK6(3WAdW>i>s1b9cyY_@ppdX_OH>{5#4d=#-4O;bJ8IbCni0Bw|5QA3_R z!e(C+3i6j;L89w%07|j#@DFqRw*k@3nrqqdR>Gp&JN6zVd)JU*!+rE;V*tTsA|nm+ zC~PE{hvF8^_89gr&RsX#wErpIpmi9CZNU?rB`J!_NZ^uqk%=~QGl@%OgAAqhjD!qT z(E)3U_Q?+p!lPUmEET;+@S#xc49VTve{O0!hV3+~ZFP|Mwz>|<8V;8?;Dm22v!ArG$eqcowcR#CsajJ$1~Gin3ztOscwjn zd)Z;RGjW4Q)clkceabNE@zW$)Yjecunz#zyfB^)B>@ZE8Kl+rXDOXOuCzpnD8s>kh+Ju(2x z;bRlZD&!Pbv!kIRy?k54e+35D={V7^`zi>)k@WM%%!fi$?0uM-d7tQZ9)= zwe39WAsyh2MRjVLjWfz9*8Zvc? zO9Y{zo1GPY@AQ;YlhKu)`GcX|d%U5*ciKCZp`e>`O4z(4%?*Nrk`biMH(CC$+np_e=b4}=(dsQ4PwFsCM0oL4L2_Wj82Ck1*NqzJo zyDgB&>lZru3G3BnN8%;|buE1FO9TZTG_upzY-LtK`=R3jALW8O4;6lRPDRz*C&?KB zCcCm<=qPI@AC!oBtGPMhs$$!|jGw~}m7#J$oWuI}jb^$(k?&Ie$S9zRuCnp*Ap|Y< zOiFcsg-%JP8DZiqVRr8GT&-@lDFT8$>+0kYtfObI&PuscN6^aeJ_oNln`Fq`oQq>b zu*I6)VW#4c%DwS>$OM$rH& z_@{5avxVk}X8_~X=z1#O8*kk>sc!o@PuG9?HBqH6$n@p$P<)0r&0!H>k^;?x0QM_n`Dck15UUFVk3j>N!?TvsFTvp(*R*JKPrm&U*-&e<}Oxs(=q;NrRjg#^y;LS%m?5YFNeqPUo){^3g0S^Fx){T7{@k!0oh zu$v$f+FrL5qnlact1pQV7(_xb-E8cDEMO~0G?!9>f_Sr`>*%3}a`wWd5Y&;Y(e8%u z)BMXtTuv;dFx#cMl9(o<@~CX`(nf2xEr23|(H7cuJ5u-1kHnvK35mYkxWJ1)!j#w) zk7M?`!`_CSs29T^8-8ESj&|}@o9Ce2(_}xW0!&JiZljLL+O%9G3+UU)47<0NHzZkU zkXsLH<6&`IuCvP86Cv5qe0iJIyTm7?WFML8Noy3hEr%o>vM>Kcfg90%-UV}WPYBD9N&??O&dczO0JmU7%<>MOVdO4 z@D+nb-Nt+bAWpS~j>=2)+ey&LR# zO%K3B5RX_SA}`yKf#BoodrhW3J02(aIHkQ*gl6Li3!D3GlTej29^pk1U+-qe?{pUMf>Ed7$Jnk=uDFr;_G8W?2kYi};x z2!Fk#8Fj4!@kOe|Kiq7ijLohyzs%X~Z&p|DQ%{Fg3*W9lAP$xgQVi#6)<2qb+TCs&K zr27WXRFG5Lubgi!87hCQ_d<1++l*VGKXpJ#4r`UfrW)x9-JY_|_igg{YamwC3~My` zaZm4k$rIQ)SgqC{X=jQntHTU2G)@yI;z0XO`$eC7?pFZ1bFhVQ4;3~?^=**yxL<(b zq}=zpz_tag*LvF4 zye6~wXib>4)Dkon$<$D)lQL7zBW*uh`#Q>j8@kWEoMk|CN=DF@qwv;yk!e1C7s7{+ znEw=foc5JL9FGYRJCQ^g>~A?_SPFvy-J3mNfz8;wC5qPV^ts@SqI-(Y#s5X5?KU9v zAtM%@a6j`VboTQ@QZ?<64aZS9v~5|GYSy{yIip5Pjwo?70&w=rRRUe_&2cLZJGr5RBkAB+ zMF7->ifRJm&@W8us;Wz0+%W}N?H|T}jQ<*N#LI-#XPhXOvo_|kd z83*sCd-QE{B@U0r_zvg(f3lxi!Ln;Xk`ssaP!#CfVa3uj=K+=S*`K0$hNmYfR*}kb z>xBAsim>SNo@3(BejzZC{O=h<--@{x$)5fUK9T_oVT< z%r_Rn@^_-5=vk$Lh>Kn^Uby7R*)%j)ThS?r-{RlWtwygA>dv_&XS*#w9Un2UBPLyWlkN-7F{k;PP%1kx=wz#Lg4F4d9 zLTmsv@iZfX>l_HVGRy9wsIyfeNLoP5vH@+k?z)N*)?2fu!IS15Rd?vW9}meHZVl!J z^J1mVSdTiMNw3>>&YhO%l8I(50XvC87;_4AAiD76)a^4x(F2bt+fIgIw+7PvR&l>2 z-3Z__7c?0Y3!ZUCZoLX1j3$gdsS}Y!vO?q+x?%=Y3@?2 zEECK%X3^6}afKo}u^^D+-CI->%l(lGP`)3Z61{XfQTBeA3 zG%}0o9TG+6p1Oy-ib%tDNnDW>9WTsSfX>RV>*{|aK0A^#`z_zcc#!>BZ93m*?=se+ zv`Rva&c)1ppsZ!~PJ1(W!W#1{4dmihtuvs(pcSLKtrRM?YZz=K#L8eZ7blB;mag|l zcRsIejlg-uZu2^1=vrj9OO6MWp0~v&(l@q8gJWAahxh%Ee%Tlb7D=!`VSa4!V>Aq# z^GNs(j_f)4m5mIpqqwNSeY6u3sm#qVF)Ve^>i_7I`L-);O8rNf0@!^;D9r2lVcLx# z$^@$h_RdeMhk^z?=#Lp6S^*+S*G+jCj_BUfJJ9OqhTZ&t6#_Zt!&W7y2wID!$lxu( znH6PAsPvw^$~ST2IEy13(uQ`ytbeq@NI4DCw@0hy9oT$I;|vkvHyJ) zOC3|;3Mf9y_!dkM2I*vBR7o@T_HNIRXul$fjsEeEg7EYVP(?{?BQN9qW+&^mjyLrT^KQ^1djDbQ2`= zO*n>hAF9)XwTO{-N4u%a`la)VoF#~u|@5#g14NXGz4BP^o|e&5t( zGo@de$2mxw@t8FP|APZ^g#NTpvKtd*fFV3HU$w)aId#h3-0q=13tt{KMPS8$9Ko^K z1FxZ_OD58S3KQLkAEHBy@qn_ z@N7+8p_A?t$vFP{Wg|?UKhf1kWhJe5CA{i<4FYOHscRPF@jZ1EfT<4MSDFxh@LLMG z(TohA7JJbniMJvCzf1@{4UMGBn%z^^9P<&kEbx`C!F6x97eGDjq8SbNpr3eK(u?}o zXoAY#`);4Gf!{UHJ%2c=VtYf$HT5+NAhlY4iIOnPV#AAN%D+nJ14%W?04wRLFRDX4 zp3{`hVQ);Z=)$UTT&EdnoW!r(fJgg89hP`K#p#Dy+^m`;o;&a{F~9;OEPcJB`2|RN zlv#b^d;v#0FvUFPD4b*;c%46-og}6cPZ7c`O=7Ah)0T@7R^8NgkMy?#?#a!gD{9io zaCVhyOCA-lZ^Q$O=u&!O>+q3R8Z+ob5$*YB>$zRWI-0KWca}lhkj5`^fOwFk$bg2X zbjk$Ue)`mmpYtU^o2ddfc*>2@7r*rUAQKTi(y7If<3>{DK}i=BFd`@m0dMrDNV z`$|S7vaf~DHL5&%A<+|rMrJIx?gE6}8Vnw%R{#Mer+ghXCW06=D*U?+=^GDCQxiKk zyKDS%hSI#YBuTOSYGX=qbvEK%d~vtXHQwcTxuVBD=EMS~Q?;5i7MUM7WeU@)DCr@! zeu9hhmf~O8cx3Alwo{AYVBB4B$;uSKr-FvaO?Ne|E568reH?IaJ-5vh$)!j%2AU?g zl%xJ&<`Oyg8O&kw%*SWzugAiQ@MMD0Yipf4>?j(!%JbQIzxbYhTO=oZ1OUJ>OZ!po zKFG)7=0Bi=G}B&&D%R_JZt)Pv6kFl&*`5i+kH3cQrT>#qF9FUs?o|L*K&ihSJ9xxV zTFX?L!1kCA+=0~~Ae{MJo_YvzAk{FkTZ?smNc!2a`*df09}kY&1qQ=Eps@{inb9b| zr0TdQLf|O!6b717P15)_c|04MC{SVQ{w?Ezh;YFumE&YCHJY3FzwJa^Bn~UcEC2>1 zPZKWpE&jjh`YE7qvoe4rX^P1!y!fDy_mHI14weB%7*zJ*~aBKH(iUa zCSv358XIdkpRL*YZhTte_Zt3>HV)nx*Z?3a0@NTZT`;BBgrl%G0GszxhaMMRg7*IS zA`D?>ykgSKzcXk%vQfhZWV+T1^gDbgzhbJ|6hAA6yhVdP&PP6UP3t?TT&YZlzFwZ3|zg{3AAG2elY!L!vKg@S+(FP+~yU> z(A9*ty^AxA)NZ2`6HV6q*!dn;+KZHCo<_BCDdk}G&KNQi*deVlgugStPn%**S1O;A zD2g?W_OqJ^r2TPO7wXWmI-0mV4q(0MUpeUK_exzN*Migjb-b4rX1Am==I?|+Vy4MX z@!Io5)~+*Uw{^y=U2{Ekj9;Q^HD7x9q_T#N(?Xzqj;#Lw2;mLEPqq$=;XOa7N7^(E zQ>qAz=EQS4@bJ%D(ymD5&57`mhOD#sr;SsaaGAt}Y(Q3DLiqobSu@Jw15z`qU6>vB zE^@=%MAHuYBQa%_3fh8YzD4w4{I$8dV4QzV^+=U|JlXF;3nUQS(4ga_FG;CMWy$|$ zX87IPpXN-{nQ8|o^1&4t4zvUQs>6Gx8>T~Q_#q^3%vfDQI$G@eMX99F)66HI@8WaE z_}e8ZydFVPSqY=rR$}da|H^4|PD+&N>IQI@FXO)w!s?`Dz?Wb+Fpw*EfYb0c866E* zY}kDjJ<>0aKb=U2Q8|3yV%OgE^yrd@Ual`qc5ILnL0>b`HSE7@0Adi_K+H>#iG}iS zk(}Xq0Tdy(+FFR7d@itLyrXZz72#0F&LN^<_JiGXFmC(2xWY$(Q_b@mGCo5zzX9|sov z*zWbXCIuy?IQ2MG{+ilR7H#T@D~ZqLQ4x)r)JNotw~XCPAxy8M35Cq}T}f_a6Fxd9 zPr-*&8ANBzFHJZMs`}zMr|l}%BOUwEYiA;Ac{1gDIL}z_4N9T=|Nc^#WbE|L(q{;S zgs0Naspwg7O1=}M@->opg@Mosd1t{B+}EdDf8c4d{UtB28K2 z#ua_8zOM0uy zw)srSC4S5xpm2BWn@o)x?l3Dq=X*kPmqr-_3dW*y#AuNeJ(kBmM`wF?{>wDSgTyB- zj5?#)b(ad^fC}ll&UBBsfA^#u*SHjFjat4F;kV{bwH^S|dH|oLDzv4)`JVWFhGhv+ z^hgfl*kMz(&AHYKo~JwfDwbLFE0n<$(}XjGb!D}tuin(81ecfwIl$tjt96;G(%a{G z$@Txdt`D;sXv%R}_0?ZAAN`hOYN&BjBNYfRGUpMAd(+dc`UAc zx;P;D*j9b`Tu>2o{t%@mT`D$x&4o3gXE|1IaGKqsTuQik8Slrq77;xpHjw9vk~zYc zb4&fnoCASaNI3#{t5*3p^R|}`XS{{GY|C_b|F6{#cWVUP82InI4CHs}No?H_9On*7 z3sGVhoTO`v;%QG_=4`gF#f-pEHv%Y4bXYx?w9{+l7HX0ZZ2mIIU)Zc4At$O&zrL`U zu)*V%OpN6ZA_7{%(wop-Jv>7?SyJxPU(O7vd3WR6S#-b$Y>)mGq#ILly8FxumILUh z#=Snyr_ltH98H!cl*G1O%2W*IrAwm$bSGI76F8gidD3 zlYvrUh|}eBFtmeE=V;qdnSXl<+urvK29(2(=~zp!vtTeZAfALqxNJe}yK2s{v{Y`A zwvwYBfAJQ|+N!Y+*&a~ZQ|@NC+>8lE@mjA`9YJ1~kHYGuB^UOGCs*sM_djcwd1#}m zC6ciM6K4FK08{4#A*w_WwRc(L_!ky_V=r91aej|d`%4(>pJ z`jyu|gmadG6ycwrd+PWyML_1GG}ULLc$lVk$MK#@jPf^hlrD8A6i)X z@~zZdgL|q~zL>~*^K4*nf_yI#&5`R9bWAvM!&bUVQiBA-mUm0VL-QLby#*rz>&Lay zOi?z8az^e(wFyhd)`+Q?86(9Zd+rJ-zRx)>Xr5bbHqQP%id~Dhr}{CptXme_bnv61 zAOtN#+3ssq|hKw*vh%?-^#sBz2nn?L5gV!W$Gsg2%ll(0cW@&^T z8k?*Awa-s+G&EI2Eifd(q4dd)gcysBdmfPq(9Ax|?yEvEe^sK&)xFDUvfyjXDGi>I zHiA3epg!eczcG5~OWV!3jxz;xVAi59TW}j+LFO#T(q6j-L#=eggt=aBjDzW-mPgpA z%^u6G^hgQqLaEyW-Xt+hA$PYF3LLC~Erld`Z{B1JkPHy?QB|Hi`v69VEd@DJdCR%> z4>istg^u8hwaA8%H+T7}8&ELDu}`mdx-o7mJAGTzmquA(%)8FyFUZQqCPYD+^ycXe z<+x!CI8^tYUQi$ydw}*ciH!b-@jevF=y5D8&GIWO#9D(+L*$cx9AHVpc~NO)xa%8v z-rHTC(Sr6`A?vB&KnQHwQ(`3w0szt2 zwr$(Co!r>AZQHilu{*YH+jcsfS-@LGosY_Lhd+DD_5>*>bqzIh%rdKv=poD z@%TWDBzL~)ZlGA|V8|A7+e^KujcjQRgMoaz0gdjRvuqDiZD3U4^Msu!5w|C!5oBcQ z(s!myeOhOYM+{Vj0H^2pSckdhp+nud4A>3YMV=+1^4X@(Q9q!c_o;;|H&eL;T)?kEMD#fA>9 z$~@0rp@4#cia4zjo+Jptsg`hn)==mK%PY0o1*P$GuH;Z8C)&A8UFo4&EV!1P5SRjwhtf$TG>Vl50 zbwo%S2Ycm$c$eV|R35m?=eBztlMOf;6>wVu+mPLrVu=n>o+-1Nx{hME)Q@=<15=G1 z#CH51T3?0`g@yP-1oW|IFKB3OHIERxY>Bwx4W>DUMwKvd*wWzV4e;~B=oc-#<2se4 z%071PETRB|1&^7rEPx1(cQ!RC2FtZj>D`L0-Pm6S0z1R3Ah`|dWCK_@&sBQqu2nJh z5r!22@ilh4$Kh%7@Sn_#TZm91IqO2PubO@72`S<09d=A~IVho~4GG)%^4c1_a#+@C z(=f-MUr9qQvHHX(EO1XTL4Xay!MEIgh-AW%Bj1?MH9Rg<1_?fKuh#D}X}Q7S5i}^w zM}YQmSNIrxtl&~MZrtXyB@5&vAl7D;{B$@YzqMfKhn^E3h&=F^0w;zLWss=aiR_Us z!uu|Z)whaSqA&8U)wMLEtoEfS`OSBE`e(2@MpwjBS%&a3_-Wbz>EWi3-1> zwGxL|dRSN2g=hJLjPbnV%DgffM|g_omsbu0LYh%cF*HpG&NoLO$dONN`c}SjOxE8* z@TGA}XkQDPre-W(_WH4FJol#I&>7U>u1JO@1dt`^C$~4y4k~l;ssu13qUVP1C`6YW z_U}L#o1qd^?Vk39OJk!%^8T7@Buqh~&eQ75+T*2=pMq~~ZplIj&aai2zku1lQhpwW z#9XnsKW#B%(Y%q3RlzKrBXC2ZZ`Q&Oo1)7}o7_c=%vIJg|1mO-g zca{s3D>Te!7%8NkTIcQ-+>|lSd$b)n95kq-hz=*!1YJ-VE#$KgG0r7 zx=w59uXPs8&b92WW)SP|2K}`;cUG0-l=Qjqq_qBF4vmYuV?zKr`9?X<(S%VWA6zK|7)Z4u#*m^!0GM}9FV z?v!@!-4gsn=Tki3D%mzleAOfVnnB7OoUx4qA>o!8UsP9rQ-a*FuLj;o#L~h~)T_`$?;^t-I5~BSY2m zbxp6J-5|gDhewMhG&0d(RIJ3eDiudslAr3TfW~dri-SKGiGf`8VwaS85=Dc9c`%I2 zR1YHHzNY^D@f+mo-is6-y{Zo>Yb+dvTtU{0pS%q1e5AnJZ1K8986}I@YW`76kc$i` z`I~+ZIvQ8KfnjD)o_*e{RkzD5}~)gDi~?LwtiDnZixd7M3Vhgo{*kM?ZGeAKzY&dh|r@= z{iGC@TjoJtwdv$hXbU0P31oMKF9yva%{tlizsDy_v4@vK07RX>v{@BnDJU95FWoD( zBi@;vvR_H1lW_UGAtzP_FA$Qm07JWp?t{M^-e7k~G}QLAKG>!3V-??oGyzquuO)9t z7nS3rVU>doF0Ky$EcYPX;>dvC`ci@K=BdQ!FT+Ae%Ijui!9X#RtEVguzNc(Du%v#E)_}Vwk7lJAT@I zq(iTR_nkURCHg+H2=JDfVsetyya$s38Wd;uzklJq*^?mS@q!=~1>L`stP0PVB6%#Z zSigjxa5@|fBgj7d^Q+TsomA6oQe6bKdv|QusNmS~yt1b4Tdti+2xlZO4|KybsC>Mc zr0^Nl^&{t$>_hpg*m^vOn|DZnsLDM?6xldBk8i~gyYQQN#SqZ=zsfE~zq6#7CP-_M zJzxyGxQw5?JqFrFvAKjq%tUU}YPkcS2AGb?8LR6pRbn**A}4j)_+S$qNT3FTky#KvGFGb&OihswJu@SO;5fRIWRRVNhfeOK?gXkhHA-H;iRNae zzO+(*hqQ5osa)WL+00X!q`?*N^uQpDJGl(k<+Af3F@d(q~+n*oz4)7Zz*y- z!&_AiD!Km|gyX$@m}()BNFp<|#8VJ=2!gFu+mhZm9bJH0`8|MQ=X+iIG8b4L2>;&} zE>tw0pn~a73#3ii)#XU8K; zCJ4}FO9aHup}Xs@lns>zCH9sVs5bq{CpNvE))b3DGcw^CtG**JIUyt7jeNgz$?l$e zZDJuZsjvkdGU7I`7yVL1iPh4vrhirH_cPxg-`fcfkeKJAM(DJ=h}tY{@V*{HkxSI5 zOe!WXR@;OJ9Rx#2#ZT*+;WqAmIj4+fdBjDzGVz{Lw4&U#VQNW+U1sebo%>7q<>UzV zB794Phq49WN=M7@#`)(O<*gE!QsGCVga3)p-lY`wrrXRu=wng?`F>{=U@E;V7Lx%# zpS94-&TpQHrDxkinNyBvGj-L_q_gsgq{BR(^#as(O+TAdXHz=MxEBKCtFr;G7k{?j zT_rh9MnK%xXA!NY51_#a5c{Tt!F+6Lx~yvf!8K@;r(7A%tr{_-1kFZAb+-F!s!CRn z4jwf42LKd@H{;Q?V6U|FIqBEH;(u#x8<3it6!0Y#`8qBJm4S`$_!uESoJu|3Rv>(AX>Muz;KND|x@dIC&c@aVr}6Q>&_VuMlsZ zJV1;Q;Anx-=2nnuPR6@d3Fr)O=flOIm$wu_Mmh;8iGT=nh%tgQs`qGLK-Ov&3_4Q0$O0VpOujL$mp-p* z@h*orpE$b#mOY|`^V5*m zTh!QtVSh%qHdrR&>J#@z)T9p^hMz+Kzp%%SU?2!+tbMS}FTi!5T4NBwwf%&emHqqZ z0EVb|ztm%nrPVW8rq(MIg*#aKCp1*eNa#xNvHt>$(NS&7A$5L*>GDai@0AX)95%!j z@FU`+Jg^1`a`$A;k)PAUQR)Wur$ha@L?`I@*6m(k7{3-4Hzh2)UHZ14C<+WpCr={W zK)a`Mx;qMm!v~T|hS&S7m-rx-$8?y-FN;MCmzMrbzi_CA>u2bxE4Dw ztcj%}94hGu_vMC)wSRMazt02>T2kim+Zc;t9*z1UpY6t5k=B_&Sh46_bZ-BS5g(3< zpmp^8bg%OemqYdPsK{l!s{5(t^lcAshgE)W14h~-ya`i8zKp;o5g_`XO+EqF4q>q| zIN_i9(xSW{G0A$Ny9{p3zEm$KyiZ93=3ObBNCpsOTeVZ$wA)zb`N)=w-7 z)VUgCS`pbW%}qbAa<+9=Ybc|Ji;Vp{LzV;Qrj@(jM}Kc>6gV-3BQ z0AQM!Jm(=5cOB_0odfaA60ZSETU)J=5i%QXcO-IHuPf?#5E2B~xHu}XKWD{mW)7dN z;SgqDk{BR3`$C>*$Yh~V>BN1P*rmL{;zf^hEf)J^*Mh+k*A*frGMI?l!M#{KfKU~ofUZm`Ja>Y4bKBl17}TLf_P$?EWcfW^X^X_Luo;2 z;0KVxC}FQFm0qmG-oYHY0@B4ZDFQS{AADptp)1mDle(#qxTCrDNl z?C;-K5=E4eUgOKLvQ{w9B5O9>86DVb-->@|md&#VU|D|;k`jC^uR z+egL0n$zy-p6~kgP6YMEK8!pOR#h={;OrOXpvu6?t0xwpg=v-Ia|a<~;1z|Q{MJ|f zTnd-6|1or;IRsw}3hWTR*5{LopVqT|Qevh8BAFjmg8np25n^}|@CJ=mXrpKOL;VoC z-A>hizCd$OLb8vqG4qlFj_tM)!(?r6!!8~~g(e9kS)oW1P)j##XmmO?&rtv-&EB#| z;4Wmv!to#%mTM+_w1Boj(4n6UB>I;ihg?oiS`{9%A%&CeYDb`k`2T@ijb&oYt;?vNXWN$Ez3 zK!!$hgx7@1d@r*8R9ge7Vn zZ$T7l+GKgS$&Dx32CR!@&=cYWb#kJO=#~0_-7eVnQr|Y-cEKV+xkjjt7gcWQE%XFJ z=!q*M)cg1dyU}vZzES3jv5+$Xo0(F9yU8K0*|QplU{|0yZvmLE>eERm-MNs*I{x3; zv(C8X&ztz3qM?(P_w56wGK!qNvC>aNP52TsL2Ja_AAriOy`G&BHo`wLbCMQXpUyIr zz}Z^`n68HUA1GFRH`LCj_bQ=C&fXf<%WKKzaHm}f>$*e+F}IhG2J^WHp*BVlb-?oF z(5BVOzo6KdZg>rMsnliGy>)9I8fcD|^OG-T+UuQDIb54@u8vwQPtik( z;8nQG0`CkI$&6aPkFGoHfU1?P&wo0`)STjA!&V1D zG5+j|*H5@ET6q&4b?3pAOjSV)RY`NOBp`qxvwsy4^%&ih)&;KZ8)7tR=It);&uE&< zq=Gw65Xl=U9gyQHSkE_63kUJpnYpuSkO8hqT7l|9`QiNSXNiDDd*8n@gr;c_88}fe z5R$ZnF~6Q9xwJl#iv(1b=vpR&O0yQ^;MDjTG_BWq$jRMA7^#v{^7$D+@JD7yl1B_| z(E_MvC>?xI^a@zYmeBEOHMVB&CKS*!4*7f9!jhoDe4SdwD_DdkAjv%eRZ;@QUMT1V zuzL5-Zz!SXxR>k3D_Ug)sOT!@2 zoWiX@L8e}scZHA0zU}}HnK-L22N_GYV{>L?g3%L`oH1j24Qp6-+1%6O{#-aunJ8YTEJ$*j8n9tnCDe^(Oz?0+G6um%$a@a4rtP%pZ^Rwa%d#dW z=X?~o7K=h%n~t}|+l&TIVgOm>#8jlmDpbNseK&M?GX$roE+F>jAEgWEyz8Yo)v(f3 zLQwik8ag-9jxv<(q;oAS4Z9ovz`f4~hlT*}Js57Uj*4`v&Z&!S(pFH9T{+Qo#P*mM zmOr`DzY|FUM3PcOd*Oe2Gc3{{GAZBp02;VOA@hou(Tj2d*D_AuAq&K-tDF@^!i+r5 zSBl$3?wQU9s^pD|cj6`f?Fk_K7g|mMmKNz{&q~Hv&FT09AmVg>TB}QcqyNBGn!tI7 z3Q3%63&rfYWO#kc&KX2K-zg|I+t9fHI>W-M?m$26;UJ60kC4H9QYE~do-q;D-JS`_ zwdi%rBlnFs;O-l6*)L~~$_gesgfBQ0HR;U?gCJUKP1PK*!1)von4B37$8a-KEXFHH zqtM7za(KqmhZK=BVOC89-QLfK6jGHoP{isbI&}1%-I{t3;L1bQ*yDJ6tHg05y9-j- zGbMWky#UfCPpt$01i(k8X^E~hg57E|=-pTyCQlML7!LwJm@AR~zV+Pp>W^=!O$+&R zRWfi&5jkK}$;Qmp3Y8jK!Jyt1v(xs-6W5HjI`NT0o3xc-f)zG6a~qn|23IoQIN?HN ziZNP_jx9O^YEZ6HS~MT({~VZ^Y}SAQ)4-8;*{ z!>{C;2>k89;6~AKTuailLuHehM^u@aH{2VygQob)K^(yJ?yzaf@694Kp5tnCw z%Htne`lt|)kmkENebu#xhx_(#%QMHSSMBnQd-Pa|FAg|=9jIQHNgbfaCNQW7451e^ z?&EdNc&SuOo5GB#>qj3Il*@Px^s3mKN3$QI7>MGo5f=24S$yK!I=xxdzEH?Vbm(cp z1R`!t6`Gq?Aa%26HRVsd3d#s<8)26HPlagK5%{6n|K{9hY6u-SmnKP7%$c9;bCd&9 zKD__*Q?7ha_QT{#vcFt@9DJ@3X4BQXTw9D&mn#i5Ira~LHa5ZdsDsd)_hXscRxT^5 zlR}*n9yq--m1F`v!y@FQFg2Lo79OVc#;KL36{P&lD(3#7M_H{^ekdxY3T}szTEb&& zT56JGc$1uG%V-d?K%tN27zdyIL$mEDZzwm}njn*X#(rZl4AxG<7?~Ih!YrIgbH7k? zGH94WE0g}y#(E|amOeG1>3E->-FS2ND42v6lrCmB_ZLHk9Wm-tX?kEs8e!qNOg!ES zF!P+?Z=* zAi26#30Wv9oL6B~OBx_Y>Re%`%)E7To*|n#)aTZr10LRd?y|!A8 zL>wVSW^&PNV0T|0G0sH7fUcxC3+9K!5WkgtHA7TN8$6q>F#9UN#@HZhA@@A5Ve=|hN|(U2AOlAPw5$$xsff6^b#+W<^y3SC-t8D zK0L^lhcLILG}JMAnM4$8JKF-eq8}a{GO2Zau>vt7O}x;anVb(_rj_5x8Ju|mP!gD^ z5RngjflXPDx!K`QJL-~(=li_fdsWZ(U^thUfds0YZdLeWhOtW%j{ds8X`tYXpU<&K z!Vph7z;(OSw}A3iyl_3L4y=p;#~>aeS1&(*54@7%_xv}T_oE$ye{9vp@wO#$UkFs| z`PD7--1zvX1=iRPBi#860@4%+(|Pl)o-C2Ceuhwi2$$uXJHnqtZBwyaWC>>?b{N0G%~5i@)UK#bJynq4GDj_(NYt{swl{$pRBg=2 zP+$7A{>o_b0a^Ks9r8FOVde%+8J$n~Jkk^OG@b zYp<1@(B5%y4cl zv@qSXAir>0HfPm|hpMA%W44WhY}95dgxbG8A#!C^hKW9hYz#A;vuQ0g&k0BNZymJA zrRaD4pu6M6ngq%Gi?GZspo4~iJ?!@EI1@4@@hW{HXNxSQXIXpbr9WM03Fi3+XKa}7 zb$B(abV|hla_N^)1%GwDj@BDWGN6o% zm}?mzmdUQA7M^IM3=_{5ia=b&gXe8=_Q4Po$1s`k$W%sr7?)x@1uxvu(}-JpV_2>v z$B3=C3fzEPm%|L2o|8pkg;cQY z5Kjl-_%C5#URX4=L$^OHXw33zlY$No4q}i}W*lI*C1m&ZPZ2Dd1!?{*ftrFX83l^IOq+k-Eru5Mk0XcckCo77Y0 zmB1#(x(L#AVgDq}>baoLzrpTi6*Wikf};B?<>z26-gOt3CgQ|SK4Ut;9rECCL)-i0 z@0^W`QKR-}L66j(T+069B62Go+uJcmH~>Tl83QiX$0m%cXqX4uuy z{`4f+G2a50NmEzU%yMPp@KUU8k~l$l4Jc`Aw%&sNjmu-cE}5}6-*97X(sQzKbz~<9 z^IOv{G8cx3nQ9?dIEdO%(5>rJwcBuli@CpnO`5@Vn9^c(DPFG0YQhjex>DEL8s+~m zy^}$_=tzF7A)?Hzy7@)>HmTZdTaC;lz!6`%l`{KSP~;=yCfxTCbu-sZ?lBz!i6I1*%22lAEWaRlslCRqWGJiTBqd7GIFyk zU>!=Hj!)L#jLoT8A14Zl@i+}nqA4jsyC3^uUT^pbN@{gL@#QS_V=274eu08{3*6Iw z$t6ip&J|c4m)u4shH_Cp53r&CP>_i6CQj1%lM z`P}X2^|VeK@O?to1~4=~Q}R4Iy}l)KSBZjSZb04;FLP{$x)#tf!N0#{)kmH7{s1%b z&>XM%-Go=}wlI1>qo(GYt7YQu%F#J;@ns|-jCE0%uScLW9iLBp=eQj(0pIYl51qzp z7NNL|G9Mycx&3@58^#-5Kz}nLS&G55yko6-Khy>j?1_V1>`*nPFl2wac{p6vdf^uR zd|9_cX_kd1X1HZbmOc~o<%kjabwWCA*@iFP`fEZF%{AKw4G(%J)3hteMHWM-GA&5R zNr{XQ;p-PQ1s&Bi$j9M6hJ$0{ZBqlPn_kV4di9F4c1!gb^11LV?pZ42xAZ5|rQH}{ z*Sb%2(qCuL^~7mypcjNeH;aoaAsh*;ahPYNO)gXymtIYkR7vI?!epb%+*r>EyD7U8 zz3AJ<^-7|}HFyNiH{4#$HT4R;Qj{V;LW@<*8dG`Yl)=!sVX{#T&pbR)1sRn^CpHQ- zF!0GyZM+{Uu0C4K2}#NOsdR!Vm$c!^vv5I)$`ihdJ4ycdTp$A>3tSw3j^QmEXhFLc zzG*|QE35pQ^;F-wV3eg_B2(bjdyM~sa*Q>9sZ^MO9>2ca772PGiZH51>MzoR_E~)9 z5+;>l$kdO#;Rng{F>q^m3e>&WmACgpaGf2&c!QRO1(Ho67*cyGANJ4T!LckC5yf#1 ztJoSCk)nB|$~ND<*2W4?JX=9@MH<|0i)FBrNnDOVaBJbmmh;7{eW-{fVtv2O8cW&U zyB>nGoF9|yt}`VcMk1cHwt(8OS%w3E(R<{1U(y4ms&p5Znl0wSpbhkysDxzF5yK`l zX6NbKu~gzQU~R06oW~D!h+#VD6H&z+CvBUz`*4228&?y$?B3O;3#yOzNWx*-S>m7! z{ysMOo^b*JpL6kZ*P7SZU>D%m#S=X8F~og49wqK1m(PgJ-wrFCoK6}yXnFWGmP$=U z*-&i(@^>J7`w9y2bl}cnTx9OyP@+f@41RA+Z(I94lJo<6sQQ>9H@xNArm;R{i;Oq)DL5IjTQ6br{N- zPTG}xa|(rNp!64}lxT*7{~Fv2`VZco>$PEH!m%o_dm;2vYtc#Xrlh3eD53`_p(qKA zR?v;9k~{$E+apsJ1VV($1=a(Pp32pY09YGjif}OX#$+2Oi+_qPhFfbPV~nyIQ8)L| z^~%`tqi>8F@|a~>@xy)n1kyJKa__XbhL#gI@e5TCtN$2zm} z+v+$KB=YTrDR#Z-RimcJ!UTv!-aNiHDKRsz+Mf&t5!)qFxk#=$1#l-ZMD5ss=ba%4 z7tnf5x)3~8-c?_u3FTRzVU?i(QSKCG%YO?FXm$Pco$vwO2Po%JKa2&v*{E0nG=Y7% zeVXbz!Y-`Hd9S$<$9y+6efw%TR%hX#k)+ixG0h86(Wo%Jyb;ee!Q8gvTB0Rf^y2d& zCN<)2=E)pOOKm}OybJ`nbw$uCk*3yQcKP`~-0xMOce$nt@sTHwr9ZvflTl0XHGTW&sbk~w{F%gZgx-sG<)o6L;-7ami)xh+ergB_gWS4L?RR9gz5q=UL#>EP3f!Q$n>0HIA+o*Q<({Hjc%W-=vXy1H>l4lEEdk zViHdiLb)gVEu9wL#645zu?R+mJN_>h7P zg*VOBp?{=iN?keNq5f{1vMYw5UFfp&GGXW%PB2}8-T_hn_C;Q|=e!gQEDB=i1d=9| z&Nhp>aLU$|#jg8sO<&(5O1;XJXx8qlY~YwOiP(6wt~lX$=3`s5r}j8MrPm>=eIvni z*Qptz4vJcnx598xWSth?fB3-#MomJexY(bn>uMpI1s4`_$d$d==)oRxo$NrL_Nipl z#m~Pxs;uHEB3K`x@MER<&OgY3VzrJu3(2l~65Q}AyG`DRd;}AZ)kd#%BO%+BcS|io z|G`b$*QttPXU9-Va8WyRKpAyC?M(wv$rQCSIlYa7G>f<4NqwsMj1iVk4 z(nPg|?GY7}hIr!Gw#1|s$k!v4@{|1TulgvP-ZR+BVr34j@|xX9bN)6%!>ENkethdH z>)3SzE3WB*Od#`XF}HU$ucU{Myo_*Y>-b&IHIry2x%Adtv!ZZAvFbi) zth5sW?^91>%~n9|BFHDXz5gB~$st*e&(5(=f)Y(CCClJiRKyVl=*#sfGi{LU#tuW# zDVAs!EkodwFs%)`i@2%0v>X$YM23QT~~mk=w=&LZ5lpX^p5Yx9{!->9{%#_<|g_8!<;^691X(H<#A>_3nD?)Ul5$8Xz0| zYCH##E9^-8#G~eYWC$8i>{LQIOc-L-S@Jw@qRJ0PJTn|S=1Itez1$r2{>-egvl1l3 zLm4TSKkw-itW9>jDB#JEq8Z!^CW~7rV=wzh1HGC4;>}vOe=X*@JXc4#wSwB{-{Xag zvlLNSa$~slkN7F6#j5#lY2L-Puw_O9^H@!}kVBBWcI=Ifdv*Tu@No~pd=0L-vrn=w z)8VvDo1RU)8t!7{+h>}ur52~c?t|a^t(zp1EjI76%H-F-v%}~(J~iy3bVy|~aR?2U zZ=%y?s!3T`371chNrmRjWT@)syCVf8z676TKqfFWrXP64nYs);LkBUt!(}u#VljGt z`dAt1^PVcgV10Sy1DQ6G&kQL0!_au8j&ra*I|y$7;Fj6Jp0?St-(=uAXio#y$kpWIsTU-8;U->Xe2hSchKF_n!jA&n603b1$&^#W+#4`l8i6G(pbuPVhb*dG~{ulz8D@o zeUT{JakP`3dxaq896wDCDCvy9=O1uP5CGLy(X@p!Iq`IqKgRJ|6F9?-AUp(}TJmwE$O?%3^|sS%j#%$qFGmk-znJh3np9r2xwgEU@t6s z?;VB}794E8lfJQ}aK%T8DTA7(LL&>Yb=Y0<90cd@mU(^HvV^@Eherfpvf0%fU@r<( zH9MHs8*%>4S$By%lJ?Of`1+{QL)cFByP8Q^PeZmc!%2ku8Y76zx~EVpM1EL(PjBfy z5w$DvSYFv5x~pN(Iwp;X%2~aWP80!Wvxth}H5d2$4?+PIA06~Lo@|s??l>{f^D54CuLsnKNwC6 zIYjXVK7aN_Wl18s2`8M{!xDTp8waS5JZrR^8GRYGCcX7Uf#6drp9LJR#U6Wij;x8V z&?T7S2si;g@NQC|!Z{_Egx(TA1T*@NUXmR6B7d1F^4tOn`9G8+C;SUFJ&}mpMCV~N zqTbWWLcCD?AnkNC2~s)106Y~ef(s;buA?WvLc3~z-{nU<+h%d=VJY3`c`Mha4)h$b^$L(9wB9YS~&OjX8n{%07Y4SNp}(U%1}K%sD&0-;EUJ zdR$is?D-TzPNxUKR06f~%s?reOOudvk!v?E!UY})ca!P)HBNMhcJ8BKI8cxUS}QJd z2p1k(PaVJgA=QWmMDYy{CNRmPyQ~hpI7V|)?Qfa?AU!ot1C(g)+zg3Y2w_Z)FaiYGITYA z>(C?;UMoVNf9iq+>g%A$w}}W-A*I<%_^MlShEo-Zc0wiNr$=|j2X%WdHZveyO_}Hz z>q>t>`TC2+-N+RCcAYI#lZ@L=@*o+EFtF;|z=4<5|HRlxyLNi;I#6G-N};lULPJA} zm_1XV^B(IBp5Uc=3u?<6G5XrP|6B3xje#U%_PC1`&f*$U=u6@j0i^_+jx4b?K3hjz zAKTbhAB@&(f#_1A5IC}^RkomCg3^t)R?i`?;Rwf3S%%)IK~_@ffyU_^S)Bh9_3!^y z3ZNkACMuL{BN70eugRO_+Gp8&`>R56Ph4QYmcH(rRGFW!WW|rCKnDS-9Hv!=CO>MZ zH_v#$KS*+&;DPVm#D^Jq5uqXzhV@bw;Hu;t2oS6N3;4TBl^paCRN`se`Y$0RHUM87 zj7qpc05xiDFkJ9=kLborTk8fS!j3j1_lsD!*6&(KjlP#Hpb;y5>D-VJg};=i)l+~9 zPF$uhve07aIJg1`w^hS#4P6p9% ziIe5HjU{Y``W$=p9xu%g=^|Kk$3CkPe61x)C0dHWOTZ?4qqsIe#HmF&Y$Q5w6z|v)erorgD0<9(sY!qt zS#3r`PgMw+nN%RYX9!3FzNB&&#>c>Qfzp6aW8N3qIomG!ICk={i-d%p9tzm$Boo4A zAzw!9alVU$rUrTZ9GGB?c?>K7XtzPme>#?vm8ocZgBJhOSS#k!?&u$F1m6*^$0HaY z4)W|DYw?wUO@dsjc+!TA3S{KHp!DMOQcxKN8KlF&Zuo0`(A5rjPU8pt`l;NGf%_X1 zwWX^e5ioV3lacUcNTj%}n&sExR;o-lKn1hKB-71L?(2m>A>5OPP6Wdl65*kbhz+{S z?@}Wpy(Nr+81jy9F@9F4oDy;xKPx7IP+(5zJv)iF1+7R8hFQ85OA|HTmhw ztTnR&nF@HQ|LWP?Vtq;p+;v&$Y}?D%byUbX7hI>H!DXETa3{^T_hWBtI~&{f#>r-5 z^B3FLY;4=MZQK0CwvCP7JazB;{_p$Tp6cqEss7aI`BqQYsjf3;TGH~^vYV*5;c10# zZfob0K({#Ve1}1!eH+(_5Lzp!Rf6y$UB=nger~E^8n8Wi3TnHhXx^63AA=AfUd716 zjYZueFw*oc$%ZrBn>0QCwi198&1!t0OG5@)TSe-Pf|Dxz$~-%E=!Zdr286l=%Qj~a zQlnk8|+FtOyVY95a=+!-%H%YzVpFRWY_Z7ylc=~@b)19XG%>U3E9D90K ztV@%c%I-Yf;l^m}odefp7L0J{<`6)2QaU3vPA6Snr6%l&h+B-?x58Jiy>LiNaEcvx zoSnDmv_Rju;tMBVldum&R8X#Cc$O>mJ`n|}2yq!QK5y5e8( z7JO>r>GF)pj4T5PZv64&Tn5`+6wiHo$1vRXS;#f9d>^Wnb+KT+{+GM+Z8jt&X{C}h zGt3TAr8r4?bCXU;j2vGEu7hMJy8-8jleC_|D=d0DEoxvIi3#-OFMK?i922I!G^Iu| zhqP!Aaw=DK*>%X#pK?D5IjWE@T-#<^GF zi>#h2zrH*Mu(cKM`@#TEZzVjGw4clCDpMor(^h$Kivg_R%`nY$^2~*^6BAVXYJAc} zKjYk2ouq6Dx`TQ-GiW^3!APR*xE!TQd;JiCvPouA(zSQCDGCC{i*+XWg=!7Q?6ft4 z)i_(%nU@8VnL0&)+0irEYM(Vl>B7$W5U{2kClc?gZp1Mtel+HLe4C7@!jf_&=|FYfy?UaF_C&(% z>*Y6I1+D?xq=S@=VqRT~d(`SDWAX780&_tyUA6k3L5{p;QRJkw-GH^&v5xwh=9^k6 zcq??H++z4<{c~3~Av#L?Hu^!GwMQUMw0?O7+poU`j$D>{K5V8)8yK}XR=wd@hgn_i zjoDY8TT%Ozbg4SxpI3JQflERvgwsR*g{5rqv%F%-KLE0qGL^WFqoiS>WlIROpLYe@RSqXN8APhGe0aQ?MIDEj0A=vgpm{3rh|@#PbEdRrvxlNe9gz3_Kg?BN)&S6*II!HF0AR@2&-m}D1a|8-r{ZVp<>1ncN}sZ4v=UU? zxRhsq-R+n>DGttLs1l-JvU4TMUs3~J1azB;AjojZ$0}1sWf^v9sZ2%$u!A*DO&*81 zYEZV%!nl3fYZajuX2^XA-WvOUnRPk0DhD+y6qzb4GOPaH0ohLZGd^;omGDtf*dpO^ zDPjM+*;(t|>J=qR+E4RE!pH0#a?D|4m@?D7%nf=sFuSY9Z(7RyM8{)$Up^>Yd|Plq z+E8Qgiujy;Ceh3mY87{if0~jjB$NSE5vGS_{NZi0Ji9mull4 zcU7=p<)=c>C^ECi_P3V>Xxn!ir_$s}6>5vCFH>8Qy>>_-;SY*1pGec#dO@s?KQS~s zJ>+0(v2qD$+sVDWuzitz`YxAfJ`dp5;GH2_ZHIsvcE2Af*h1z vv_fDi%?zo zYMG+?>Ba7+r^_zUFg^cRj8hiGm-n0AWn(FK(y#oELz0X$uB^jjOR^_dJIMUXSYr$6 zmf-Y>hZNgGpF>ZYx8YrP8uFp}*d?Bk?T<9L1&&?k8d|>1tG4q_k6n>M>#pxyQSBnN zrE*WS6JV+j>(c7WJM>d!p@lTa^IJ6ikIHX^`I)Bdb*=!m!dFRiQr*w zQA$Vgt%L^?D}}x?hQMy|MuGslKXFYCPKSF=J!3O6DF_Z9hMcPFZ+8M0&Zl^3X>rX5 z`Jo6tz1^2R>7$8d1GF!P$ThCht=|s@cp)x@V$Y8I^UN0*fW%gY#HO-{1Z(%@yvZAb zMNs+#*Q*A?T*2i@`CD>C*>rqpl3p(A6+D{Ky{=^prP3~HwRGpzpUH4|tAmL*5>fTN z;G)*M)uw=%D4Zsy3%5{^Rh8F?TXEey?L^Lub)Utf_O_^jm~tv-PESf9hT_ zfCht)6%8x)t0UeqtW#rN0s}P`0UH!?A8AQ?^Y@q(Gv;h<+Kd`>|LmTt9D( zzlQoGNg=Aj@eo_2iH(K|y`nV4H1?mXRu3%j7s-cQT_;GC5DfAv{~%Bd28=}&urIR} zdEy3x9@vxjQSJ8}#diW0@3tc=u23l`_F3@%%>8;TibCvi9ciynnqy z{9YAp3QMNDy0{gLiAZ3D0c+XD^>lV|460jn%4!#z>$rDP?bhbmirV)}VoT#nJfO$& zY92!owrF|S>>L|4(J3|MA8UUv2jVwkjNas7HWdRmvX$gC$7tPewPLoA=7Vv(NMf$* z$eDIf;2y}>NtVl(rJnLT&J3|c33P8l0KOK@CFqlnfBLU*y_q4N+`(1wf-xqI2^hB2 z%nb~U_1_5YS}^@5;QnZnMqzKqsTXaI59_;T(b>^CaNS?D>izgE#BHp-MLx8=*!9SeWz$15Bmv{}Lo!WQC>w8Tu#ItX0j zG?4l6nS}moG_FrR?XCl~$DaLVF0#1%WBavup}-kkm-KUv{K1alBB_@yFUAOiu#<-U5fLkgd0X&V7{bXsWf? zx7?WPHgk$;)YFHKn#s4!ojQL2o0rKl(znZfOK7#>%yF?XH>o&i*-0sya*|2t3C2ef z>G*TCEO?L$L>x4yc4esPg00EAK3;Yr)Osy){wsL^hp7?o{B>u0dw4rfwjBr*`u;XD zgpCpZ&vE^3JWS`5INh+#^^5Vh>QRgW0%WnGSqXC8$S(R>GktiIw~B`%NR~35#|^}1 zB5P{+2)9^88CE6&rgkzsIa*msQHD6;S5xcj2?}XBiN5@pE~|xuiSw{-W}*z1bw@J& z8YL};DP5e!1-ajBv?=U!$D|w>k;qU0%Nnhinl;b0Nvj421w#|&(3n;l8%h$!1(efa z{KA!c*puerpNgj9b*;>S@;A(H9NU|#cfW=pC=Hm5Ijemf$)UN0{bgomsAaw*+(b!* z_9;_el3)YIj-}X=cQVMG!09{p0_Yjbxpoiq?KraA0Kq3X+7;P|rla1PddlMUSXL@p zFp1zTziljLf9+$APXI=UdVXz$fkU5vs~^Qij~SsCw-`qCu=KV#4h*WwKqqB^66iX% z?AXmmpU*0Q9a!rRXG$Tf{cG^*O7o$jBH=E7KU~7=7wDD(P_Rx1{)!LVIpwDu)A&7g zQl|TU4HW%ummr~ql+h;)hud|dQ-}5~(?0Z0F1L&aU>f^MPqY>V+947$SzA8To5Y9Q zVfRg(s4bk5@2m`F%-S^d87Gg#5?!_)mdXjZz1kBfAtWeuEhhX(w;#lPl@r4S2;)9S zR(^vz$he3$l6jl)8O0?mUon>eGRE{)Pu|y{ zRzcr@4B52y`ILTW#Q;fQc}}rGvwLkX-6p_?$qYn0JC5x|46oG3`k0r`g8odIZi@Y0 zLcnRQ@w0b&AO}kJFTpAM-i`o}QPU`&OZx58}O(YKgzw|aBsY&!SktcZo{g&oCsWhvGOFgh2?Mr3r1R!_;|kIK%FYFoD4ERs?24hAYz?DK(5| z&t(%c2ojwJj(=AI*L_+T{fr3~HT0cbl@E~?j@9uovGa!M;KhQ`@5GDvq;+2oD1qEJ#_M0#bb9lJVgg1ZT+U`YCc6@i+YrzlQAl=<)OcVy!CUd5f!Y4I$NSf zz*cF$;t59!%)Z$AO3Kho)$0#N<%h!zVSvJl{{-vAe6BG<#PcKvhv(ge)unh<6}8iX zYtegr-f#wPcc~)YGwZFp-XKg;%^9SNf@gc)gMW>*gkAP@H8G_ro}LZ)x@ z!u<6-65R1)J0>Gw1{DiL(P!?xY*JeKo>pHdchUm{H=Ay{r*FYSg@#nfX&ipF>F2~K z5~F}N)sFo>-;6F=&&<|12h1j)l+OHT1ukOTvat+K9Vj(I!-{X2q(e5JXA zQt!CO3Te0o$!PSJzMx|^`3G<4&!3k}dMWBb$h0d^&`r}VS|B*AW|Mb%iGF^QE$Ll~ zZEp-n8j1>NbUQ(EF7u^G2$UB+kKB%gfKKh}gs|QnDGTl0QzdC=n%arj0xISwWzaTU zj9K{%ZZ$UT$lh{yN!kN~OZM}dU9@;yVeJN2n-q63-v=Ui7E({(p#la&w~#+E4?qP- zBS24sSe~0n8a1sf1Ny105ee{z(@bjrwm)MFyi;ag+t{$Cj#JeY$zV@^8BT}g+u+-; z6day=DZTodH-2P^9*)BWcdZR`h44El4En4~R2V!(=P_47JW4cRLD|2en7^IcmfB$* zAaBbCT#=R%E}dG$UT^s|lWVLy^MAXR%jYia43)cVdQGEQDV38g&Bx!Vr+hUs9X(9#{CbuQ zE%4xoz+WwYDvthK(|Mb)A?tuxAWJy}E8t8~i>o5_ljdx}+SLezBMB3!?bmnro66fW zpv54HhfzuMl_rPvg2{fMJ`SXKBg8z*VSGx+!1Va4{jlT zb$hS_ZB%fcAYM2`vF(;AG@j8~ny`??N8#CI_>$ulO1Q9Lp zb%*nK<+i(VvW(o=V_B9&`-{M(l><6#wZ#4Hp0YGfu!q$7vN|cPDR98j`;elOKfEyA zm%6BJE87+4BwO8QNF2<7r_ARaCG>i`!8)%<^@vbwm_fN9SyeM$)<`Z4gQ1oj3ZqBS zP6E$HFwRNKXw+FyklT|HmQruQ~WFkI86>4DVg z(!fc$Uli!HMOQfkh_o8<@JwaLAR;WLkfTBtN625!uqJ=PNvUYbb-E@6e6ob|i+9h4 zdvRh6aDxY9`9R|<{}~b=lgvFm?7>JiL2w1=a6lFHgm(eKkEeP`@N$xx)sbE7)O>h; zCfqj}ZTk&xqt|{q{TANQTvFY9MF!+x$`zCba^0!KbA6y>%9DzJUu!Grz<3EwAS8ja zxn1v06{pBo6-0?^NHr=cBD}nQIsI;E#9zc`YjIMlFZRBhr= z9U2v*_|o|y+_*mPTjDOc6GSpF?Da!^3qvG@c1B?WajJ`TNTmlQ^(zU=pH}&oQhZA+ zc4``^Qpby!#RB98P=kxYKcmB<-PhFm<2au`sHzFM6FPXqcvHtYZnpd8z16pBOV~Q2 z){K&4mdDv{TX&rTO>wwzd-auj-=IOF@QzjO!yCLLmt)y>ORo=~Uby#=<=-6Rkhe;V z=@8UaTe=lQ!S`hM`=VJBa={#7kac%Tiwk^X!`x8~{}3MV zr-_dzhpRq=>bxE0ZR<{0b_~#m8kwi?>q(n^;rn& z;?_QN=)6?gp?szqPe!$!3QWe9YrD~0M^urnKkx9JCh)i;hzRJw+1mGan>Oe=lz0g@ zq~TboN*JRw+CSuc)|+&SkyNprIR7WTHrH?odJxymmMKge{=s2tRA-Kf&PhxL8CPe} z9^5l$Lhav2&NJ9Sx?){^D1NrZzj_k;ep{^{>EcA_OtfKxZW;(=i$gZWxOcCr7PQ`9ib;4 z6d`P1DCrd5WXuYs1Pthk@zh|8e_jA$wbMTiwv=qtPB%e6$hPWZy2ELjE^F?Z*rua> zKjVO%>lig@GukOHoOZdD@5yEE5!Z&a)hAooXaH|H=j$vk^~fqgra)7s6LW^y%x{OC z9;wx5yZVq5vNq6)x>4Rg;mb`w$#=?5iMWUq&jOS(zlU%Uo;akM*)Pe0 zG6zoNQU;qM{62uJ6NQG|g<}t*#0-ZBldCtJ8%TaksxbZ@TB?$bqgkG0J05i+hC*0B zNzMt~SH-IT4)SxfV!|KfppX#`wIB_G!m=HC+{Ulp(GJ4GA6B+d2-q4OgRYCZkR@_o zYThmu*|BNG6Kug38_c69rq3L2mD$hX=wraZ8+du>BRDvYxcwP@ZiR0pl@k0$=d&jH z5?ksT)OS#ecZVIEPvR^uGsqgD3gc6Qf_RO3<`<`{YoF!M4(p9R9!1h}xg`*dmMNe5 z8jy7@6Hq*5PoF?cTYzyK+9T`-P35Y3H24Z-lY!tJpP`5j4R3roR~81UrQF8ILvfrCsvpFK3sIq2Y)kQYQe{#&erp)*8(##`TX>G{oK0)ejVW^FJqOEr@}E-1P)BG`ic+E#}2C9t44!5nWy)@D>T)X80mFN z&h;C4@ER`-koUaG6ImOx>aur!?kJ+BR%4{D*o1W-eL7GOmyl!yERpnTcJ<-sj z^-!^^HD)@u@he@-RWJ!%^KPH6-J4|~-gzP@Q`G*m*jEPAsX&0Tm&WLXHR{LrV)~7WomwXOvDhwyIB>RKuWkL z6J1*Jw>c5v&qF*PJiCc(N_fe?kVVvma&d}f*-FSSOk0)CM~Q(?B%|}Bw*dE&l=(fe z2H|cQD5Z7$_etd-KcEL}gx@@JKTtY+ZSVRmg3zf;Dv3>KJIko0j zw8<@*;P+;9Sq`m8=pEXtjHYyNZYSGlS7y|==Lpo`hKjj;3+<%5i!XOWm_~-%tGUl@ zE_zs?MDUSNj^kbteJ)whCVjli<`eYTpU_12{K@EQmY(d-y7n2^Xo?JS?F9MBFGZaSkS8Nqi$>YXB(p#v%nTF;syVB!gPGyI)%rC5S(( z;Qp>Zn<8og$N7@FsQZ#rDz9@>BM1h{4q_vgyTc7&PU>g~A`ChZWAXTciVm%jtbGR= z5<*w84lL15vr&*b(HAVPI60a|K4-?F)ui(;*a1nvhOp@duE6BaS|0n*RVJohQNZl% z{Ya7*Ysr4%>UR9!BtWTw>Mr@X5k6CJFNtvq(GFU}m{+LoipBTzBIP<%u}+u75NsUF zJM5*oBCq;r7Z17+B?5t(DZCmw+}lH93M44KEE9VW!(o3Vvkx-CE9CD#spN#yH4L5#_|GvnT9fWb+ zHD6QM=BosXJVhW_8*$OLR=-p>5uh~{ZYBb5Hbd^Rg zx{t9AGbG}y?6{yAW3jA=kIY&ZGF}%Wma)CJiwZ{_Rq-Z4>2B<>%@q3i;PJ$p`rszJ zbYJZ~kkEgZm2d*N8w7*uXyRjsF|eN#oqMJ0J3J|*a z_&3yin3d!=)RsaQ5wQ0!Qp}$LDM<(a_q!`Q>Et_61|h9()G^58$2H(cQcoK~77#zh z?uR!-2?NFMzV3H=EK8~mDE=!rzN~Gn*K>X$of)OdyfW3LgZg-=PES1}OyLpDL~B52 zQ?(HmRuv3oPwq*qAPVR@&MgE}5w~XbHV8WI`0#O&7bMUf*qZB^GJ3}FD*^-OUf{EA zs}vSv@fi=SDm6SL;`?L$5Tf}bxB!a9C>N~V(K&Nh&e}sMwgw|Gpm1=7<865*{sOp7 zxru3<`H7zS2l{rj<84;l?>DhUc98dm8hg-@2f8T>K8X+pErNtl0^sbV`IZHtU*Q<# zL*|{{zCEnvV!|zD&=GY(g|K}+^F5cF*Y_rMh=KNYU4y@K=py%zl+dLp_m*{gj@;j% z|@3@_7tpU}} ze^NDO9*=5m@O!Qb&AGJvgG(%YNu;qC31S8y^wtimO}gUYt%2~ip+v!CDm{Lkui|ck zouGzbA>6x&L3O8fX9VVomUM2VA{ohTkinc`#QWmTr%J{SQ3?Z8QGv#(RcGO|r4}qPH%Krs<)xf%r0>j_nUBx5+$Zf5A zj2>{oAvt)-i>GN~o~AA)Y0)*sG}$WXmMj@ij(L z%6Ec7cszI}lATAgqBuUH*U%5zC${Z6uCf}8vaw+Eykut1Dt4G-0ABl60)!Uz5+3~U zWN0b_4JRCQH#F^<&NXgQIOk^|WKdEX{hU%6cbl9>z($7nMJ1RY%J5 zLSWb8q_PsgIDzNwh@i^hRDzBa;vw|g=#I{gpV5PAws3Blp_`LExl-l5*7 zD%+4!#qT%mMg*_zDC8;6tAoxZvy20eKj|V+v8|r{Xy8MnY`9txOD=7%c zD^NkDG<`Gehc~MQ+H89=;<;6y;N+}EMrb+SY>5rdO+S&=NReg$Hf~apmNSrri9%WD zOJz~@j}UM~fVf0DFYN@1YQx9+;>JiXa#=6qU3CtuYP+maem-o==WmH+7*NOPgJRm; zkd|$XpH#yRnfgs#{i%G5iL!0pZm+?jUOi7_?5BsnFWU+NUq5sjv!nVmwVT`Vkj+Zb zy$KGwx;)Z5HYDowJd7jPWJ5HHJj4@at$}_jdQ{%Gg1n7V@uYh@Qc#(##Q#+vZyq5pnpj~( zx+rl{lkY}dd9Q%Q=-1CR^1TZseL`LfY~WJmW4dx< zsHy{S>mhGH@US@}$)=e8j(g*@{zE1z4BSl8rfuN-e!PNphM4mU&^gbn#VSr%fi#{pRgU!PRZka}(A z^mqW&ZZbRupkXUHu(MuebUsYxGq<+PfN&2`7^WcsRx6STJOPVLB2ytFLGux!TOY07 zRDv2)P5}>MJ>EHJpEA`q0@}q;_APULI%B#)zotjUE?~cx+(*cWO#~3-s07zq(UOGU zzgJj)6pfO!t0xWpl=j4i9g`}-n=_bjztpEk<7*M(jP-EtrC#H)wvly?ckW?7J3_$T z7%d;iBuweuq)Wt$-fm++Qw=pP#Y?a9;+(3xY4a~&?2TsIg)N12EV|wBNf+EluqA>+ zj*4LF*UvkpA}LZ`*)AJ($g~e|u(aqJsG{!TfC=YtHB=^v^c&A@J(2}D>)M2hNerH$ zwD5HYugYrHrrCPH&@IDd_5Sul)*npjXr1vm&g-NR9MD|gks-Sl0_v5*!8lGViXh*{ zZw>9(@EUik3xC>0*POG=Jv zHc>){i_*&|^dW-3Gaz1}6jw54WuH<^Bv)r2W8Iu}oPRegAp?zlc<3e9-9w@l{yJ73V*(cmGZy^HxNNfo5gubqVwJa`{Vpa>*+;qvp`@-o)fm?We6E8yoWlTBF4)h+`qj9=P8zki2a)1N1gZd@SWr<)jSpX0 zJ-a!mGdWZij<^(xUlTljY9B0^W8*k3#sLeE6;*QbIvK9|8O>pjlXNL?KOiXLy&X{> z;;)jz^XGw+7JR8)Rb7VI-DO&Fr2WPSQhXB1HAd#`tM|ZxkeSUeMB`>hq<}Ju;LUSr z1UYbEBfOi>Cm+w>5YW@Qk3Xg`k4U-;QA`2zgqWYsrgtIeR~%7Yp#Q&~r}-hT^j})K zf`Ndb{4YJtjIF$5lq~!Hk@SxCaxXxmLfQUNsx8m2e@E;QGmgWuU*u<;7U1a&i+6#h ztvTK6Xx))}gJGbkqH+R0;M$$<^wDz%Tc9A$;}Zrx6N*U%ZV}qoztOJmQVbKBqSd4+ zNIZ@lr8L8=XxsZvB)NQrw8(%97h?W^g;dNeLA~FFqtHw`CJLkjC;S@ZH&{yW`_9=L zG$k>628YkehoG)tXCnrW0=mzDDY2)KrHZkiK?zj7#JF2aN2+Ye>d((EG zlun7GoZiWtw=M&>`JOAhRao6EbC1cW!WXQ1a}uQjbq!ENTExTp4Q|nfIJIMgN@_K_ z_q?yI7*b9N*rNQT{Q&b(7R{Gcs^7(lJhtTwSkEn#E?`*2KcLNMQBW8hN|7Wb_puaZ zK)-bS&uqO(l5-9EQa2qO1O)m^-G9p0B}kL+KWD~9b_{OTR{w_7b}7>YNx?zLUztGQ z|LONt{tGJqYW{n|Gng2g{u@N|(Hm6$>Kyh}5&ogR%71}qN)Aj1B$`PJy72te1d*G`oR;eEWy^@6zN;LG>S#j%EgSCjUmw z`Sy^lzlL}6KWzTjXz6DDgS!6j$7~qrOlmL$2MPJAaR1lfSKH*!>E6;x~B>!gr{hIuTy=4D4`}NW&%0NQ>(*^eHfcer@niCp?0YsdYS(#H& t-qcvd#PFB1qZ+G)gY^$9b{7jnWpgvBpKk7&O2WzjE?W*Gb8!{^{{s+~ZS?>E literal 46703 zcmagGbyQqUw11R8gDm*Cd8yIXL#uk$?b z%)NK5Z)X0eRkio2ZNI&%x>uc5M@bg(6%HI6915Jed8lf5hT(DuJRDpaA{-ntjB94< z$n0rn8x=3B*d>e;GJDQo-!u`zZ5I~`FSjTHQlX_|Iw+z$R{NNgk|+1>=_&|~(8i>x zb=P-S@Z)6rssr0xoQW(C5v?^g-A%W|s!seZ=WfCqEq|LL1l2)LR;5@rTfNJX4tGcH zw|P7L!P+$xh@WjBiw277_R;rZYuxL}(nS%HK-ts}(W9mta~FP0wS;%ZJ1;)>p1JMAJC(vBMFpy&^M^Zk`! z+ABfp=}&Lk6s1AHD${8<%Q$&iQFRH4?`8@~)A{+PN{A~qT(gSRI4A6tbPi>j{3(Bg z_+Z3{!-kcho9!ffi>0;@j_6z6a}WpBTKjIFi+tpr)SZB%OLMfdvnR^0+sk5Y-4k~{ zlGf6vb;&vZf(>fv!%oF&`X>!d zJx{H!&&BEG;bXjZ%<#q=(vR7s=VjjfNfB;8-ie%x1N*7TB3BikZJ*8-H^+~5uJbhx z1MhBT&o1qqU6u!Rq#3-pUoQ7=4{wVeZ}QJ>9JnTLGFa^K+YJ+YFax|Dxtf3YH9izx ztu4B;rA3van|!+C_h)Us^<5nN&S;nGwd{BCLUPfbHM+Pz61v~BJJU%PUygs`fT4rA z<4kNO&3h~Rux5PLI+Qk+(KlN?(;3eaU#!i*@^ZJ`$5NVoW?npPV}5M77;ISWf9Sws zP>1$s7ZLwp`DSM9#XI@sekDQZmj9RjW3ij_{Ux{6_J$(OESU~+XuF`dTXMuH=;w!S zGj47#SJ#W<`-7d0r{(M=lhRdY!I%-M`%<9^!$=LQR5bZ-mD`4G{=N>c3<`OpO7H8> zu5Np74rL{mNgDqQu}IuCOB(hjw?~%uCRgu|Ty13-_QqJ8@WnWHznJnfyxeaehDIbB zzBqHWw)nVuT$p?Fv=QaTl=ZEWlE}9y{OL8!!(eUF#p%{Ez1+CpnDk_pI$T%$tSgk> zl4c;+UN6{|5ow*6=GWP@`eD7LBYz+nMUkWJN{8 z7RL6(00(CQBE^@M2IBf1vTcDE3tpS28Shhnwh=oMQlIOC?N!6p8cZ&sZI&KGhWAfS zo(&@3o>zpk^2-hKh;a7h-WNWOT2C3pTuoLl=E}GeDn;?d&caV3JsdNC?|es zciDOwbXjNU5DbmU5E5G5^7M0LO*hy&n{f5Dqnz%p=3n9SnSAE-@;R-T_L7<_*AO!h z0X(0o0f!#>cJs#+4DZ`DYI+CotEs`r=`|I*F|3@kw zlXhx=Am6oCz+Ej;ui^U@S_0gknrO~5;u#uMZ&w9Z^rBW_3ubKK;iZWFaW$_u&0)5& z7dh|ujsWqrpL|l?08*n(h2$pVC9Rx=&gD)2^TTFzEEEOervl z^j(2p&0|T7u6NR$;zcydJ;*@CuJ_~U7|r7PWV`**y9h&4y_K!i)?f3#bDsKXy`0h? z(kW*_#8a-1yKMGs(IdV}2?q0XJ&zBjAI9%a*R8qqX0*-T8mE7@a!kh+^-IU(nH2w! z+%_8e^Df_tVnDFbct~u&xSB&G~&J-1SHKIB`IeYa2S>R#uy_3hquC42pxw{W* ziUmnn$VeLXlZ;aJL}-QAM5>?4sPM8UVy(;DD@6qbB-mT-h4>l1Dyf0W4t%b8yV*I$U6HuS}c*ZD)$%7j<}Q8z(cpRf*&)k zasg5wYTO*+AgcGBUoPwIcv)c@E@Z%^Z`)D12d z4K6bk>V0xPbMf>H>%KrQ_zV3-VaXPfi+l_u)gWkfRIp@1^rG}gW zWc3F$-)m)5?43$ZwP}ZsDATpy2P~&Q&7GX7Xp{@=<;bh)F`8@R-gwd#SB6LAG8S); zvY2yfI8`Q?t1oTlmh{pyCsmb%`?MrXYxVS~B&j>aBv%m@H)su}wpU$l7QzNq^nYN= zOmXpLwKaMK3T4wSR_dR#z{MZ}+&~>noW)Bl$N_O7XH?>&S4Wwi~t7 zBcyJENmd*M=b%*Mwvr9c*s z-G>7T@AzJ@ZIPUpyobLWuW^1=AuWmj@$%jl&Vkf+(;)$Vu`|vr$=z(xZNtv83VL_? z=0-ogW?AvkxJvR4js8SmLG^&U@7sD@w<_#)6{!H48GWP*Nrj?jwPri_lM^_cSVKoqwDMTXmF-9 z4tqJjNiX*MGWS2BC&GW-rFi}pHUT$CL%zQF&h;Md&#M)KOb(QAEK1A|LFk#2_rNfP zR*{+oAW*mZh|Gzr%K{xf*s2=Wi~&3s1ELHGtUXh!qDZ(k@)#FK4L+D6Qe(IZ>eD_m zeWrgt>c;{$D71E7l{uI5hIbn+v?Ne6luaQH5+6&`3`BpYUc{y7>fl}0FiMX%YB=Y0 zf=7!USy$Foel`QnDVs0_zca2Y4RZ_~><)vr=vL!yd+a{21JF~mGt9OV`^(dQU>B{zz2q!$f!cv~3Js!EpvVQI`oQ4xzS~ z*{#Dw$IAiR#$S`|7`oVfurkk|r>s0@r1~6zyu)>PBp;h~JcEBJyGP!qy>_b!th8Sw zI;8*1|LKh_-uL6Y1QJe$NT0=(22DEbrp2qNPmz9$D|4CyaFS2<^{Tc$@UbI=a51)C zphNT!M%EX%hwGRWJA^$La;9r_Tu2%RZxqj+jQrir-~eyyTPq!@!aZ*5 zn-QOExB;^D^|-P$(&1F}IW2=|Md+scbzDgr8!^w{?pUOcGM>xO;5QHIxXNIxWoG(1 zW8bCg-aZ+DP9*hitla!#!HJ=y{)`B?b$tR%#kk5E8LorXCxzwO~AHe?@iqg zABpBN^wEs~v+k`^?w`@J@6h2g( zbT+_bY!baiCYGn`YDpu_eO9zIWbe#0BdP=d?bmgu^mIwGUV;oO4~7_p(6A^Kdq{-R2_V938MF1NPLUi zbD<)Rt3Y|I57mf`$dEex9Nmj$%GhF|wG|qJr0vuTrz9Q1MzYvf=z%b&sNs@czcd2D7^z$CBw?5der~YAm3K`f& z;<>pf@#N#@7@@HIay=S-o{b0~!)!ULoLFl3Nq$Vr3H;)8W~A$M#xMDO4tw2^Wub(^ zNRR)tw?{^RB7aI@;T_r-38^Uz4&a9Myei9p!xvHxSR)&%p<)Ce5}^ARQfT-PWj~ zbpFe7kkAViH_!|v-6EtqaJ|j~kpU8U*GXzs4gOLc2=Vxoi8n}n9u$*IJZwA+7ZI7y z{&Ou7CP@%@%-?k_S$^i8U_sC|@EgjPqExNFy7iILHdHKYWC3GT2)vjYfHtf_VwKUm z@(;ao7yAA97ytfE20v@E16^$s7OM~j&D?hhqH5n^pgY3^hG$t!vMlg zusF_d61Hi~L$2{tQcCiRm*i-4^s|WajD~56NMteljR4${1bV0i10MfJfDa=q zis^mmCG&-0W&zG0SL_SJ3F(OTk%uj~a5TvJ6}xAO$xgeR@(`B(WYn;E&E1Q~Yox^z z3g~Z!3&WxU^RRe-E+RASQ3;xXOc5P5OHih^+D^Nk^0yd18gzTGsxs+4N2dSqv|%Rbeh>g4*ctSq-D)MC3d{cO@G9vr@cz0+~>wxQMgKFUUDYf zL~o>(Low~w}cIo-C4 zvSQ*tT@6?X$o{C8F@q6LO4_p0n9}HoXvAgx35WCE0aUOQ5nh&fakA%VbA zkq#~-?HTcfXunDjPa$WdhDe2e(eR~}9A^QAY6*KO%@{fI?! z;F4iZ4&WV+nsKu3v4VC^3k+WqW0{`8L%jwgvPt@VJk2LcyN`VOB z&-|7PCsx^6dt(7ot+J^kP^+)uryU+I zUMDgZz)StP&Ofp%%7((Mc`uh9xyFu^>6o?3)5?+iLMQr#$Tf>k*cUoJd}JsCkW=pm ziIFcssxSK|uszeVKA;0}^=Y@Yep8+7@$n4ck`ZtsdW)k=W&6dl`ymFbiAvPux5@FU zew|DI)=!o`Pt3Ub#mMW!A?|wTcS(9dG~vqPADQro-DAog&L)2TM}Hg3)$-n}ta4Ec zD2l_{_>C^#-3p=6vrAQ)4bxiZ&9lo%;&27NlOTWZN)POQs%do{(vS{aK16@ZBC3(raE2(~$<1bc7vDsIe)>5S!gV13({jLV^G?458& zx(-oD!!zQod*HBo8+tv!p1+{JTzU3^YDgBgbLE2tDZfT?{&`$?8$vXquP<5jZIt(KABYj$lB zru+DW^XLGVFxrk!>{4a>Sz|9T_{u3oI^{^enB+E0irj%C!aQUCOOVQ@!%-rL~%!y!EtN` zk8O^foZs&3)lBe z?}U5IjhufvlLDwWmh$V_>?wn=x}d|edLNDAK71i%CGhP5C?Da~nbXxRAG2mm`=;~1 zgN)k-@5kSg(_&a;nlS<7PFJ*7WI-?);&Rls;EFBEeTMWKHMa<3`pB8nvo zmzC-H8c1`U!r6K{uV@E?RXSkZeh6Dh=hf|^K;bkt*0m|^CifxC->;in2XDB(Hj@hd z<2WkJML5T+TM`;|%ysTY??>_B>FlWhl)iy~JLzdLZiRmg5!1j-H)BV~7fTkmCiL>@ zP-B!y4hV`1cT8uSk=0}p>&2)9NDK|bEYA}#35L!D&p?6?m8)EWzYOSOR$Mr!A32Og zFRk*~VPi^TXI@!_iXvtNEaXCk#W0Q|4h>4oJrIJ1+$wa#j0l#dJ)6*UqiEQSis47z z>qJ@lR!@In#?y*oDKaZQdqro<$@|ocuTnL=cS&R@EUmE_K{Iddsxyc$Up4JX)FMcL zNmTO4vHzxi~($0`WcrWhCr5Ur8;z!p0cr zqBwSxq9L9@554T7cyjC~CuS4J@>T^J5w;-+WB9)ANQdHP$^i{UO3;=5B$t!VAki)k zX~ONr!iHhAl|!0XHsT3`+YDixlrk&lxNua@t>I6br_iU?+?!&D!5-G@!4rmdJi(LG zeZ$Y~8h)=fXUGwJIMLon2xlO@gXW67x&&dtlMspv2f)a57-@k8pPqu~M7ku;NSQOj z^nYszb$65PW&UmF4};~24fpB}t{#48R*yccr61F5Q2LeTYACVVa?)mt2H-%`H zvj%ppB)$~ukrhG2I??x#KgK5btJ@a%Ke;^(OE%p@exv3Zo(?;xx4Wm7(Sd{}r?Iv< z3%2JANEh7c3d+e)JZYb@yg|+NWf^x;nnDTH*_ROqkn|$^9v%&EZwT6~mCTpyh0=J* z@GEe2#=dpfd0GNknW{X#j)X9EEP?YCcG=`txIU{rB_%_lgyG!Fh{NpV|CpYBQxw|k zG-RYNbP-qO&w4C*=;6iL_Jhr&TsCTV>h0+Ty@`3<*BwCse11OyH6Sog_W#(F)0p2Z zI}0AkIIdiS$0cU7bKGEmdE!4h>}CugmJ1ruy9L2r$bC_pG;4X^?M4E2qQ88Ne!!t6 z>is_FlLJ=GwK1yxxT$rg;Tr-JEDsm0;HpyPFQXqAntwSn7i}CIINKvriT2Bk9vg|H zXb&`!M50hI@YDytqcHoh>kiDK&`ytGEH8`LD##<1TETo?- z6qQ7FWncfO@fCb-d3bI)ex6;qle8KRReHW|dOQZ*jrV&DIeZ4uwto|~IZvZf+1!%k z-}-mLpQguU(A_(RrtNx4j)Znct@QB6&p(xYsfP>$oQ!KF))1CawFpdb_R1KPe7BS{ zudl^^B6L=-sXIF82X0WsAe2d!m%RIG6ofE+shf%L@<%kMgjuNg`9fs2<+0?9PNys=71;#Q>qFZ(->-YL`Da(#@fFqa05h>vwnkSZ+ zl6Da3NM_t9noABjyi{QIt0)YNsC%wpiPo=OLAb91nTx{`S2g{a_M9Kbs&&7;N^hp$ zF3f@xsb+@{`Y4X@YDDA}3hKjal<=C@C^Et*SP6kxA+;`Z;4TEsHk`WWKejm)?rjT7T3EG= zAs?D~XpU+dLy+D!iHa4Wd)xg1Lr9AkIA*gy-OYJYrE%|Ow_-|qTa+@CWa7a?n#D?d z;}bxF4z3bLRw_IDNx2NOtckhjwknRqqmo(k3Ek8-b2Z-ZnqL>T*(jCLw3PLfn^$0^ z6{(u$E=O8hS}bafpIftV)w$to_H*Hq87*ePoDIJ~e#?!=DX?rVneVVLrKEAj90>rD zrw-;RZS}8v!^AP$Bu9i;`VEaOzjoG`%Q$35C%MrglQYhJrv`}*gE)`-PiEiH8gJ&w)vmsrAC_mhIfgMwN>TjKkZIS@GjY9Y&dIsrlObVgQ6=X)RjWfF->t<16 zlF}IYx;VVnO(BztID~l_09PEmFFZO>g3%Q2#j4!%FL^niEf2pUnafZoePgtcH80i7 z!XDhuWPjp5wBoG$JEvwLVfLGL6Ep z%4Mqfh?G_?*s-cq!c6G}_Y~;!k+(K3m=u`En%D9;bxu(v^d#!J>qe^m4LmbMOj}RZ zhE=H&rtNSmWV!}#6GyiQQp=@aC5k#y`Ry|f>}>rHL(g2+s{ZnZLt%!?-6R)3=) zXj9TG&T*5B{AgDgG_%JW0V8v30dfnl#KK>MHt8^x_83k46BG9j8kZT>a3HS0x}|mLi$}h_<=3E%U}!vw1}OX$77+&wZs_PloTdDQ?($FxZ+1clZR~HX zGFB`wt$V{Tt>sXHSy_?HUTsCI!XhfL<=;FU<|)HMcxW>5wfJkspD?>l!@iyY3G`3- z%o1ffjmj3rc=W)Hz-qBH;dpXFC-NV?0gwCxo1)(Q~QHAl=~z$0?} z)u!+)Cwuq{kTI|Fx>UIx!_~lACu03?XbVKYI4NKo!(S?~Hculhc7_-r&a-r_Do(eg zg3G)9-Nq)@)==>;!2j$4UngOf=G%V#g-OTGUhQ*XX~M*xZ)Z9GEqq5(&#l;`Jf1jAd<9NrZQU z8rB{wjwg!A2Mjb(3=A}WuEb74@^peztl{&*qgl91HB^4_<0XoYegibT*P%FoR^Vuq zZTdSNEK9jMHPZNm8pPbk=J%NBzu(0Ft*lsK5O-m2Ew^!1Zq*D9{B*LA*f@E>Z%Fp{ zmn&OmTEaM*5D9kX_vjYP)VzZ`v^5*hFhVI;IiGB`4<4ejOg`A*62V{3JRAk$#j{7d zAaT<_hFT8_+g_(qspVeo3Cm^8sbFHWMWMY3{D!esU^0xh`5uWFHyJGfZwtw!w=c;% zH7pAbNmIkPdgln!htUYT!akJ*Az^ru*Af~Q9Y9ve z!0b6(fs_Mcqtq^#H-%kFKk4WGdZYM>dK{!60U3XNMNBvvOu%tOE_YHyR!#iE8^6jj;pWtKb?OjpZN!ZU~gcCG60PIlWs=@+SPI?b{h84l$-u zj-#-qMk1b-lF`KgZzLh=9#~Q5iOq~{p^0a23iEKtowI=&s0Xf?skuWXg4jX*=V;u~ z+lfhbV3Vruq@qiCEPE%=B|R_{JfMISrP0!;p(0a*)*~LlbXD`7y;dCgr%MxkE(6%4 zsVm9bHJ37U;dNgisJ}KceO=jpBy%*qv$R>Atnvk+sE@Rw;ka?jb zXVFQf7%2}2Z+*B}HWx>sL}0Qsn*d9(xl2k1};6H8G7Jk<9$zL5K2Rcxb5(&D?&+Di8gBr&B~rXRzJ5 zwB_U}(;vRk-H0#9zq#_JM4mM}LE%HLs`fr^W8t2N>L0o9eA(N1D+m(_%}fK!d9dN; z_;+0yWFUSUaI?YvVjy*Nt5~*{Vn)lHj;=#5qw5SlY$WJTn1)Yj@AX|KZ9*c(4&vuV z3NoCKnk8Z~+Cg*}GiN&wDKu$mq9nf2EOY}AX!v#;@dIiCrS(n_Ni-%uvD8JNf~Wrf zTb@Jiko05CAzuQfs|I?GK+`aWK0V@w#t*in@b4Sys>AZC#QTAA^0fQYNwnDs5;>M{ z&2z=16;O%kgs6%Fru&>0$J6PZlv592f2|vJ5-6)pi%&pbYXwVAfJB=BEm02p!i}FnWm| zXn?6y540>4;hq|D9yof9es-%oT`M(MRHE~pZ*Ul2D$)>;nLOM8T(}4qHKTXR@lnNk|`N4mt!k93nu*o6K5OjtAsP*?BbhA z3kx9&mPSHiSp0XsrHf1BvWyVl%y0Nx+##43>)6KLX_%NKPwVy+xmoAQcUj@Go0c0T@l9t@*+N�S4Or1KQ-`Y%!VrS3p%4F6s{aKb z67+w$VL_bTyoDfL{^>ViG2=hMvh^X8rdtU@rNOw~B7$?m6KbmV|HC7u#@w7jriAUh zTVWb29U_w`^`w8Sr)(lt#Bu+?bY&9@G59yw1fug!r0;pD&SL*4g5@gQ=&gApo!}`o zmbRc$mN4CaVn1~xA3^42?-XVEAEy3aXpi*>@@_W)c&$>{(iNCXXtuj-DN5OAHCTBM zk7)6%R?AthP)P-keu{Q)4$^V7l%|SgA6gfBoSqI05EC-eI)Xf}Swo}06EnTQhCDo> z(O&LP!&g9#7PM6O?x9QqV9Qv_!s^?^Jm@LF2sP?`KQ$rxJB+9%)R3~$KBO#VwlAWy zEDI=N*;ICPz!s}-IyixSjV^l)mf8L?knweYV!~VzZVnmh_&q@J=fHK`D>Q(9g>d@d z7c>du><-$jP3YcN0O~UG-K#&e;W{7P0`o-2QC+uh5)Gf?K!!S%H6hN7gJI#LlG+MY z1&_fK+9iHgB!hVL1!%m}D(3WVkld;o4D+|RYDno`h0gJGR;G!$)30?4GTGLKphtn< z2PY1Km=e_UEG)SxGogEzB&g_^j9DGD7$zNIUEDx&MAXR9(5(A>mA>z67j@9d${%+) zysv@~vwO`u_C`=Kh^anBve5zGr=_TNK*R$BYYPPntEY=ta%JowOEkf%xkd-l`z7)8 z$FhYgx>AS3Jb8e0HsYejik^ z==uv8iVz1oB&RANr6L5CK6sogHAP89dr)ppRC{~Ph-8bFc5+`X6?r0O9&duz#SS;S zdNjwD+|ITlq-r#wq@xh*TsQjDmRw%R77{c_zJs=H`yny6u>K!AJ4&M(D%z=Di=wX+ zJD124s@>E`WzLde&>O6?6nSKkNJj2k(`a$cXrp%gtDF+$K zu!3zSm7(~-l;D6xYp#88Bf(@+zK}#v*K8wT9&fQf zw0(6}@W@g@lN>JIB9|qyo6n1&K&lG*@tg|HD%;V-D%tj+5 zX_k41y>bd$J?U7)gz4n4qls~D4)RZZVQyc7ElbL2@>nnfj$8Z$-t4!RkGxqf4W5nX zmr&Zvzny;Fo7`b1f+3@ixOu#XM#$_5J0nk(fUGYf6Z8I=&rEaPTZo8~<*3a;TJ6h% zDp&8Ul>*8~AaXt2`}e(XCYOyA^M^6eB_VO^+|}J>3J_z>U1&kI3TR3@1tWgO`A9Ej zf1Y&m;tBxPR=vy;3@Ot`tAC~1R^r~j_=Nzlx{_nNT;BpXa{;Gkyt z88)S4eW=FEk~6-hrP`auLd`P7A|F}-^JWf2Oz5V8iq6NtK5R4-3g7*-jRvrPXDLA& zDFgs6jf{O+ALT+qyP0A);^3zPQX_%YpY`)E+x1_%RYE_L0J{ST>Yw@ zxJ}c+;#3Iv(AZ!)*W>2`VGfybN6=*(=Qj!c5Rq;!5CEtbYSsY?eY5Q|@hZv|Rlk{) z6AN7cB~)VMGi03(7l+U;gJ!({j&+Z*sE5rn6+Z+iIvHWM&W^EDt|fMcxympr*{Kd~8zBN2ZKMv98Dh(jRwSx{(5hjS$lT z3EdBVQ>ua`6AJ9g`5z4$uLRnYrsasVCvY8(H+CKJMBq<^K%uxwAb>F&2(Zm+1lU%_ z!0NrkAq4BdGQ*CF?h8N$k0y&y-AD%-w{&sa6BDq5a4cEvg*;8W8};)9X_5*FEWV60 zQTb}fraEt=)%LlV9LJqyD)|c#e&)K%Hd6UMGKuWp)Of1Z<8|j&Qr{~P80%Iw1ke8bF7=3bpB_1^qXkcPc4rrW+PguQ_~ z?QF&&Y=pizO4;xT5~ySSeRD+L5a~K%o-?8cmfDd!4NOT9%kErvr$%2&>@Tnn>vv-g z3ne8G3VahSDi)pvjWy%upd>&-_X>g+`{j5CR0M1Uc@~5~*yNaS2$kQ4g5%x>gX1D1 zz6uzle9i_6XF{Pps-V3b0uJYdA1{+q&to&ULN>iG8?lZe{9-|rex8zVVG(SbSSYre zNg=H&i$cc7vxy1+G#Eqo+>Y;0EluFTtf#qR#Dw!HqTRBgv>d*ip`zWIE&vX_I+G4Z ziZ1yHB$Tu{^mkN5V3IR^uG$=WY#CNBE#D9Cf+fb$K3*_>A6~RETd|keF*$6a$f~5{ z1!pITin*IXV^{Tlb!;{O1bav#M8%>Z&{$Ji4&q?60i)0nNL;rC6vRDK82Zgp4-0cu z=@s%aX$UwvLbQABt7!LWkce1c&lkw1GtXMwJHI z!OSzhYc#?(Q$y2V#q;yWd*>#+Pxie`p-zHWtHYv@^|UJ-LJ|Wl%VPCwVY_ZDVqf#G z@ZI{1!&oKlY=mLp2M1aX-p2&-Yz)}kPXLT4!bp+L;7WMPg;wcLoEoE`r!XO4or5pGQ;Xw@*-H$#5Y&Mq3YR)$4b+kpx z;p&V-*hGhlP7-z4!lM|u@0tB#n%#Z;rJ$pK&fJwsA3Xo4;YP6H=V+fy!Cp*6HIegn z10p>zME=XMvNDtgV9*skATO8I=O!lJ{u_uuIw^ zpT(fn{=$lXP1OiJ!YOw)-pe~1loECKh(+ZgB<|;|ULO~Rtb>f86=Jeqv1{dCHPeKE z6@m#`p;ka6ZZ-grkl^Z_ycaQk@21l$lq|7mo7m3;9NtxYcONAf?889s!=Ycoen64p zzFI`v6usBkg9Yz_MJ~L_oAZWDNlmsAj#>^WiZBr|JMie&$N*xBF~)E*F(JAVQWV-Y zU@3>sm3;OWs&*>8Sdx2w$ZzGY;g{H#-)O9nW?`;RQ3V{Ai3NjF;&`TA$Uki}p%o4; zpeZ394iF3?p05a07*1GNJY~fFwS61HaU$J;JzvIY3#+jDnG z>1r++M;)pCXh2e7L`2f)jZFUJ3)yXvOP)`b!9PjBBWvGpwL8_=uj<|Q$sIaqaY#a1 zJwtfEdsxN66~j4NVe(Vibh3n?w*fyx`yfX%tH)p4M#a zm0iiftRS4|#vq)--7FIvb|zhyv?v4KYe%kKMl1>^(3CMT=p0qJKK^}rD-k8H57%!H z9?H9Pf$d;&b!Cv$Cmh0w*CAj;m~Z5vV%hIlP4!hCG{AuEG$c5ME@eRPF6ER)1J5T`vIa!Ami~&JXY-nP}-(g!54K2sz z7h*zAKnVCbUR3O50Fr$PUP%@SZFtSx)?2f5x_$VXiN&DJ0P4IqG^>EUrXUM3uFr;6 z?898c_>=Ngs>@!g2C)8i68<#R7!% zAchgeI4IsWR|@-W1;7?Q8f>7^83CBx);Z1da3zrAEwLww3H(BW@H^*@rbO&UJR!kdTM$rmF5?m=L3)J7DHR zPt9DANPUjHv+E=~!lK~2CwBqB7I$sO=KernGf%N z(l9ha3~?khWJ*LZVjO&T;GPBF_){ZA)`MvSLbDJm`Z8Gv_)mn{&~2A#5mRN*8L#J0^cE@8T9G$SS_EjAcnWXOw%bo z4CEx)u7{0t&5RW3gC8o<8X=NhrgAcewg~&}*)#)VegvVSzeyDp3(AAWW)g6?-k|}S ze38)f1rfo_8Sr9#U0>n`qj4Sx@gyvNuoJcQG_4Ky=$5=4y=?0_z>xoZZIZFS5RLN0QdEl{^(H)_oka7?2_dh@tWRj>Q2prTu4h)D_%{gKFpLbrjSJ!b&W zl?N6VF)S_&#Aq+ahM_kB@9wV;hL-1#H^9;lW3gPzj9H#NBhLqZ^~e1bZGOd-*IgY5 z%FU+L;@k${Y+U9QCfe<*R<$qNrFP?&{fBcu$A)a&U6Ri4>zquyYn4ulX3;Kt&r?62sTizUbHiBAn{l(1 zy}yTSlEP)Y{A|RvR&*$*sme`TgOg{s^klvK1~eEQm|#~zX5*eWw>j^kRSXQX5QlWr zDm}N{C08g7?C2ew#HHveZfvm_ot|3#V*1NW-*~3%o>bn* z)C;2eg!;kj5VQ;vmdpC=iiQ=mxU{l~-y~8DGo~07ib+(me7bPn2SL$K1x7KQTwfS6}R&G5iN3?hGNt78-3R5wovOkpo=B{k34nK1>v*W z-OGt>ZSoK(2Y){MC-=vr+q-?^yC-BrnoWlxhm-)^Rd4sl^ZosZC-`T?RD9u z(@k^F%Cc|DvR+KvlD#ihvZ8m!+qzOq{iv6pc8A)N1EDc#0duatT)&<^jiz01Si4~A zKAx|ge|$N=I22Y(x$tI(?<}CA1K8{&mzc9&5kdKSzY$= zZWga9jYVVY`PQGUDP2KO(IUQlv)KrJrir*TZ~$+XQ|crU>0^N2EP1mg;g3jX{ow1? ziA=P92Ev5NuYR*@!KT!UV}FLEm^lj~w^V|C*uKl6(^EB0t^N8-4#M~iG%zyrFaOW1 z)Tcv9H}s~5;=nlPtAf3!cxW7XQH6O5UK5S2wzZP+5KKc?QZ5p19V1NczpG;ZrQo0AE3 zC!z^>WP@F++}q~&D~!84#ntkmJ=A5w{v~*V`@aq}Rxg?+XF7{lvbTlgF*~{%>k4@9 zlXd3?yGr%#3g`)13>u20G}LqU27@T0Z;(Kre;WQ`$)qisDl3{wQs?Er5b!S)xETB_ z|DZG<-|{QJT7WG>^bKxD#*_f@|wd!5R?JAo;kte{Cwj-R^vpb5?i$RQ!v@5fn~@djKc zu(HqO$6N?d&Q=wXXtM?_U;OL^Zb+qm)R%C zw?hjFKlMQgc(B)qC9^r=>`1KKzhvXTq@#}dpoM9<|4p@M|99%A<$tGq-PC1X=H4#r>&u7X z2c*3C+)f7n@9X*(XBmzCjZ3A;T&2lmeWl%h@1-A&&78zzlJ$91&rF`po=uCKZ6TlZ zdBkJRUXaXwE{!mIHX*u}5nQ!@U%je}@=%{|nJpBZ(atU4^U3@Az(FxOg9V?aikjpx zSAcL7pT?U0`RQ){g@7cz3=Si}@AAN&ztx9h^t&+|@lSrc7S1zD(ssJ;jo7G-@d(IWpC>Ic3^m9ST@E(no&B^V~8dEW>}V4W<-93 zL3-F}Xn=)9mWgeOor_I{R)J|yZg`+XhH+qcth!2uQD(HCQC1zup$=36!kdtHAw?;B z0w$zl-@^S*hKdrR3`>i;PA4)7 z*c0*uQTHLJn`hOYGaLG2tGn8Tf6CeF9#84X#lAcI|50_#!I?ZyKgq>5FBd0IY}>Yd zvCWHZ+qP}zF1GC_ww*Wcf8XC$ZSCyt^lWRZW_Lc_?Z&}Kkwd;#6v-i#v}H5Pvk{#q z?!m0mCW_&MFZlF9DfK}H4{~lM`Y(ZF^}6(jU}Fhb^#nDhfZHxr0$IajT6P~Jd%Fp( zD`dZwK^z>nGbV)9_VUtj^jqU&K1ES~)B&a@_4{St4nGHNeAEODcW@4EyOMMv&DloG zDg?vXQF4)?Kjw+(5t9{qE)M-s^lem|!G&VBrUXl(v6>w`*tXli6HIMW;UQ&^;1I1G zE16t*dCW~9x691yjPAG>iY(%2DnnYwZ8cMxw6wXxL^F|gBCkorf{6UVuYW{4*RBvp zE!PP5Q;C-1B0XzsC~UU~I;nH&MO6YQF!j!7tB?p3Hfl-=vjRS#|EuhxT)1r_A`lQ> zYY-5G|5)0|+`!(XS{LfK?!Wp)zUD<`Jl*yvO2K=?D_%)?`TUAiH3h~5c(xGeb&i$a zef9XtLMWs`mT~<8$FRI5;kFFev(oSY0YdPES{5x-1*$I!{?TpjM)jvlDJ|(;?*8w2 zy_>14o2#pvsf}+V2ld(ACv&VHPw&QX1kVG+Lvyz-mGNR z&J%mO1^lKgxJJ>O_r1o_9>9j&C-*a1*W6G?O~>U>%ppm}TE|(YDaJTWZC+tbv**oR z@VFc#WmiGFif)_b&A@&N`gof9%nD~{rnE_m`pSmh_U6Xc%7PMmugIahLxpm)%HiqZ zR7uQG$&^z15k`xXrnizl-Cg%wJl7XZ>KyeV5SbeKM(>!^Be<8B`9e;AujdsYE-HAZ_pT-$O4c}K83PDfED2nEb$PiYC6qR1nb`s zHpd9|>in9<{F?f5MWkhk`2`8(JgyHw>U>gx)9C`%gOeGX>(prmZ>@yf6RAOChYAF5 zxsPirHOK*ctwietpH~f%^*+W4lVZ}S&7y+&1;+5Iz)^hhlr z2eK|u9laP3kD=#P^!8BfD83EpOuu#}eIQrCskEu74ez}>s{4LYam8op>M2d4n7^@@ zIZ!>xY$k>~2DHsD2FzCDBghszy0viVJ{|lb!OYKhY%l#asHrQ?TD*qWajG>2)YG%j zD6XeW@q8oMMVmUQN+*80AzWx=T-4+DopNrkRkzhZ-Jd`3$uUEo&MM!*arCvTkd>pI zHyNNt@?65-Q8t;QW_B>lRXRt2+_W_3(JX&mE9sD2nw?pkWs+6OOh4#6Z0f(FPFSn_CxP2+azc&|{u#OmnKKI7`l;9`ljxCNOu z>S`>$3?oNK9sQ9kYOyc-9HL2%1RGCE0_FMd)U5T3b2fQYScX)S4(Y+n+SP6FyrZS1 zB*m6h9@s#qldGjDzZ6R|6hFnHVD{}`om|=(co_n09-@N(*vBXvMgvgAHC$2``&BaYeFzqbS@$w;8PcbHK;ZxPa zt?%}-@3^(s*Wc--jXSY&H#L{baLc)dYuT0{76$_>?3RHIgIJquo3kv9`9KC@%G7Mg zA)lggH@q?2!=-p1QO6ob&K_^-?uY{vottEuWN|9#xwQ%+K=NIekSZ*f0^rE=W%6S4 z?r=iw>uhTY(h(hg zVybV?=`API_wJfhBGgFyx{?egpTA4U7Ii$45Pk5`RFEuBK?{&duE@exVXA1zqDrPT zw4aj@W#r&2dxn>Tq2dd~5G0$&N!`A`Et}IKRkzf+p-ZSNOQ<0psuZV+xM>ZLlJf2e z;geTy#vD`b_M$V509rKlQYhm*{Hf>Rt)fhIgIrWv^eK%5whm@kawl3YN?uNDN-1&s zs*_Haz@ns#Iduu?aa6k?;9Clxp!9g#+eGlF<8!Xyu4JjyE96jg@vs@n`-lfsnzvjb zw#08^jM-UcUMWb|mv>sVymDHxjok&`^7a3kncK2Y>&4G zwo^+G_f;jNN7s`J1sgjMJ>5~ReO<3cAfuzl)U)kgOI{xXk_}LopJiT9PTx=b+n3PuiQ z9ctt4LGT&doBpA6I)rALYCHzIh(Z`dur=M^HbOANT@r{JFFrcc@&tw6h9G^|dd1?j z38}HqWyy6^clAD>>kL206#_m~hN7mxX0RGmdsJg#5^I!etDD>tYOoBHf5UU?QPsuy zcE#r(v?toIr33KT=+`-Z+TcwC%{?CWy?)Z*U1U3^pm2F09)-9^HmXL9DFsY9!?&vb zi&4aC{zJ~ssYUzF?&5v?5bv>mz0hgm>9$fNm{5A&KG zlpvux7v!ztOSh0Ed?Z=z>x;QjG1y&aZldx#C^-5bz1R$61k0bm-n>z6lqoWo*!$tK zb@m%_^UzfAEU-bah&(VKlHaxTiuJ0aec`l7)~ z`cSSLKot1i5J9ovn&CZ?#B;;sQFaBa4#uC8f_`F?BTTDp;TXt2>-1F${YiU!7wl2* zn_kF!U7s)lOA$EpX2@pC`)&L^3AYp!(hD*S$*z%8z+X z4Qg}k1S*d_`e!!T7Ac7;3b-h=y!6EHCs=)_%DPzM9`Eo>hN~Z8#9UX3LgIQdUlkM-uN( z*Y{v=sOGg4)^}uAGOOl!Nkou;8CyIW&q3nXZ1rj7`EwyGwJEgv_J~niy*;^{uYjog zv^}VPaR@h=xQaY@zVb`Ro0pgG(SZfhkg9H9{Z;KEJ{vi`O4z8e@z&%@JS48)Oql4$ za;T6aIdu^iRne7^@W@Pw!pJp7e%!_=tfY7_{O1%hQx_-t+&mU6N+L!=1T4;LH<9rU z!E#;PPgZB&{D!`thc#*>x`^R;1k7GWtHJ=&YF;D% z9B*Hi`*Tl@bC>OLw3B8Aj}P|wgBFoCUUn4QRYlt$N4}QFnCXxC54%mngEI6g&|x3Q>1zs8GcBm7TIUe<<0+PsP6!;w=nm zRdHNKn>@yd%dvwvzt&h#e3gDzWroUnhM%kQo(Uz7lUF)=s{nI`Ws=#&F^>Y#G*6Wg z3OCH{@p{N~d_L4e$7`R8?OzahkZno2*~L?~A%f;_@qD%n8ug!l_OpLCgo}5^r;j~f?E!B_SpZ3DEKX{{ejQDpBIGh2i(>9kv z5BS_SKE*hqwZ8}gF5EWi_pmeO%xaG{5coK2#xL>6nMu7v2#B(dZbrlS&X+U^T+h6I zwsB{PU+ht^#CD3h;CYPcjOL!0?4I2{DYWKP3U7vN!@1z;;MLb#kajO}`(oE2_Wpt`?S5J=qUlW83hzw0hyvaQoILhGyWl-b8n0~^G3Dm0@<_H4@i8Xa z3g?QKe015by-g#?Pk*S}x~z%IO?%=>ABj4ZM$0T*Z}(!S1MC{m7Ll{dc2i}Dtmn_o zYSTv;%{&%bJoFgb5V~VVfcKL`rEZa zZp&`E=GxwwVIfCX+x-prZc}2`R!_%S4|a@L>PR6uKd@A z=Gq?w@?KUe>va#y!<)EGm1a-lm%N8N)A6|fdI#!e*=4ZCj>z?-viH+-Eju z=-|X_kKPs5^B+(`y|mkyLRXdRgg;d|8hM}45V#MB1}In>*Tp>7?n=tnzYWoCCA|6i z3%YwqLB34;YchWk;e&6%<~p~3f{NF-MRil`lIl&&<;;6L3%DJUX}zsal6ig+kHLtp zrF%ua+lKH#xL|V}AFi!Cuu`}6Yx%SQ3f231hnrNJq!z4Y=x3--Ocqr;w@g>v=a^*pl9#n*588OGMPiTtEG5o_-j?~p`~mp6 zyX7m&7Vp-&))_zPoNIm+;%k<%2$#?2-PLbX>_#>O_8Mq$i^9)`46{8tifd8rAoMkX zJookcFM)%e0X0=%eGMOb8v+kz`j$8a6G+bPaHuT*6r{vP6KIS*o>2q`cZK`qZ<;Xv z3?7oVm+MTl8>t%w;l(%#yFZ_!^stRU0?{5hjDJPY2@(+k={#i7)=6kIL5`=vic-xh z0B%E}RZ+Atx>YgG#Q^}BL7H6om30A0oNgcJcb2@2b7Ig*t*0n zzOX@;niWbBa}fF7h4Fy2K?++s8c=I8)=9#DX`%y$gB=~I zq_`Qr;m#`nf(Y#5eN-#4VG=xGb*6X%n~RtQTC3tCbx^~wfyKc$NUKQ^bxa!&i(`|{ zHWrzy=me^q1t#rqnhYj|7Ee4W2?ffc)}znj0t~7G`2BKSUjLt zaJ1v$fEZ_}L1ETpo#K>Lln~`_K|m-|8htRGby6TU+t@BV94e3 z{DF0fKF9`z?=0V(#s@{;I>F!pL%-QL0;WyesXo1>)FxiOaM>r+%D=q47G>8m^YBDC zf1@?BU=;6gc*q;GRlK-jM^{#=o%LczHzZFuonh|okyu_{(=ZahDL@_x9p_C(Wa?jj@{xz1U7RIj8;#o~vFHd-1U;^qT)}XRnLBJlYig{7aEE z_%`7`@bax$^QF z1Sr){OPQl(8w`PP z?dirgCVwrKe~&#a_VSN5{~a^+Wo}&?O_en>YTE{NjGpVy?_-G4@QVFt*&+Et7@+w4 zJ7YHkv!Y8t!|3xUbN)MaMyEu)9-3r*D{=#SvUqP{=L!b^zp+dkQNAnF4 zqlP~6eXK;DsQZ)zMGtO^0!EzH(PuK!#v=BFcL(D>Fcxw+8z!H>ecAa(2vKuRkZ94P z#NS+q-!jY%N?tl~{3bD%!CW`a8vXVfuMM2BlXP>LlojKw{O@x&nsi4Oa@@pXHXQyo z(xhBNN3(%o#(*Kx7325&_=kM)_;*I$0G8P_8az?V42oXg-KiN*EVO9JX)rGuYI&cw z;7`(^RNAkDAB@`;f6YOUW(>zj22+fU9Wt(oaoxgktdOTJ^k zsQELd#YN`q3UD9Bsjp0|`}1?3PuSm@5M z%t06!dhO?tBs!?4pHLvv}v`h4UAZHkM9mr3~m$E$NhB2|IPb)-$qK1)F2R zrMG8In;jFeGQrw2wZEK}5ZmpJ-FkBasogdd%5443aiCG=Qij#VD|ZL#-p{4r*i84f z6!B24^Br7*iMqr;w?LfErX^>d!S;@5p%eF@rC_>7_o72dardlJm;6BXspXCtRgl=Z z?OD)YSoYEMiSA9GF*W<{QJ*m}R?iQxxVk+;2JB}8yHGr8i?mY)s%wikF#2&1T}&2^qn11sC2k+$2k zobn0LeIl*AO!`zZo2Z44dI^gb%DPreAvsB#9*hNDmlrx zy27{TtFvqd;NC4=!x6#ugMCk9ng;#fT;8iVh7(;P|34}ejnxt z?(RewMwhx$+6&|$|0IkXU(|L^HfndTtZ^iSwu949yacgZ4&e9`;C8GQL$;sokL%1j z-04g$ZhIZ7g&-Hb3n@F{0O-<2b5cORnzgilhrdG5P#ilD8>=TR|S&*zlZI;|Pn#4yonv;GhdM z=HScMbohnO4p9Yoh>|~5n;P6z){>N)*+2Z&Ld*XAtG1l!zMm~{f`t#QOpwyTOAXD* z2PgMi*^qyaT#}vUo`nd*D(NA0^Cp;jiYl{C2U9;PXlC0ry+qF@BsINM8~5M|KPAxa z?!Y%to#Pyzo-=B06Cu5H(VWDPx34RE67y9E5tW){2tK;IScmD)^%^ULl1?hINTe~8 zYV2xr?&J5dNMmmpd&n7El#INtVC%pQy#65c$f69nCHvJxF!W?Hw@4UyeZcpaLzC2V{&5_X|Mg+TxLLsFoiDX|%j)kC>s-`(C*{)MxjI^@CX9GlfQOlm(B9VjA}Yjw zu=}fH*WI>k3E`!!bd;(bkF;bW#7`AeErTh5ey^?bMYPx!@b_;M$1{Sox+2`5Xj|ea zAdh}Xm-Qn9;0<$W{YZqY6Y-XT&~FcNX~G7MI%aRzNK}l+voX9tX$~0PoS+ZbO$&HS z??&uNtGGnDu{k7vinX7QaG^h|sS38xXg2a%zkyC*Rb{}p(nT)^CsgYMpjxE)<6w!( zl352rbpR5Y>u5{x(aS|(TLxXBM3oe+V;gnQM_If?@i&GE>SQ2Y6`ta6U@XxT{F!x` zl#=TTJ2IlC3&puVnwb= zt;p*}efQJ;@wN{mhe;{1!$cv9o8TT5NSrJo1eQ!7qzj}_S6uq4T0vQOEr7@8`yjt8#V(n){Q>Ftn~R|k_^|Dcehf)U?qpYpGs z>f_P5s8eUHxw|pOigX5x8Bo?mU$oHhGvf$SQ6HFfPlyz)fQ;#H#1E`6Jv=+rI>}RL zS&L5X1T4UuXmpKn7O6^Vgr&BnsZjhz(Yq*680x}aZU-#*UvqRVm51RrkhLxSBUOgk z?$g5xZiwB#!Nm&Z>ZDV}vV{^=g-qFf%C1mT?f-^|B}(GT{e6%lUsSF8N$SYI^^fFNZArxI`rqP$f6ERI@GpR z(E>SfEVy&G__Fy2GBEabi3%nA@;(C2PL=GSW-urnYxGeQFap>3GUZ_)7=d%VvE_TO z)Uzg5I9GTz+H+i_h;Dri@^exj2O!~JX;zcrgO}x{+(0szzQZGHdcec?TKbK=+Mp^J zfqi_D<*ucFY@5Ww=bz}lBVE!{kOyyn?TuH{9WDQ94vPh~U zhYMWrA-q>w^)|qR0qbv*tvSuJh!PWYhC9T1}edFv89DzeMqkz zoX$V215Vd5oAooYmk?^pog!X>^*C!Qt9jU1^{k=&*%wMGMo9`f(HP3)sOk&a&UL=4 z6)c}nrwGW&@xU2EIDF;yDN5^>v3-(k%TkWS4Bs7Z_nHT1&AIR1nndEJ(CEwMN-m1{ z3U$EV8uOHz)O;az*b_mt>y!m~(WwZsVO0=?!dZls2k@H`ej@!ZmO`@+fV=*Uq4@QU zTKO+<#m(6CUy`)#AOpO}6K6D8gs}EAwf_=QC8%;amJiU;PcEjnIVMv{wqV9n|Tj!y0Qtf1T*_^N;m?f-@pM-WAfE%Oe8~d;F5Q?5!c8szAuMXS@e;SWTf`IgW@38;DlepW0U;6s z5q@u`1`G`Ue`GdgW0-)*!imE}1+^Tynx{sr}S!jI%x zb3Oxi(j_)O5vz^1%Bhq8oE>W(0Ki7)iT`|$ZOfnl$r(TuhKMO>J5CDWO*9$2=h@_; z%LgsNccB0WBf0r?p|~lY*s?>$`$$%Cjfkp$`BYx{<7$!44szN6x_xG;*GFlMaeypT za=QP7qM}56P6z()ysgs;;gtNzsc^D8A0$HEUkLt?>Jyy3!QrJSbt7wMb11`KQ~{5K zd^QAlvs*~af^R1Wj~pPgC6cx5Yyd zepgYX8>d?U9{C)q3ngx7Y9&*D0x}GcjNmhp9(;vSW;EQv)(3yx^ui8(1xA%kc$FSn z{_yJniE4k5W2w|m1YPc)+)&QfO9&tx-5WisRLzCxd&IZ?UA0R|4`wbh*juiCp=d(J zVa;j-D@H)JS^G4T%oTrkTm@(CsIrA%@&uh^xG?QZmV1{grf&0-KP~pS0`fcXO}diY z3pup6Tt{ahvt*+Okdu@(F!+mW4^z7tH6eP?_=+)|H?4cij}T2cWgsgm36J3|xh3Ni z%LI#^wtff@C1dc)golZ3zGy~g0WcC@VVYm}$;mWfB|iQ`vmK$&xFBUTs0}Zq%vFr9 zp=t#NaI9kLz)Pifyw5-h0H|d{nDZRs?BEgyzK*G{JdM7 zQyN&lqF-sP$(E7qDXr71E@%LE=9ZXl(cGrZtp_BXP>QCTb+RJhV@Y|zL~24{;@yIu z#2fED;=a)A=TdgOx)ruS z%In@i6bJub@ZiUi!Rs$DMlblzJMBPo0e?gG`LremYoUUj3avemVV5;UTqtUh z&3H*Q`_Pi0YRHEj*(!d6MjV2=abJ+bCy>2xl|DmPxXg;4P|zq9M~*n-?I7wRqc+X> zQyZsc99Z0kP*oEdN+5ypB{+5RaY(0r%M()#3)x#8JZ4)h^xzQL$Y@D4WG%lO9{d`u zZ9nCbP5ZFR`5d~zK%;Yvj!l?#&wj(8B&I9)XXkacOw{uj`zC%r@xy?R*IGH>{lMY@ zM@4*b{8eWQ>yG1~CFQxO5BWYE^$$j_XbygFm34Ls)O!C;uTm(BK-SXsSjoOQloA+x zt+7=C{3P9xFb}mWZ9o#eB{Z7-$u(uP@Ki}ZHBC^V0<)ZT8y52VlRYM)q&geYu&=pYp;E<2I^>=0VCPpY_qo3-uVi!x=AbL8+hFH&x^`fC#ov(6u5QE zJxV~y+_jIb7P%OKET>?r=)7ERjEPYumBDABIwnszM||;_KTt@_2in1_rWSE`+BX$Y z96=y?%tY(jSGi=xa>qE+zKUy!A|5Kz7IYVq2jXNmMzvGBPQN3U_%sgFJ$pG1FlYDt61Fc_DZgcl_x!g@2|% z<&nFj;vWZF1l|2$vZA0~OgV+WA#~gViTRUFJi?o} z99-Q+Kc%O5q_3EF@(6BDI~Mf)Sf$$Qd5uDz6?u{`!rbo%U2jnIS9dbQcg<%mdBf{} z#QS#KsEr}zI#wD!Vmh%Qxp$1OY4>nalWACAbQFhl!3;mp{Ekl#<;%}j&5)y!DWt6y zJk{3fEdMhGy(y58OQna+-d0tsAt)S@ZsxDE6Ob;R0U|vSwiALE4hNwNL20^z!?-#k zK_d&D&bx~G8a@gY)>t8Bm02uLH$t*4m&SRUV?xvOu^I8e_XYmz$;&C*sWj zUwll6nhRa!gCh0#sVjwF==8?P!l6|9P%@ohKIU&?siNl3aE1y)o~l@J@?1b%anc=l zwVrZSee!0#uI5x)vO-CQSS(}pT}cW&%yY{Si4*cT$21WB1oVMQ;LuH<{Y)Tv{bbEe2yUPr> z4@9cm5GCgmT{6vh{nu#xpK4`ST$hYx-FU!3S+t-Y^io;PDS#@<#?@k*-a z__2$ByMtj5X90%>OR-mnggHpG@=2P+%osmWo@5SjbzNX>rys_e69n~Pvg=dKvQRzI zq?{0p7OmB1N&_vQ)572kk!9=|M~=7?Sw=09?K*Wr=FR0K#TYWPWx-Xp13r{Acd+}i ziQ$f^3}xX;(BYikIj`6&m-O|2wf`6n-#6A<8dmRT7U*ouwSPwedEA#P4Hh0l7a~!O z;7=JtWK$6r~sZsBqXUAN&$IKLcGirp4kX6;<=FoVeFm~b@4zVo8D zo4O70UGFPIcs%E;7EbAQ+3GIaCVG&BAEFEGhv>U1rFpDp@@q&+>P#R@B3~`b_ga3A zZADYK(`K~I?c&dz7KiJ-pKQI-09%b>g2UOB&rL)z=>V3xPX z8v#CG3F2h*he*0-L}}>o(Yz~~z_=$!E~wOIeFEDr6;~cb4|HmT@#v&OYpw!xd3}-o zI^Q@JTze2&>lyKM-&Zrx5o7|A!_?!g^`)Zt8{pyt?$&v3+YA~)5Jgq90|7LI(KF9fPqHg~WN2G$&e9Y7o`|XESKEmm+(TozWyP{y@ua zGQ}o4*Va=xZj+AfYu$7yRyg(-D}CUt3+?aSGe;g=;J6qdCp9`mA&}C@ zHdvRWBp0puUA=xYWL#sg=Sn=oT7I5Gp~|ccs6OZL?Ia%GTj&l+K}@+P6YLUmL2qM` z1k^p0CI-7N^hh+~d!bAUD}MF(fX{}kNBcl+oe&Ncmv>Zcz!H!IeFj2#P2TY0?~=?G zKx`oUA>QPKp>Lt&B?r{hWwI;dpM)~AJlMo#=lV9zV-8I)#^IN+a=)1vnjY0a z`Pj6)9{qLuOG-BbQ3D6+PdgX;arZ#3s$SrugFL2QQP|ylCpp)l+PJRJlEUL1uN4?+ z-rLxL{D;!)Mp(b`yOF)mjwmsw!3j9Fdl+grLFa!A`LkrM3h$L*YF5C=boi}_OWE4(L^80(@YR6ym z=>f!de!qwexc@hJ3|HzeHfL2^VN; zZJnXECfPvO4nL9DT(7?Sb`v>gz*RE}UhA_jF?Nk9OLtr_c`;70VoKYj-}q!Nt1Z;< zxr#)fDP!G^)uQd67LoQemt!3*n!>xk499_>td)_N^qB|vT5@E`0)&41RRo$$;CboE zxHF0y)d>O#1PgG&jz7rXRd)y`a70o+&N%Sf8WCaC$NovPUKG0rFDj|S;3I?whCg>F+O4btx(F>|f}R11X@#3}i%tZSF2cuvrYbt|R*WnwkT zuU#z5{wRd12MvNI@E}$>!JK(nf{ciF{ z3o9)i2_m23_(vknj8UF`#dpl)Iw z#@%F;&r*;S@k=l&2Dv9s#0{$RbfsoUFyt&hBGnQT4q;3nbc z-2}o~WO51V)En^;+B_`2-6@8xx;})U>JrO)-IiVST~3+1^m@xj5<=PjcrZXQ9a5A+ zjwOz~Gt)>gWgr|q$pY}AZC(|dWY1RBw*tE&6Ls)e&kDtEYJdh#Xur-<3gEp@_g~LH zstDK(eRPPo#vkRF&DE?99*AwfA=sFmh#j$3bv&{}yF)UD0m;Ffe{nKTWM8ji^Ay$U z7ot4dkkhnIwyja! zl?ERx)4wo+wYwrj+m%mb>)rC%@4^&Uxj=8FGeI+`c(H92_@gZ;r1E}?iQ2+Pi>zu{ zQShB~n+gmf{aihqLKSxDd&%@rIijr%>1 zr*DoO%@^BsJsI!%ZL0m@dGn8GOql5e%Zlk*D^e0so~Tv&rI@XDwsu}@;oXu+Y|+C= zt#|_8$>S}Q4?n{po?zgI$-8OL3cq_e#fOe|K zi^-&LJ_h2(Wy>uBMju`LcV?sS;)akM-9d~Izj^RLDNz6!84s50fge4CQiN<%u@Y^eCGycn|oCoN+{`CQi#39Vvi(9O*PpUr~3rr zDzC38McKR$9#=~QBlb=3}0|TBRB|itwT} zgmcHwb8)xVn7pu`=}DSlhOr92A}1h`Rcw+2z}WfrBj9##HHJz_1v80KYAR?Ifjg7c zu#6Klowq3l{Q?(Koj){u3Hmt}iAAkJb0`TVt*Y~5`Y-Pr+kOccBsaF#%4+5iY+`-R zpU+$~<6ACE_i-1>5yI%yS~7VL{hia0v4WDunHlB&*S(6RabV$84FDezyMtE!+mc3> z@zm5{Fc_KEpAB5q@Lp&6E@5=s1gjR>S~Bnt`1zKu$Y$u7@0P+3ZP$K)9Lp=p7ciB7 zZp`asuwH%Ov_)Q=!~LVO4TrJ?mGQJ*{eFA#&pWm+Vqurieey9_snvzCdBFugk&ack zJ_=VScdMdN&w8+DbJ)2K%H7>eW|Zq>8GA@^0u_1%h|Z56T19{4orZ0ctcTs@uF3Fz z$9I4ShzB)>5>*Q0#IHr|Hzv#`z%-JOnte>TO6^}qRV5Kh$T6xf@*l8>JxS@w01L?i ztxfv|+<=j5#bQTqd`QEBWRi4=Bb=2Jpj8p;EcGaO;%S{gxB4do7n&D=%}qmtOPxs4 z&3G==%sPYwLOiDhvKA_go!ED=Du2ecUVxub4oUHdNe;n zwJgjYWVCH8z}ouQyA%7kN7Rie0^3#eHlEp;#o3^r)=4X4=v5pv3^&y4}hmVbfrlbDWJXa%-u2gF5s{i;iCLuaK z+4o8nJA+#LM7GzPE@(pP@uo-ER*GA92a)65xFjD6D#Ct9RE;2PTwMXpt6+p8_1hN1 zJJoS1O^2iJb@N6IWec%(tbNEGhRY?wHJKhojY1TV(PesC$pJRbj`39y-(e}BOH-IO zC7NdKn%1z(!2m-ka}xE$&IyypneNdt2VeE9fg^>D7`1({*nBaeKU_txCq>>yQnp=` z);C|WdB@!J#Hx#mwc_5EGpfl!Cs+7HFM>-|-9{4MVGk4>4c|BD)3|S3C1drQP`us{ zwClGhNk8Ux^d_&}u5R@AMbp27U?1fr;?VcNoXg>`SSDa#g~{)Fl}~_XwVbD|+(#dR z4?`siIXVB;MiPkf*riR!qr+iiVpJ%-4ye-hh^H|aR%ZCJRSlZXXI;!h4hDwHdT4{p zhV&{Wrubv{I@{I6={%`X1YGNpSLn{Nau#i4i=C6+7O$V{6v*5`6>mA0QiZ~{KS z{Tf}@+1)M13wyRlsAUX?`Ld8l+u(ThN5#_yE1fLx0l$Q~gTbQ#d9=Qp{-~FWm;F~G z%*t6*_B;7bezF?sJgTDbv8L_Oq~+mpz2;O&&6CCAVCD~clDn?;~nxX^>rDN(hMs6jXrgiJGZlrs`#*9LXZ+w>*GH9 zn;W|44GBHGvYqI3gK{1;*`*~+++`3vg5HH0PBiUjIEV=quvGxsV8qnBFw6CKbSugY z7W(5-DuXlb&CeUQ&fKBR|BNF_iy6!?UlB)IgS!aShFcQi9AqZJ`ZFpPWQw2av1Rm8 zRCuiYu9dd`y=g{1`SCTWmW|j3L6+(t_qm5FgH8UxvHk@46Y0bmwK8+z-tngZ&MQ3F z$a6m*Tu;iv<+#<(%$L+Sk+A9TIrV_uuw2(Dmk=$XmzIdh4x(e{hCBz>=`Q&oinsd? ztmco&of>nc#Q-PlkdEx=j?fVmv5iJ7ACVT)BEf|YDlQjx8^zQ~(L|bfE>Xg2cnGQW zR9j`4@@F~*u~C)o^9quVnUgtjEEKE+PJpI|p(D+`?D7)nouKMfE~n$3$_B|(0x%w= zbX3WF7_Yh~RKO5F!Me0kwAVXs@TSbGcv?G;h7VG@{O!aPRt~k!k+f-y#pj2Mr=HYa zH^CXVAPy$3PUO^S_QK>XZ%l~CH9PN&WT*6=juxfBKmhCDO>O88rbMmd@%WcSpbFBt zv5P#apgbE6=Q?rcDLVPVuXeCH47uO%^YL^cXTRApik8S&wB(AD^NV+}VYgg0EE$ra zj7*``vG6PRniuUN&w|qk+aM8($YydZZm{7?g!O`Re|fwTOygB1|B`Nxgk=dY^I&5Z zHM=JGTvRXc`p0;Gl&`bbk15Gjb9d)$2(7Fo_6tEdY{#p~&AT9AaZb)hn2vDYU*%;S zgQ2N&Qo&w<)>xSO#;0&opQ>%|h!HcjpakwZo~At~WguO0@ttjA#CE0k?t-3^5~8>+ z7ROg)+)nd-<7F=Jkg_2+xh)3t<)bseoD>c6nfOzFmwTCaMKTD#rWW_M@j#n)NIj&! zNOCuaE1je{9(v{~069v7mCIJ$% zROe&_Dt_kWZ;~qgd`v{fD|7|S2^zGff-mnC0$1>Mj~A4A`1SYNgCDZ(Rs1B_L%!0d zex?-$zY1A?Qs&XT-K94Bh2WU{M4X=HV_lYBn^)Xj8%1)cWzJjrBWE4O!v^NhTsXtr zuy+fhzQKCYxZO{Qrsz=lIR%@qV(DGC^ynMsyG3Z0NpDgWwndWnPa}!j78ZK1qG!An z?Y!D<$=GSguuVu~VbsbD!rC>BW_Ir@CJ9gZhf!%}O&C z{=2`Ks9z4aJ5IZEF$A|Sk zdi+wsi5#O@P*4x!^yC%Lc=ObH%vM80qEW6BQYo?NeiuO}SA7Cgv`u&>YZ9U~L00&4 znh`Rf3eq5rCF0yKs8=7b*0PKp4+K?0j4#q^FI*8I1d&vzu-FyM2tGfLvuHp!yR$6( z4*B3=tXvOR^qA4JPuftp*e4uPgMEzdQf72x6XyMIn>|rk^7VZKN6gGXsWmk6<_bW(8?dB#93Mgea79m1fX) zcphK{i_y%gcl+0PJJIueILmJ+G}sL!EjiTs1z&lPk78;(!VW|?~%k2N=pZ=+9x{FJa(;E`#^Z-Q9g($2>k(?e%{CbMjG{{6KP zk)XE-4n)<8uP%^oVAK7TKIlv#`@-lVAK;U$IIo(+xFWR_3B_etHvlFx!2(@YC zA8EMN6X&eXkUr*#r+mphk2=T-I34iZyl0El`RIzS2@gP3^@=ZFJ8mbrKvnKgyxxJy z%Tj4e-gX}hZ8a%hBpK>6tQveTGfDnA(H@0C7Q2nSg>K&k^=|w{6pfX1j|1gXvi|sM zc(M4xeLwRp=czYdETxWESR-C(j(Wr`gM?e025woEN=QR(>kLp22epxm*oOyspxg6t zRAjM_c;Ch@bfHO9Y|m1itrhK$UFktDV@uLiExSJJeLHwTdWxo%Jm$h{Xr_{P<3yHO zpC1|fW>!Nbrw(K8gPiMNGlpIkJed1uRc6b3C245J? z)H;v=wnQ5{SK@Fs&8c!qYboUj@0DHQ-AQbuX?eduJ`oSZhSt`dZ)TcahU@V~V?{e3 zch&qYK!X@dmr~yQPA!8wv2#I()4a=~I6RwEqD3NI6vEw!phZ4@dr#~K;ks6g^Gw<@ zNK)(+<|E)wko4G6TI0dbcN6@*8d0J;ufOJyX*077&MaqRo=FuMJ?Z~ zoR&@(pO_Xi9P(_ySS2VmQEt7!p*!`yM@A^CO z@dX7Qis|?|*;DrqDBiYMWK5sRRFGDIFEz|-JNd}w5xr~vJ_}M44e!bg&mKTt1wh8K zL9!KEDsuzmE^(I1Aax|HjO3CH+g)!#LZx4|JHu)$KVt^TlBMru$6<#<_X#ngj09S(vYSL>Hya71AiO^bz@mxWGND@t#f=D$trU9F>Fke|4q zY9frP0xb8L9g&C(E~jXj0%QzxV>QmvA(3!K?rm)9KYzj;rpp{nmkl+}efyO(LXFs) zLn3Mb#{{sDhB5Qts_FP?>H|NGMm5mHC1R`~Ey*dLwG97g>_DBI=gPX(_8U;;s4C9F z7+cRFH0Li>mpQ4dK~w584u>awYr#ritU$-wYNfG(U(mGaw!@yL&*pIwZk_ zbX=}>*wTwg*88L|^5$R;Qi>e;y`azaOa0At3*-#9kunujx<(+o$@vq@L}v}rAA-K+ z%~cJr%jsE4mxr55yYsMDWbPCOAoIYUH>6Li!Ns!@Q+g&x8M6Ar5n3*jKdrK3WRFjGc|g}&*QWGYa1B-3EX7{^fpbycca&U z;|h(_h(#90VU%p#ujjYWaBp`&BtV#nl5(9_VffD1uN5~}q=CwoQNu}F_~iR$I{abK zbS~$D!|ttIe^nE=kI6H#0i@`nRsM+k)_~N&q-UvWC9_V&-txsU=yjZ-5?H|w1$aq+X{TZa?{MR?3}){6-T$ICDbC8z~OeBdT0F+6`An> zS-ZNs1pXr;0CvSPSh$-3?I8f{M`>=#tP;i63?HULp&@`?&MwAbM{J}yGH<95uxfqGMT?;!3)-R!iPvOK$JbFZyb`uLYJ z|BvsWDH8{jyCHTRNIB7Y@ku$HpwD}8FC9Zhp*o7fm*@BK(1+Lse2ZnP%t*z(qs)zh zie+Ftm#uX)3anERFwLYeUk@#B9o}72Yp!Tfk&O6^$==wLP|I`l+WMmkR@BR#et*f} zrFnXlo$m)&UFLh&Rf5R!l$;*1DHzo(9J9F;UtljYAaM6gI{bojK(UVIuLKT(3u%~}I)O%&8^C0vj!kA4#k zUs{+cXhA;Y+5kbdDPXhM>SKj_5<<^oD!!hW9zQJm&d613B_yR&b3b+RR6GMBc0Rle#Rd5>fET^pbi$J2#KR@>JYdyc|m}Zhl60XGeW&#B4Kq)%o2Dd;P_)ds($N z`Dk9+FJ-Tx)bFgvZ&dSPiuHX_3yp;~@Dcn|jz#50VGNo!Xn zCwu@ZoL%u3vKswuOIbWV%*BkB`U!5k!{!`-8&aQ4xOfQ~Mf0gWr@aBPb+rr{dv6ZN za*2mzfZAO#jgjk5iboqJgFr(akh7YNBvPQ;2Vr~Ece^1Pr?izluXETP1P@qU@y{16 zR>_`tHF!pw0!gG$f-lrNhTc+j`FJ3>q#GYgX6dx{RHk0v z+-R84=T;%r=kDj%DxPE+CRoH5ue@`##2S0F&k4-6L(C#V29tn{F%0a~L;crvgQH}lyVklI%W=2*J=Z+%>GZ$F zF$IjKvL@=!DKpQ+_+W^pG))S!5h0z1@*-1supVzP6PIvOkgO`={6s^Ag`8uUmd6sP zGD(Zc_%Jzj=s5bHbax2XJ;%t8Wv6&Q#oVU(k0>j7qsfRlGe9+2Eg3H+T-fCj%Un#V zPV~!c+GIghrD*~=>yS=+PmfEuU}-~Y!py9RJ>6$~K;+6?uYpKMP9?hkV4EiVnZ1BU z?`E8aeT}B=Dv=KtIS(+S^**y)0R224NTtv-rcDx*#NwYpWqXj23?voGYlSk4HFywf zQapQ`71P*+_Ywz)?^t0IiN@vkI#zOfvf@9<7Z35Qf{{xJP_X3?WjsgzN`+L!J|5PdX_)8vj{J1ZC$bqqp-BmPv zRQH}NN)5Tb&jHTxV?yrh589(`PEn&;{)v4|5`nThRp|<%647$QaWMzKXR$4aW!+ zxfFV^tS&95W&oYLVBZE=2-maMzjHEEPcuoWK&&lP^lmY;SQb9WB4wl>p4o8ok(|Rh5%OP5*7ioEvcoNii#{}**=7#&u!FEA2*`DQg>bD7_konAvB}a^K z=@@?X5};f+Su$FTOg193;|slQe>~1&oVmKoW+b0RNi(9)7QQU8Ax{ujvN72tQNDg&Z?*Lv(9!{qjbErKFv%WsjUE^v2TPcg zYkGEPB`A14(}fak8!VQ!4u{{4#{6iM855~(4&4Q(FO|4ig2ngM-oeckQL`%)Q?(EJ zuP2S80F*J_YhD#)T*SrpKQ^vD_8`P2dp_6lJ~y`T!E?}$m(78bvKue2Cn$Lt2fhKD zT-3pxIy-pi)OW^7TEUw`UQ@1KF0Y;;#j5ItLB5-~#+hCJPH2kygg2Zi zWF4OY`)giFPM~rfS9HL`LdS|WN(2)A@?ItKAaf?|<+C~oxyY3*jL==HZD(-sLZt7q zpw4JuB@mn~?tL6R_j`NPu8MITyN zCP7;GfOd(2F5Bf|nc=lg#97!3X5$;G+*|UTqX&fscqQ=Xzb72 zNfCX_>FKT-3#Y!+xE2R+%fuFpmM*|$0j!gB{+MayAsnnAxYVT`ZbUa|F)nxs%hD9k z%k0>Y_}uJt^Dr4$0s{%vnb6&?z(}zvm=E-OFAdvSvrpUgZc|1#g2(cZwFHx$2jK&z z(v9S9BVCAvZ&$g7DSKDumOS}R0KYbaQq=+ZB2*ka6n#L3(OK`^;VYG#4;}z_LP3$y z?9weo*=SdioU%M}%EFoSV>1Qdxcdk>h|&wsCK5pL!AsU(OKazxj$w5vJ}VqBYI z!}udIN?&QIEHFIS3YTEG*;3EK^7ASQj-y5FAWo`3EdFN>l2B!x9a3#{`%AC#7!P0)7EWiKmupa3C4k%D4@8t{?EYpD( zt-+6hoVOkT#Ky(?#2f%JennC`(w%CEw$*c70J?e{yW4@^f!VfM?md*QRp9puuhbBl!C;e5YLxLnW=xHbNSV1Hf1Ud}7&^yqV zo0ct(fCTpfo{lI7bLMql_kN||x4;hUC?@1KzY%>U8R^!j6#Ll{n;FU#z8*F(t9E_K zNyR#a87Vnf7F1Sa+m}uWM=qCu+w2niOSqQJ6T4a2T0geo!dLFc&L zG+c~dRCpNY>o3q{Ol~q>M4p6*05&ILS0QS4?W*mz4@Nsq7_a0tIyXk@jByD&cLo<- zG-q$GWcp`3rpz=YPA00PbX@ z;SOJ0b?x8(pcKxE+|Q_60+@!B;g##)!bG|q5B$z=lsa-+4|M_Q*WkpxfLLYtmhsiK zx28EGV;YwLwL#}@@b1rxodVm{_aG|Na+uVg6FSxKRC7ec#@W=?h(>kp+vNyLpuO2~ zSx>ixIll{>FS3*@!zKix2w8kZ{#)6(exH9bu8g<@k0kCkRJqt-hCUZq@z#r$3+cIX zL1cq&>;Jder!WHGF>q4-Q$7mw>|Xka1#V{)VqnB~q)hZ`V84?(D)rGdcV8vk?b9(=ra2EA4}63O>cp zOG1hZ?nlo$hbGzDHbioOEqn{_KhROf_d@gOMT>7ZMzdQiga(V4P$s?xB2Gm(ZRGfb$qgHdQsqld5usQ)c;3T`;XT!qSN*1Vf;D*>tNau>J1rQZ*Qg zm$K@Z1yhA@6bkLk>XK=z9j%pO84b4`*`-|=x9`KR4ERxCa=k5urJ_l()V)t3R%Zi1WBsG4|vAWIG?B@EoMjd#3 z>w<@p9NPgf=%GOYrIZDM**@iO#Xd_>75$Zfmh}b!K{bxS>?!yNEqOx$3)2d*4@BaT zOgZl`wzj)fj5ozLwifpI{kZ<_9uD<2vDjrW{khXH%bh=%GRjJs6O8y^tZ1_57zK>c zjISJqu4yiH1PgP;~#YNyz#phjl=kCpkK} zfiPg|M9Ab+vDE%Rn@_M?4od(lfMA_#1i{m7>%cFrkxTdMzz4j{O8uMn6UkC16&)a= zU39)5FTp|^#6KsWwP%%5-N>K|1y^{Rzq-^{<$QAE@R{%10YC1mn);%dVhd?MPvV#k zTdV;$`ig4LekCvec=u)$)uv8Bjk-#7k}j}~Ac1+zMvEg0!vw_0u*N&e^~eq~!?u>O zv*5WUg~5$1ugFsGtUvo|R*6j{)9^resl+qzGh1N_QTSMe#7e`}2p8dizYIutPgOTI z%9X!`0KLa`s4<;2;Z@k6L;H9BnK?c#Ji;}%W`3Nt_jTOC5RZE>734;=SU-LNj7v#& zS08wNb*{v`soK%JvQ9y6z;xM5ud}h+M1a?s{HA-bVj=#xfCR;o8_RV1=tCTGTIo`y z6{wJq*e+OHeqUxP+*Q7_RR-@d(uB-{c9Tr(tuB$8agt)@V5pK81KQ(FjjQcy(KiG# zvt%0z0x^7Oh3g;b^c&l9w=!663yG1+A{<s*r-HGh@!W8LS^%9rXGBDC|D z+i`@jgnaS#a!*&LU^xDU#_)#86+NghxHSl+Bn(mH^IhoPN>aem7akk08l=ElTpf(I z;gY}UDWgC^mq8)~rs_0xr!S3dI!oFwJOIF+J127cR1|2lxF;jWvRMOQb*#z|nAMYv zBnatN_KLkyu5i*-BVxWMf_=NphYT5ZUi;l|;$JnX#dY$DeA3Oqy0EUVVpBz5`!hFuFK3EC(K{E9`me^dsrrCy0Dj+TCe7V(EY$Eb_R4zFIJwotYp> zw5xfyts~B`MltFz#2Hgpk8?$DH1E642fJYnuzg%u&^=EIJn7rn#Uwo6@LtuMb(H3W zy8YL#dQC#jjHl>yp-ohg)%ziff}tKDm?7uX@mX#VE8_y|MlH#z8mv+Rzj0J$Rt!!4 zBLjZz)bGH75<)QJo7fz6C*?vBK0JecMb2IpjqeVpL5Aw~)5@*6DWYj5^b5POdgYM#Ou?C%W zeOsOFIh*x!`%q{(z>)mL4!FwzR(fHwJ(PpcJNAl4tGlAHe5C&TjmT5R%ARU;a8l}6 zj#3ZVyGQ2tTG@>~fV!zmBwj8bG`Jne%Y45acrckbU=%w4y-stZ@7JyC2 zIH@yQX4MqBUM1ItJN_(!A2W9^rP>44C*qj#$DV7_oWOF_dB|nlGZVg~#M7|O7aJk= zUa)~tnf5Z5!NL@$JvO!B4Ew8xFngZy%|L@@XSYU%j)IleJUdG6!hZvhb7tz%^V9b+ z@j#cIshL4O)&SfPXvjJ5EapsAbyR&+hbp?F)heeoGr_N7`)0CQt?}S^9r|^xxUpGw z%xzZ_?W}S-v67E0ZH>h>J&jU~3Kha(FE{t(FBqy3{oPMmvPAe)m^rD|n`5ai!1fZR z^GC81eCx{no?0Zu4(Pk1cc@+vLZlr&Vy}KrA8*glz((($OkZ2JV4q8mPnZw`4KfC~ zRf<+7#_hS`!w;n$6>^|53t#cUezht+XAxzlm4oOi?1{vt68P;qjC!&}d)mW>YnnsI zJ!PcELfU*J2GwaD5Q39A({j|Z7eXZx{2`K|W|;&YD#JjE*CKu>q$Qyz_PALH-N0P3 zIy*Onssr7sK|9;A&5GvrfM=;9t;c>L;8KlV_}1&e^^CW+HHXxgffPE4QUaZxeypwQ zXWJ6~N;A7hL$2{l2(q8hDrDOWuOPev{Xj@4(UPqM#Tj7M5OAS|SX}Gq3c*1U^HqPJ zbHtni^hW%Aavis`jf21HqnH5`2;esqnJfm%GUQxBee2=j=N{jGcfpP+&#W7Bi+8x zG&r7a_ci%}NLQq0kM=n3X&wNZawh5|o-3@cK+NnTX$j#xJJ~itV{rX(s)%mtv}I=b zQ1iXE3z(0^(|L%;wtBT!He`|tJ6`%KM@+`(*QMH~YZ4ALA?hteh1T+k%zPt4heVJF z76W0$WO>jntyC8ek{W*?+y_6pL(NL(mB;e64qz#S(o>MK!?iaiy#=7kPYl4}d!{LF z6vc?3#h+lZP~Y-QPV}vUquED^O@`B09<?`JTY6hNz zFN z;qu^~R$dK-YHfv)H{i)bOg(#xEFY`Z--%5b6XC+$088eiVmuQ}=At!bZz^?d-M^@L z8pNuL7D_;ofkwn3`^Z}tphJC5KiIyastbd6DRRUko<0hc|k*0j}U0T-4{rE^73 zwQ|la?Pxy_vWeNNp-WsR@ngv|;rnTlDhYYAg$AckdC$*16lhu>r0G#?74JZ>9NuBgZMVPCxfV?jI>IdX9FzccJh^FHz2|1}DdNVr zaBd)U?#p7%0yBu+s>3zb$l(iH!<#5r0HbjLemoYmO}lh8Or}buzdjI#28?B%#gTzp z#bc${4ei#HFl;?*g6ja<9Toz1X{SB6U2%u%+VEI_r4WeEY{8q4tNf@8m#dl5gTOoo zB9u%K>GMH1=}pl(jv-iD!h>7rKNG4pLEH+$7zDzAl0Pw?6Y<|33Oom2AI3@zn)m`* z!!z?zTj9=in&1v;W6bspr)r|j`#fWP^A5T?7_sz5sKOKb$Kw~2kVHZH2L}Tp1kEqh zVZ8t@{UipXYma+`^#jU->>-PIS~_f|IJ>bt@z64*p3JB1g;-K|be_`=PoLt*g5*P| zR#f!}KB&PHb4V1$ce$^CH#+Z+H%nJ%iFAYh+I>zY_NLr76Hp}P)-)g8cZ^;zXP7^n z(Yh%i*^Lvu2^w}WzhwP|Y&r5nE}!oc3p>mQ)fj_1jO2oQcfaJp0po=PA;Cpml^2b| z+XUCJ>cVE1%t-l5EbkEUz60AmPrPb+af(kX-=t!}h@c1oMo?er5z0v(X57KP-jS)= zi$J}LFuwM9qd7VbED_`93brq0J0n5S(8`2*XKDJ=!~3z+X}Sm{6JOFoa4KN6ssct& zk0~}F-&T=a%e!){4Iot+^BQD#d7Nz$ZASAy#Py`4xhwiai?a7DI%S#~k7zh~z?2ua zAm-jw3h-=5o$uzl=SCQr$%tVa12Qwi)v<2o z4AWkpbOxXv!!Z@b(7{+M<5xo8?Z5A{b7po?0jJ$$kHjOLqMVEVqw_U1(4_!c3cnG) zP3N0uR>0fNdN8bOBA>IaSg0Lm3zdcd1?tzooLlssUrIjn=LMw4Ym95wN89CjoTKw( zY~Be&4}EP%>Cc9Sl6Zn; zLuvFb8ACLn;%a2J&mT)4=_mKLjw}6yqitEEI4~z!EDi0qjsSCeiKCNt0{EP?qFn>j z2xpb6s43H}J$e=aO?_G#?0AHp)(Xcz)aUvKX`w5B=hzoe8+nECh3Ue!Z_5(+(6d*b!PF%m)Ai*7qXNjuQQH7lP0EYr;CL0q#3C)WAOOTX zcesr2PIi#I5;ZzmtM=)kTOXXjlPsRdSZ&?Uiy`hp7=|pj#8Gyi`e+pM>mod%jHbWER$Jb^%i5XE$Fq6m zB=u0rmE4=8B?^m(iSW}x5MJW)YRHCSrd_7qjZ)XQz0T3*T zwe>gRm>9erxj8*EyM4UHhNvfl( zD(>5O4;7wEgae{!$QBC{rN4`cox_*Oa1Oa*T3dW% zgagd!;Fdy{$;OvP#~fngNHTwct%jK)h0eWVzkgY*ZRliIC!0Xs;wKv`J1QE#CC%=t zaR_vD4<6Fgsskeev{J&*CC~)BX?t0qevX!B)JQ|H0zYw;me$wuBw?))xlhtf#7Voj1YpsWy-Y(u33YY{zN~b%3lTV&jX<3!Y&;_!l|i^`5bpCG+B-fd&5ACxa~4x?`|vMM zd_%;-*-F7IV&ZD74(9Ox>R3V>CYK=L#*3Zqs2RSzm+p#AcEH9aA`f2iKe#j;iC zgy=XY`%66(_AA!goM6A1PuiA=B-Pz<{EZ_)Jsb`FnSOd}=l=7%^dZP36E!?V8sXhr5<4 z|I;3A9LGNElfb@N)J9Bm;_yMbNrGQHv_iCUJacij4I%f(zJX4w8##VH91C29i=afh zsyr_ZjL}h-l4oJD<(WXledSDD{Pg}2678zR@B;N z9kX)9oRQaXb^*=eW3)XmWA^8hk?AvcS06AEx)Px$uDoz<9u=?YJ$pdI&LKDzOgyu- zoGoihPIMRA3iUAoLh+w~{P zb%~gzZwMb70$fjT0KCB82!eH|H7gCL^i4}Z@6L8hP-NQ7spfm5t-sj&s){0$;d6Q~ ztgbCsFhNKiw!Mc019C+OM*KRLP|!;TqAUqQeDK3A)UAM*6z&63hK=|at<o(9C7uv-N>2{p{YGJPESdShxL>pcWFWK8v zc&axqvKg2MB^ClOWo?Ohru6 z>gd$%Wy+G-cBbCvJjL~Fw`Q4=($T=MP7om7&)2>V5qv|FxDYIoa!%}1D_2tn0;|*q z(T--yn{be{ig;v;EmB~U=LKqgZrr9Kb&P}=e&lk5Huf9V)Py{n|VKiyfX0QoYE=mfF!*%o;ZEUXU5HpdBS@>9kco8 z0So4%Y1bR*Sv=n~mIe1sf^wd#Y5?{6Hk&jZWXU;LJ~z{+0Q~rgiqVS+J?r^!05l=e`SmR zf}{ffg8!%&|4aVYl=u(%&(!3b|9_A_-o*cc|F!=85B3iTFZ6Gd|H}dRU-Z8rcmJUO zbDA1P|Dr#UcmDxn-f-YA7<^J2h{M(>h?f>Tf|K8@m?0;{Vf7#Bu|FS=~ zkBkK9uYV4K_?f|ft_Y$A000^QAx0ZjT4P2t7bY<`S_V5x1`#zqSvhB6esNP~Rz6_| TJ4b0leIa`#CId%CYp(wff73X- diff --git a/projet-vga.runs/synth_1/VGA_top.tcl b/projet-vga.runs/synth_1/VGA_top.tcl index 3b6fd28..878d246 100644 --- a/projet-vga.runs/synth_1/VGA_top.tcl +++ b/projet-vga.runs/synth_1/VGA_top.tcl @@ -17,9 +17,7 @@ proc create_report { reportName command } { send_msg_id runtcl-5 warning "$msg" } } -set_param synth.incrementalSynthesisCache C:/Users/E209098F/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-12508-irb121-02-w/incrSyn set_param xicom.use_bs_reader 1 -set_msg_config -id {Common 17-41} -limit 10000000 set_msg_config -id {Synth 8-256} -limit 10000 set_msg_config -id {Synth 8-638} -limit 10000 create_project -in_memory -part xc7z010clg400-1 @@ -28,25 +26,32 @@ set_param project.singleFileAddWarning.threshold 0 set_param project.compositeFile.enableAutoGeneration 0 set_param synth.vivado.isSynthRun true set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info -set_property webtalk.parent_dir C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.cache/wt [current_project] -set_property parent.project_path C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.xpr [current_project] +set_property webtalk.parent_dir C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.cache/wt [current_project] +set_property parent.project_path C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.xpr [current_project] set_property XPM_LIBRARIES XPM_CDC [current_project] set_property default_lib xil_defaultlib [current_project] set_property target_language VHDL [current_project] -set_property ip_output_repo c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.cache/ip [current_project] +set_property ip_output_repo c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.cache/ip [current_project] set_property ip_cache_permissions {read write} [current_project] +read_mem C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sprites/sprites.mem read_vhdl -library xil_defaultlib { - C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Diviseur.vhd - {C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneRGB_V1.vhd} - {C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneSync.vhd} - C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Position.vhd - C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd - {C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd} + C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Diviseur.vhd + C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneRGB_V1.vhd + C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneSync.vhd + C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd + C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd + C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd } -read_ip -quiet C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xci -set_property used_in_implementation false [get_files -all c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] -set_property used_in_implementation false [get_files -all c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] -set_property used_in_implementation false [get_files -all c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_ooc.xdc] +read_vhdl -library ourTypes C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/types.vhd +read_vhdl -vhdl2008 -library xil_defaultlib { + C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/RAMController.vhd + C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd + C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/spritesRom.vhd +} +read_ip -quiet c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0.xci +set_property used_in_implementation false [get_files -all c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0_board.xdc] +set_property used_in_implementation false [get_files -all c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0.xdc] +set_property used_in_implementation false [get_files -all c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0_ooc.xdc] # Mark all dcp files as not used in implementation to prevent them from being # stitched into the results of this synthesis run. Any black boxes in the @@ -56,8 +61,8 @@ set_property used_in_implementation false [get_files -all c:/Users/e209098F/Docu foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { set_property used_in_implementation false $dcp } -read_xdc {{C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc}} -set_property used_in_implementation false [get_files {{C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc}}] +read_xdc C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc +set_property used_in_implementation false [get_files C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc] read_xdc dont_touch.xdc set_property used_in_implementation false [get_files dont_touch.xdc] diff --git a/projet-vga.runs/synth_1/VGA_top.vds b/projet-vga.runs/synth_1/VGA_top.vds index 1145096..74136b7 100644 --- a/projet-vga.runs/synth_1/VGA_top.vds +++ b/projet-vga.runs/synth_1/VGA_top.vds @@ -2,12 +2,12 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:41:01 2021 -# Process ID: 5952 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1 +# Start of session at: Tue Jan 4 12:17:37 2022 +# Process ID: 5272 +# Current directory: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1 # Command line: vivado.exe -log VGA_top.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source VGA_top.tcl -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1/VGA_top.vds -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1\vivado.jou +# Log file: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1/VGA_top.vds +# Journal file: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1\vivado.jou #----------------------------------------------------------- source VGA_top.tcl -notrace Command: synth_design -top VGA_top -part xc7z010clg400-1 @@ -15,38 +15,102 @@ Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010' INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 12208 +INFO: Helper process launched with PID 8152 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 467.680 ; gain = 94.113 +Starting RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 467.723 ; gain = 93.676 --------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'VGA_top' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:48] -INFO: [Synth 8-637] synthesizing blackbox instance 'U0' of component 'clk_wiz_0' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:148] -INFO: [Synth 8-3491] module 'GeneSync' declared at 'C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneSync.vhd:7' bound to instance 'U1' of component 'GeneSync' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:156] -INFO: [Synth 8-638] synthesizing module 'GeneSync' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneSync.vhd:16] -INFO: [Synth 8-256] done synthesizing module 'GeneSync' (1#1) [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneSync.vhd:16] -INFO: [Synth 8-3491] module 'GeneRGB_V1' declared at 'C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneRGB_V1.vhd:36' bound to instance 'U2' of component 'GeneRGB_V1' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:165] -INFO: [Synth 8-638] synthesizing module 'GeneRGB_V1' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneRGB_V1.vhd:47] -INFO: [Synth 8-256] done synthesizing module 'GeneRGB_V1' (2#1) [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneRGB_V1.vhd:47] -INFO: [Synth 8-3491] module 'Gene_Position' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Position.vhd:34' bound to instance 'U4' of component 'Gene_Position' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:183] -INFO: [Synth 8-638] synthesizing module 'Gene_Position' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Position.vhd:45] -INFO: [Synth 8-256] done synthesizing module 'Gene_Position' (3#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Position.vhd:45] - Parameter nbBits bound to: 18 - type: integer -INFO: [Synth 8-3491] module 'Diviseur' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Diviseur.vhd:34' bound to instance 'U5' of component 'Diviseur' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:194] -INFO: [Synth 8-638] synthesizing module 'Diviseur' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Diviseur.vhd:42] - Parameter nbBits bound to: 18 - type: integer -INFO: [Synth 8-256] done synthesizing module 'Diviseur' (4#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Diviseur.vhd:42] -INFO: [Synth 8-3491] module 'Gene_Snake' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:36' bound to instance 'U6' of component 'Gene_Snake' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:202] -INFO: [Synth 8-638] synthesizing module 'Gene_Snake' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:49] -WARNING: [Synth 8-614] signal 'snakeHere' is read in the process but is not in the sensitivity list [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:70] -WARNING: [Synth 8-5858] RAM snake_reg from Abstract Data Type (record/struct) for this pattern/configuration is not supported. This will most likely be implemented in registers -INFO: [Synth 8-256] done synthesizing module 'Gene_Snake' (5#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:49] -INFO: [Synth 8-256] done synthesizing module 'VGA_top' (6#1) [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:48] -WARNING: [Synth 8-3331] design Gene_Snake has unconnected port up -WARNING: [Synth 8-3331] design Gene_Snake has unconnected port down -WARNING: [Synth 8-3331] design Gene_Snake has unconnected port left -WARNING: [Synth 8-3331] design Gene_Snake has unconnected port right -WARNING: [Synth 8-3331] design Gene_Snake has unconnected port clk_rapide -WARNING: [Synth 8-3331] design Gene_Snake has unconnected port clk_lente +INFO: [Synth 8-638] synthesizing module 'VGA_top' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:48] +INFO: [Synth 8-637] synthesizing blackbox instance 'U0' of component 'clk_wiz_0' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:217] +INFO: [Synth 8-3491] module 'GeneSync' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneSync.vhd:8' bound to instance 'SYNC' of component 'GeneSync' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:235] +INFO: [Synth 8-638] synthesizing module 'GeneSync' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneSync.vhd:17] +WARNING: [Synth 8-312] ignoring unsynthesizable construct: non-synthesizable procedure call [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneSync.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'GeneSync' (1#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneSync.vhd:17] +INFO: [Synth 8-3491] module 'GeneRGB_V1' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneRGB_V1.vhd:37' bound to instance 'RGB' of component 'GeneRGB_V1' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:244] +INFO: [Synth 8-638] synthesizing module 'GeneRGB_V1' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneRGB_V1.vhd:47] +INFO: [Synth 8-256] done synthesizing module 'GeneRGB_V1' (2#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneRGB_V1.vhd:47] + Parameter nbBits bound to: 25 - type: integer +INFO: [Synth 8-3491] module 'Diviseur' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Diviseur.vhd:34' bound to instance 'UPD_CLK_DIV' of component 'Diviseur' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:254] +INFO: [Synth 8-638] synthesizing module 'Diviseur' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Diviseur.vhd:42] + Parameter nbBits bound to: 25 - type: integer +INFO: [Synth 8-256] done synthesizing module 'Diviseur' (3#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Diviseur.vhd:42] + Parameter addressSize bound to: 11 - type: integer +INFO: [Synth 8-3491] module 'Gene_Snake' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:39' bound to instance 'SNAKE' of component 'Gene_Snake' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:265] +INFO: [Synth 8-638] synthesizing module 'Gene_Snake' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:63] + Parameter addressSize bound to: 11 - type: integer +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +WARNING: [Synth 8-6014] Unused sequential element iterInd_reg was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:97] +WARNING: [Synth 8-6014] Unused sequential element sX_reg was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:100] +WARNING: [Synth 8-6014] Unused sequential element sY_reg was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:101] +WARNING: [Synth 8-6014] Unused sequential element sOff_reg was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:103] +INFO: [Synth 8-256] done synthesizing module 'Gene_Snake' (4#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:63] + Parameter snakeDataSize bound to: 24 - type: integer +INFO: [Synth 8-3491] module 'RAMController' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/RAMController.vhd:9' bound to instance 'RAMCTRL' of component 'RAMController' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:284] +INFO: [Synth 8-638] synthesizing module 'RAMController' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/RAMController.vhd:37] + Parameter snakeDataSize bound to: 24 - type: integer + Parameter length bound to: 1200 - type: integer + Parameter addressSize bound to: 11 - type: integer + Parameter dataSize bound to: 24 - type: integer +INFO: [Synth 8-3491] module 'snakeRam' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd:37' bound to instance 'SNAKE_RAM' of component 'snakeRam' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/RAMController.vhd:61] +INFO: [Synth 8-638] synthesizing module 'snakeRam' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd:54] + Parameter length bound to: 1200 - type: integer + Parameter addressSize bound to: 11 - type: integer + Parameter dataSize bound to: 24 - type: integer +INFO: [Synth 8-256] done synthesizing module 'snakeRam' (5#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd:54] + Parameter length bound to: 1200 - type: integer + Parameter addressSize bound to: 11 - type: integer + Parameter dataSize bound to: 11 - type: integer +INFO: [Synth 8-3491] module 'snakeRam' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd:37' bound to instance 'MAT_RAM' of component 'snakeRam' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/RAMController.vhd:79] +INFO: [Synth 8-638] synthesizing module 'snakeRam__parameterized1' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd:54] + Parameter length bound to: 1200 - type: integer + Parameter addressSize bound to: 11 - type: integer + Parameter dataSize bound to: 11 - type: integer +INFO: [Synth 8-256] done synthesizing module 'snakeRam__parameterized1' (5#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd:54] +INFO: [Synth 8-256] done synthesizing module 'RAMController' (6#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/RAMController.vhd:37] + Parameter dataSize bound to: 24 - type: integer +INFO: [Synth 8-3491] module 'updateSnake' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:37' bound to instance 'UPD' of component 'updateSnake' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:309] +INFO: [Synth 8-638] synthesizing module 'updateSnake' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:56] + Parameter dataSize bound to: 24 - type: integer +WARNING: [Synth 8-5825] expecting unsigned expression [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:112] +WARNING: [Synth 8-6014] Unused sequential element cSnake_reg[X] was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:93] +WARNING: [Synth 8-6014] Unused sequential element cSnake_reg[Y] was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:93] +WARNING: [Synth 8-6014] Unused sequential element cSnake_reg[dirX] was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:93] +WARNING: [Synth 8-6014] Unused sequential element cSnake_reg[dirY] was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:93] +WARNING: [Synth 8-6014] Unused sequential element cSnake_reg[isDefined] was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:93] +WARNING: [Synth 8-6014] Unused sequential element isUpdating_reg was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:69] +WARNING: [Synth 8-6014] Unused sequential element updateIndex_reg was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:70] +INFO: [Synth 8-256] done synthesizing module 'updateSnake' (7#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:56] + Parameter addressSize bound to: 10 - type: integer + Parameter length bound to: 768 - type: integer + Parameter dataSize bound to: 24 - type: integer + Parameter fileName bound to: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sprites/sprites.mem - type: string +INFO: [Synth 8-3491] module 'spritesRom' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/spritesRom.vhd:36' bound to instance 'ROM' of component 'spritesRom' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:326] +INFO: [Synth 8-638] synthesizing module 'spritesRom' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/spritesRom.vhd:47] + Parameter addressSize bound to: 10 - type: integer + Parameter length bound to: 768 - type: integer + Parameter dataSize bound to: 24 - type: integer + Parameter fileName bound to: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sprites/sprites.mem - type: string +INFO: [Synth 8-256] done synthesizing module 'spritesRom' (8#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/spritesRom.vhd:47] +INFO: [Synth 8-256] done synthesizing module 'VGA_top' (9#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:48] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[10] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[9] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[8] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[7] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[6] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[5] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[4] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[3] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[2] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[1] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[0] +WARNING: [Synth 8-3331] design updateSnake has unconnected port clk_lente WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[9] WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[8] WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[7] @@ -66,8 +130,9 @@ WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[3] WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[2] WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[1] WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[0] +WARNING: [Synth 8-3331] design VGA_top has unconnected port led[3] --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:17 ; elapsed = 00:00:17 . Memory (MB): peak = 780.012 ; gain = 406.445 +Finished RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 528.090 ; gain = 154.043 --------------------------------------------------------------------------------- Report Check Netlist: @@ -80,191 +145,163 @@ Report Check Netlist: Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 780.012 ; gain = 406.445 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 528.090 ; gain = 154.043 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 780.012 ; gain = 406.445 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 528.090 ; gain = 154.043 --------------------------------------------------------------------------------- INFO: [Device 21-403] Loading part xc7z010clg400-1 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0/clk_wiz_1_in_context.xdc] for cell 'U0' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0/clk_wiz_1_in_context.xdc] for cell 'U0' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/VGA_top_propImpl.xdc]. +Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0/clk_wiz_1_in_context.xdc] for cell 'U0' +Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0/clk_wiz_1_in_context.xdc] for cell 'U0' +Parsing XDC File [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc] +Finished Parsing XDC File [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/VGA_top_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/VGA_top_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. -Parsing XDC File [C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1/dont_touch.xdc] -Finished Parsing XDC File [C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1/dont_touch.xdc] -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1102.379 ; gain = 0.000 +Parsing XDC File [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1/dont_touch.xdc] +Finished Parsing XDC File [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1/dont_touch.xdc] +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 876.688 ; gain = 0.000 Completed Processing XDC Constraints -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1102.379 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 876.688 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1102.379 ; gain = 0.000 -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1102.379 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 876.688 ; gain = 0.000 +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 876.688 ; gain = 0.000 --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:28 ; elapsed = 00:00:33 . Memory (MB): peak = 1102.379 ; gain = 728.812 +Finished Constraint Validation : Time (s): cpu = 00:00:09 ; elapsed = 00:00:22 . Memory (MB): peak = 876.688 ; gain = 502.641 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7z010clg400-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:28 ; elapsed = 00:00:33 . Memory (MB): peak = 1102.379 ; gain = 728.812 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:09 ; elapsed = 00:00:22 . Memory (MB): peak = 876.688 ; gain = 502.641 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- -Applied set_property IO_BUFFER_TYPE = NONE for H125MHz. (constraint file c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0/clk_wiz_1_in_context.xdc, line 3). -Applied set_property CLOCK_BUFFER_TYPE = NONE for H125MHz. (constraint file c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0/clk_wiz_1_in_context.xdc, line 4). +Applied set_property IO_BUFFER_TYPE = NONE for H125MHz. (constraint file c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0/clk_wiz_1_in_context.xdc, line 3). +Applied set_property CLOCK_BUFFER_TYPE = NONE for H125MHz. (constraint file c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0/clk_wiz_1_in_context.xdc, line 4). Applied set_property DONT_TOUCH = true for U0. (constraint file auto generated constraint, line ). --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:28 ; elapsed = 00:00:33 . Memory (MB): peak = 1102.379 ; gain = 728.812 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:09 ; elapsed = 00:00:22 . Memory (MB): peak = 876.688 ; gain = 502.641 --------------------------------------------------------------------------------- -INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Position.vhd:58] -INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Position.vhd:58] -WARNING: [Synth 8-327] inferring latch for variable 'snake_reg[0][X]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:80] -WARNING: [Synth 8-327] inferring latch for variable 'snake_reg[101][X]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:80] -WARNING: [Synth 8-327] inferring latch for variable 'snake_reg[102][X]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:80] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[0,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[1,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[2,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[3,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[4,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[5,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[6,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[7,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[8,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[9,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[10,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[11,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[12,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[13,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[14,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[15,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[16,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[17,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[18,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[19,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[20,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[21,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[22,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[23,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[24,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[25,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[26,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[27,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[28,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[29,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[30,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[31,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[32,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[33,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[34,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[35,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[36,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[37,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[38,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[39,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[0,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[1,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[2,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[3,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[4,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[5,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[6,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[7,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[8,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[9,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[10,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[11,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[12,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[13,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[14,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[15,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[16,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[17,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[18,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[19,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[20,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[21,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[22,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[23,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[24,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[25,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[26,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[27,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[28,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[29,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[30,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[31,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[32,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[33,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[34,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[35,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[36,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[37,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[38,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[39,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[0,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[1,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[2,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[3,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[4,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[5,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[6,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[7,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[8,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[9,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[10,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[11,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[12,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[13,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[14,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[15,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[16,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -INFO: [Common 17-14] Message 'Synth 8-327' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5545] ROM "running" won't be mapped to RAM because address size (32) is larger than maximum supported(25) +INFO: [Synth 8-5545] ROM "dataReady" won't be mapped to RAM because address size (32) is larger than maximum supported(25) +INFO: [Synth 8-5545] ROM "clkCount" won't be mapped to RAM because address size (32) is larger than maximum supported(25) +INFO: [Synth 8-5546] ROM "writeEnable" won't be mapped to RAM because it is too sparse +INFO: [Synth 8-5544] ROM "writeEnable" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5546] ROM "mem" won't be mapped to RAM because it is too sparse +INFO: [Synth 8-3971] The signal mem_reg was recognized as a true dual port RAM template. +INFO: [Synth 8-3971] The signal mem_reg was recognized as a true dual port RAM template. +WARNING: [Synth 8-327] inferring latch for variable 'currentSnake_reg[isDefined]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:108] +WARNING: [Synth 8-327] inferring latch for variable 'currentSnake_reg[dirY]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:108] +WARNING: [Synth 8-327] inferring latch for variable 'currentSnake_reg[dirX]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:108] +WARNING: [Synth 8-327] inferring latch for variable 'currentSnake_reg[Y]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:108] +WARNING: [Synth 8-327] inferring latch for variable 'currentSnake_reg[X]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:108] +WARNING: [Synth 8-327] inferring latch for variable 'matAddress_reg' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:122] --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:44 ; elapsed = 00:00:50 . Memory (MB): peak = 1272.715 ; gain = 899.148 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:23 . Memory (MB): peak = 876.688 ; gain = 502.641 --------------------------------------------------------------------------------- Report RTL Partitions: -+------+----------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+------+----------------+------------+----------+ -|1 |Gene_Snake__GB0 | 1| 55621| -|2 |Gene_Snake__GB1 | 1| 2622| -|3 |Gene_Snake__GB2 | 1| 11799| -|4 |Gene_Snake__GB3 | 1| 15734| -|5 |VGA_top__GC0 | 1| 947| -+------+----------------+------------+----------+ ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start RTL Component Statistics --------------------------------------------------------------------------------- Detailed RTL Component Info : +---Adders : - 2 Input 11 Bit Adders := 1 - 2 Input 10 Bit Adders := 11 - 2 Input 9 Bit Adders := 10 + 2 Input 32 Bit Adders := 1 + 2 Input 11 Bit Adders := 2 + 3 Input 11 Bit Adders := 9 + 2 Input 10 Bit Adders := 21 + 3 Input 10 Bit Adders := 18 + 2 Input 9 Bit Adders := 20 + 2 Input 8 Bit Adders := 1 + 2 Input 7 Bit Adders := 2 + 2 Input 6 Bit Adders := 1 + 2 Input 4 Bit Adders := 1 +---Registers : - 11 Bit Registers := 1 - 10 Bit Registers := 2 - 9 Bit Registers := 1 - 1 Bit Registers := 1 + 32 Bit Registers := 1 + 24 Bit Registers := 12 + 11 Bit Registers := 13 + 10 Bit Registers := 1 + 8 Bit Registers := 1 + 6 Bit Registers := 2 + 5 Bit Registers := 3 + 4 Bit Registers := 1 + 1 Bit Registers := 8 ++---RAMs : + 28K Bit RAMs := 1 + 12K Bit RAMs := 1 +---Muxes : - 3 Input 10 Bit Muxes := 4 - 2 Input 10 Bit Muxes := 3 - 2 Input 9 Bit Muxes := 3 - 2 Input 6 Bit Muxes := 1 - 1201 Input 2 Bit Muxes := 4 - 2 Input 2 Bit Muxes := 1 - 2 Input 1 Bit Muxes := 8 - 1201 Input 1 Bit Muxes := 3 + 2 Input 32 Bit Muxes := 1 + 2 Input 24 Bit Muxes := 2 + 769 Input 24 Bit Muxes := 1 + 2 Input 12 Bit Muxes := 9 + 2 Input 11 Bit Muxes := 12 + 2 Input 10 Bit Muxes := 45 + 2 Input 9 Bit Muxes := 4 + 2 Input 8 Bit Muxes := 5 + 2 Input 7 Bit Muxes := 1 + 2 Input 6 Bit Muxes := 2 + 2 Input 5 Bit Muxes := 4 + 2 Input 4 Bit Muxes := 1 + 4 Input 4 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 14 + 9 Input 1 Bit Muxes := 2 + 3 Input 1 Bit Muxes := 2 --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- @@ -272,16 +309,6 @@ Finished RTL Component Statistics Start RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- Hierarchical RTL Component report -Module Gene_Snake -Detailed RTL Component Info : -+---Adders : - 2 Input 10 Bit Adders := 8 - 2 Input 9 Bit Adders := 8 -+---Muxes : - 3 Input 10 Bit Muxes := 4 - 1201 Input 2 Bit Muxes := 4 - 2 Input 1 Bit Muxes := 6 - 1201 Input 1 Bit Muxes := 3 Module GeneSync Detailed RTL Component Info : +---Adders : @@ -298,21 +325,83 @@ Module GeneRGB_V1 Detailed RTL Component Info : +---Muxes : 2 Input 6 Bit Muxes := 1 -Module Gene_Position + 2 Input 5 Bit Muxes := 2 +Module Gene_Snake Detailed RTL Component Info : +---Adders : - 2 Input 10 Bit Adders := 1 - 2 Input 9 Bit Adders := 1 + 3 Input 11 Bit Adders := 9 + 2 Input 10 Bit Adders := 18 + 3 Input 10 Bit Adders := 18 + 2 Input 9 Bit Adders := 18 +---Registers : - 10 Bit Registers := 1 - 9 Bit Registers := 1 + 8 Bit Registers := 1 + 6 Bit Registers := 2 + 5 Bit Registers := 3 + 1 Bit Registers := 3 +---Muxes : - 2 Input 2 Bit Muxes := 1 + 2 Input 12 Bit Muxes := 9 + 2 Input 11 Bit Muxes := 9 + 2 Input 10 Bit Muxes := 42 + 2 Input 8 Bit Muxes := 1 + 2 Input 6 Bit Muxes := 1 + 2 Input 5 Bit Muxes := 2 2 Input 1 Bit Muxes := 2 -Module Diviseur + 9 Input 1 Bit Muxes := 2 +Module snakeRam Detailed RTL Component Info : +---Registers : - 1 Bit Registers := 1 + 24 Bit Registers := 10 ++---RAMs : + 28K Bit RAMs := 1 +Module snakeRam__parameterized1 +Detailed RTL Component Info : ++---Registers : + 11 Bit Registers := 10 ++---RAMs : + 12K Bit RAMs := 1 +Module RAMController +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 1 + 2 Input 8 Bit Adders := 1 + 2 Input 7 Bit Adders := 2 + 2 Input 6 Bit Adders := 1 ++---Registers : + 32 Bit Registers := 1 + 1 Bit Registers := 2 ++---Muxes : + 2 Input 32 Bit Muxes := 1 + 2 Input 11 Bit Muxes := 2 + 2 Input 9 Bit Muxes := 1 + 2 Input 8 Bit Muxes := 3 + 2 Input 7 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 3 +Module updateSnake +Detailed RTL Component Info : ++---Adders : + 2 Input 11 Bit Adders := 1 + 2 Input 10 Bit Adders := 1 + 2 Input 9 Bit Adders := 1 + 2 Input 4 Bit Adders := 1 ++---Registers : + 24 Bit Registers := 1 + 11 Bit Registers := 2 + 4 Bit Registers := 1 + 1 Bit Registers := 3 ++---Muxes : + 2 Input 24 Bit Muxes := 2 + 2 Input 11 Bit Muxes := 1 + 2 Input 8 Bit Muxes := 1 + 2 Input 4 Bit Muxes := 1 + 4 Input 4 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 9 + 3 Input 1 Bit Muxes := 2 +Module spritesRom +Detailed RTL Component Info : ++---Registers : + 24 Bit Registers := 1 ++---Muxes : + 769 Input 24 Bit Muxes := 1 --------------------------------------------------------------------------------- Finished RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- @@ -329,250 +418,205 @@ Finished Part Resource Summary Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- Warning: Parallel synthesis criteria is not met -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][1] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][1] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][X][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[102][X][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][X][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[102][X][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][2] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][2] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][Y][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][Y][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][5] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][5] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][6] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][6] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][7] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][7] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][8] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][8] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][X][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[102][X][2] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][8] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][X][8] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[102][X][8] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][7] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][X][7] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[102][X][7] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][6] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][X][6] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[102][X][6] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][5] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][X][5] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[102][X][5] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][X][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[102][X][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][X][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[102][X][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][9] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][X][9] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[102][X][9] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][isDefined] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[39,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[38,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[37,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[36,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[35,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[34,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[33,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[32,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[31,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[30,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[29,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[28,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[27,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[26,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[25,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[24,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[23,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[22,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[21,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[20,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[19,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[18,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[17,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[16,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[15,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[14,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[13,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[12,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[11,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[10,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[9,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[8,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[7,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[6,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[5,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[4,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[3,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[2,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[1,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[0,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[39,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[38,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[37,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[36,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[35,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[34,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[33,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[32,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[31,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[30,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[29,13][4] ) -INFO: [Common 17-14] Message 'Synth 8-3333' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[39,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[38,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[37,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[36,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[35,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[34,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[33,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[32,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[31,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[30,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[29,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[28,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[27,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[26,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[25,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[24,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[23,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[22,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[21,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[20,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[19,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[18,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[17,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[16,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[15,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[14,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[13,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[12,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[11,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[10,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[9,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[8,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[7,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[6,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[5,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[4,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[3,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[2,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[1,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[0,22][0]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[39,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[38,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[37,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[36,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[35,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[34,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[33,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[32,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[31,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[30,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[29,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[28,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[27,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[26,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[25,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[24,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[23,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[22,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[21,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[20,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[19,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[18,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[17,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[16,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[15,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[14,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[13,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[12,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[11,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[10,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[9,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[8,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[7,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[6,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[5,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[4,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[3,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[2,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[1,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[0,22][1]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[39,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[38,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[37,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[36,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[35,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[34,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[33,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[32,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[31,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[30,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[29,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[28,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[27,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[26,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[25,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[24,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[23,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[22,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[21,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[20,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Common 17-14] Message 'Synth 8-3886' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +INFO: [Synth 8-5545] ROM "clkCount" won't be mapped to RAM because address size (32) is larger than maximum supported(25) +INFO: [Synth 8-5545] ROM "running" won't be mapped to RAM because address size (32) is larger than maximum supported(25) +INFO: [Synth 8-5545] ROM "dataReady" won't be mapped to RAM because address size (32) is larger than maximum supported(25) +INFO: [Synth 8-4471] merging register 'index_reg[10:0]' into 'index_reg[10:0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:74] +INFO: [Synth 8-5544] ROM "writeEnable" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5546] ROM "writeEnable" won't be mapped to RAM because it is too sparse +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[10] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[9] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[8] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[7] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[6] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[5] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[4] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[3] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[2] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[1] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[0] +WARNING: [Synth 8-3331] design updateSnake has unconnected port clk_lente +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[0][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[1][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[2][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[3][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[4][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[5][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[6][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[7][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[8][dirX][0] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[9] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[8] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[7] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[6] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[5] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[4] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[3] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[2] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[1] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[0] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[8] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[7] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[6] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[5] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[4] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[3] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[2] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[1] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[0] +WARNING: [Synth 8-3331] design VGA_top has unconnected port led[3] +INFO: [Synth 8-3971] The signal SNAKE_RAM/mem_reg was recognized as a true dual port RAM template. +INFO: [Synth 8-4652] Swapped enable and write-enable on 16 RAM instances of RAM SNAKE_RAM/mem_reg to conserve power +INFO: [Synth 8-3971] The signal MAT_RAM/mem_reg was recognized as a true dual port RAM template. +INFO: [Synth 8-4652] Swapped enable and write-enable on 8 RAM instances of RAM MAT_RAM/mem_reg to conserve power +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[X][2]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[X][3]' (LD) to 'UPD/currentSnake_reg[Y][3]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[isDefined]' (LD) to 'UPD/currentSnake_reg[dirX][0]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[dirX][0]' (LD) to 'UPD/currentSnake_reg[dirX][1]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][0]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][1]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][2]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3333] propagating constant 1 across sequential element (UPD/\currentSnake_reg[Y][3] ) +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][4]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][5]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][6]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][7]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][8]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[X][0]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[X][1]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[X][8]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3333] propagating constant 0 across sequential element (UPD/\currentSnake_reg[X][9] ) +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[8]' (FD) to 'ROM/data_reg[9]' +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[11]' (FD) to 'ROM/data_reg[12]' +INFO: [Synth 8-3333] propagating constant 0 across sequential element (ROM/\data_reg[12] ) +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[2]' (FD) to 'ROM/data_reg[3]' +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[19]' (FD) to 'ROM/data_reg[20]' +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[3]' (FD) to 'ROM/data_reg[4]' +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[4]' (FD) to 'ROM/data_reg[5]' +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[5]' (FD) to 'ROM/data_reg[6]' +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[6]' (FD) to 'ROM/data_reg[7]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[B][0]' (FDC) to 'SNAKE/snakeColor_reg[B][1]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[A][2]' (FDC) to 'SNAKE/snakeColor_reg[A][3]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[R][0]' (FDC) to 'SNAKE/snakeColor_reg[R][1]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[A][3]' (FDC) to 'SNAKE/snakeColor_reg[A][4]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[A][4]' (FDC) to 'SNAKE/snakeColor_reg[A][5]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[A][5]' (FDC) to 'SNAKE/snakeColor_reg[A][6]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[A][6]' (FDC) to 'SNAKE/snakeColor_reg[A][7]' +WARNING: [Synth 8-3332] Sequential element (currentSnake_reg[Y][3]) is unused and will be removed from module updateSnake. +WARNING: [Synth 8-3332] Sequential element (currentSnake_reg[X][9]) is unused and will be removed from module updateSnake. --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:01:22 ; elapsed = 00:01:28 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:33 . Memory (MB): peak = 876.688 ; gain = 502.641 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP and Shift Register Reporting --------------------------------------------------------------------------------- +Block RAM: Preliminary Mapping Report (see note below) +-------NONE------- +Note: The table above is a preliminary report that shows the Block RAMs at the current stage of the synthesis flow. Some Block RAMs may be reimplemented as non Block RAM primitives later in the synthesis flow. Multiple instantiated Block RAMs are reported only once. +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_1_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_1_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_1_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_1_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_2_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_2_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_3_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_3_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_4_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_4_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_5_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_5_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_6_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_6_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_7_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_7_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_8_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_8_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_9_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_9_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-4652] Swapped enable and write-enable on 1 RAM instances of RAM MAT_RAM/mem_reg to conserve power +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_8 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_9 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. + Report RTL Partitions: -+------+----------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+------+----------------+------------+----------+ -|1 |Gene_Snake__GB0 | 1| 504| -|2 |Gene_Snake__GB2 | 1| 80| -|3 |Gene_Snake__GB3 | 1| 58| -|4 |VGA_top__GC0 | 1| 179| -+------+----------------+------------+----------+ ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- INFO: [Synth 8-5578] Moved timing constraint from pin 'U0/clk_out1' to pin 'U0/bbstub_clk_out1/O' INFO: [Synth 8-5819] Moved 1 constraints on hierarchical pins to their respective driving/loading pins --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:26 ; elapsed = 00:00:39 . Memory (MB): peak = 876.688 ; gain = 502.641 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- +INFO: [Synth 8-3971] The signal SNAKE_RAM/mem_reg was recognized as a true dual port RAM template. --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Timing Optimization : Time (s): cpu = 00:00:30 ; elapsed = 00:00:43 . Memory (MB): peak = 976.145 ; gain = 602.098 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- + +Block RAM: Final Mapping Report +-------NONE------- +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP and Shift Register Reporting --------------------------------------------------------------------------------- Report RTL Partitions: -+------+----------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+------+----------------+------------+----------+ -|1 |Gene_Snake__GB0 | 1| 504| -|2 |Gene_Snake__GB2 | 1| 80| -|3 |Gene_Snake__GB3 | 1| 58| -|4 |VGA_top__GC0 | 1| 179| -+------+----------------+------------+----------+ ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_1_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_1_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_1_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_1_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_2_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_2_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_3_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_3_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_4_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_4_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_5_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_5_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_6_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_6_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_7_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_7_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_8_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_8_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_9_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_9_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_8 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_9 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Technology Mapping : Time (s): cpu = 00:00:31 ; elapsed = 00:00:44 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -592,11 +636,12 @@ Finished Flattening Before IO Insertion --------------------------------------------------------------------------------- Start Final Netlist Cleanup --------------------------------------------------------------------------------- +INFO: [Synth 8-6064] Net led[1] is driving 54 big block pins (URAM, BRAM and DSP loads). Created 6 replicas of its driver. --------------------------------------------------------------------------------- Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished IO Insertion : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- Report Check Netlist: @@ -609,7 +654,7 @@ Report Check Netlist: Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -621,25 +666,25 @@ Report RTL Partitions: Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -657,46 +702,68 @@ Report Cell Usage: | |Cell |Count | +------+-----------------+------+ |1 |clk_wiz_0_bbox_0 | 1| -|2 |CARRY4 | 34| -|3 |LUT1 | 4| -|4 |LUT2 | 28| -|5 |LUT3 | 7| -|6 |LUT4 | 62| -|7 |LUT5 | 47| -|8 |LUT6 | 65| -|9 |FDRE | 21| -|10 |OBUF | 18| +|2 |CARRY4 | 266| +|3 |LUT1 | 12| +|4 |LUT2 | 275| +|5 |LUT3 | 323| +|6 |LUT4 | 365| +|7 |LUT5 | 358| +|8 |LUT6 | 447| +|9 |MUXF7 | 19| +|10 |MUXF8 | 1| +|11 |RAMB18E1_1 | 9| +|12 |RAMB36E1 | 9| +|13 |RAMB36E1_1 | 9| +|14 |FDCE | 62| +|15 |FDPE | 10| +|16 |FDRE | 114| +|17 |FDSE | 1| +|18 |LD | 17| +|19 |LDC | 6| +|20 |IBUF | 1| +|21 |OBUF | 21| +|22 |OBUFT | 1| +------+-----------------+------+ Report Instance Areas: -+------+---------+---------+------+ -| |Instance |Module |Cells | -+------+---------+---------+------+ -|1 |top | | 287| -|2 | U1 |GeneSync | 267| -+------+---------+---------+------+ ++------+--------------+-------------------------+------+ +| |Instance |Module |Cells | ++------+--------------+-------------------------+------+ +|1 |top | | 2327| +|2 | ROM |spritesRom | 13| +|3 | RAMCTRL |RAMController | 1346| +|4 | MAT_RAM |snakeRam__parameterized1 | 9| +|5 | SNAKE_RAM |snakeRam | 1282| +|6 | SNAKE |Gene_Snake | 375| +|7 | SYNC |GeneSync | 344| +|8 | UPD |updateSnake | 155| +|9 | UPD_CLK_DIV |Diviseur | 70| ++------+--------------+-------------------------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 1207 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:01:03 ; elapsed = 00:01:23 . Memory (MB): peak = 1310.512 ; gain = 614.578 -Synthesis Optimization Complete : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Synthesis finished with 0 errors, 0 critical warnings and 49 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:25 ; elapsed = 00:00:28 . Memory (MB): peak = 976.145 ; gain = 253.500 +Synthesis Optimization Complete : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 34 Unisim elements for replacement +INFO: [Netlist 29-17] Analyzing 336 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1310.512 ; gain = 0.000 +INFO: [Opt 31-140] Inserted 1 IBUFs to IO ports without IO buffers. +INFO: [Opt 31-138] Pushed 1 inverter(s) to 17 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 976.145 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. + A total of 23 instances were transformed. + LD => LDCE (inverted pins: G): 17 instances + LDC => LDCE: 6 instances INFO: [Common 17-83] Releasing license: Synthesis -237 Infos, 127 Warnings, 0 Critical Warnings and 0 Errors encountered. +209 Infos, 94 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:01:30 ; elapsed = 00:01:37 . Memory (MB): peak = 1310.512 ; gain = 948.426 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1310.512 ; gain = 0.000 +synth_design: Time (s): cpu = 00:00:35 ; elapsed = 00:00:48 . Memory (MB): peak = 976.145 ; gain = 613.590 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 976.145 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1/VGA_top.dcp' has been generated. +INFO: [Common 17-1381] The checkpoint 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1/VGA_top.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_synth.rpt -pb VGA_top_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Tue Dec 7 12:42:42 2021... +INFO: [Common 17-206] Exiting Vivado at Tue Jan 4 12:18:30 2022... diff --git a/projet-vga.runs/synth_1/VGA_top_utilization_synth.pb b/projet-vga.runs/synth_1/VGA_top_utilization_synth.pb index 404652973e9d14baa7f19050ca9868b3d51a491b..f9fb6e9c5e560bf6b74a82bb7143d7830848cddf 100644 GIT binary patch delta 96 zcmaFB_<(VOd6>2HTwaC-PWPw03=AChZ-p2XcDn2pW@zYiYZZC9h|RHAk^uje()S=_!yDbDG#23;>4w9Gd_D delta 96 zcmaFB_<(VOdDsfaxx5SwobFF~C1Oi#-wH7>XgTi{W@zYiYZbZVT5jJf$p8d*B^era qyDpUivDeBoFidd#3nWiC9aR9a&#HpN=c+L<6gWKvk_-$JdxHU>+8cua diff --git a/projet-vga.runs/synth_1/VGA_top_utilization_synth.rpt b/projet-vga.runs/synth_1/VGA_top_utilization_synth.rpt index b2823e7..bfe416e 100644 --- a/projet-vga.runs/synth_1/VGA_top_utilization_synth.rpt +++ b/projet-vga.runs/synth_1/VGA_top_utilization_synth.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Tue Dec 7 12:42:42 2021 -| Host : irb121-02-w running 64-bit major release (build 9200) +| Date : Tue Jan 4 12:18:30 2022 +| Host : irb121-12-w running 64-bit major release (build 9200) | Command : report_utilization -file VGA_top_utilization_synth.rpt -pb VGA_top_utilization_synth.pb | Design : VGA_top | Device : 7z010clg400-1 @@ -30,14 +30,14 @@ Table of Contents +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ -| Slice LUTs* | 170 | 0 | 17600 | 0.97 | -| LUT as Logic | 170 | 0 | 17600 | 0.97 | +| Slice LUTs* | 1481 | 0 | 17600 | 8.41 | +| LUT as Logic | 1481 | 0 | 17600 | 8.41 | | LUT as Memory | 0 | 0 | 6000 | 0.00 | -| Slice Registers | 21 | 0 | 35200 | 0.06 | -| Register as Flip Flop | 21 | 0 | 35200 | 0.06 | -| Register as Latch | 0 | 0 | 35200 | 0.00 | -| F7 Muxes | 0 | 0 | 8800 | 0.00 | -| F8 Muxes | 0 | 0 | 4400 | 0.00 | +| Slice Registers | 210 | 0 | 35200 | 0.60 | +| Register as Flip Flop | 187 | 0 | 35200 | 0.53 | +| Register as Latch | 23 | 0 | 35200 | 0.07 | +| F7 Muxes | 19 | 0 | 8800 | 0.22 | +| F8 Muxes | 1 | 0 | 4400 | 0.02 | +-------------------------+------+-------+-----------+-------+ * Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. @@ -54,23 +54,25 @@ Table of Contents | 0 | _ | Set | - | | 0 | _ | Reset | - | | 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 21 | Yes | Reset | - | +| 10 | Yes | - | Set | +| 85 | Yes | - | Reset | +| 1 | Yes | Set | - | +| 114 | Yes | Reset | - | +-------+--------------+-------------+--------------+ 2. Memory --------- -+----------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+----------------+------+-------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 60 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 60 | 0.00 | -| RAMB18 | 0 | 0 | 120 | 0.00 | -+----------------+------+-------+-----------+-------+ ++-------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------+------+-------+-----------+-------+ +| Block RAM Tile | 22.5 | 0 | 60 | 37.50 | +| RAMB36/FIFO* | 18 | 0 | 60 | 30.00 | +| RAMB36E1 only | 18 | | | | +| RAMB18 | 9 | 0 | 120 | 7.50 | +| RAMB18E1 only | 9 | | | | ++-------------------+------+-------+-----------+-------+ * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 @@ -90,7 +92,7 @@ Table of Contents +-----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 18 | 0 | 100 | 18.00 | +| Bonded IOB | 24 | 0 | 100 | 24.00 | | Bonded IPADs | 0 | 0 | 2 | 0.00 | | Bonded IOPADs | 0 | 0 | 130 | 0.00 | | PHY_CONTROL | 0 | 0 | 2 | 0.00 | @@ -146,15 +148,25 @@ Table of Contents +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ -| LUT6 | 65 | LUT | -| LUT4 | 62 | LUT | -| LUT5 | 47 | LUT | -| CARRY4 | 34 | CarryLogic | -| LUT2 | 28 | LUT | -| FDRE | 21 | Flop & Latch | -| OBUF | 18 | IO | -| LUT3 | 7 | LUT | -| LUT1 | 4 | LUT | +| LUT6 | 447 | LUT | +| LUT4 | 365 | LUT | +| LUT5 | 358 | LUT | +| LUT3 | 323 | LUT | +| LUT2 | 275 | LUT | +| CARRY4 | 266 | CarryLogic | +| FDRE | 114 | Flop & Latch | +| FDCE | 62 | Flop & Latch | +| LDCE | 23 | Flop & Latch | +| OBUF | 21 | IO | +| MUXF7 | 19 | MuxFx | +| RAMB36E1 | 18 | Block Memory | +| LUT1 | 12 | LUT | +| FDPE | 10 | Flop & Latch | +| RAMB18E1 | 9 | Block Memory | +| IBUF | 2 | IO | +| OBUFT | 1 | IO | +| MUXF8 | 1 | MuxFx | +| FDSE | 1 | Flop & Latch | +----------+------+---------------------+ diff --git a/projet-vga.runs/synth_1/dont_touch.xdc b/projet-vga.runs/synth_1/dont_touch.xdc index d4ce590..420cc0e 100644 --- a/projet-vga.runs/synth_1/dont_touch.xdc +++ b/projet-vga.runs/synth_1/dont_touch.xdc @@ -1,7 +1,7 @@ # This file is automatically generated. # It contains project source information necessary for synthesis and implementation. -# XDC: C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc +# XDC: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc -# IP: ip/clk_wiz_0_1/clk_wiz_0.xci +# IP: ip/clk_wiz_0_2/clk_wiz_0.xci set_property DONT_TOUCH TRUE [get_cells -hier -filter {REF_NAME==clk_wiz_0 || ORIG_REF_NAME==clk_wiz_0} -quiet] -quiet diff --git a/projet-vga.runs/synth_1/gen_run.xml b/projet-vga.runs/synth_1/gen_run.xml index 714b46b..7025f6a 100644 --- a/projet-vga.runs/synth_1/gen_run.xml +++ b/projet-vga.runs/synth_1/gen_run.xml @@ -1,5 +1,5 @@ - + @@ -11,52 +11,83 @@ - + - + - + + + + + + + + - + - + - + - + + + + + + + + + + + + + + + + + + + - + + + + + + + + - @@ -69,7 +100,7 @@ - + diff --git a/projet-vga.runs/synth_1/project.wdf b/projet-vga.runs/synth_1/project.wdf deleted file mode 100644 index 20c99f7..0000000 --- a/projet-vga.runs/synth_1/project.wdf +++ /dev/null @@ -1,32 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:38:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:5648444c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:69705f636f72655f636f6e7461696e65725c636c6b5f77697a5f76365f305f325c636c6b5f77697a5f30:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:32:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:32:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:32:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:32:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:32:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:32:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:32:00:00 -5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3535383763343761323538363466333061393431643931396134353838663432:506172656e742050412070726f6a656374204944:00 -eof:1227587853 diff --git a/projet-vga.runs/synth_1/runme.log b/projet-vga.runs/synth_1/runme.log index 0924cce..c5ac1d6 100644 --- a/projet-vga.runs/synth_1/runme.log +++ b/projet-vga.runs/synth_1/runme.log @@ -14,38 +14,102 @@ Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010' INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 12208 +INFO: Helper process launched with PID 8152 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 467.680 ; gain = 94.113 +Starting RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 467.723 ; gain = 93.676 --------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'VGA_top' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:48] -INFO: [Synth 8-637] synthesizing blackbox instance 'U0' of component 'clk_wiz_0' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:148] -INFO: [Synth 8-3491] module 'GeneSync' declared at 'C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneSync.vhd:7' bound to instance 'U1' of component 'GeneSync' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:156] -INFO: [Synth 8-638] synthesizing module 'GeneSync' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneSync.vhd:16] -INFO: [Synth 8-256] done synthesizing module 'GeneSync' (1#1) [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneSync.vhd:16] -INFO: [Synth 8-3491] module 'GeneRGB_V1' declared at 'C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneRGB_V1.vhd:36' bound to instance 'U2' of component 'GeneRGB_V1' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:165] -INFO: [Synth 8-638] synthesizing module 'GeneRGB_V1' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneRGB_V1.vhd:47] -INFO: [Synth 8-256] done synthesizing module 'GeneRGB_V1' (2#1) [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/GeneRGB_V1.vhd:47] -INFO: [Synth 8-3491] module 'Gene_Position' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Position.vhd:34' bound to instance 'U4' of component 'Gene_Position' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:183] -INFO: [Synth 8-638] synthesizing module 'Gene_Position' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Position.vhd:45] -INFO: [Synth 8-256] done synthesizing module 'Gene_Position' (3#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Position.vhd:45] - Parameter nbBits bound to: 18 - type: integer -INFO: [Synth 8-3491] module 'Diviseur' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Diviseur.vhd:34' bound to instance 'U5' of component 'Diviseur' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:194] -INFO: [Synth 8-638] synthesizing module 'Diviseur' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Diviseur.vhd:42] - Parameter nbBits bound to: 18 - type: integer -INFO: [Synth 8-256] done synthesizing module 'Diviseur' (4#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Diviseur.vhd:42] -INFO: [Synth 8-3491] module 'Gene_Snake' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:36' bound to instance 'U6' of component 'Gene_Snake' [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:202] -INFO: [Synth 8-638] synthesizing module 'Gene_Snake' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:49] -WARNING: [Synth 8-614] signal 'snakeHere' is read in the process but is not in the sensitivity list [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:70] -WARNING: [Synth 8-5858] RAM snake_reg from Abstract Data Type (record/struct) for this pattern/configuration is not supported. This will most likely be implemented in registers -INFO: [Synth 8-256] done synthesizing module 'Gene_Snake' (5#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:49] -INFO: [Synth 8-256] done synthesizing module 'VGA_top' (6#1) [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/VGA_top.vhd:48] -WARNING: [Synth 8-3331] design Gene_Snake has unconnected port up -WARNING: [Synth 8-3331] design Gene_Snake has unconnected port down -WARNING: [Synth 8-3331] design Gene_Snake has unconnected port left -WARNING: [Synth 8-3331] design Gene_Snake has unconnected port right -WARNING: [Synth 8-3331] design Gene_Snake has unconnected port clk_rapide -WARNING: [Synth 8-3331] design Gene_Snake has unconnected port clk_lente +INFO: [Synth 8-638] synthesizing module 'VGA_top' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:48] +INFO: [Synth 8-637] synthesizing blackbox instance 'U0' of component 'clk_wiz_0' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:217] +INFO: [Synth 8-3491] module 'GeneSync' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneSync.vhd:8' bound to instance 'SYNC' of component 'GeneSync' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:235] +INFO: [Synth 8-638] synthesizing module 'GeneSync' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneSync.vhd:17] +WARNING: [Synth 8-312] ignoring unsynthesizable construct: non-synthesizable procedure call [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneSync.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'GeneSync' (1#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneSync.vhd:17] +INFO: [Synth 8-3491] module 'GeneRGB_V1' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneRGB_V1.vhd:37' bound to instance 'RGB' of component 'GeneRGB_V1' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:244] +INFO: [Synth 8-638] synthesizing module 'GeneRGB_V1' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneRGB_V1.vhd:47] +INFO: [Synth 8-256] done synthesizing module 'GeneRGB_V1' (2#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/GeneRGB_V1.vhd:47] + Parameter nbBits bound to: 25 - type: integer +INFO: [Synth 8-3491] module 'Diviseur' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Diviseur.vhd:34' bound to instance 'UPD_CLK_DIV' of component 'Diviseur' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:254] +INFO: [Synth 8-638] synthesizing module 'Diviseur' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Diviseur.vhd:42] + Parameter nbBits bound to: 25 - type: integer +INFO: [Synth 8-256] done synthesizing module 'Diviseur' (3#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Diviseur.vhd:42] + Parameter addressSize bound to: 11 - type: integer +INFO: [Synth 8-3491] module 'Gene_Snake' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:39' bound to instance 'SNAKE' of component 'Gene_Snake' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:265] +INFO: [Synth 8-638] synthesizing module 'Gene_Snake' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:63] + Parameter addressSize bound to: 11 - type: integer +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-226] default block is never used [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +WARNING: [Synth 8-6014] Unused sequential element iterInd_reg was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:97] +WARNING: [Synth 8-6014] Unused sequential element sX_reg was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:100] +WARNING: [Synth 8-6014] Unused sequential element sY_reg was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:101] +WARNING: [Synth 8-6014] Unused sequential element sOff_reg was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:103] +INFO: [Synth 8-256] done synthesizing module 'Gene_Snake' (4#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:63] + Parameter snakeDataSize bound to: 24 - type: integer +INFO: [Synth 8-3491] module 'RAMController' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/RAMController.vhd:9' bound to instance 'RAMCTRL' of component 'RAMController' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:284] +INFO: [Synth 8-638] synthesizing module 'RAMController' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/RAMController.vhd:37] + Parameter snakeDataSize bound to: 24 - type: integer + Parameter length bound to: 1200 - type: integer + Parameter addressSize bound to: 11 - type: integer + Parameter dataSize bound to: 24 - type: integer +INFO: [Synth 8-3491] module 'snakeRam' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd:37' bound to instance 'SNAKE_RAM' of component 'snakeRam' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/RAMController.vhd:61] +INFO: [Synth 8-638] synthesizing module 'snakeRam' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd:54] + Parameter length bound to: 1200 - type: integer + Parameter addressSize bound to: 11 - type: integer + Parameter dataSize bound to: 24 - type: integer +INFO: [Synth 8-256] done synthesizing module 'snakeRam' (5#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd:54] + Parameter length bound to: 1200 - type: integer + Parameter addressSize bound to: 11 - type: integer + Parameter dataSize bound to: 11 - type: integer +INFO: [Synth 8-3491] module 'snakeRam' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd:37' bound to instance 'MAT_RAM' of component 'snakeRam' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/RAMController.vhd:79] +INFO: [Synth 8-638] synthesizing module 'snakeRam__parameterized1' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd:54] + Parameter length bound to: 1200 - type: integer + Parameter addressSize bound to: 11 - type: integer + Parameter dataSize bound to: 11 - type: integer +INFO: [Synth 8-256] done synthesizing module 'snakeRam__parameterized1' (5#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/snakeRam.vhd:54] +INFO: [Synth 8-256] done synthesizing module 'RAMController' (6#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/RAMController.vhd:37] + Parameter dataSize bound to: 24 - type: integer +INFO: [Synth 8-3491] module 'updateSnake' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:37' bound to instance 'UPD' of component 'updateSnake' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:309] +INFO: [Synth 8-638] synthesizing module 'updateSnake' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:56] + Parameter dataSize bound to: 24 - type: integer +WARNING: [Synth 8-5825] expecting unsigned expression [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:112] +WARNING: [Synth 8-6014] Unused sequential element cSnake_reg[X] was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:93] +WARNING: [Synth 8-6014] Unused sequential element cSnake_reg[Y] was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:93] +WARNING: [Synth 8-6014] Unused sequential element cSnake_reg[dirX] was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:93] +WARNING: [Synth 8-6014] Unused sequential element cSnake_reg[dirY] was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:93] +WARNING: [Synth 8-6014] Unused sequential element cSnake_reg[isDefined] was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:93] +WARNING: [Synth 8-6014] Unused sequential element isUpdating_reg was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:69] +WARNING: [Synth 8-6014] Unused sequential element updateIndex_reg was removed. [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:70] +INFO: [Synth 8-256] done synthesizing module 'updateSnake' (7#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:56] + Parameter addressSize bound to: 10 - type: integer + Parameter length bound to: 768 - type: integer + Parameter dataSize bound to: 24 - type: integer + Parameter fileName bound to: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sprites/sprites.mem - type: string +INFO: [Synth 8-3491] module 'spritesRom' declared at 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/spritesRom.vhd:36' bound to instance 'ROM' of component 'spritesRom' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:326] +INFO: [Synth 8-638] synthesizing module 'spritesRom' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/spritesRom.vhd:47] + Parameter addressSize bound to: 10 - type: integer + Parameter length bound to: 768 - type: integer + Parameter dataSize bound to: 24 - type: integer + Parameter fileName bound to: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sprites/sprites.mem - type: string +INFO: [Synth 8-256] done synthesizing module 'spritesRom' (8#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/spritesRom.vhd:47] +INFO: [Synth 8-256] done synthesizing module 'VGA_top' (9#1) [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/VGA_top.vhd:48] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[10] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[9] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[8] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[7] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[6] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[5] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[4] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[3] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[2] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[1] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[0] +WARNING: [Synth 8-3331] design updateSnake has unconnected port clk_lente WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[9] WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[8] WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[7] @@ -65,8 +129,9 @@ WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[3] WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[2] WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[1] WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[0] +WARNING: [Synth 8-3331] design VGA_top has unconnected port led[3] --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:17 ; elapsed = 00:00:17 . Memory (MB): peak = 780.012 ; gain = 406.445 +Finished RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 528.090 ; gain = 154.043 --------------------------------------------------------------------------------- Report Check Netlist: @@ -79,191 +144,163 @@ Report Check Netlist: Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 780.012 ; gain = 406.445 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 528.090 ; gain = 154.043 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 780.012 ; gain = 406.445 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 528.090 ; gain = 154.043 --------------------------------------------------------------------------------- INFO: [Device 21-403] Loading part xc7z010clg400-1 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0/clk_wiz_1_in_context.xdc] for cell 'U0' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0/clk_wiz_1_in_context.xdc] for cell 'U0' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/VGA_top_propImpl.xdc]. +Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0/clk_wiz_1_in_context.xdc] for cell 'U0' +Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0/clk_wiz_1_in_context.xdc] for cell 'U0' +Parsing XDC File [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc] +Finished Parsing XDC File [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/VGA_top_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/VGA_top_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. -Parsing XDC File [C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1/dont_touch.xdc] -Finished Parsing XDC File [C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1/dont_touch.xdc] -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1102.379 ; gain = 0.000 +Parsing XDC File [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1/dont_touch.xdc] +Finished Parsing XDC File [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1/dont_touch.xdc] +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 876.688 ; gain = 0.000 Completed Processing XDC Constraints -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1102.379 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 876.688 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1102.379 ; gain = 0.000 -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1102.379 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 876.688 ; gain = 0.000 +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 876.688 ; gain = 0.000 --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:28 ; elapsed = 00:00:33 . Memory (MB): peak = 1102.379 ; gain = 728.812 +Finished Constraint Validation : Time (s): cpu = 00:00:09 ; elapsed = 00:00:22 . Memory (MB): peak = 876.688 ; gain = 502.641 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7z010clg400-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:28 ; elapsed = 00:00:33 . Memory (MB): peak = 1102.379 ; gain = 728.812 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:09 ; elapsed = 00:00:22 . Memory (MB): peak = 876.688 ; gain = 502.641 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- -Applied set_property IO_BUFFER_TYPE = NONE for H125MHz. (constraint file c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0/clk_wiz_1_in_context.xdc, line 3). -Applied set_property CLOCK_BUFFER_TYPE = NONE for H125MHz. (constraint file c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0/clk_wiz_1_in_context.xdc, line 4). +Applied set_property IO_BUFFER_TYPE = NONE for H125MHz. (constraint file c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0/clk_wiz_1_in_context.xdc, line 3). +Applied set_property CLOCK_BUFFER_TYPE = NONE for H125MHz. (constraint file c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0/clk_wiz_1_in_context.xdc, line 4). Applied set_property DONT_TOUCH = true for U0. (constraint file auto generated constraint, line ). --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:28 ; elapsed = 00:00:33 . Memory (MB): peak = 1102.379 ; gain = 728.812 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:09 ; elapsed = 00:00:22 . Memory (MB): peak = 876.688 ; gain = 502.641 --------------------------------------------------------------------------------- -INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Position.vhd:58] -INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Position.vhd:58] -WARNING: [Synth 8-327] inferring latch for variable 'snake_reg[0][X]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:80] -WARNING: [Synth 8-327] inferring latch for variable 'snake_reg[101][X]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:80] -WARNING: [Synth 8-327] inferring latch for variable 'snake_reg[102][X]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:80] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[0,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[1,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[2,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[3,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[4,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[5,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[6,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[7,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[8,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[9,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[10,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[11,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[12,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[13,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[14,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[15,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[16,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[17,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[18,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[19,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[20,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[21,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[22,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[23,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[24,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[25,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[26,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[27,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[28,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[29,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[30,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[31,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[32,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[33,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[34,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[35,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[36,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[37,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[38,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[39,0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[0,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[1,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[2,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[3,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[4,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[5,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[6,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[7,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[8,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[9,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[10,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[11,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[12,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[13,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[14,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[15,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[16,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[17,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[18,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[19,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[20,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[21,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[22,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[23,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[24,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[25,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[26,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[27,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[28,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[29,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[30,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[31,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[32,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[33,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[34,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[35,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[36,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[37,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[38,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[39,1]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[0,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[1,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[2,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[3,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[4,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[5,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[6,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[7,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[8,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[9,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[10,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[11,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[12,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[13,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[14,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[15,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -WARNING: [Synth 8-327] inferring latch for variable 'mat_reg[16,2]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/new/Gene_Snake.vhd:93] -INFO: [Common 17-14] Message 'Synth 8-327' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:109] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/Gene_Snake.vhd:98] +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "sOff" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5545] ROM "running" won't be mapped to RAM because address size (32) is larger than maximum supported(25) +INFO: [Synth 8-5545] ROM "dataReady" won't be mapped to RAM because address size (32) is larger than maximum supported(25) +INFO: [Synth 8-5545] ROM "clkCount" won't be mapped to RAM because address size (32) is larger than maximum supported(25) +INFO: [Synth 8-5546] ROM "writeEnable" won't be mapped to RAM because it is too sparse +INFO: [Synth 8-5544] ROM "writeEnable" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5546] ROM "mem" won't be mapped to RAM because it is too sparse +INFO: [Synth 8-3971] The signal mem_reg was recognized as a true dual port RAM template. +INFO: [Synth 8-3971] The signal mem_reg was recognized as a true dual port RAM template. +WARNING: [Synth 8-327] inferring latch for variable 'currentSnake_reg[isDefined]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:108] +WARNING: [Synth 8-327] inferring latch for variable 'currentSnake_reg[dirY]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:108] +WARNING: [Synth 8-327] inferring latch for variable 'currentSnake_reg[dirX]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:108] +WARNING: [Synth 8-327] inferring latch for variable 'currentSnake_reg[Y]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:108] +WARNING: [Synth 8-327] inferring latch for variable 'currentSnake_reg[X]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:108] +WARNING: [Synth 8-327] inferring latch for variable 'matAddress_reg' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:122] --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:44 ; elapsed = 00:00:50 . Memory (MB): peak = 1272.715 ; gain = 899.148 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:23 . Memory (MB): peak = 876.688 ; gain = 502.641 --------------------------------------------------------------------------------- Report RTL Partitions: -+------+----------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+------+----------------+------------+----------+ -|1 |Gene_Snake__GB0 | 1| 55621| -|2 |Gene_Snake__GB1 | 1| 2622| -|3 |Gene_Snake__GB2 | 1| 11799| -|4 |Gene_Snake__GB3 | 1| 15734| -|5 |VGA_top__GC0 | 1| 947| -+------+----------------+------------+----------+ ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start RTL Component Statistics --------------------------------------------------------------------------------- Detailed RTL Component Info : +---Adders : - 2 Input 11 Bit Adders := 1 - 2 Input 10 Bit Adders := 11 - 2 Input 9 Bit Adders := 10 + 2 Input 32 Bit Adders := 1 + 2 Input 11 Bit Adders := 2 + 3 Input 11 Bit Adders := 9 + 2 Input 10 Bit Adders := 21 + 3 Input 10 Bit Adders := 18 + 2 Input 9 Bit Adders := 20 + 2 Input 8 Bit Adders := 1 + 2 Input 7 Bit Adders := 2 + 2 Input 6 Bit Adders := 1 + 2 Input 4 Bit Adders := 1 +---Registers : - 11 Bit Registers := 1 - 10 Bit Registers := 2 - 9 Bit Registers := 1 - 1 Bit Registers := 1 + 32 Bit Registers := 1 + 24 Bit Registers := 12 + 11 Bit Registers := 13 + 10 Bit Registers := 1 + 8 Bit Registers := 1 + 6 Bit Registers := 2 + 5 Bit Registers := 3 + 4 Bit Registers := 1 + 1 Bit Registers := 8 ++---RAMs : + 28K Bit RAMs := 1 + 12K Bit RAMs := 1 +---Muxes : - 3 Input 10 Bit Muxes := 4 - 2 Input 10 Bit Muxes := 3 - 2 Input 9 Bit Muxes := 3 - 2 Input 6 Bit Muxes := 1 - 1201 Input 2 Bit Muxes := 4 - 2 Input 2 Bit Muxes := 1 - 2 Input 1 Bit Muxes := 8 - 1201 Input 1 Bit Muxes := 3 + 2 Input 32 Bit Muxes := 1 + 2 Input 24 Bit Muxes := 2 + 769 Input 24 Bit Muxes := 1 + 2 Input 12 Bit Muxes := 9 + 2 Input 11 Bit Muxes := 12 + 2 Input 10 Bit Muxes := 45 + 2 Input 9 Bit Muxes := 4 + 2 Input 8 Bit Muxes := 5 + 2 Input 7 Bit Muxes := 1 + 2 Input 6 Bit Muxes := 2 + 2 Input 5 Bit Muxes := 4 + 2 Input 4 Bit Muxes := 1 + 4 Input 4 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 14 + 9 Input 1 Bit Muxes := 2 + 3 Input 1 Bit Muxes := 2 --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- @@ -271,16 +308,6 @@ Finished RTL Component Statistics Start RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- Hierarchical RTL Component report -Module Gene_Snake -Detailed RTL Component Info : -+---Adders : - 2 Input 10 Bit Adders := 8 - 2 Input 9 Bit Adders := 8 -+---Muxes : - 3 Input 10 Bit Muxes := 4 - 1201 Input 2 Bit Muxes := 4 - 2 Input 1 Bit Muxes := 6 - 1201 Input 1 Bit Muxes := 3 Module GeneSync Detailed RTL Component Info : +---Adders : @@ -297,21 +324,83 @@ Module GeneRGB_V1 Detailed RTL Component Info : +---Muxes : 2 Input 6 Bit Muxes := 1 -Module Gene_Position + 2 Input 5 Bit Muxes := 2 +Module Gene_Snake Detailed RTL Component Info : +---Adders : - 2 Input 10 Bit Adders := 1 - 2 Input 9 Bit Adders := 1 + 3 Input 11 Bit Adders := 9 + 2 Input 10 Bit Adders := 18 + 3 Input 10 Bit Adders := 18 + 2 Input 9 Bit Adders := 18 +---Registers : - 10 Bit Registers := 1 - 9 Bit Registers := 1 + 8 Bit Registers := 1 + 6 Bit Registers := 2 + 5 Bit Registers := 3 + 1 Bit Registers := 3 +---Muxes : - 2 Input 2 Bit Muxes := 1 + 2 Input 12 Bit Muxes := 9 + 2 Input 11 Bit Muxes := 9 + 2 Input 10 Bit Muxes := 42 + 2 Input 8 Bit Muxes := 1 + 2 Input 6 Bit Muxes := 1 + 2 Input 5 Bit Muxes := 2 2 Input 1 Bit Muxes := 2 -Module Diviseur + 9 Input 1 Bit Muxes := 2 +Module snakeRam Detailed RTL Component Info : +---Registers : - 1 Bit Registers := 1 + 24 Bit Registers := 10 ++---RAMs : + 28K Bit RAMs := 1 +Module snakeRam__parameterized1 +Detailed RTL Component Info : ++---Registers : + 11 Bit Registers := 10 ++---RAMs : + 12K Bit RAMs := 1 +Module RAMController +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 1 + 2 Input 8 Bit Adders := 1 + 2 Input 7 Bit Adders := 2 + 2 Input 6 Bit Adders := 1 ++---Registers : + 32 Bit Registers := 1 + 1 Bit Registers := 2 ++---Muxes : + 2 Input 32 Bit Muxes := 1 + 2 Input 11 Bit Muxes := 2 + 2 Input 9 Bit Muxes := 1 + 2 Input 8 Bit Muxes := 3 + 2 Input 7 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 3 +Module updateSnake +Detailed RTL Component Info : ++---Adders : + 2 Input 11 Bit Adders := 1 + 2 Input 10 Bit Adders := 1 + 2 Input 9 Bit Adders := 1 + 2 Input 4 Bit Adders := 1 ++---Registers : + 24 Bit Registers := 1 + 11 Bit Registers := 2 + 4 Bit Registers := 1 + 1 Bit Registers := 3 ++---Muxes : + 2 Input 24 Bit Muxes := 2 + 2 Input 11 Bit Muxes := 1 + 2 Input 8 Bit Muxes := 1 + 2 Input 4 Bit Muxes := 1 + 4 Input 4 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 9 + 3 Input 1 Bit Muxes := 2 +Module spritesRom +Detailed RTL Component Info : ++---Registers : + 24 Bit Registers := 1 ++---Muxes : + 769 Input 24 Bit Muxes := 1 --------------------------------------------------------------------------------- Finished RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- @@ -328,250 +417,205 @@ Finished Part Resource Summary Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- Warning: Parallel synthesis criteria is not met -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][1] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][1] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][X][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[102][X][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][X][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[102][X][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][2] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][2] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][Y][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][Y][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][5] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][5] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][6] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][6] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][7] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][7] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][Y][8] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][Y][8] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][X][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[102][X][2] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][8] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][X][8] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[102][X][8] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][7] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][X][7] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[102][X][7] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][6] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][X][6] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[102][X][6] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][5] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][X][5] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[102][X][5] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][X][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[102][X][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][X][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[102][X][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[0][X][9] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[101][X][9] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\snake_reg[102][X][9] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\snake_reg[101][isDefined] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[39,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[38,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[37,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[36,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[35,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[34,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[33,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[32,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[31,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[30,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[29,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[28,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[27,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[26,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[25,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[24,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[23,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[22,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[21,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[20,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[19,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[18,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[17,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[16,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[15,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[14,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[13,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[12,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[11,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[10,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[9,21][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (U6i_1/\mat_reg[8,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[7,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[6,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[5,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[4,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[3,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[2,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[1,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[0,21][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[39,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[38,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[37,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[36,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[35,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[34,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[33,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[32,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[31,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[30,13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (U6i_1/\mat_reg[29,13][4] ) -INFO: [Common 17-14] Message 'Synth 8-3333' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[39,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[38,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[37,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[36,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[35,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[34,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[33,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[32,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[31,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[30,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[29,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[28,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[27,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[26,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[25,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[24,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[23,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[22,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[21,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[20,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[19,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[18,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[17,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[16,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[15,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[14,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[13,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[12,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[11,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[10,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[9,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[8,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[7,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[6,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[5,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[4,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[3,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[2,22][0]' (LD) to 'U6i_2/mat_reg[0,22][0]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[1,22][0]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[0,22][0]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[39,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[38,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[37,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[36,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[35,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[34,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[33,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[32,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[31,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[30,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[29,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[28,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[27,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[26,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[25,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[24,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[23,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[22,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[21,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[20,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[19,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[18,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[17,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[16,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[15,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[14,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[13,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[12,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[11,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[10,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[9,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[8,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[7,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[6,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[5,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[4,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[3,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[2,22][1]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[1,22][1]' (LD) to 'U6i_2/mat_reg[0,22][1]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[0,22][1]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[39,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[38,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[37,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[36,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[35,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[34,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[33,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[32,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[31,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[30,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[29,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[28,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[27,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[26,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[25,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[24,22][2]' (LD) to 'U6i_2/mat_reg[0,22][2]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[23,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[22,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[21,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Synth 8-3886] merging instance 'U6i_2/mat_reg[20,22][2]' (LD) to 'U6i_2/mat_reg[0,22][4]' -INFO: [Common 17-14] Message 'Synth 8-3886' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +INFO: [Synth 8-5545] ROM "clkCount" won't be mapped to RAM because address size (32) is larger than maximum supported(25) +INFO: [Synth 8-5545] ROM "running" won't be mapped to RAM because address size (32) is larger than maximum supported(25) +INFO: [Synth 8-5545] ROM "dataReady" won't be mapped to RAM because address size (32) is larger than maximum supported(25) +INFO: [Synth 8-4471] merging register 'index_reg[10:0]' into 'index_reg[10:0]' [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/updateSnake.vhd:74] +INFO: [Synth 8-5544] ROM "writeEnable" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5546] ROM "writeEnable" won't be mapped to RAM because it is too sparse +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[10] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[9] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[8] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[7] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[6] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[5] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[4] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[3] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[2] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[1] +WARNING: [Synth 8-3331] design updateSnake has unconnected port matDataIn[0] +WARNING: [Synth 8-3331] design updateSnake has unconnected port clk_lente +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[0][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[1][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[2][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[3][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[4][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[5][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[6][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[7][dirX][0] +WARNING: [Synth 8-3331] design Gene_Snake has unconnected port currentSnakes[8][dirX][0] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[9] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[8] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[7] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[6] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[5] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[4] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[3] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[2] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[1] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port X[0] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[8] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[7] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[6] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[5] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[4] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[3] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[2] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[1] +WARNING: [Synth 8-3331] design GeneRGB_V1 has unconnected port Y[0] +WARNING: [Synth 8-3331] design VGA_top has unconnected port led[3] +INFO: [Synth 8-3971] The signal SNAKE_RAM/mem_reg was recognized as a true dual port RAM template. +INFO: [Synth 8-4652] Swapped enable and write-enable on 16 RAM instances of RAM SNAKE_RAM/mem_reg to conserve power +INFO: [Synth 8-3971] The signal MAT_RAM/mem_reg was recognized as a true dual port RAM template. +INFO: [Synth 8-4652] Swapped enable and write-enable on 8 RAM instances of RAM MAT_RAM/mem_reg to conserve power +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[X][2]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[X][3]' (LD) to 'UPD/currentSnake_reg[Y][3]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[isDefined]' (LD) to 'UPD/currentSnake_reg[dirX][0]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[dirX][0]' (LD) to 'UPD/currentSnake_reg[dirX][1]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][0]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][1]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][2]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3333] propagating constant 1 across sequential element (UPD/\currentSnake_reg[Y][3] ) +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][4]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][5]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][6]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][7]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[Y][8]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[X][0]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[X][1]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3886] merging instance 'UPD/currentSnake_reg[X][8]' (LD) to 'UPD/currentSnake_reg[X][9]' +INFO: [Synth 8-3333] propagating constant 0 across sequential element (UPD/\currentSnake_reg[X][9] ) +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[8]' (FD) to 'ROM/data_reg[9]' +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[11]' (FD) to 'ROM/data_reg[12]' +INFO: [Synth 8-3333] propagating constant 0 across sequential element (ROM/\data_reg[12] ) +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[2]' (FD) to 'ROM/data_reg[3]' +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[19]' (FD) to 'ROM/data_reg[20]' +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[3]' (FD) to 'ROM/data_reg[4]' +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[4]' (FD) to 'ROM/data_reg[5]' +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[5]' (FD) to 'ROM/data_reg[6]' +INFO: [Synth 8-3886] merging instance 'ROM/data_reg[6]' (FD) to 'ROM/data_reg[7]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[B][0]' (FDC) to 'SNAKE/snakeColor_reg[B][1]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[A][2]' (FDC) to 'SNAKE/snakeColor_reg[A][3]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[R][0]' (FDC) to 'SNAKE/snakeColor_reg[R][1]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[A][3]' (FDC) to 'SNAKE/snakeColor_reg[A][4]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[A][4]' (FDC) to 'SNAKE/snakeColor_reg[A][5]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[A][5]' (FDC) to 'SNAKE/snakeColor_reg[A][6]' +INFO: [Synth 8-3886] merging instance 'SNAKE/snakeColor_reg[A][6]' (FDC) to 'SNAKE/snakeColor_reg[A][7]' +WARNING: [Synth 8-3332] Sequential element (currentSnake_reg[Y][3]) is unused and will be removed from module updateSnake. +WARNING: [Synth 8-3332] Sequential element (currentSnake_reg[X][9]) is unused and will be removed from module updateSnake. --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:01:22 ; elapsed = 00:01:28 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:33 . Memory (MB): peak = 876.688 ; gain = 502.641 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP and Shift Register Reporting --------------------------------------------------------------------------------- +Block RAM: Preliminary Mapping Report (see note below) +-------NONE------- +Note: The table above is a preliminary report that shows the Block RAMs at the current stage of the synthesis flow. Some Block RAMs may be reimplemented as non Block RAM primitives later in the synthesis flow. Multiple instantiated Block RAMs are reported only once. +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_1_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_1_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_1_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_1_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_2_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_2_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_3_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_3_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_4_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_4_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_5_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_5_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_6_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_6_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_7_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_7_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_8_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_8_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_9_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_1/SNAKE_RAM/mem_reg_9_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-4652] Swapped enable and write-enable on 1 RAM instances of RAM MAT_RAM/mem_reg to conserve power +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_8 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/i_2/MAT_RAM/mem_reg_9 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. + Report RTL Partitions: -+------+----------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+------+----------------+------------+----------+ -|1 |Gene_Snake__GB0 | 1| 504| -|2 |Gene_Snake__GB2 | 1| 80| -|3 |Gene_Snake__GB3 | 1| 58| -|4 |VGA_top__GC0 | 1| 179| -+------+----------------+------------+----------+ ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- INFO: [Synth 8-5578] Moved timing constraint from pin 'U0/clk_out1' to pin 'U0/bbstub_clk_out1/O' INFO: [Synth 8-5819] Moved 1 constraints on hierarchical pins to their respective driving/loading pins --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:26 ; elapsed = 00:00:39 . Memory (MB): peak = 876.688 ; gain = 502.641 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- +INFO: [Synth 8-3971] The signal SNAKE_RAM/mem_reg was recognized as a true dual port RAM template. --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Timing Optimization : Time (s): cpu = 00:00:30 ; elapsed = 00:00:43 . Memory (MB): peak = 976.145 ; gain = 602.098 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- + +Block RAM: Final Mapping Report +-------NONE------- +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP and Shift Register Reporting --------------------------------------------------------------------------------- Report RTL Partitions: -+------+----------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+------+----------------+------------+----------+ -|1 |Gene_Snake__GB0 | 1| 504| -|2 |Gene_Snake__GB2 | 1| 80| -|3 |Gene_Snake__GB3 | 1| 58| -|4 |VGA_top__GC0 | 1| 179| -+------+----------------+------------+----------+ ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_1_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_1_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_1_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_1_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_2_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_2_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_3_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_3_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_4_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_4_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_5_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_5_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_6_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_6_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_7_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_7_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_8_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_8_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_9_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/SNAKE_RAM/mem_reg_9_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_8 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-6837] The timing for the instance RAMCTRL/MAT_RAM/mem_reg_9 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Technology Mapping : Time (s): cpu = 00:00:31 ; elapsed = 00:00:44 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -591,11 +635,12 @@ Finished Flattening Before IO Insertion --------------------------------------------------------------------------------- Start Final Netlist Cleanup --------------------------------------------------------------------------------- +INFO: [Synth 8-6064] Net led[1] is driving 54 big block pins (URAM, BRAM and DSP loads). Created 6 replicas of its driver. --------------------------------------------------------------------------------- Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished IO Insertion : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- Report Check Netlist: @@ -608,7 +653,7 @@ Report Check Netlist: Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -620,25 +665,25 @@ Report RTL Partitions: Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -656,46 +701,68 @@ Report Cell Usage: | |Cell |Count | +------+-----------------+------+ |1 |clk_wiz_0_bbox_0 | 1| -|2 |CARRY4 | 34| -|3 |LUT1 | 4| -|4 |LUT2 | 28| -|5 |LUT3 | 7| -|6 |LUT4 | 62| -|7 |LUT5 | 47| -|8 |LUT6 | 65| -|9 |FDRE | 21| -|10 |OBUF | 18| +|2 |CARRY4 | 266| +|3 |LUT1 | 12| +|4 |LUT2 | 275| +|5 |LUT3 | 323| +|6 |LUT4 | 365| +|7 |LUT5 | 358| +|8 |LUT6 | 447| +|9 |MUXF7 | 19| +|10 |MUXF8 | 1| +|11 |RAMB18E1_1 | 9| +|12 |RAMB36E1 | 9| +|13 |RAMB36E1_1 | 9| +|14 |FDCE | 62| +|15 |FDPE | 10| +|16 |FDRE | 114| +|17 |FDSE | 1| +|18 |LD | 17| +|19 |LDC | 6| +|20 |IBUF | 1| +|21 |OBUF | 21| +|22 |OBUFT | 1| +------+-----------------+------+ Report Instance Areas: -+------+---------+---------+------+ -| |Instance |Module |Cells | -+------+---------+---------+------+ -|1 |top | | 287| -|2 | U1 |GeneSync | 267| -+------+---------+---------+------+ ++------+--------------+-------------------------+------+ +| |Instance |Module |Cells | ++------+--------------+-------------------------+------+ +|1 |top | | 2327| +|2 | ROM |spritesRom | 13| +|3 | RAMCTRL |RAMController | 1346| +|4 | MAT_RAM |snakeRam__parameterized1 | 9| +|5 | SNAKE_RAM |snakeRam | 1282| +|6 | SNAKE |Gene_Snake | 375| +|7 | SYNC |GeneSync | 344| +|8 | UPD |updateSnake | 155| +|9 | UPD_CLK_DIV |Diviseur | 70| ++------+--------------+-------------------------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 --------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 1207 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:01:03 ; elapsed = 00:01:23 . Memory (MB): peak = 1310.512 ; gain = 614.578 -Synthesis Optimization Complete : Time (s): cpu = 00:01:29 ; elapsed = 00:01:35 . Memory (MB): peak = 1310.512 ; gain = 936.945 +Synthesis finished with 0 errors, 0 critical warnings and 49 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:25 ; elapsed = 00:00:28 . Memory (MB): peak = 976.145 ; gain = 253.500 +Synthesis Optimization Complete : Time (s): cpu = 00:00:32 ; elapsed = 00:00:45 . Memory (MB): peak = 976.145 ; gain = 602.098 INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 34 Unisim elements for replacement +INFO: [Netlist 29-17] Analyzing 336 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1310.512 ; gain = 0.000 +INFO: [Opt 31-140] Inserted 1 IBUFs to IO ports without IO buffers. +INFO: [Opt 31-138] Pushed 1 inverter(s) to 17 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 976.145 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. + A total of 23 instances were transformed. + LD => LDCE (inverted pins: G): 17 instances + LDC => LDCE: 6 instances INFO: [Common 17-83] Releasing license: Synthesis -237 Infos, 127 Warnings, 0 Critical Warnings and 0 Errors encountered. +209 Infos, 94 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:01:30 ; elapsed = 00:01:37 . Memory (MB): peak = 1310.512 ; gain = 948.426 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1310.512 ; gain = 0.000 +synth_design: Time (s): cpu = 00:00:35 ; elapsed = 00:00:48 . Memory (MB): peak = 976.145 ; gain = 613.590 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 976.145 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1/VGA_top.dcp' has been generated. +INFO: [Common 17-1381] The checkpoint 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1/VGA_top.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_synth.rpt -pb VGA_top_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Tue Dec 7 12:42:42 2021... +INFO: [Common 17-206] Exiting Vivado at Tue Jan 4 12:18:30 2022... diff --git a/projet-vga.runs/synth_1/runme.sh b/projet-vga.runs/synth_1/runme.sh index b4e39eb..0262a94 100644 --- a/projet-vga.runs/synth_1/runme.sh +++ b/projet-vga.runs/synth_1/runme.sh @@ -24,7 +24,7 @@ else fi export LD_LIBRARY_PATH -HD_PWD='C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1' +HD_PWD='C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1' cd "$HD_PWD" HD_LOG=runme.log diff --git a/projet-vga.runs/synth_1/vivado.jou b/projet-vga.runs/synth_1/vivado.jou index f5441e4..0389c60 100644 --- a/projet-vga.runs/synth_1/vivado.jou +++ b/projet-vga.runs/synth_1/vivado.jou @@ -2,11 +2,11 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Tue Dec 7 12:41:01 2021 -# Process ID: 5952 -# Current directory: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1 +# Start of session at: Tue Jan 4 12:17:37 2022 +# Process ID: 5272 +# Current directory: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1 # Command line: vivado.exe -log VGA_top.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source VGA_top.tcl -# Log file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1/VGA_top.vds -# Journal file: C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/synth_1\vivado.jou +# Log file: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1/VGA_top.vds +# Journal file: C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/synth_1\vivado.jou #----------------------------------------------------------- source VGA_top.tcl -notrace diff --git a/projet-vga.runs/synth_1/vivado.pb b/projet-vga.runs/synth_1/vivado.pb index fefd241ede98e834f4eaa90dab57577007b12311..ebfcd77c1d12b95940cab98f3fc9b8e6abc4637b 100644 GIT binary patch literal 118760 zcmeHweUKc1Q)>(zy$$+a6|xq^A`jW zz!3xyyv+LU&g!b_uA1tRszaga&zCP>zW2+_m-*g9Iy%3ibUK3ETEuf2x^VX5Ou2aV z#mCZPp{3}}Hot-vv}0L6Y_vp8I*{?au5|Ie>q`ytcfKpAIzDPvpBA`6vwcu16y`bp zg_gK4^xFEO_2j|1(mcl%4?omBdvx}L+=njAphfi3mJUCbAKkIQUbby4@4acxGgnBSrhTTqT+o~(0i(gFU@I}F!XN$-fM}E;T!ZV z+EB4jyE@y1{wp&7DfHqN=_qtl8}0)CGJ3)6=kSLQX1VHou~c=l_{%v|xUT5YSUPv{ zOpzQ1@Rb=U_J#j&Al@_|H z@)c1x|9C&vl%CoYwT31OkHiJD+5F?f)`c9S@sFdKQtiUb@F%t07jh5I)#jlW?$P z65uD%PhA&f5x}6y5aUy{pPWuv?GmM8wEZ!Zt1;gGI6Awvb8Ch1$>^@6KIFx+?~u>d z08r}$^zAYKAK_79biey2HH3b+5TxoJT=?M(`YcSmib{a6C)*Gq>;WP+6~G3y*VM`E zePNbNAGRKs5SA5r-unZ9x2D)4^I}tIw;3vvx^PPVN&%n={R5qtJ(@LUioZL9=2`%S z#u|b#UEs@e+Us-L6#|lcxf0IC!Oj?~7~bdY)Fn1rouJolEBc=aBIl&9|UzLb^I)qqTuKM3Xh*bJ%9J)2GS2hO99IT z4~G6^Oqd9g10Vv=P#hnin0l!VK*g8nb^%%2g;i8|@s6Mh9Z?rmEblE#x@Ir37BOGO z^H@Lbii@z|(!~S#4ttr8j*tP~|2sh_f)&83^jOlwp2`@YL>F;ZyCYzz#Ng=_^x^G0 ztBsYLHyW$!_ZVLo-KEsQs2mB5qAlhukus!`=+a{Lh)06D=6a_gw<0y&}8QbkSMlAek#q~o}0LOOGfYHSg&1Y?vE zUvwEQk3hRRgR4)Vb6dBTZmcmr3hGemNLTUSp)j_s(U)DmLz(yj!Wl}xWmlyb0qsu2 zw*F%dy=*$1@m^bLKEjfQW$`hrKYHM!q#Hlp-uR_0Lhy8Ph59HN^1NrimURyVVr|>A z(Hq}iO?Ku1_MItbW_uZOCU91i*Y6E_drq>U0165sq5PIWbRnUb2PY7R%>IwPg+2juHUqydxb7^Bm zk>Nr|yDhRDzu!QQk~@L&H16XM0c~NqL*N<<`Ssn+n~bR{M88t^iPR!_fB#?jqo@#_ z|7Pw)N7c_YQuLqBhaJHuWNu&fTJ<%=yR5n`$_M(P+p)K?%M;T-98-cJcA_A*O8EJFN*2na_pMQ8^;mXdf0W>K2&V$QE^sMs z`COLyI+Bv}54oC-xF3Tn%5XQ3p;>_)O4~719C6u!F&q9-c*js+*S~RsW9jD;Dp!0R z`4Kl`vDnss$)Qh)M_mYcGlNMWumld+;4N~^4Z{BDF&bautP2;Z%a9xmasD?fL50dS zzU)3H`<2N~LjdKQ6dlNU$nO1z45Le3DCF!g(ve84GTC`HWb(bq^yD+>f=f?YlKPCO zO59?3MzrMzlWEHp^f8yVNZP8nF9GsC8$+8S4C7)C1X@K0y$bJ3b zb&WqenNVIwA2pVXaDzoWnqsL`Bi-Bhtugmrfr~xZd9h;q;BA&~WE#|I^wMx(+cnN9 zTjZm>hu*`&HN=fNEUZ>)QO^oTI(cGQ;Qmg|+lRlNL%*DJ^JS#DZ z&+I?-<}on&|9H$r)5zRNARE?2G#`>lm_JW^EvdYnXL@&i66o}@tzEcQe<#@ zfwQZtK*}9mmCE$6Ql1`GO4Gwiae7$cr-v18dRPgIw(li^wr1u$xDKZ?0_<6+|Mf8@ zprBkckmc?EZdj~OjDfHSpBMvSaXm2x!lHR%41~q-#25&R+=(#|7OxXyAS_BJ#z0tX zPK<%Dh@21uJG&_Z(avtlK(w=)G7#IR0AN_Sm8E-tb{_n4;s-kMR|Bj%_a6SXiASJrqgTJey z)>I|PFb~<#jYmIn!zTBvPM95XKC+%!e<$lPM_KN7AP>LPXsOa;QEtd0WNb8LQ852v zT4G@$DnUJlCWRhmm5zYVnp+T^5f zrKjmi2Sc3#Rod&pv0wnf^t+Tk2R|7=$sgv>dpR2>{YC3vuHYmPGkv+G&%tjFjNGs0 z&^MitBNN};2i)=^=AQR)yN5n{QxRGOE@&wgIG2D#f$Tedu24d6+nSXpBe{SP>}WkrNBrmh`~=pC|a>kYq~r+B&M&525>rG!sL1vg@io53x%Al z`WO_W@Dm9TVo$`hm|Cg;LM;@tG#R%5H9&NzNY-l}Mzf`VH>NF3VZS_9_5B&NKBo;< z@PgYAZubGaWIGP%_soJ_q$u2ZsGU-ADy8MoMbig>HMmScW^q!Hstt7k@_1N_ct{sw z)(&G8o`Sm!)~ESGfpuFK+%UCjC10tr?)X3==>q(YL77%%1HNIJw0#?Iqt8OQJIZPc zk66!81m#O*+i&)t}4hHKrGx-Ar6$S9uyf= zj~9`-!sR$yw0s@S-BPgmJ2S&0>V3M6@CY@f-faGa+~R5mJ5i*P{e|3Z?Q z3T38N>}eX`gXKMm_N^>xM~fhL99ZMc16fYn82z%5Ub9Ts)%-(lN4M@KOWdS ze=mpLwO4p-;rfLIFaUgvkuKF56(pmagqLPrq+p^!ANMpSF6{gRcpappe74b4C zJ-rJ)3n&LnUztsSEB9=PcpS|7$gn+vB1X(yxQT{AOrG(crgq@S?#=dT|L19wF5KCq z2dv$;^o)o&Q(&{acc#E_8Gt{181Wyb4I`!)fk_@2{}S~K0RB(YCfsQU;K&lr@HfL> zS2olPf1l+QaAb7I@VBSJ6_oH05m~|+{)QKBKus^k`XSrEMbzKuBF@&o;T1SCI%N18 zQGX*#IK$uY>Kh##GW?CGztKgU;cs{aj*Jc&{zlZ_$P&)*H@x~r2Zs!QBkFH-5oh=t zUV$T{Lx#T*^*6GFGyDy&zR|%U!{3Pd8(qX1{)SiJ$mo#aZ$$l#Ea41)!>ey}aLDjC zqW(q~afZL)6*w|FWcV9VeUTr}= zux7=Ma3pnl9jx`bB(tX2A`_=MELCfAgcx^7aze6FGUmsZ&`gnMzt8*kh*Zk8!>Rt@ z#Crsp^huewO~~AH%;tU4KK+{$?-S(KfOKCgkeEnMrb}N#wXk|8=_II7R}`#4q_!rS z8dbA23X0r3ArQJ#!H|LSB{gh4D4i!Ct(9o~?&_~Z$->7c~lFgNBr z>yhKT=<_5NRD<+WLK_MY;U`d-NEMsPfeb6>7KT>>Jfvy39z&W!qLDO# zAJiZ}Q{-(FTtF{3d#Vbdu})d;=`Ns4od*7KRZ#dHx(7f!do=q&?n4)5&@YW4maFKc zA+bOe>gg=EoTfzXGs@WTiRQvH3incN^(~PYSt7qUhK^i9e{x7io)HC!OB~OLc6@sb z?RX7+1d?+t8SWeQEHWK-!t)nqhUeH??hCmG!&sVrB8{;!A@jbOGdPmKe=z4i@0auc z)Mj*A^CozKM3q+60g^kKEV(E96;zEqGQVl6O{{xInTxsHu=`o?zCJKB(p&d3WyMulX0RAiD<1>Vyk zMXS`*f{Iq8-=iDe9u?6mtD-JIVq2q3h|?*OQ4CNcAaT;7mmGjTQFuUo5vpDU{(3m= z(u+`6f`@EoB=-z?6nVT1+fL(Qk$np?yOT!%Z^6_#j!B&#pL)^7yASpH_|)B!0pMmy zhNq$#OEMnb+0?mOEON(nHt&UasMna(W6~L1Wm2C&XWXNTD@^Kf@m?CA`deO0pF@9~ zSo)@TK$26BZ|u|<%>Nu?cafdQ*xl55yYpV^bmYb|7&mpt*uB(?8U%O#RmA(s(LLeKLC35@()a%}UL)moF?~UFOtb*fSZ(x(`L>+gdy8}T)tTy$r zO`%$;yVe^%)MJ`EIQL4-;XSU^jBN@Y$v~s<7z^Osa`&v29NtUiSg3neGcM|c_~g7h z;7rKbIzw5Hfx3G&<4})DXFRJJhx*w1?%f+W(C?8vpE~)9W)xU#vS`u`Z!` z#}D&1Bu5q0<{`Mn+NabhGpse9PI)y4%C5nkjv#;=-mYyZtsb0#lFXx9$8s}>z$tSS zIr}dF)c74m_WsL5eFDhyz%|<)5^Aa$9_QG%<&J1Q+mul3v3+o4&a)oQ+AKQtgf{~Y zYlK;Q={3RL(s$G&QvbXc58))%W!;`o2ZBS1XxT=-G0~`rs&iYM!r=1E=kz3GsTstPK>n=^;nzjwkE@9PtS49 z3AWoh5$d)&d#F!fEFQ_&>KqsKxc2|UC}%EQG+B5gZVH_fo-2p>iGBI(xMe-q0*gy{ z1Q@~edigiJE6_4}bzmSH4Y(sNbf9XdN-iLu^m_CJ2G&^zP1(RsbilIg9ay*sYz{0O z0zt7rT~%oGWq{(IGVg(N@(ecVENSDKO577w{M6kpe202mQ;B;Tbf|}t%n8__GjLuT zB-k1Rt|1nk_B`sL9!j(cFAJTHlb*)C_;H}kLp@Z-*<$r9Pu$z2*m-w$IUe38*eQ5; zcUxQhyxR&Fo%b(zf%`K0X%o0z0RurMamrxZqoxtTDOgQC?!VZndrpnxr|v$zj7a?i zVB2p^Otd~oN7(~Me`)^bs6}+x4yNuL-Fm2pnh`@#w-LZYJrsH+JmolpM&xWyIWbKN z&Y*dyPaswgY`d3W4)1YMcUxO5)KkAJFo6fi?QfmrG~d^=u60S2v9_r^N8SPoZ2_CE z*zG^Q`(^20A=vdUk5vRP5cXl+*I1QEy@S_;bN(91uc^s zvx}JHy&sXxR?BdbRVvVD#R}%(%frEk5WPJ`#lwd;1-9jQ1cFb?$fj>8!|`~ArL3q5 zXb12NW?{+F|9F%9jg!zQ`Sd@(K7(HAi0T38GUQe~GC(i5WqmtYbk4OakE>~DAu<}KO`cmpiB_P{lwd5Ns4>=M` zC2vNUXD+u-%DIw$K8Idy0cak`B*D)ir1a^@O+}W)CZzZ>Qu@qkkl*HPu~_6R1%!-8 zE(hT7T7!oW8c5Wz3W>AUWzbNDe$s3|K+>I5<~xb-0%1%JFZJnRr8YgRRHuiP%Ji^O zo*q_8)5A(}dRXD7hZSymSP6@^?OR@}$VB2s{qK##$EOh@K?lZN zSjGed$ynTZq_o|_!(GTVcK;zf8U8wJGCCQyxankzpH8-l)5%t8I@u~uCtH>2WUD%z zY}KN&_4P5<^Cp@aK_0{K$V1Ni`@3P6&WSM)cEOw&17VlRi7^m%ahw^=3-Ce4yoF8RTy#$&vmI%=D|r}7oGsublkb{;ecji>27oZ{>BLi z5K0r&81f6t@}Ts7Cx>3M&bX`*HO{zb^)2@?SE*=M+RCGg+jmwM+Ni&zT#umRyQituJe3e)?*I$EBX?lup+5u+bl{(lH3E>yl{o?=JH+x8ud(WHFmq=9b4t5Bc_tVOYJAEwSCpaU$)ovIF;G$#EhhbmoF=?VvM zg$FJVkU0_NN}ZhJ3QctMlZT2&e#>5weUVwdcxYeoT?76Hh-t81FY@yspwDy-4}Ecz3qUthAvfa6bg%vq8kh{lxT z;Fz;^gDf$|H0CT7^W}AL%z4&MgT-t-w!{*RFRz1gWvvyHW0lofXjWOP!sJ+GwHBIH z*7`3wR#~luW|g(lW3l?XV}U7bqp1^gfhHPSQQC@1@qgK8I}WusudS{y=B^QhSooZL z50-pfY>|5agjg1wd=EC`8UrAd+8D4z$B#1xENDu83}EnC4Ug3W7Gj-94_FP4)dLog zok$N@4Ug4>uxR@Cb7*ag?Rp{+Sv|R@k?O$^i1tKkxAC3`fiE59F}%00Li}~dNGd(2 z<;}PQ-f~p2D#-dc!TF#V1uFVtk(~0_Rc%j{ak?*IOa|jHo__S)A@8zHlO}r0e1ckz8=}mH;`X+{W zV`_?9htj@|tpp+Pgk*#VzcM>C^!(BJ4`?B1L-TB=_t9p&`~tNA4n(imHc%Zcx0U82 z(mjX{RmC=hxymH;bpuYFiKpD`9KNiHB8d+b0fO4f6Q8JQyQ@a-2)nD0AlvNft=qTO z%%=go{`QQQ*&ofI_h)Va2aA|gTGwesabXXzkN~BCyDsj{9R2#CpkwV&c>)LF@DsbM z@O7QOW4h}x90nYS7>>=!*PPhceduW(Zz&zmZ#%-Vfx{A%Zl@;&1ffavtn4%a?0_hB z=`qwrBK6%>IG$%x-{`e<3Fw%|_iz9~g2~*hss!_ihQa%a+&+f?nquB3NY@?#z-Nz% z{G}ZFP68%k!`3roCBHv|{(X+>7_o)}TEm_whJ73lr$(0t245gYNnk}+a7Q{g)QN&< zy}fyb1dhXz5>*dOUMVuXRC+q8uxZDzHkDqxMSeq0{$ZGDI4fy#k6I_>@yvsDDvzZW z;YVn-Xti7hA)=dyV!KPU4LBq}fgh0Ib@?Dq?|zgjb^9<2vW5c7D|a_{#z=AEBRnlbAp>mWAM&2cR~lV*Il$!EA3<1EBC zGTn?%H;b8W#;BXcOgCecW+~InSf!caW_-9=&U7mxc6A#_!i$W1q*0CndhteN(tX_bum>;2Zd+>7$|$(MZl@sXM<$&h*RhdK|L*vv zS8W#2XN^nBOWkh!7&IB*UtPg>jeCnwE?U!70WK420i%(Azy4|t@f$R*!MLnwr0Af` z8<7rh8`(&M1R0R5=f;J`0$;O&9v~iIyRbl#YQqgn);=1lvcebk_B6e>*RZ~~aC_LA z$xX&`xmt7IWPCq|whSKOt|v_)Vh9&5FItxm90j8KMZT;0u3`os1Q25uSuvl>Si+ z6;>2O9p~`|1i!%T^vfH|R~B(s6dvKXaIIR&S86r*$pPp(yiqRj`AUgn>%4tII(^}# z&%re>nvmE^9cCU2Ku%TJtEtM|m;TWH?E%aDAcy+)WqoskaF4IAF%}C9V=VcF6xG2P zxl-9N#tIl?pCmG>;>6WhZNb1zx4I&mKQ=Q=rwmp5ojFDhXcVxY+bPXG+cMq zT*?N<4%sBk;1_!^r#<+^W<34z%=RS^SZFKG=g}LnZ5|Tbs7<5Vm@5gfc_>4+hXXsL zFu?lL@5=LdK9Nl5S0+hvVirdbT_#CGlB_*_=D`f|V6v<`lVs?tIXlhH zy;+dm(g=UyX{1iZ5#p8UB+|EB+DL@;IkV7r|GN z>0%7JIC*?iri-v2%ycmZU7S3wDgFCfQ2EP~`TSRM=xff+=b`&({uiHFwG>JvpD2V= zd+|BD@R?osbj1qVPXq304&Tx?E_|-v#t;Q4LinO02are~D$?iRPkXP=*U_9I#%oYC zLKkHc(YGw_D^LR>6RfS8^htnn-SrCgXVGPQ4#;HdmE`cN0NK9nl`WK$HIa|=rckyhgSm&%b&x>fbEw-2y^GMOg zGHa&~v7wAj7cIL{sFbEFHuQ#9ON!_f#{y5KqNJ~?P$|r_wEcw~>e)hdPZ0i#TAmfn zSANzOwX0s;dlP-!%C4|-C_=p}6Qcr?EA+f{9-?(KeS#~Cf(4(}Th`xsI}L;Lj`iL7 zpwtV)4fip9^w)Kd2r!FsLlyy3O<5GozZe(#cLa@8O6=2m zS`@`a85>`Y+_1?#s}phdIDI_1?N#q8dfk}FH$_>XrLV4wGUPQNmB;MV9s$x!zf<2mYEjNhyNcMS0u=EFRc;96i!_cID2daure{QJsMf#fqw(zSt z^i8)dumzi$0@TTj%UFm5$lu=*ObyeEy>0)LK zFy#hJj?CiQwim)*w3#`iZ4O`bngfqM?K?AogCw(sU|WEa03L_jpUz`h32DWWkd%j1foL zPu8)I>_$+uSh4*9))VW4=sXS%aAR*zIf8LEnD-ms5j^&JLq}GYHaB-lu5J^Ge5De- z*ZrbF@#gkjgIkLz<&opZplI;b2Z{!_Zi;-hJO;(G&7;Asn_`hKjzO_vQ*=uavtA6YEv}0_3&7(jlpBhrf6{MrdTRf$Dmj@C~j=uzhxhUG}v`h z^hK~y8qQHQ?85>0GFMyU8kSa49`RT_QZ=L$i2o&!yC~}2y#+s@jP~04*$Z@4{C{is1id(}J@p#%pwXlD4)gwi7 zthsS)hNDIU6j!_?4SgI3ae2dL)|a=hc}N-*$C?!ko4L)T$d5(Quo*(}uA9lRd9)@` zYf`oUS|0?=uKtHM)}bL@g8MPS3sBL!irqkUivyb_`ow(K`=`?}I~@o)Ci^^WxvKH-bJpBawmk+tx_ zc=Ps#MGg10E^MYmZ4=yU-UnrY^II8=YRFs4VkMa(vY26 z&S{9e?Rk(M@io6GXH-MxqRMQ>B-$s(`;9HqEl)G%TFZAmII1CYtpyG3+_GVrHUyjM z=0G!MLnXfnYE(n!5)9+*JI;*K?{!;nU4hXhqIF!^Z}m8;A#-I$8)~fFywO-)zXvyK zppt_o_B;wldq>r~>NkmxXec3MNZ&az`t-!l=kmOJ$u#d|xQnhkUa5Uamb62-mGeZ> z4{-sD^a9wM@C9hvP6YDM*9ChGQ9b2#S0W;Q*4UeXMYV*Aude>>#Q!!u@HuDlV zZx%_t2oYb_pe9GN+k*-mg~B5I<4G9+u`P7T#Vq^HTG+dWe7Tq}7YYIV{+$7Szn??j z@Zonw>2%wG+P(}I6TBR08~!rFcU3{w;DSGKHEO1-5hfCHU5(tFCO3u86w8IHFLYJq zD^NP-!8vZeT;<%?dk@h35~S8YeoAP-t>bM_2&n_o7CR!;`@s-0KyM-oP5K5QIm1=2 zzF;=N{|q`)EV8Z=pX2NET-DvwR}gPfr+fqD4zLb2ZKSN^_p8QJ zV!ld5>BpG$hH7AqGZN!6-0PEP{8)RdG@o2;Rxl;i%U}wLq?bbBxf?2eYK* zqDN-mpF!($+Mp3an{M-im+bmE^m}IMoH-b|^B2$XmD<%=7~YN|^H3B94lU@7ehnl> zhQ%8AJRTlW{*&mZ4D&BA2As#}y!C0mP+%pVc^SQ6#?mo}L$2on{Ek8S!7Nvu2fktO zZ5PHLJqI@GY^xel1fRh{3{Z?9NiU&IyHN5lOkh7Y{C z6?z-Jvt3}W+s#3M0J#3#sFWNB3BwU@Z72SmYMGjpDRalqz741!; zu-0v2`eMbJSFCqw2n2k~yn8IlP2$@H;ebRgoV`el|Ha4BW1*$!%{GA>zQ77D1ud`p z1UfJVnOGy^?FVN|^Ccpsvqxt?$bIO-4Ei#<2)tQ(+QM3|34^EY_uB1agT1<&J{H< zK5d)|joiKWR`-@@iMd^WD7>-{|hK*PG{#&Kbk-b2;?WYe&X0 zF${%3;>PlML-c&1Vv8Q4yY5l=8}2X<;V5@|BL1QvV_bqA{UTRegfd%vfoD`#H&m-o VabxvI5L$y}{U$87)YgUE{|8H$flUAa literal 108837 zcmeHQ`)?!1eGhdfIUZk=D-t{Q#j(eBl)KZJ)b8@l#7XqJM||?Bx`*#hITNepNLnAc z%j_<7(p7O9Cv}@Nah)bj(pQQ;5}-lQBt?UwXo2+C07ZWY`a=t}D2o0U{h{c0_QhT9 zP<$=9B)x)tqL$?BXFl_N&3C@DgA8f-<@~(oDhFZtBE-jVm?_^!n416HvA96e=Rd+KSm*j;sq6Y%~c3R-Q-~L_#eR|0-NUd%* zV5oSP7+AzrSt5!~@Q$kC9U>YHjo`EM`dJM9TZC&BvJamyFPS$=bA^RD3Hq-p!bi}f z*J($gn|iPd!iUi#c0Yq}-ksy~$#f>~h4^*?ahFu1H%PN*PNaD*L`X$|GasHPH zG&8TCKD97wGA{g10zGgCVe`gSyjB&rRZTQxRly~EL#`2gPCsA5QoVs+!W>tk|MB?a zm{i5OPAbid0#4y|Qd6}O#tD99lIJ#}!( z0{YJh^rQ(9#^NEBkeXU)RIPD44IJgtg@svF)DLw!EVeKPn-pF}ODmx|-MIvxT zu2{IZxT-!-s;XGg7vYQek_ep?I6jYW@kxObc%J8q^c^bSsr|jm=>((UC(%r%aC#>A zP9gE>#NF9K5)gWs|MCpV`Z}~-6{Y*z>H(G&-4GR9Cb7DMK^p6-LKMT4QmeOlr?*d_ znVVeju2goV>izNq`C*y$_Rx5E3W2V6AcAUzD}vuYfi8On6BJUaiW*3gX!f^6b#+^9 zC>0Dk-I<${>2y(W$axyQ_YzSED6zz#=oVV;PZpdusW33weH3%@dh<}09EmcwD#7JP1Ae_>uf zPqj|S=DHIs5CGOdx49f48_*-~0RL!+s`v~-RBbjdEthYx$hnPH`&UM*)i&w~dY8_% z(MN%Cy%W-*YGf|ki$?ykdyVYWtGnUw-%cwt`L_KEYUS7a)XGkM1O;RbcpJTpl#v$A z{O=)Z=5y#*sAiToR9&WV9z!MGN+6A;ol>I)VTr!jAX1W4iDYQ1B7d$y7L7_~v977F z6T|+M+}{;FFH^cE>5IDB&?KUl`9+01SoDE7Mwc@TfYi6Lu6NP5p{jEs-B#=w4i;j< zZiCz|I`-R<3|d{b!?=!U4l z+{PdpR<@UALwDoq66OmyiH*ZLDM5^FkX`r;dq##1kToOwll&G|8;M5I`!%|M?Y3=El;s62SLm8!x^+j)9GT>%1_HW)`(aEcZ?wejUk$b zP#Iiy!@%&C0(oTn9Ub!95V-Hl#v!DZb>s9Lq(k|Pld6D3piag5GSOI~Jw|lpxnt?# zGmxv8JD9V=kAF>|-%D&Rt-}%p-qF+=UfR|TO_U70DjFib0ig^&rx8ijDvR(&Lo&{r zYXHU`uvZshr9e{_B~{sxcN=zo+on}-)a$BdkV*>QpdWr9SF4b2)@kDYKB*q!ZGz=m zy-MiZ2lA9K3>w)5;vuJufc%pgA@8PV9rnxck)UC@3#yPUWNBj9?bzS<=^;Al1vmp% zVA94%jFDJmj@6-oVDzE8uns=;4CnD$7Tz2N4tt5KO3iS012TX}woP1vNZ#Hm z-isJOA!-2mr~%}n29S*!KqhJc>8Jq+Q3K$k2EauN;LcXWhUm^##D?h3R>X$r&Q`>R z=+0KehUm^##D?h3R>X$r&Q`>R=+0KRhUoh(6=+{epo5FDBI|oFN$Iu%4QjQ56=-mg zU4fP_a4C)#niXgnE|N2L4s6=KlzOR3YsNyFdH zJ#^(l()_W1S%3I1HQ#bxIln!hzQFE)yJ8c(@ewwEj;=u6r1jGI_h?PiR87Z6uZo%i z^>*+I>H>`h{9Yxxq{&cb2_=En&r#1ew$ZaXVRxwcmh;N_Jy?%<6Y^0Fic#fqMU(f5 zQdS78h1pzi_HT^2qYY7~C5=bx^uyTtFt$ECu04LkFI+ol(?eG{FFSO7-H#xPo-+$d z@ntButW>W=d>M<)R+%V*-EmpqkYd5a;=<#`LG}7aj??f#!Sm zDkNQb+*MS%JA&?Ka`ul{tHS0wC48bmV^&y{bk`_NSSbuJVR;nZ^EL}REzCt@>nn7j zxrxHGe?mI#8Xw_KNTLu_VeFNt)W0gtGO?ZUxYmc25c8vKHPIj`9F!Qk&BorY#@Y{s(JANM z6vwfu#Nqp}5ll#>^F>DMuq+2j1^A}3!Lhm1k?sTp;NYIzRKjKSG1y{hVy)m~!UxmL z37M>`fu`K&eA^~tl3{jkZntb98CG9!LLN!3;TxK$=wL8wmVv(BfZeUyVF}q$CC|J3 z3Pm*kii+*;K}ow=-)>oNi0Q0WpfZFpWu-#{TLod5-B>donl?l8hr=`s8RQAU@hym} zE7rJgHWUc13Cyj6TH1?w04osCa{B$y%tQ3E)*rRZTECesRA!BG|p>Lqo*u-1h@Q|w@;!4EA!^eq#@>q9q|x>f=8zA@`~cx zL^iu_<~aJkea7E60X^gNst&y>wLWlQ?ECW%EeiJM3H0@5TFB|!F(cMCLlo;ZkN?H20RIgVLse+x=iD6D;h-Ms~4J3zxUIZ-K80lQ}TL1GFE%`wLeWR%* zVs|{h>ow(nX3*y^uU^GVtGBLPzqSb*y&$~+nN9+A&yYpXh_w$Be_~$$B&{vi_vrH% zAPJ#D)j5F$GQ+&&WGkTPPs1+vB7S*oZ3DwvAWa^q4WkYP>hRDC^Dp}rkVl20Xh)w$t*%yXw5z3o!Fz483D8T6d2>=4b&;a5dN z+B4H(`;gQZx1EQ=z%Gci;MU>8a)G%Eg4W?fZNk=VMxB%}Mn&(1WA{Qp$3XDhEdy`& zLC8G%)g~c4$H$ZiEtCjTMhQIYq-V8(v=}5!ETwsoRnjv~I#X=F*&eoAwdl-%Z16D~ z9@!9LHau*kV>Uc&WMVcvY-D3LJZ$7*Hau+PV>Uc&6k;|!Y!s)9jj#3*i^9WwoLH3S zVmiEZOj$HKsB}zOI65dDQx=a7O2?E1q=V8iWfAG1bWB-DIw&1e7LyK2$CL%7gVGU4 zrGh666XK}UM@Jl$`sj$GQXd_0RO+K6j!J!W#8Ih_jyNjy(Gf?bK04y4)JI1gm3rw& z$5E+|jyNjy(Gf?bK04y4)JI1gmHOz2qf#Foaa8J~BaTXabi`4qkB%veN(Zc;QbY-D0KJZxlRHau+PVm3T%?QB>P;9!HR)6(1qYN4A&yFY(h*0cK04y4)JI1gmHOz2qf#Foaa8J~BaTXabi`4q zkB&Gh_0j>=q;XW*d=No8j!J#f5l5vyI^w9*M@Jl$`sj$GQXd_0RO+K6j!J!W#8Ih_ zjwy>u2d*Y%9k~4NttO4x@K%$?Y&6+OzZkR8WFr%^;i(GC#%y@l$i-}U*vQ9hc-Sb! zYy#_NvF!i4oU|f)8VfsjisZRKj)_`9vxI!rYs;Gl#VHjNC%~3%0klr zNT4q!)`_l*y9A$|*U!>Z#fYfsxCTd@!wF)dg5eNodS=zS-F-fSMAw|V0# zzE%f*<%iY@xf{SR!9v>;^D-IV(cRXG*;%gLS*}7}Nagu#^DNgwv6$jB1@^g$?&;Xk z)3)Y6*%8jBN1LP$r;KAt89kL#He@&jw}jbUaSw5P&EL>PBwVmgr?h`HkE--t@ceP1 z=Q|u>^XJG16)qh?7pk&kjr7qKM+5Lt&vzI>A9$+|mxw~j*A?+TDVHxTbJ*^i`6h4u zkKAeqQVj@&vHmg?h*TC1xHJy8>`8M1E3lHSRN zMBk&A{XI&fXIF_K%HV)ad)Vw0Jy9B*M+bva@;CQ9dLI>nC3+&L-uc_XQ+FQ)ffV30 zYkKl1{o#4M41VAGahiPzTPCFgwk}%d*sYt*58OH~vRgN`u0!iD`FVxo3@NXhWEbRY z0BUU_&0kGwJ>LT3_pyrS8yq_P}{tZ&K@PeE_lWweAXC@2wa80|)5>I&kZa z1BiP&Y7@KTX|F!Gv{Jx!voB%GdGtlAPutk7Th4Fb)|-Ro!yZ=aIghqAndw&~c!A?R zyn|6{+M#CaA@Sa(^?tDfz1~p;Ystc1prg>qzB44Ul^J&HU5#q=?(mvlUGwOLi?Sl? zdjWSiNj^S$TJQL2T|&ub+;mxn!^$;j52i2G2@RrxQse8CKRVQ`*=7gx`6)kRXVC}N z)k*^nz-~^PI_c`9g?3(N(+utUHA}5Vj~#q<)%s-l@K$>~Tu;Te_POYNNibseC=jFp zrD;*n{Id9W$e@IzI%<b_-Q(t^6c)?veD4_xcIQop?)>AL>)u-*wwkb`ov=pT>tmZ1t1B-U9Ccd>SLJ30fUd&g zO`@v}O(OVuqgE5O!?EY{O>03OEzIh)%0PG>-STxrFQL`z8?dlk;P5#~t>P+Cc8$GK zhC7dzH<#Ao9hkfM-okKsnJ?fBXTD13umB$pRxUtgg1asq{jdfT53c6;2$`6Xjh@n1 z{hIPAbivY;6;0K3ysS2q3aE%#NwcI8(O3F0_VRMi-?=r!zba~qtn8L(J%(7Vk}5V; zis(=QBxy1%dC4M%*NSRDMUc_Sb^cibk3M9HL3C!cG; z+N}&ZNKz#=x{P~nUOx{NL?_emvoNcQ`k^j7juKo@{RFUFH*<2CU;M0Y7BpyNcZ=uv zds}zzZE^Q-@EdpMpauzkyd_0{8YW6UcuygP&IC|$y15TM`DpzK~Mt?}*}WrX7$&D^_tCLyy1;~fpk?xRX;yt_sj;doC#)(XaZ z+)-NN-8ISx$Gh0=yf)PMynD^D^n2VuXuQTot;eklw4iO$< zHSQ4Mp=09?Q5b)S@L<1jXAvI7IOY(A@%Jvm_0MZ9fMC2R zMCo0+gczlF=@Md;-la>3QF@o|Ao5Xqmo6bj>0P>n7^QdV5@M9zrAvrWdY3LCj=y)I z4@{0Mr0tT$@%JwL_*rQX40AY_lC5ojCIM^^f_Ny&p4-pOz z9d{PtxYu!q2nY0zJ485AdE6nwA=u*%5sob%cZhJ%{J2Bp#vUT9w)Di}e~#k`C2;bZ zdqN339e}ZizlS4AewIMb*NC=DpLpOLiejC`LZ56v&rYESvzSjf$fR@56Amt*57D`x zu;?w4fT|Pj9s|u2Xy)oF<2pQI%-;w^C(uTQ(T4dXghC$DIfuDsNXSRcIm|&rLOyEFVQv}{@=RG3&FDom^o z6(-h)(t?+eG<3vq3qP?wl%H51%1^8h`+Lnp+p|ntELOCD35B=M| za=C#t?C4!+NWe$$K|=yQdIuU3@X`CvkbsZgeTD>l^xiWh;G=h*Apsw~?+gj}=v`+> zz{B&L{D{{QE!WAqYp=QI5zju;mhYTIULcE%zA)a#kBdoC&2Z|H-;*uNul~W0=S-2gBH?d`XG=ma9SS3xHcxi$ZsMC9T5P*(4UXcg^MP}W_?+$z#XjzfL_ zBqE!;De ztbV8%d%_OdOosh~f(YU1N$3E*lX%bR8T5|{6T&G6!e1oN+lh;^BI|pkf>$(E*YUF2 zP%5H!h()D>moy^cYjs1e$qz+CRux>rH{=?@=k)U>EY%zMCCqUpjxPxU{x~L8v93c) z?!^L5;dN3|p}BMG%kY&t5%0s>e46J{S)P7pSCkdFQcUMk#Y~pnfl~*ktPVs^;hKLC z;UoyON$RR*;LRIX@rI}wGUZ7xVRl#Cv3Skj&_yI%u%yBM)f5fi1(Wx$Q0qX^3P-Ov#L(9+OMJfA`yIj_ z>F8B^)31>w^s(y(=rdlb*QmGbM2A8uZ6HU~lJupyJichCFjXff3 zSR;C!NQS&ma7B~%p>vB>RjklX(hpjl5Ykzv6OW?=1G)*Qz!$xMq93-uYjM`_T;{Jd zoplGHZ11NF3_qRDOr4*Oe*7-_%}ENKvCN5WLA+7b*qe~(+5BOPHhd$2KJP}Z3`*bi z3J?dXo{7(Eeq9_*upI}gJ7S}1cqgF2aX5+t)#gmkdDX!m1XbJiEJWH<)T+9Bh}XqB z1VFnT8j9YmM~~pIS{%ze33SwSECEJizv4Hg{U<bm2nMlii@Y;QQ0_N2kn3 zu<0Ynad`7HeWyHvO%D-uXiZ12W}otp_F41+%Vu4x#iH)w$RE7 zWOQC3Mpf1gyiz5i(x`W6D|$;7J%X40e5TQ}O<9d84T>kd(m||XC5$f4xhrE|O`v_3 zRIe#*AO96uiM8z1CDvB`!Uu<2ItyScdqleLsB_2luZF*!d+18L6@_LT*bD)kSI%$G zpmz!mgEw}T#jY5nMi)k!KSyhtrfRSd_Nu5+=L)aDbQul!y-IXRlVNETGSt>jr!|z| zjic+aotvn^{9G>6)HzYg3NfHxR$n-Gp@=)$5Oun!d$dkJZ06aCf~^mC(5lFK9KDUc z<`?fgdcpE}n?w=KMHsq%sfh*<>#nzUU^DtoMUMb>_5ZfZk&YGZxyOJfB3 z@EJb>0X@^!H$cuaR))^X@Z%O`_%=P6*-gtaW_y&^SQGqm7=b3Vi;`Z|pg0~JgL#rQ z(PbT5wew>?Wmxi4dLBLQ%$=93qI7>*Js_Ru&B2rqJOAg&X?DtG2AgzpnGP-t;S<3< z)bt0N90p1||47S(AIJ~OW^KBYdxQ)m-zk?m1eDeoyDI>mF;>n_0FP%4f$Jjc+ zFd?HK#G_3jJ5;M;>`=L(R42n-_yE@_mf8qip?ZVfzHHv%+BR z=j-gKHvHbMfIb?4W?$%N#@y|0!8RQ;=7Kv~S1XMw0Wy!MX@j*Ww%IwR&7t75O&M&X zvc}m4PI1EjLZO|2AA{2|W*FbJTc^uG5Ee;Ro4G#P9K7%2o(pOhKECTC{@^-mGs50+ zw)Z}ejXlg^Vy6Tw!;PTkHlIoy=Ke8rh?w;mvCeRm}xAtyv z!J818(4E8WHV|`ZM*W14?z(;=$7fQosjLIwzit8i`w8?Li8hE=)LOktjP{U)VU~Qj z)?*pr8=9!-P~k`CPCDH@4s)l6uyPEG@U(P_ZgV@4&T3+=LAc*Q|}jlFerY!+t}6u(ELz>jX9yfcHY%~Ochb)To2Sm45ORfuIiS3zDgx|1gUpCoWv9cc1f|Luj1&&iEIf_gOLz6t|N42? z+1=0h?o()%OL44b@6Pf0B!Gs|aHH2Z&~t22y56YO;28xa1dF2}`#jIPgDOBx>?>+O zz8?^%iG>}zicSTRR9Kdcstq*dm4}^3xOr5NBd1TE zp}O0O!b51?vq7 zo*$s^G^*7@3t!QT52I7287o)7knJi#pm*sV1n(W*8m4|ckKX6SQ#wW`_)_{9oq%^) z?1DZWqZ1!Sj}$Y7R7PMtUxcL<-x?+r;hG8tyW1m3j+&mbhxGRV3Lj|K?JweS$_tdtgM!GylJCU8ZrSh%>j zs!9#h3okZ^lr$l0sv>``K^BclX3=!&hW#tKzbkrPrnH8lFIvLOFWOIgNL8eI@ZQkJ zJoP$0`}Qp>9-2Lq$Sll3Thw|Spvu|-ks79-DAA|@d`21mtU3>YN$$unPjj9v;d!TQ zB1vg=11Ia-$9$r`%?{LN4`wa$eYP@&C>>pb!86 diff --git a/projet-vga.srcs/sources_1/new/Diviseur.vhd b/projet-vga.srcs/sources_1/new/Diviseur.vhd index 8f4fca4..51deab7 100644 --- a/projet-vga.srcs/sources_1/new/Diviseur.vhd +++ b/projet-vga.srcs/sources_1/new/Diviseur.vhd @@ -1,21 +1,21 @@ ---------------------------------------------------------------------------------- --- Company: --- Engineer: --- +-- Company: +-- Engineer: +-- -- Create Date: 23.11.2021 11:56:55 --- Design Name: +-- Design Name: -- Module Name: Diviseur - Behavioral --- Project Name: --- Target Devices: --- Tool Versions: --- Description: --- --- Dependencies: --- +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: --- +-- ---------------------------------------------------------------------------------- @@ -40,23 +40,25 @@ entity Diviseur is end Diviseur; architecture Behavioral of Diviseur is -signal temp : unsigned (nbBits-1 downto 0); +signal temp : unsigned (nbBits-1 downto 0) := (others => '0'); begin -process(clk_in,reset) +process(clk_in,reset,temp,max) begin if reset='0' then - temp<=(others=>'0'); + temp<=(others=>'0'); elsif (clk_in'event and clk_in='1') then - temp <=temp+1; - if temp=max then - clk_out <= '1'; - temp <= (others => '0'); - else + temp <= temp+1; + end if; + + if(temp>max/2) then clk_out <= '0'; - end if; + else + clk_out <= '1'; + end if; + if(temp=max) then + temp <= to_unsigned(0,nbBits); end if; end process; - end Behavioral; diff --git a/projet-vga.srcs/sources_1/new/Gene_Snake.vhd b/projet-vga.srcs/sources_1/new/Gene_Snake.vhd index 8f95c6e..7b03333 100644 --- a/projet-vga.srcs/sources_1/new/Gene_Snake.vhd +++ b/projet-vga.srcs/sources_1/new/Gene_Snake.vhd @@ -28,124 +28,107 @@ use IEEE.STD_LOGIC_1164.ALL; -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; +library ourTypes; +use ourTypes.types.all; + -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Gene_Snake is +generic( addressSize : integer:=10); Port ( X : in unsigned (9 downto 0); Y : in unsigned (8 downto 0); - up : in std_logic; - down : in std_logic; - left : in std_logic; - right : in std_logic; - clk_rapide: in std_logic; - clk_lente : in std_logic; + clk: in std_logic; reset: in std_logic; - snakePresent : out std_logic); + + currentSnakes : in nSnakes; + listRefs : in addresses; + tailIndex : in unsigned(SNAKE_ADDRESS_SIZE-1 downto 0); + updateOrder : in std_logic; + dataReady : in std_logic; + + cCaseX : out unsigned(5 downto 0); + cCaseY : out unsigned(4 downto 0); + dataRequest : out std_logic := '0'; + + colorOut : out color; + + ROMAddress : out unsigned(SPRITES_ADDRESS_SIZE-1 downto 0) := (others => '0'); + ROMData : in std_logic_vector(SPRITES_DATA_SIZE-1 downto 0) + ); end Gene_Snake; architecture Behavioral of Gene_Snake is --- Déclaration des types de données -type coord is array(0 to 39, 0 to 29) of unsigned(10 downto 0); -type direction is (haut, bas, gauche, droite); -type pos is record - X: unsigned(9 downto 0); - Y: unsigned(8 downto 0); - dir: direction; - isDefined: std_logic; -end record; -type listSnake is array(0 to 1200) of pos; --- Déclaration des signaux -signal mat: coord; -signal snake: listSnake; -signal snakeHere: std_logic; -signal update: std_logic; -signal current_index: unsigned(10 downto 0); -signal lastSnake: pos; +-- D???claration des signaux +signal snakeHere: std_logic := '0'; --1 si on doit afficher le pixel 0 sinon +signal startUpdate : std_logic := '0'; +signal request :std_logic := '0'; +signal snakeColor : color := (others => (others => '0')); + begin -process(X,Y,mat,reset, snake) -variable ref : unsigned(10 downto 0); -variable position : pos; +process(reset,updateOrder,clk) +variable sX,sY,sOff,iterInd : integer; begin - if(reset='0') - then --- snake <= (others=>(to_unsigned(1023,10),to_unsigned(511,10))); - for i in 0 to snake'length-1 loop - if(i>100 and i<103) - then - snake(i).X <= to_unsigned((22+i-101)*16 - 8,10); - snake(i).Y <= to_unsigned(32-8,9); - snake(i).dir <= gauche; - snake(i).isDefined <= '1'; - else - snake(i).X <= to_unsigned(1023,10); - snake(i).Y <= to_unsigned(511,9); - snake(i).dir <= gauche; - snake(i).isDefined <= '0'; - end if; - end loop; - for x in 0 to 39 loop - for y in 0 to 29 loop - mat(x,y) <= to_unsigned(y*40+x,11); - end loop; - end loop; + if(reset = '0') then + snakeHere <= '0'; + snakeColor <= (others => (others => '0')); + else + + + if(updateOrder'event and updateOrder = '1') then + if(snakeHere = '1') then + --snakeColor <= (others => (others => '1')); + snakeColor <= to_color(ROMData); + else + snakeColor <= (others => (others => '0')); + end if; + startUpdate <= '1'; end if; - snakeHere <= '0'; - for dx in -1 to 0 loop - for dy in -1 to 0 loop - ref := mat(to_integer(X/16)+dx,to_integer(Y/16)+dy); - position := snake(to_integer(ref)); - if(position.isDefined= '1') then - if(X>=position.X-8 and X<=position.X+8 and Y>=position.Y-8 and Y<=position.Y+8) then - snakeHere <= snakeHere or '1'; + + if(clk'event and clk = '1') then + if(dataReady = '1') then + request <= '0'; + for j in currentSnakes'LOW to currentSnakes'HIGH loop + iterInd := (j + 5) rem 9; + if(currentSnakes(iterInd).isDefined = '1' and X>=currentSnakes(iterInd).X-8 and X=currentSnakes(iterInd).Y-8 and Y ROMAddress <= to_unsigned(sX*16+sY+sOff,SPRITES_ADDRESS_SIZE); + when '0' => ROMAddress <= to_unsigned(sY*16+sX+sOff,SPRITES_ADDRESS_SIZE); + when others => NULL; + end case; end if; - end if; - end loop; - end loop; + end loop; + end if; + + if(startUpdate = '1') then + snakeHere <= '0'; + cCaseX <= X(9 downto 4); + cCaseY <= Y(8 downto 4); + request <= '1'; + end if; + end if; + + if(request = '1') then + startUpdate <= '0'; + end if; + end if; end process; --- On change la position (X et Y) d'une case du snake à chaque coup --- d'horloge de clk_rapide. ---process(snake, clk_lente, clk_rapide, update, current_index) ---variable current_dir : direction; ---begin ---current_dir := snake(to_integer(current_index)).dir; ---if (clk_lente'event and clk_lente = '1') then --- update <= '1'; ---end if; - ---if (update ='1' and clk_rapide'event and clk_rapide = '1') then --- lastSnake <= snake(to_integer(current_index)); --- if (current_dir = haut) then --- snake(to_integer(current_index)).Y <= snake(to_integer(current_index)).Y - 1; --- end if; --- if (current_dir = bas) then --- snake(to_integer(current_index)).Y <= snake(to_integer(current_index)).Y + 1; --- end if; --- if (current_dir = droite) then --- snake(to_integer(current_index)).X <= snake(to_integer(current_index)).X + 1; --- end if; --- if (current_dir = gauche) then --- snake(to_integer(current_index)).X <= snake(to_integer(current_index)).X - 1; --- end if; - --- if ((snake(to_integer(current_index)).X/16 /= lastSnake.X/16) or (snake(to_integer(current_index)).Y/16 /= lastSnake.Y/16)) then --- mat(to_integer(lastSnake.X/16),to_integer(lastSnake.Y/16)) <= to_unsigned(1200,11); --- mat(to_integer(snake(to_integer(current_index)).X/16),to_integer(snake(to_integer(current_index)).Y/16))<=current_index; --- end if; --- current_index <= current_index + 1; ---end if; - ---if (to_integer(current_index) = snake'length) then --- current_index <= (others => '0'); --- update <= '0'; ---end if; ---end process; - -snakePresent <= snakeHere; +dataRequest <= request; +colorOut <= snakeColor; end Behavioral; diff --git a/projet-vga.xpr b/projet-vga.xpr index 6116a01..2bdacb0 100644 --- a/projet-vga.xpr +++ b/projet-vga.xpr @@ -3,7 +3,7 @@ - +

    tfas+bW3~13JD7h#QF-K4Jlybsl1$A6Nx6O0Sx*8?;ST$> zU-Wzb^wG29t1ZjBGs){6ulI415v=Pa<)5U3!SC#YlcGdrjH4cOK&HkMXjmj4>k8U;WSv2B$abFPh8d%gDIu%}3;+4EI}gpG>SVi%Lp z3iMWx$gO}xp(+`&*m6bj{ZplHR~mA7!HmY<3A?f@>I% zyFwbs{aIScu@e}m$mODP%=i9ugH*AOsZ}s=MxV~NtuRZ$jVAmj=0E-Q1PcsCks zVv?DRcZ@`4S|Njk+k~#rUWJzcY4RK0cyW$p!$};=5PSqS)KMJE6bJBhMfTc9ah7Ez zWH`1&p#W*JnZO&>3+6OSw(nbmmDuIVac-1E|L-A9>sEl3Wft@e{82rHy2m9`9a$w& zOMw`lXg~t&F02AMB6fikA&XclziAAU-!!2SaIU~8s9-<>R3JDN3M8BYZ48%K=D-qj z!Hsc@d6v!WN&^zFZZ5r!+Hs`{`Xn;-o9|&=A{oWc|D;*8y*URRvlg{xdn_^5nT46s zwaT7FLhzjoQ+)_avc9|^n_0afx}((}{iQlXC?ythyER1Am*cTh`x|QwK*KO+$g55) zl!RTlXo1-p$WZFi#g+PXi2vJFvY}NJOsBi_M1c`&e^ zRpe=8j{?C`nq2M}%m^4iGj;kWBMn_Zsx68frlV1-Lu2c2uk@@p;Jcl1aqY4+tGaRLumxwqZQKTEdocs2LUuPCVnOl~8NF{H0F6AxXfxf^7a8@mN{9Qy zK;rhSPUx-}U9Ev}=CVdA%1dpB4aVWG6p4THTSa2qu{Y6AYDjNOSnyoTVwe)xvtl^R z*8~obl1S-V1TxN!j=R7HP8oWa7k`4yY&!haC#j(9*Ygg>!^Z(KnCT}8L~#9MigkiJ zrlc$JFArJ_gjm1|=#8Y1GNdk$i#9)#zc@X0s2fsuU0zJ5fO5b%IFkAVGaYhpT3{-L z)-$67T%|}|3j}umaJ7l~a1#Z?o~J?DBx|G{d5%>(jt;mmJtZeS$qF#A4@y@KsCKNq zb~@7w;3_2bKEq`sLUR@+k30jb<0Ma%#Pmp7A)e748jYtdI7?nQx+RxFm14T*IJf1# zpu9}eeuvgn$uC>GCWUGOSjkpjWnPyJH-+o^4HLb77Px50&2J{bXy-;D$RK-Ix5@Jy zjp~ZDH4UAm7Nf1Z7Dg4H_4VCqW1-z31rY-;F6XofS8S;gBBm1NGNw}U)6PA4-R4gbg6PB5 zF2+qb)A7=)(j#&L7LM8jo>E-#f*(_Oi)AH;-?Ir9RG!SZWji3Rq zrGjyZi^cQwFbF;dl{Z^truGVk{k(E3?zgG-*%%YfXP?c}ZXD>OelTXgcxG*zk#v@y zdQR?~L|>!$c&07y$}ndjExv&bx(>hh#=zvT zaL)Pn%UMOknscSPllAGx)-DVb@IABe^SP6=OLXU6p8=+{KM+wMA;0^Prb3KF)jOCL zOs;SvqI$xS7nXiN*Kjc;PB-6`rhSP02+=B?+pj0%9z9>8oTne1FvsQ(GMOfSWr<5~ z;>FD08N?$Air$ZeVWC)|aOz``C7LYnkL_7fO4hs7E`5s1KTR)*AnxIKKKT|1e1@au zo8cn!f@*W(Gi3UeBols&#d?9BX0hj7x`F%yNs1sz4n>gbV^x7Ha@v&64+ctQOJ0vKr zVy1{x#4l&;R|#^otOR=nNz)JnoPKj7J*8R(oFl8FN|OU@OmH?+hVEYEikv>*giKu% zQzCP1rPP6=)lWsv;S@Zh!zXy}gBkZKd&l()cIeH7W0SA{=JVfE z^hB7tMOReDgZ7;5CS{!-MXUb-5xJ%*$k9hEffZg7$~6;Eqqm40%WR~C3ufFc-u|2a z!VOkb^pvfqXYk%0GcG~)&hs5-4t)etON71k|=U7XIk?N$vW#M17 zwgZ~McB_~mt0M}~E)U~zm#K)C%T&fK9_GV#lfRx%<0)5JSa%!D>P;RZW6l%WBdMEN z!*{PjS;-W)=UKyw+`Fu|5wiPKRjb%;VdYICS-X=JvBjEE0&d1O*Kj=U`ODyN?}Egb z2m)nbS~i;Fvq}(Dl8Sf*G}2Bnbu7hTZ4HatD$e-#-7>sf@86WY2?>Q|3>G(!#^pMYrEN8I6F`Br4~L(?eyNjtv87<|g9 zoAt{92ajOBZWfPgZn!kIO}4B_n7?ywy{-UyOxYu_y_5BCrn(YdAIheTY;xw@aB_zJ zP*tfRep5{;Pcdt7#5}I$TaDIps7bq_QBNS!?o za?4U)Q5>di*e4|)&>TEEWE_OT>onOfvya(lQ7nssuj^+~w8bCZeSZ*=5UVxZEejLT z(3$?=06%TQ3$FkA43hX-M1$ZCslq$xn~XUjN_;w z(bE1Fbtivw^E-jD-JMnFOzxW4Y?$MJif@`_HFEbwp*oXXnX5bty1cu&v}=xv5c*8f z!Ar}*Q*X|*ZJ0269`(Dkk!&;i6xY05gK-QMg&r)|DJ-~Mj5?9;oe#1%<-0*sL*kO_axOJP~WkP zvI6m0w}0{EyI$ea;44}{*nL-jK;rv7fG$G7q}5z-*CFCOD=e7I_-Q}uhMV?iMfXLc2P>8&s(`Q0ZT@$HXGdQF3kX2W$b8HdB&< z4Y>7wrtSLx9#Tm_5c+$hOl(3)0CU=E&h)mW1hfKnLfKT2q0#ln+fIA^gSRHnUIJE< zSO7(2);&HX@rb8(WxiPX@&%UCmG~YkJZZUfDv~B#)Vw{AhlWjjrz_c zuk%nkkPmD!o;9d+fy$ZRLP70MGJ(lUsiC8V`89< zr%|g!^fRniuvKKsSljCG2M@cNUyW%X$eqe3G-rc3Qn_IPA zbTvob%>)kp8OH`HT4-F6p{+(#bVsr}XjvhI#DD5jtYWw9~z zc%bNrf*JAQ+hOUswI?ybuKV_Wz1`^T+~^w{wBT;_*&4vw=$D-H0GZD9s81z!!uuxj zAp1tbRaox3R(KBI$gTV%pEuKS%Gk-ldo3)uLxUZ|Vd*O1mq zMq(xp7-`0Vx1FIK`v9PE1!`HPj`B$;v(iqaoIwYfQ8U@2b6jr^NLGo^*B~7|dHr{b zkB7al>wdwhAJ%xPF&`^3(`2pTfOd^tG0SBgd1|k?cV{W z>#gnjax=dRw9LL&`(7WY*flS)I<{T{7B$&Gqa7i+8^w3yM;MH9E{0ZWdMJ6UqaE{FI0)5Ye0Xab@VvYn zS#?L%(oGx^Ie=Z>i+|zz57J420Ykhps%IkyN*B?jw0{l2%@Y^A{nP zY4ixg&S8Q1Y6$wHw%df#`YLN_LImj=ersv1_*OWp!ThO{x#@P1Oh3rL(%(Z ztJC})<$kIArd40^s&zj=(evvsqrf{-5ylOEfoS(Yynd# z27?2#fM;{NbfGCJKJ%yu@yIf4X7yOMK>O;2I7^Q$G@E?xm@k>cvxqC;2teOMztfC8HJBAiUd)l!pVS`=l)EgYf^B0Jn!i5jivk!ohvAt67pZHQau(n4tgQrF{{medgB*M4v<#Kic1Co+jnl#8P&Qpji2hi970wz=x&Qw=F#29_2F%5H(voRL4z0 zA8BjOdkJfZpeDi&}P}V01I7nkCd;r0bggS{{?bM*nWpzhomY%-{tdlB7J79{+ zZh1TXO)@GpB4^6Bk7sU0-c8-h%S`KPeDYyh1}&#d**uZepK1tOQ^c8DIgIog zmk)-H0@%tPhxncd2KCH;D%z!dKgQq|H8G)4R8>k1;#q!Eo!?Z))HhW>YnTQ`2yT^) z?CN=B6Pawe_aZt*XTCS%+$)Te=6?hj)SXVNJ1OrG$D4Oqr9fNuoLyA*OA>`5ZEW`W z;=r^*|5>r{B%NWuOb+18xE(;& znP_2;iOA`gi72UEN=*1$YTN!5lW#OpC{iZi$!Csrm1Zg# zD0kU)N^$90x7HAeDvti3Z`0pBWi=t38@MJ64t`v&C9=WqK5h0RE0?c`U76*UvsZc#A`Be^yBAS7mL$82j1W+o|G19gj#OA?VI)v0DEYp@e+|K?;8p+eH2k);CBB!!)c zE4VtFtBr#cOdb4~J-P)tad7j!Z{;?UuloL;l6t_P=72$i&DCLnYw5$q+7-ypwSVW) z-o+&KX*Wv&Q5>GtH?2b@AFNU%ajQUeFgNBC4fRN&Q8FlkL60l>C25I;p#NDZYim8@ zqx0Y`h(1I+ZM!?_m5lP{rqW}voAG|QxDUe0PszNwD!yX2Br|azoR|OeF?1ku;hG1J zcP{7d%A0Q<#MOV_VX_UncuL!iS5l+-J`YAPi07CO%8Rk<#Oae%ewL=@pamRJo)@tYCNJG%n>|0vN6y&h{kA~6 z?TSCbktNDxYQ*PPx0Z7*YrgNv?Iu~@bnn?QxM%y6 z6{RD71p*V9CI_nROJHhfd#%3xLR zQs$pGH;80wMirX{qBaDJh*Xo#r#P~=@?Zh<*w=aa4iimji|CDMQ(!*)_q-`*(jCW;`V*r7ipTx&*WR4c`OTwT`(Ev4X3>Km&9XHuv7W*{_=iME9 z+@KFdygVA%M5;yJ(0am@Yo(A3W!2IqWqP(~B$(bqhq5Z;oGMW>%Agn-WL!V}m#cbp zdePkfNDEd8$pX-)pYY=p9Mi0xyN56#xDapRPs2-JKIechI%P^`XB_C!QBSZ8Sh}pg z3O>mmr{0351M{K$MeB4VLt+y2Z zmd$Sk{%94%dsnc^$bz0EM}N*1U0rxO%#;8a@WX9?zyG?r(u)uC?3+y^+a1xs0O5;; z97ZDa24xDvd8`h!+jK;HkO2L9 zSQeGlEMG;V$PoO>F?<&Di8iQvZEeR~k-?u{;Reo&gZi*}iSI<3fs@A--7}=ri)+Vf z+#8gLD=?zvWU-ywOhgvLMuLkmV}?t3Wqqb@Nl6?9|Hf9n}kMA08f<_-bC5{ z*jWyeAyx`*;ODY2K~&ENGay;C*+)ENv&RjfRLOkMC30K+)kt@z4SEaVXA}ju9o|E9 zHPha?(m(t6LBJc$@ApsU$hD;jUDsJZTgLF*(bAKGOd?EG`zOW@!7=nWcXiF%%u|!G z#S+#S)>n@&@0UA6K7@R3n1haNUOGOE(H(9{s|$?l!lR2z7(AIwZ5b2AH_THYB`yko z?5kUR$QT!}?H7HZO^M~FfU#g)j^|wt)gszS6Od0f@NF9d-6v|gY#0)b4!35g9xY+n zoo()kPUpOrQz8|No=PaNCr}oZWIsWFw=@&94U8I=$1}b3v-%kxP+1VX{rXx9=qF`a z5E;!l68JahAy-s3P(w&CfFPfPmukaH$>1u0de&}IH=c7pqiK%rz-X$>KgSh*q2j2K6ydT~jaQAM z4Q0*FwVY5!p%0Dz>X79$p508gw18uwm)%I3r|-VlK>IiyQ!{ilv9_@Vf6b}c3KToS z+!A%$@)B)ZytQp6Ee7G-biGDyxA-+Y7!prA@c>d2WmelJXHT&qvhMxWqTOn-xe4fG z7hNMiA@DLZ)jj058+65~0>eL4a3dj8X*t&)P%WkEaYZ?Lz4`HEO2?Te19Bvt1>U9P zy~059c$)qcUNt?c%_THujKjYsAh%{cze*RCq}iRA=@!x?NjDTgs2+tb(6Eqa=Z8}X zpbx6GdYIX=e^%;3B$A8visM4pTzV~M>mp!@9x#{)ms&&GWvHU_Q9(EXgRey1KJk4d-=Yv<1 zm!Nq}H0=O^k+k`d7L~6tI(s!dUIaJ}rJJJ}cmEi}Aq3?>0ABSi{v$&#HxKL1@N@6g zBTc4z3%+>kkKz(=<&0=%LMAAVWQb)nu7LSy;`&*cjZMN%Pw$?wrjH`=wrUmS7rYmq z0=`0iZgsywXKBX%q+V=wf1tlRURDXlf!X5Obcsq|o;%sRG^sgbZ^Dc`xTw5jDoZ`* zC^$Id6(QOyvF9%#OuKh5B$tpD;Q=Hh2%&Q%`P4J!TFPV6tCe7i9Wn$CVKhNhc>6{{ z-!Q=gRXPxA==#1NtG-SvNYr7VgR*$2#m2JYejdZYwS!|#n3m(ZRKX7Slz)Cj_1fov z_#Lbx0gv?(f+qE=LDGbw`N5G8s!7e{TQUsl=I4HuUeb`%^mc<)yG1(R_ECVpV4gR8 z(uB))KEl>^`Q5k!dgety;Rx$*#YnIG6f3z?ZV8U#>t)dEyQUSzas|IK&7o66zj$PL ze#lD`F@Hf1L6p`fr7mz??3$vynDJxW?t}`DoB!9Q%vB^ zUPbT~P=p-t?TON+PX*@_Bo-hgGMBWloSxVtB-LH$OE{!nBfVDf(V+=7(FmF4Oth)E zXNR8N_MBh6z24JW`Ft{3W*5N;Y=iCv-mH0)|2Q*v2wmASM#1vTP8w$8v?gbc7+aLo z5!VYm__WddD&D4_CQ}9rmk`+2b@4Nj1eq(uBk^^=*BZ}6`rm19T(h5j4I z?~)k(+h?DS@S#zwX9(Z~MKevCO9{%&np}QE0$meKV0?6XQDEh!j7QCM8#lkH7CvDE9RlKN|JI$ZrzwE4YZ^n{tcFF7%g%gWCIh?i zeWc{U&xY%o;Vq&OFXhK3PR${d-qSKSrzu8rmv)6X`dN^4%C9z8ZnU`$S4|AKvD8(U z{qhJp6G4^ROwc?)t5k^$9ZFgJ*u7Hb>Syujv>P$XE$|K#kql1ZNQt(_-1aBXzLhm7SdVn$3OXcsg~>!bX!s26=~F28E@ICrw_eJBAGQ@ z(u_!#uw`v>uSdv|Y}!U_ouY^p@q4p+_Ogw)|H4rHzTeuDnq@lB{m_0z!{gzqeRm9b z3Pi@egd)SN^6=T5jBe1()S_1NPQzBH9-y^+{VsMr9_kt1Z2+>yR`b~K13ZjhXIt($ zxfKq=iW)w);*zs~D8(28$e0K%&M+&Z82)-z&dnqOLi$~XD65XF`81Qtn{9K`WXP;U zm(ysui-$5=F()AHY+KD~?WpW9R}D|RZBF`2ov$L~k5dtFnK%|qWN|O7xiLX!4FR8apCiqQHifaQwNk2P=GY(yB7}S)% zfy&G^FUIYJ^F|;bqB$4pdvVcz<!gP5_<=66a>m;jN{qk}Ine%8(LLX# z*K(KkKjgu}(VNJx)ErmKFDT2efgPB|+9$8FY zaIjUkdU{gmQxIFs=R!*-B%bfLQIKFeQaL_M8jocy5%XjuOJ&B-Ui*A8aW!%__Eom4 zz|{4A>(&U%4@kh_f-MHrA~s4$<}2@*&6lZ1s?qYKM2#^ z5m6sf&ee`4|MEI~_~u3++kM)!*iEqty?3p+3UCM*dZ9N0O!KG-)yeVqi(Ej2#|FYyFQy`z zh;2|^H~WPE6W$d5gU2?$bVD=VWRCPqoY&z|4V^TnXE>VnwgIsC2Poxr+*1QmG*(MIyXYv{>|e;lRt@Q@C38S0ECLMpT5VGc0ijvx_)==6D3%U;P!36&&{l zB&tFw)y3qi{l#T5A{r9B%cxd379`lWhZoJw|6o7kPqtaaSrywv<*o!_IZ}Pr+4aiq z&=A{_Z1wJ%?ZLV2o{;9#_1^AXSttZ?64%ayLy@!Tb7ks@WQ{lnUI(}kN{IdOkB_OA zRqU-YV5iGEHs7!#;7aphj!FIcc{J0sNQn&;}wh?(eEZa`TISF^} z&2u7urmlEZb56avipFvG zY9lUS4Sd1nAq=-zBd#37IrtY2?>iry|SE=I|SU4QGf*TJVic+pD z8{>wQ_0Y^d`qYdv+XfHP2N;CbF9eaQCAM@MzQl|86+12*AbZzAlO>txz^^+kDJ4CIIuHTb) z35Q`F51K(lW)5zzbW-M)Dk<`en7EDnNgy@~L4Xo>DTxp?dT*(o$W?cpO;;Devf;!<6EOCqw`yFHgwBTtMpc$uJ{-`V;@zlddylUQN!^tBHUk zHtGkWh&ZT4ETPcCHfH2GY*dEnEg6}?U8`CO$dGDWxj4i?*v7C&+MYJVVHo^48pt-Z zhxHRnvEwjptAT+1q;)p-cN|6 zYnCl!20;LWV2OWH60u5JFsZ>Liq}~WfE%o#0kAO9D|hD)@%`AlfZ2l&F8!lwE96+! z7r4+#_ZneeeCo(y!>=zlZ`*7tSnMDLG3!tmWE>cTL0^Ge>5fJfqxMTe*1-whzv%@K zKxj*;{qybh{$;%$k3Kjep$nKwn$dW|UUSe;ADX9xskQ)1;)=4#G|B0g8|&7-x2E(s zr3cZp5yxoKPegj%m9($3^RhF@>PK-f2UYeIp*q_dk@^(r4_B%X@$%mDBreaJ zD4lixu~c}VuTE8xO7*X1VPduW&~?`Y|dmz_-S(Qw^W#JFR0NNcl z3(1Qwx#|%jF6~e}LBG20*->eNN_ILUSgv17y%|9&6}dg+OMNv8)Z@pI71JPt69^LDJ?HL{ZO3 ziR01g;yw-uN5sWyVRddP3Q>m0g{m}$2wHrn3!b3XhaeJA86i5G#Ul4dvM2}|)7X$uXmILmGjGOlr+3q3xCOV^XFTXl3w>BLgreC< z#@=ZR$rIT;@BheX8&ANx^)9$0gX`%n0WTb_z4pS=`8KO}u9sI+l`{?1) zvo-XKe(~6KV1pE5y|Glj=Zm_TRZsF30R?nBVFpHfrXM9;Hp~p%?y1YY5IUhjcg9Q; z-V25S>1|Tws+;qch||{IA!Nd4tgiF={V#NEiXNadLK)V|2X{UDY4Vd`GuOR8Eb zUVyh6mf1^ohdRmP<54JUiQ<-mZUgPaAx=?g- zH>R=5#*$q79`sGB+A{kj@q)SYR%-9>H{n^N@)yHQV?}Cc%mPQ-RsCYlwPoF-*Orqs zddGeMzyHHCAG&|;yRtDtjqk(xcog&SDvd!ZktRWAwuU`;=8ChP#2ot|Ob>1u7i!~YpF z+F8LMdZUbe*pe*)1eBO`UXZptXsG>WWmP>IekYR)J$<0+&Wyfex$aurOT zh4wu8J&0tI5wy4!?uMS+u9$_4Qxa>^obkta={wA-A^h-dkbAJ&f@F%>$vf}D5QX;8 zO)xH7cdD+I{lHDIZ}?qvTv1azpPSo1`>CI2l>*4 zA%G&pIuYJeVR}ZhYumBTBMHIMEsYD5b9M>G4LV>m-T8d3S=?N9lZPB3w!@G6%sCK` zieGfIqUt)R>glei(F-ectVE?@2Ibu>@0nVF^EvZa(VUG$pN5y9hb_Vgor4=s6W9UI zpDq~gowFDDD7|-CQJn)w zaOlB^_z3VcpT^U3{*8sK;g}gu%9Z8;ezef)SXsbJ{? zi{B~m>7z^K40vo#d3#T{wa#KgR|om$F}$qJ2CtvCJM^2>FJica_i7$s*x~@ zLS2Z61p18F2r)L5nh*(DxM_hQQe*;6Uhg-PL7mrI`oBP|cC~`hwdMM)yYqRq{L}aoUV%sl0pI3iVBkEnX(EBOJ$S7RHYWb zk7^U<8_OJYB~H~BUYN<8Do@wb<7`T}R!k6xf6420gNMMP(Rhel8+O4(4cEjBvl*G7 zQgT@$=tMm34{smVuf@QdumY+h!-|~QVLUrSZ7}i&Fwi-*6Zg^84h~SoDX=%H}TMwO_W`Q$gt!|IDd>sZyXbQ_bfy`g_3@_g)wS4d)7X?b9atxec>2!dGEGXjSb|ZbmgQo42RFg%ITbvEB(J)2hJ4vQX5Kmi-qqvXw#<5dhumo9_`d?lIH|z z6ubgCQz4aoxqkL2KqL1;*CZ4O)d15JG9py~jV*wo?7xyARcz1~zZo`!nXw_AlQ{XY zp`0t^s`oMX(xH{H@}LCD>(Sh%>RPB*zW$?C!2em>RdmMwm1bJG&CK+VR%Ah{&>VrXtPtTAhN}E3zY#*>Kl?K0mUo_fdnYlYQH9Kn6M&%)j!}L8hE%m5TOJP_2lqF~H_&sOyqN;dC$m zet{-K>ND0|i2J!p;SaS^Lf&NJb*)5yB)nPsY*+dGg3?M&%pr0j8%=?kKq1_islL1! zJE^n!a}&U98Y9zlLA>9)_4kC?T+?JjC9@TYfpDR;#;}sSSwBn~p3>@k^dWd6+e3b; zK%w-9m`YhgDZvCZwbiNU&mZ4(dG_>3ggy^Ryf93mp%&-G;HVirc~+{^53g^CHxR-V66=aca!TWSka6mB_tAjV2q<`G(yz=_CWmK zpu}X~^r!R*q{Jffrm#zQ_@y_-#s^*7o0j8K`|+g<+IO8+TgV_ z>i!AvxmB+0THUtWX&&<=y`ejG%fRvd!XPt0#Qqc@J#lP5JkN%2j)Tw``DgX-FRQ)W zrW?66*Agr4f9bxY?N8LE|3lbYz*QA|jiQG-)SA zjR?}+DIg$7w{%F0Z}5M=`@MVLyYKyebJpxVd(N7*)~q#aX79CUBNl(C=&&_?;$$#~ zkwN@FPPyHM*|#W_Fb)0VyL593@Wi;iHMQn25$_${rV$g%_9oDk)R?RQ9Q?45Na9U(d4IA?106 z!rwx+2zHti+b`s>bCa#oS`#ds8xzZHSD!y7?@;$BzlAjh0)q)xzjDLQ12$zj_5kY` zxP42L6X02od27^?KEyIVS7+0%VodgE89w`}V5_p<{~Red^xeU7UU>7ToaRsU&7Ve| zYMm6e=J^f|l>SA*vHXkCsuRU>!|ASX_2ACb_V;zyqaGT{TzrK*>3d@Q=De6Z0r)OG z%9c_&+y1^+)VUtAbX!ohh{nJvGl$i>l=njHTg>1z0-Ubb-JsD4{yB~Bo31)6*{mxy zS=1Z`w%Qb!JXPewc=TD3Rgg@3$ezclwnlrCnW1=y*<2}1RgM`g@P|DQ&+hw7!P0oM z_`-r0lHurS@n$zg1*?vk70&a=4ZL?r^(e(yzJ>N(w%sPS3r_Rr?LXyn>wa3V)|F=e zEdB?#|G`IV4<*(Bn!MO|Gb4z;pRLgoJlOH&uAGcyu_IN&pa|Yp&Lk9woDWr0H0{>V zn&V0&6o4^(z>)^1PW(Yw-L@c~pTn9AUahO4C;;c?g3n6_032vFRvKhV`M&bMWyDp6wdd`x4x5;i|CSX! zoR}oDE;AJskkhc)^WtV zuPV|mf01C>x&^Up#)mRVr>WIty~LS6(P4MWY()PcB6&hIyrB-)d5r^8p<`%ItCxdFNq(ZAQ6E5dc?A6M~^=N{Em+vAg6X%9a(nk|2eTzyXwei z7%?a1@uqsP4HRg(isD;nwYu{~Qe)-IciT80ngiK%?|kF({2xXC%VBl&?L%+&rvKDMmL0(*_;+5|(Xl%pc&ttNE#Sa=h13|I6k7c_Hg=vTJqt zK0z~XQomSBw#U|J{Cn~s{Tf(LiZUrH9<^7#j90KNpZ3!(e49Zo8@|A*hEw*5l$|*|36;K=03W{}BN~RCG&Ag&lHl30z z7;)DT`QTl-dk&v$ReVC#+csk{zvF&UQ3Mn8nhFKgG8xr)+jm!kkJhfDw;EGG zz~4^x4ONTXJmmU65-iUdb{n` zRW@;p&prC6LIF;lc7Z0R(CkAsi=wVO9dO(L?h%}~jx1As1=jC^9~7DSSu;D~KA|TM z6P5w=s30cFl#S3y=~wt0&Bql_%!nKJTa?yP zIUnGp>B_M5ToqHxd4t%ue&p)VjlE^lyG&s4F$i}8P*j1g#wy!eSwV%kA&&M=Oy2Y58 z7pG|SL`0e)p-I;@Kn@VPa~G-7HX{E*S3XsRqqb|G)p?jTiik{^szggxKv-uZSzERU zWSQEQY)(qUGR2m8Q#_ieaHUtNC4quWUsR_3v*Qi4_PpZbn{?ecloXB1L}CgHDs?iE zw6$hmxh3xIetlM!uW~i?U6VOxR+WiS+SVG~P??n7v^r=BUqpFs+LK!{6K(4ER-K4u z(Th%7H~Itmj29a~$pXHVN--O$+g3#LfF4NYdYATpSbXcq^YeBJREjjGqSsS=>dr`o zH=DX83Ug|2-75{F6WoaPAUBjDO&gR@SvMy}2H3*h+5@0DuR~$hVH)V-Ev}@Ki zPQJ>>_?q=8&Vgq&p?5Y!76R$VU_msDPurI=}TY6fG@XzkKl)e7Ypxd zq1r$h_^ckGttV5!0lRJ6KZwZua4ZL41<(R|G$^1M{D+@iH#39dhPJqO4Dmm|p^T|l zF}h2s<2+wVso0LHtD<>?TSbQWY_-LA34?(HpJauB={M-rGcYr#d1XRn3DyQCNn06U zRgh2jZ)QI9Ff%82nO9gyS4+;#ikHPJu+Su6eF2_DWP^ARkzL~@^=Pa+T6dbbojz#(HC2yN}bGV?7)z~@h*x5|+}TrjEq;>xg^*h}+^PX{|Nv2XEiL zDNQ8;eoe@qPN+f;^@T76E#CWjy8lW&)IsM)&jZoXUvbe%Vlgw8NS>yX(;`$QIL<)O z-81jnp$gNJVs^I6HtMua(^(~@`_fMyn(JPMgpu=U1olOaXfLi;p=Ty*JV%XKM21oj zJxR^t*Y-pHna&44R&nkkr}gJ!M6E`^=W>(^5X+90XnMq$7P^%3j4GhSfs~X1PJz&j z<{suZixzrCbIJQ65)U7pvsnNuHpd!}V|W@xNh@hP=*Vv-S-vLyC7}raIjOohDVnF> zBVibF>xech6j3q!(Y}T+^WS9z{F3wn3Jnx}ep*@qq!%Rl^1-2|c0y*f?g8>b(10b+ z2nzgxp*nbY+Sjn>>fK`7lKzi7_8>6isGqWT^RkG8<*IR5h|l(?eQ++0w~Xw?Kl99ju_*+C95B*sb3 zT;Zt?z@==d_#u1Ohe5I~@jCMHkr1*yFr*<1Txf8d6*Tw)6ls+}vO+51QN54u z2B7q!t@jD>e9@=1b-B0^J8Z@NuTWusOSOrw$)&tWYQKqz`t8E4 zrRVnj6=o}^>KhG20_0v1NjsFQZ=T}&gHtRMBxDFT^b8Uv7RWmhkr3}VJRx^6&X}`p z^S}3R4E&k}VAoovLXN&g#&r9Z3vzy zJfr$c>SasTZnTuZh;6JSo7_lyPG1_RnLyS~eW<{tNTN=5=knQMd)w|HreTlokK_9+absB=prUc=_3wHaBj zx}-4)FP)GOY?F#{uTwcH59fi#Ars?rU8X!5$Bcj@p^Omi)Q26W?I;s?+vhnN4`L)T z)}RC`N_W90V~;0-7htd7q2N;bJLKqY5aAWPLjX^@q$OyOL}n)YhdFZEk#^YjQ-mXuV+RD(wb83>3yJvDRjf7S>?7Ee zMND=v%7w-4d!y5w)&S0gYnQ)UUuMtuPcGgF-yzkM&v)M^9ko*z%O#-`>p(64UKJQU zsvg#;Zu57;f{j=+NIoUHJ-9vU34)*x`;tn+%#2$erXotgV#ExX0Eo}V&D~phg zRa_zC-zT#%w+F|P zYa1W9B(HMAlo-XGrYi9Jj7%<=E?oU9FK74jd#eH;8MD)vu5s=x8+kvMp7ZME5^ znX~%j(P_-o_9`-L6a!KEb3n&LIqkGR?_7g~jpjJ51#4+gkJdOvm(;V#I2=yQm%9wj zzUT)$OlO0aS0643r)=zVGz>5>k_?uzFD9?OeQ%YFbEMGJNYUqWR)2f@-m7%Ko(*oW zCw&}@A>FHCT0;65MkM`V^|{ae-CLhx#YySS_e#i;%*niH5(=jw%zR&~wr?$KDSj3S z(9P~$+R{0hntVnD&P@1BIlie zIFxiK+h*mq?c4mJ8WJX!aIlNG|2%FuR?D5`BO6&nI`f#bE`jSKX(d#ZEsq~Q_df-= zs^95wRp)V%as)(5B!$4&9SByu@?F5B5ml8c7c_ zU1EfN-(1fJZ=qH*8vIrxMOw*KUC+frie}p7MQfgZ?FXN!r2UnTm?!r5A){{_q1A^F z?tk%b<>RXUs8L-Z7`eSJM^h}G`%PfonwA#@iAS3YWUpId&LedkTK*0-y?%x5#n;^? zP8w0i6=)lSQN8Vc>-_W&@5jC6>-%-(u8hOxKVQTTs}itoBn|DUQkqn-x!h7I;lFr< z1$^xDUHqs>GFRPLyN9)c7qx;9w1VHTc2_6nnhZ^_TSB1U52|J_9nP>VLoNJ}N7S2M zm@L~g^UK&edtILD6j3{cCbTVQD6X2qBmL+QI}GR$jF9b)Nh;0^C2-pOG6OlSwpTD0 zgsDgR7 z)TAP0y{{{5VSjrp>JU|}MNhUS52|kaQz5tauGAckvoACiXzA{a+#DwaQmUKE<|I1Z zo4!}5n55eLb&-?M+x|cTb;k>Z=;D$>v=aPQHp|<&AQr+1hRZUh&icV>X8dpL#00Ir zU5Bnd>yNG7AxhQJ6C2gM!13BnpttmRTkEm@Oi>|t@!63Kq|9%lI;uGv6;uJLDSxa1SNIdI%%ZC828QU02%D?<v65NF%#j+&}!xinUo`fcA3JM(~SfHI?1_=phO;6~S6+Vsyscl?FD%=t z6iPT(+(p;>i&$^_XZK=ao{HMAR6eB@GHK6!Dr;P`QEZES{>Sys`DTx#p$B`{)0#iV zWBgO!H6gTA|7v=q_2Ox+CJWA24>>30V)P3Juitl_yzXx+Q$rp#Ua@HHMpy}jMNv(| zKBZmzq&RPcdt`a(=@M6u!oxlezvuYId%}|%M$_39KT!vEt{IFw8g+UC@7kizB7gAtyl%piBS5E9A>4!T-~o13#( z?WhnAqfIa`p#Eg}9;7#m?5t`Y&W#jkB)KQgRyB89=hFvMTvpuwW}p+@I+0eaLh-|- z_HkdS(Azw_+PNE%-`^83A1l{TTKAf>)QkAgR`W~}@xiR{;>HVTErQLKdc?-;vMN?> zh6+%oXXbWWvy#$sQ&!i`HIL3Sv^83%yjZ2;b4fc*D~izTkLFgtB#TWIFkXc78(S9Q zGKP1x#Kmat&}!q$64x-*Xz>$vPksAh?uy~%Dym|t4(mO)!SFU?kz+4Be)NfKpI^)oyy zRS+m0>Ip8(ox`XJvty|*rL33I(yC^bKJkboc6KXDQP#C?Zgh3x-CT*xA>1F-h+MK5 zdmWJK$Vy#M5uFZ$3X;J@$wt{2qm?*sShb7;(u>ZiS>cpxd{57dz z7jm<@$-GL~JE&2%~w^ThL(^{EIWzLi6L0-@}e~ZYTMt(`PJsL#`Jp zjjW;FDyf(Bl{7TFY(=H-F%r#K;O{&!}5eaWOaQ^5iPRT zwj-A2{*$uyxuof~bBy;|MPao9+0o_d$%zU^s>NP^SI*}-jI!_WA+wDJ?Y!7peekBR z`p{aq_ZMVylOhGm`oFC*=-eus8to+EN!-I;g6l;CYT;`O+gqOrxuvb0UARKlstI}h zUB}8T^u8pUZB=b-&so(HT3Z#DXat*?Y{48a&h1%~ty_rs32)yDK7F%~q51~;q`#+{ za3N&u_@Jd}4X+?&XH+s8A&(cqa@FdbP<42t=D> zjpk@FtXAmsJ(*KVi)5OB8t`Ys4lRufgnSw3{`t^Bv_VLAE)caJl~17(tg~=aZ3{NSvy3p@QJT zmNc{SjJcZqttP@pMlQWG#F|#hts|F<7yyGR)oee-H={2J@1&U*0T zAq&j)lrySx28BpHyhluc#?|&YSA2zjdIk5~45^*ucsci6fU&W8wJAKRlNch&08o$u z1hNVREbARwuVDgcL2<*NCIUX%CV3!MyH!-D?laQSqFI_yK{VVAKYbvJaAMpHtb)^ z6kZ(m4zzuh|2o0A75q6Gl=t0M2d?u&7qp_IOcp@LXaqa3B5{=fWYD=4P6NPu5vYj| z*y+aAHw8WT7}D0t2=RKa_}{ZCW(dn5GK4ABkQAX~=(?#7Ejxu5 zMF1UAq>I?mN0>S8`#98Kn}isUq+v~DG1|Q*5TwUO2K4Bp8;*udmk4^aNT2S7dG+^lfT7{h z=YJabB7EHZzXuj@A-%u8`$r^bHL+W)25|o$Q|Q?Nl272Cy8&7)4Qk2d6@l&{4NIv8 zR>WvF{!g|0G)Q+g+NlHWvsSjyE3R~+^!w=^!F$bRkPV>w zc5FWn1^WsxLmsFqO6vLdrFr!i(*b}wedM&A6-qJjksfMzw3pVZ$iyAhS&1SLL1nNq zhuFaGG>d3ru<{zy>+Fs|1;U&9&+;aC5mCTlKXcRXu0~+MxvdZv6pWN76ZQm){NFw@ z-^|M_x5Ovqj&u~znR3}GkOCv5o_9(&J*b2PFic=ghXa~1T=6F4A=MCkF3)v`f8!FM zoCsE35BK|mvT#)>fgOgN1w@c)4S2{60v+oEg{L`ZQlWg}Z?en@YlI-#+DfRV}gC(&xa_b>^aK?}rPDJDP`I6%boj~c-dD!@sJ zoC-__wA{Hpxdk=b|JS2i-*3qS4?y|r7M!O-K;-P3b5~zR!BA(plgtANdjG6=0 z&7cogFXI9bzCGwOz&48A1bVz6P!@Hnlm5dlT(jG?8qG{ZpO2f0RISt~McOtW18J2D znxKlD-I}e^D(XIgCn^Cio=SB5gPpPKx+%8o8K9S81%0eiBL&p*pCvk| zgFzIi?PtR5{2DM=I9^~o86>HD<&7?`i*BpD`n7B@Yu40q~I*w7D za{sZoSDHv`N@4#jE);2u9Nf|$mLSCi0}CLjn+P~JQ$~$vShu1ucEDVOpC2b^SHEV0 zFZZ`>s7z){A3RWkw9yVI5v{)l;O_V}po!>UqR9eaFad-CG!g%Oe;61BKg%@7{8`^&gz07L zU&VerdZx~WFkvZgR1MSj7i$gYXa{xCEZ{i zr2qK42g`G}>9!C4(u{}}Eg`7!1Q7msLRNwXp#xE&VoZe9fCL>nKlg!{AH9ni+p9nfR3*u+%8*AW6=hXt%JbQk`u z#}zJA_|_D=FzPWZsGIb`-vP_>D@}q_bIWd@Wn<*cTz}{VL&LO3R3~#52kK8mIbdjC zg#lTJ0ufOZz~Pj6yzRSed4~tw+Y@9%62j(A8H(f8M;Q&%pa(K~ou*Lvfbl1psSuNh zKlr+TrUP_x(ClSn{Slxm*=%8TdJ>EcnrtSEjKD}f6B^o*)Pm_;(Y_509OTTk`lA3n zK_O_Hrx#-oNYM%yOyjfEf1Nz4^bOcTjHcIq7`t$k< z(%&znc5CJ3Qulu(r|wPU*&>#tG1Jh(ULBi63^AxjRC{SSCfp4YuwukM(juf)FJPhd z3K8Wfhj_TFBAgzlA#SXGK^_rcFB<+G^O(iV>&ccu&(&PM_Y*ehmj=_n-%JZ)X9x*C zr)MJ|4IK(c(sn{C6f6^9wwgqby}jN$y4`) zO^gcoWrqeN$sdSGy;6A)t+O$feHX4$bg3?8@{AeG9KfDN3AkCsj zc_Ga+T*JXdJDmazBA=4r;?RG=;OE8<4fy!ED9d`cF+HMR-2;Q$)b&iU`BTz4cMi_O zjelcp<{LT6d*X9J6`$S>5|t&>Q{sd_$kHEE62v>4bxRS!tA3hiZyLoXQhsrWdeQMM z`*5Is#Yp6olmC$Xe(HYkrOnmGORD7#)#;8X8#s%qb9+D7x67P@yTf4esX(pS|^R{}lT@@>l5RopC#V?s^~i0Ma()`OHR*^!mDBOaO4;N_3UTOLi5(~1c-dDy>Rdb=YIY$w@%k>7icY&s$}GbVQxz(H?M9`Q5rw(6+TZ3<&Akrn#tolZyL#RDE;cDqODa|SCKGsV6tP16B?mFInnxL*$>{phTF4vTB8!1P9uuogtfkgn-%2dH#rkbvJ>h zzW%N)(bktdv2AYadjp3kkl)*iKQoo5t74|^?*}OK+mB*sjz-yxhRkI5_tszkK#HJU z7T-vu6DOplDj6A{GV^|&+}LI;`gLmGS%`a^|5&f7Kjso;$=mhZ3c$Y<;!;=f-7 zTz}df!z;MO3^r@GxlTAf+?e3)A3(iC;h45wFI|Z1`kVkzJ6ZAE)Pgx*{KWv z^J+(2sM*E+m?CX6cO80^{wjQ3?&xcr*fB*o`;Rx^69P}e*~z>G8kpWY9A_da`pb8X zHm`hhKI3qbS^R>Sb^L{?S=aBZSIuAr&&II!>0WBI;V|6}M_{8e#Y%K4+0G$pjJN~_ zPU^hm+VCocaIl%O+;*J(;GqzM(1C3lmRe+*)h*I@2bll*#o_zAowi>whflWPS+2AARy*h)%?;awDh)8LSN&cdYro9oN znspKuaiQ)ndY>@!eM_m-YR+nR`ydYHz@)y@KAw$plj&e`5i)4?vznvWBOc)DseD|<9*CgIe<{PLf#m02PUQH&n#&uA91O2aq|Lv&(E{&m6u-ex+ zEN8aMlH(rgjX933Irc607b7Q4DeLyE^6djPKAJwavRNE4@jh|If9Tc0@kNB_0g1;v z=c%jy#%}e%lxbXXH@$j@Y!-HeC>4pY0Ee^pOP^sg)&Aa@=m<&*l14F(%b(6ioJtc9 zmDhIdWc(@nr~2<_wLOgg^G$$;P0^m_UeQGYkDEuQF!c?x?19hT^y36xMVhsVA?Md% zL;NLKmtm02tDQY;kAD-epWZ8)-gH8;>0vl_+LWVhV;SAo?gw~~^ENZ46u?^4ZV+GCWt65dewdwncWFl3=NYnAT z1(?k2lzQe8Lu-C4fRFg8g-ZuA1@-d8Fi3c$&fu2tlyicZWfBNC?@P_yvJf%_!U0^hwU`7uVP$Zo#$)CavR-y^z684q8 zE4#|f8SZJGb)8wSN@$~(b`Xk0*iiHh6b7k0gMtNaKhc?y@PeNMC<+~u zSuf>e6gs|UQEGxrXic(*S|r^+PJ?up{-h7*4cO$2M4?}bp7E7-3F5V@agdBE#&>40 zC0lICrPPo^>hHKa`^UD|LP-b>|L(%!HAmg|E@Y`1`fmiNI;$j%n847&h{_A&3`~-}WJB zbHf7k08RCWP=CKib|_}FD~h!U-xzvNLQn&4;u+>3XupQf2%rHsML*D=btQl!F}8aJ zQQULWH~1UiFQUD0+7)zlE>bjie09#2NB;gROf3xl##%-j20@n$T)13W@BYC{`hUj& z9tXW+0g&-PJxAXCPmteQYCj;>%#izK4r6=%6!w5pMy^&@E@C7ndk zK>T&l{chE%|3FPtXzuXjG*w!YJhGDW5w7Ufdcs;ofRv*zo>SAe(G%Rghpmi)p#l$+ zxCOd4@23Yb#T2Mf_M$iO(cdZTu+LK51@|t7nthGOFQ>MNlAfaGMAF=zPrO_Z3l8c@ zMm&m9e&Z6Dh4)K~&*AT!;|FryPz`S=-mghoscUk^@=g}QK*=C2zEF)#DBj~4S}Ar4 z#tj52A@tZ-RM!bc2`TCcganAk?>rqjHc}51B!((De1ixU*%II%r&n!+^#0^A>lcm~ z#h>R!19$&3*$>>=v-mA&KpJu(4h_3O;a1;%FL+G;Qfzhqo`f{{XiiMUDT|=zTsPb* zb0WVVgfktyxpo%+!|SOs@`fDOB?H<+S&U%nY9o=tN{$;G1zqwo7oxqM&gzf3?aL34 zHX`_7_jqSQ=IjergjUez-ehfv~YKhh^2!TY!8i~ea@-lM&GlQ3d^&fK{Xan=nu*(K^%sA zXDCuD9T*aWlgG9<-`socFN?FRxnTxlm2uhWe=zNS45QFBovX&+ubYv3H?XepXS_iCSd+xEFbs@hd`4>+qh9h6M?CZZfI-%fvg zMHrYv7qZtc@9h72Pg%ivFCk;4uNSdi4|k^x1M#}W=Qb&A*MsXf-E~l&l)o_jL`_%# znPv`LfQV&>%s(%Sb;l4BIr;RUYIpg-t2h=y2W8s~K)`H5fEm$GG7Vwk_L?0JqEh!#cfhR)JrJ)cKhT6g7l!7H*rBU{*pbJK zunHwK!s?0%YJTxK|BYWzRqn!T(zxX<*d$L{wd*689VhX_kFC<2hR*c}J4wC>Rh93G zRZEC8H{BBfzb<8&&?&s8gUynyQa-Y$1+AZY@f;HbbV72urKu13sM@HQ7vz=xqxvu2A zqb@S^+mJTQ_in$(_pbl6v}@PlzqWd|g+uf9odu#pad*tZALpmO_q)aN{(*zqIno3N z=`8x6&F z3=2{GAXqZGJ?@x*?M=%fy3QwU1nZ=GF>c^-lFBwt(`fG1uSP2yyPCs#{O$aj3!>dZ z^n4cm?(MY@JzYAjXP;oe+LOYM9<4lzb01)@kEa_NiC0*~UIyG8-b5Mr6pxDa5C^+h zdaaZ8TKR~n`lZH8@)H)67)>0_9gx>O6Iss|%VF65Nnw7s#7;T?6yB7D3aAZ3{- zZg`Vie1d}_CMj{UnfY^o@ex{gX_XKU)6-9phJLTVq-}<2l%DM24E|$58jt&d$ zVVMzDS~E2SJQs}66m5x@x#ZjI&^I4=LZ2jdc4ChAZ#sJ~(`=Cnpdp6425UHuEXH`c z_i5me)Y!sHLabqm3z7czodN##Ede0L>bT-7{6v1M^q|BAGgPF(?;$Gy-+Y+IK>Nz; z_$^aXod|aRyi-D*$nJdRKGHkmKBx@g!#hlOn)N9Su)BhfK>EpT|Gm1qiu1i^fUZkJ zdvrf9!hOy9?w|ieov@u>ZPxwuR7hy4dLy-+`oqPg3upOhm)$JS#R(J9e)`bLOOD{G zW!Y(dRPl>hF(QZMmu^_((ad*D9o6$G+_aG>NL~6|Afxc^w_ppw$C{uFnSLc_MR7tb z;oI8wF8$rl)FbgWi|F@~LX?gKSK}SYW|Wsu=^BVCd~TRmpcL(jB_=s%j&DeCDNQsv zG!+5yqLGIu7;SEJNP&jlDAdn`E3+fOTN}rkNxM1>=}X};CBpRcSJ?el1LCZwm@HUi zS7)m{zc`*FQ4p%F6|XbQyJVkEAtPR8$1Ul_wIyoXX_QH&ayY)R&4~a*V=x+Npb|>I z&DcuwtH(AJqScr?n)fAA(h@OW=TXkF3S6uge4Z9@LoVca5mQc*p7&7h&LZUm;zLAO zz%$3IMc+bf_VNVG+=nHSNWe>V<^rr8DaofJp5$(V`n&x@Ed6gw%(HZN6v zvU02Rtk!JtcAt#+=(GWAu1D&PJ}+Z#QRn6dQKQ|vJ4VmRV5Aw}`Va1YKS@ozs9=JY z_@3{rpE3Q3b@4+A7W%$ZV)poe zp#?fZ@s|{`m<_p#zU?R5%}>9Ho|5n%`uaUaUbhuLs9k6jt;E83(=?|CrvdUB83w^l z0`t=j2&=NlLVTa6Lwsf!_n9TC;acEjgJcB(#O|iQ4l=*IGxjU{7KgST19(YH(f zS;m;43?z0K8PYh?k4z6?b%4gMS^bY}1&=;&&k9p zm&M!e)){UTMyjq7Vn}}^srm@aBV~0sTH=t3-Li|n{*E5V{x;J7ZKU%4&!X)E(a~d* z_UMDjhBxU&u&DsS71Y2{>%T2><6D@8uFBLpDn3cjKpks{vzVY)B^6v{9T_qI8#*#{ z`_D79G0xvwvE#JvZ@H>YT^#mK`)F4EX~$_UB>8{&L-Z-DU3RoCep;P>^1A47(V{kh z98Go;wYZ5XtRCtw$2taFR}zZJgGY#!i#;!5`VwM=KfV`*o-LN2+h87QFwp76UqLA` zak@15r$W!vjW~`igN2pt0u53y~@2w=c_hQvCu)Ca?x!!uCQ+3scAJlixWx~VQ|KJmth>nd{}P!0wb zmd3CL8#1xxFtn)D=8%TllMF|D31ikWr~HaD5tru5l<>JDeC_$x=+n~Agmi6X?(*G1 zk57duZNCPY$_h!<&Np_xYr!6sgh!isezmTu6Cr4<{{kL8IH$a3t)JWop4GA|9DG+& zIH%MuvP!odmTdMRRg{c8)65eUO;8xAfpF^F|E0GhwVRr!r;r39Ee!iw}^#LuMX>9c(8@-;gbempjG76F z)anm}=!30oBE6FBRI88P{CVc=I~?M!ZyWGU(!nXZ^0WNxkNl(kZ*CVmBJVfG1PB+P zhxXFkJWrk9QH0wE6X1WU%b(Ldwk!DIz&Okr6L(i`IFxRh(x4%Cy5PVnSO4>TcMD!z zBqum5)XFbX*2h}=jm#=PTcvf0zN$ERl0waWQ*Pu9;gdJEcAr#ioaRO1pBO3EwDu;H z7r-3Ku^jTqe#-EE4YP8p!n{COM;5E|@8ZPWv}^5A(o=abg{>Q2l%F5lXgfNeAqb1;AyefvZb4&`WkosrJedQA;jon-ZPDYjiR)iam(9a;t1&YNjlu?_Bqp;TmJ4wM;- zLPE`NoU{qP&uPBIA+s&)T<;6VgJQEIy>yJk6Qnk@Rp|-UwA*OFy(MJ!&15G9pNxev_d|wuyV4|v|)Hf>}SOv+Ut!Yg7HU@N2*X_8BREAC#&W|sbf`7qfXf%_Cjw|DetmLJg$@p799 zByFs2q(;B6r@xkQ=iSvy@ZsLgRCHAJ7)ISr5`w~c75E*wybguZ@!8v z*Nnn>r!?$%GpM9=TnQIoj;T)j3ZT>}8NI)%4is3IIl)vP3TBj#%0FhA& z)j47d2MAl!FW-wFT{?tKHe0GZH24GB`Weg9n&RA!q6Fhw$M}diq}8zXVoYc!^UcK5 z^_+Kol1Gt&_kXRA7AG0{-&A}n-N2Pf?D{Bg(lsV@P?z5om#XtOVp}|d!S5ZMedxQ+ zV)MHruj6f8V%B(Cv;bOJp>^7?-UwtCAWBjQ?LX-ir7L0a$$NHUR=M?e25k6|eMfcr zjHFRj1YYV~+J0&$nNb@b-;yg$X_ZH9TtN6TfDWKfS8VdZN(<*$zgKKBH=yFK7hQxg zhG&A&4sR^!d z78NQ<9L`5GK~`|RGe$f5K_0sLrLAK7vEBQ(j0wR{nb6DwprQcA1pkB3Xa9~38PUwu zVPDaBZF^(`xGro){=w;g@F#%GHh!`LL8PX5R+9?hxVfw2kEo+kf_d~V@uf)#Y*<{} zY`BBIr=fnHTKO9LMs(o1!2b}fOJ4~oU!9NZrUxnijAe=Mw4sTLtc$cb&X4Xr2+vGW zl)lFg;@2_1eSrD5Tf+3}kj*wbW7Ixq)E{NszF^WnB$is3@pJ{wBK$l-)oLW6q`BOj zZcWx`aWr3EkaoS6AblhX-_PyTa&z1FZ29TGl;O(P2$kio)FLVgMw8>qV3O2ND4Cy5 z;*i!q()?0dW*=Og{AoUjZF5M|8x3Zvx*4t(c!M9*q8jBLjN7+c8$cKItVzg!KQ1+? zTK?lR7~N$4Fl#U_bBS^&T_ierMmnu14y*jfk1$iV?`Vs$g`zSIedc`<>qq0*(#f{=m=3ZU_SFzg!aWb%%EZmuX+lE^derpz|6=bg zW8(O;!{SB}d$sEB7bQmZ9)4>D|RDsDRVo)qj z0u|b2!)Fv!$30a{8{OIhFS5d*c?zCX9IT9Bm=`K7a&J`IZy}%sTn$3 zpa(5z?b9G7JPv<@H=q3q4^@QfZqpBOD4uQh=S|)r0sY;A`yjUAa}5?~K7iCBeBP*# z&ys)mQYH)u6?%CT+Y4db`AESkf>)aZ2YjNkaG;rH7MP;y;1M2l)Y1#=+Jb!uDarN0 z^G%Wt5wtNEk^X{$8}i%oMNu@1c-0PZTn{|5?DBCwq=YG=iK#)Fp_fY1*yHNd%TLOPB9iiSK)!^N|bBtMn&X!HNsV{xg{fDOq z_%?5vJ5@}{lm(OvnKOsKzF@}^u*(!aBF&Y_hj5H7lQn{A=cL65imei)QV5Gw>%rCw zUqoq9RYLPaRY>yS#NqP=M9{-9Ty`ZZW8Sk~A%LX}`g%th@cxM`wV+N`D~ndzIjEiI zRXM6cRelzh>B8qvNUIKFh{2%2z{|m5i@?K}#n11_KWei+-n{sQ+FN{>&zezi+*_=` zgd8jB6Wz?=5P+Lnns64J`B%Xs7Mw|^6P&^05_CEyEad)@T(*g0&%=SNI$9DuCGK{K zONl`5DVMzYO^(gJ1TK^A=Ok7%ZaqdSNZHA=q&(oH$%t7Q z;F43k$x%!vpIAt!tvR(#O8Bes!vLLBKy?WFCan3bb7=heB>}Y; zdD`=w6^TivPMeUtT?o&d-_(;ZbGYbNNNy@%Ja{+pi~E%^`xD3BN586TwmKm~H;VI4 z@*7*R9*P^m{1Dak1%#M+FpO!Z=!he@y;!t1jMnxkZU*1cQcSv{nHIfHy?SdAZarRv zqZrGH`) z%__xuD2PlNqE(Cn0*4bl4~4dElGrkTlMzdkkGv5N%Rpcc6dfHJ6GP3ofev*x6b!mI z>GL9n5`V+P=aZXG@^*GhufT~lxWAAlwDG+>MV4AjdU4dHk5lM%aGW4~n-ahvgQQH= zNWk>DALNW71hZ+<)0^DX3tZeqXIM1EI~ZeN_a3pXvU9dl=iA=ZI$ zI$fkQ-=0q=L~is&77p9(8!QFf^uTz&qc|`!b8NG;I+3W=Z!-5}v{m7PIM!e+S1~I9 zJ))sEwk2Ju#1+`*hF-XMZCD}C)Yy%QKVh9Nmk2br44sg}o#u*+i$3+k=kMkDZ->sL z7W|2?iT=j5H39s@=kcCCf*qU<%-*{LKGK?7ei7ue@wLLwH!E94S9UYr5n<#Asr1MQ z)NZ1#6GTxU@nR$XiO8q>(nSoOgUv*J`I=wtepc_z)9~$s&5@=}0ph6RMF6CA-s~JB z#*R?RAnfdRl*YcYi}cBvNd}S_=BhHeCuwb18MJF%-47gxoHRM1#YH1AQ~nb%B8j=a z38{Ob!@%6(jts=QRzO2^{x$%>S zr(bNp2WQy5Dc*mFi=JhZBg?gwC|zU`InHAf3VVsdrKF)l6Orxd`T4tyW?aGI!Pa|lB*qa-mDm&-U5km_7xH1QRcM;2=iYM^S zrWZDyrG38-jZd&SpTn;aPL|F1Ef$<}$POIhH>fB|ZVp=YVVI9&wDtf=9Qi{M#Y8Tt zl+QAdtG4KQCg79pVLoKkZ0)qsUzL+>dG!SqtFRgwW7&}y8GR`~|DQ-A`DK9cfuaS` zAhsM?jS8eCivWwGW9d@|EEXn*xJaS}+^y7Ez`_8&xS-ykMNmG;6yB%i7*LB*Ul3uf z(J~a$2{vwwPMekvH}*(Cc5B`63w<*=F9sKnZHp4e37N`|>^q zRenIp<+|v-bf?vOqgR_f`S$hItp_rG-jMKP1c)dQpV} zPm>V;pAZqK>V`ZO`eg=ZLUV?8t}|4>QFp?qAk$mf7D~fnjC7F+2;^v8aGS`}#rcYp zGm9|D`0|=oMg?iRHzq6(t9D;6{VVU~MV~JU6-Y8QdY9r!}vpL%tKHwU9#zlQJiriE*OYY1q#kI(c=n=01rd-J|@< zP1vfW<=>O8J1@JrW2hlX$3w$oWj7*Ep%}4>Gcx4two-npht4NIP0xYJw|gXUz%R2r z)%*N zfT*C6GD0CF(-OK!vl%d^%bq^G_#Lv8lkD^krnw3YrX+-MTX_QGS7-`e<&B)J_v2wN9$$}>AC;x}LAzdM96cRgot*s^pEO;Zm+p&)ecFkv|7njloh}XiwCx8 zt(@xKo&jg`@WQgbZCV>Ao+tt$Ic?{qUsdt2{-#PRzzS2g?+49j+S@Ia@q5~Jf|o_hBuX>421;y$BOObFg-#@71pPFvUEfM7)}AekhWG$Xun;5{DJJ_)9_==8TIIQxouZyWQ2((SJx%e1kAVlr`hp+H};wne8DseGNUQ9 z-Jzy8WLfI$aXh)lr>8<(U5FBeAKZO{;XUVv)}x^@)y_Ayg zGCM7u1Zz(+>r_gy93gV|dFbKQNf%);B(`;^V z^edL$P3cyFuq-z5(2dgt(1bWa{Ew#p);mdkRJF>eRmK&Vf{oj@sLh}>eG}AwxNF*BEF z88VkFoQSm#^?rOg_@ciH&Wna=>71JjPTrUw^Sa0+I0DRCK7 z!IGt>0eAUZ^!dq<``r$ouQU2*T--i8=fn{lTyZ;Rwn3m$=wImI$T88)Z&01~pww3K zW@KLdSuiX;!s?jM$oyu1hoYzBaDK8+Ns-AR)!E0^Su^&XSv30uj__xnu04tfW*ay6 ztcx+l^j%ub9Y2*>7-S_~Xo(UVZB^AG+$|F zsI?;<+(;*nim^hl-v z;G-K-7FA6VUuM7HyH;>Zt;6 z4$6F0kHoDn9PRuV1e1*X3p#5%dYJvy-ra1|%Re8+1kNX~O>!)+i6&f4Nyj$ZtMgE* z&f048-WL0wF_bYL<~$+Lhqv!{2iuf*PH#0z2DUl`=~#P=BgR4*(`h1X%mE)Ke00Pk zLsjbT4Q;eZLw4r}a2DrKy_ zu;DEjJ?iHv`(lP1ZVBN}-^c9TVIT4{sm0L4PjSIbz2Ig&vG6>J2G8?HslKvt0N=7WUO>+iO~La--s&y<%UigWO3rCKeakN7e=K>;qFUrVV~7sUcSmIA8z7P)54g8|Ei&3)9rIuU0kM`4X zW^+!Z(8dZgK|nm2P52x0{zj*0pd}K7YfK&6DuXsm65IASw*QSCK!irFgZqp|$=I(z zAd61H@%%7Yh(53K^T@yi&J+^ayat6StWM|J$b*0g_iY?3Z!X6Su_&Irwb4x^SSr`c z$cSg|;Yq-7GJkQV zCh*mmL=9urDClE^@2^lyDXDSA@ArwPSJby<4{cIUp*Ew_iaioFg0rR9awV8cBl}@* zbHpCXs)UP;M1C?`3~v@cQ3;U017o;E5`6_Wvpex(Xe6?>1x{#m{Z+Qmee$=ZAqLTp zsgG|Q1W+zXJTie<4RnCJ?PS22&r|9eTneRgb3~0;h=#quGNVI4x&nk4v;t-Sd*ZOH##3^qo;r)-(p+hfMopL!}=l0 z9kX&(aI$k)MIKdX00JnqAPWZi^9>mRZ$g6ypuCGiNq-DHvX5obkk}Z+7_`xklz%Ya zCV=^dD_Q>1zeoV@Mf%VJs1V}?lQz004=pbM5!ltqHj!D?4AV>cZTS#D`G(3TMbR{Q zoA}`RPuOfc59UyyirC$Oi@UkcP&u==b!W|{5{gt2GbvXRT4U|k(`dtr?Ibw|6`lpp z3&l8KE0j6QcJLqs{*U%KAabl*ONCeQ4YP`bRWWD$fuB3UpgA;bcqBB7auG6-v=+Q{ zSkj9wYbWj=wMx<0>tU&ouQZ-K2o90+CS`auH^0{tZ*95CK{2OK+mqbHEZ$6q*( zD9Y#_)=RcG->e=WaHZkn(t6}2Y%ex_7BD+MORf$7w<7;4rfxSPrX@gGx#_KT zjM*GjEAY{6+@9f(AkReLL!BKmjG--Cr&IN@WEg7vOkr1&&i#(jU{LNoSfDTaR*W-t z$F$=|78Ln|K*%?y>9jM*V8nLw{KJi}$7o&nN7lqb=Z1pm4$FgF%ALKj$n7?kil#g< z+sKqCH&Te_Bdm>X2H%-Smd7_lyvl;9d=Jzh@rHG)Bzv4PS zJub=joA#Q$>h#_rKyGM>lI51x2L6yoXF^pwfb*tfl_qe*FEEm_Q(?{IqCNNwmK1z9 zvxW}GXx=uPqaW~|)rbxk>HP}K+QaKqx&fD4*9SxUttVu^Z@v4-0(M*TV3bn4hbELM zFVf`25p<#M5!>{wk9t?~h29bNHhYhZ^~gIi*SzPyTZzC_i0jFARCTk+ecw$PTIzd1 z$Jg~!BfXDyGm)LwVKcSxFHtl1p(s+$?-*E>2(e-=!NfiI2dUsLf`Y13elg3=YW99!AYcTwmK>TCw6aZUy^ z^)%$m*2F=e87qac0g*j+6*{E6@cG-dEqi#7qx^DkNIMm@69svY?_rMPBiD~;CAdJs zgC1;NqVDYO$8NMAE?)0T?Q37Osy1CGMU6z&(N;*X2RZdci3pn8s&UMcl!jS42cFpB zx4mhjXI~Y>th5SVKC9d60J0$sAREHx2Qxp3KyQ0{@!r^5d6l%x-bF-F!kv;9#bJm& zNiU#FC739|RTI7iQv`E)s*#MTHl8M-8KBe>R)bbk6uqr-3tm=}!|$5Y1)=j_Fs*p4 z40*^Ki5gk5&{oy{M&U0kCyR@YrMoYeO0||P7E4r)e(%pm5wd{!(jPBx!*fXpi4<~> z`A}8sTx?b9)U8!m^AR&lf2tti(Zj46E3NkkSEu%h-p8HjxC|}ti4V|sOjuzuntG88 z7$ML1&*Ke07vLHiS_zPds-cm77qq|01p!te!{ha@#~7P(Ab~^bdamnJ52*pES?$LV zCp|MK{0~NKI-*wUW@s6d_@SrDiRoxPvd@L-mL|O@w|x~T0VHRy&W{0C0r6fL`z`^# z{z8J?FVJf_yc16-@M|p{yS7-(m291)15WAc|AqQ>irWiy2k5&Wz=+<&ybGg7`KL~( z%`|;p4(Nn&J1n$gKp748rHwFkq77wU=;2TWbsA_>ogl+RbS?D4(58_vi~5(&VwjLI z4yJOY2RrIT_HTVwgA(fXn-xS2n>nmUF5WJbl!<~*$`^?s#FBnLN}@v4mM@zBmYG&v z%6e_CMIbJa_5vL;ipASy+0FHdm)t#4t;Tc!BZ=EzbV8VG+bcwsKn-6u$p(1>nKLwIJZ?<*<>fnRZXE)r64@Q+X zAxhx`yB{CcNljWkdw+*Q8%lno6At#5E^aY&zU>_h>J9n~LM+GPm2!oCs<@Q&{j?o5 zqwS-a|9J*qi$?6bXuC)AY0C%?ILMKMJP4l+THqiLE(j$G0;m{~AbfYw|CGE%m|R#1 z2%eaCP#^f@;Z&&MK?t0jUJmpUy`(l!Pxj>lWpB}7sk^hT$!kJ60A&yp z(yQqx5mwM+ALlH%vrC9Lwn9QYkB`}f&JqO`z7Fw#P86;{ogr!ZFY}SVP3Ih zG=B@X@*c99@qH;Rmt9a?Tc_bddWc(IWipCe=G+Hnb-tNwfcj}3if}U!XW^>zrDG+d zSk$dBvxZ3i0ek;j>bHgCA|Ys%5a;_CY6n%kn)E zS~X-qbzw_oEUIDHvg`NjLRJjnP68(~$;{WVaE{)0GQXUItr_|((62Rv%^CUv82T19 zgKY;(vy3#{5~h(+9@e*1pb3dC@>==AYvwrnh`JmZe`j4kL4RMU)@$bX4^EqJNh=NP ztWz{$+6gCN`@)c!oc0i;bzyr68t629XHcnxMO1H!-(dR9WMAlK%XMRa&+ki~fTx@m zYsETdFL+@rqMt9$Cf@U~#aAf;74JJ3kioXO5To7>UVtMwu>~DmZ~`41{H+JJPp>R3 z*bUs*Anu)V#k;(x%?&7m`?3Biu|8xF-6$)(SE?Qk?DX{6f)`nKs7yg$9=8$XCSmE; zxtA>6xJ6$z5ppT507Wo6vwZtMm(9jQwb&w7axqqhw9dxE zxyAw)(bhwhwTs?Gm9;C_$(gO|(S@zp0(XuH%zW|>D^MT0cL;_|Pn~g9fj&tPumV#~ za*W2EU%FZkoS8+M<2rMU0LGWy)jDP1_b>DpJ=)m{XAvDO(AOb8{J@&MWLHz-2Ch7 z!Z8!BsGDkGTE$3NQ|bkKkpLyuF# zBo9@1=h$4W!rq{`BUZ}H+kYIYy96f3xIb))$k+N+|&<80kLJ3|_Th zNGB*C{ef|4EEw^s>Jl>AAY%4A;RZ2$?3ZZhwBp%~#2G7#Z~05BIQtoB4wKih-rZc& zT0gxVbAU|Y%zdLA!Y7rxC3_fmBll^4m=wAVS3^;A+52@Z72XBGdu(;~E?;md)8l|U z)Ph`tu7MR1oP537K`Kg;E}w)@^L)(PFEn^-y-Wf84^e;pz?v|?4?LJ|K~|~j0{p!Y(t{(!&A?=n^+CX6k$GT*He0YT8IS=8yQ zXKOvM?;9#c)~0IAReT@alf+cO3|elgiCdkpS(zol#2iB z1%9@ImjB}gHb+U#{%z=jw(NqAm0X~4iLThf(dg600uI|Z`P4W4)W@V6tUC;CN%-%j z3Az1`9_SQ3u%%hshY$NdFQ1U>U6iAEd&r9%{b zR({!AzQLa%-J;Iz-t;uis{ruP{!I&P-nIbKkW!ulQ|Sj8V5QuFiMvfO2#>c(`Hkwy zpFxA#EI1Y_|Ka+DW&U;j_~uuRi6tp1bfc7A?IpfFy$|6tQRHGMnewkhPi7j5V`^c^ z6V10LP`)f)`|x9e0olNwt~qRKYYuH9)y4MxQp~7H(CGU%8=-RRLXN|uVDd?B3a~cq zoS%qg!p}nf5Dr`t{Ltmc=A6p9({G6i1ZT-)fU0%@L_vb9zwzd8bVvkR*tRGpiqLW4 z`&SCt{zlcm(HV&8c_18eOp{Q_bXiI|u~4u`t`~<1!Gzw1Jd&|OUf;xG{t%j`Ey;vZ z$gbG%CF_0?xSbNtlnyyoXm;iR6E$|K(90IjD42EFnhc0-QTai})W{F=XiMs`r+?#F zG@3rDsKkpHaJ-qBo&CAm`S zmW(x&Go_Lj`A{D1ii`6WUktA_8X*kr?qCl3i}X*8eiHo~)=-&v<`O)y^t?0DQWiN{ z&?osc4^%8`qC`~NZRyQcd;mG-Ip7uq)~IVqt< zo}@zzZG4B<#P9YO^tD$FKpakhrVo7Hd#Xr;Oys|Y~>#RpJ7BQ_lL!4(eF z^%hh&H}cufWmC>F=gk!>)`uS`(tS+Mt9|m*J%~;`xzZ~fz5NiGuq$tSdrcaRv?3fY ze_mi2r&)AK<;JF>IyonAc|CMUG=k5oTRt_|T{JlP@cV=jL^81~;EiHV&zFstIJ*Q& z77hbypHK(~kcJ$4#R*ppin>WmkfH-H-yYany-Jjz$qsD{3d@+5JQU!g8|7;MGW+9 z*X`j`08WgMzc8tBruG&G4adB$chga5&=+f`gccfP)ZhkAS3OjYe-$ZdR1OO{RE{u3 z)b+>VgIGqYiWW&i6h(2YFXegHX!_4X5y4~G7{#8=Y6_Wj{T5N)>_a<}RiWm+nCsds z>hrWh&GJ*cXrHFp(>JdC4w=bX^K@}CHnTfXW;641mbR~77efv`6z#4D5!YApDm|7s z!Upg*S$9Mn8!rNo#O3#IPhI@F);D@a&EHV|+w1#h@^R-ZYXzsrW`M0&D=ROLpn#IX zO|*_N8b_-fo-;$D_sEZ%i?cPSSHa1TcL<-ejt|dX{rp6Be=aKXxL6vP!Hf2Cd`45b z?BuM%Zn+&dsvz}cN*~9Bv`BVEDK=oI^xP&xF*CNq0as-P(FieAWNN|p| z$^tt~7x9N)wN%2>Z+qb|P^m6`K_B(&vd_fy);Un$Y7nZ{Tni{r?>evKbDR{7Ga_*D~Y=dTRp8*?{7?ly|{;W^G+W`1z6o~a}sTkWQF8(#Z7 zlUTtd2hFI#;Kd1fPl={T2a_eeRo84~LQ9q)(}w?AO|*7l4Y4)ja!Jzd8OO=cRQAbpaQxjGZ0=HYT;0 zEyjwOOg!WGcQ@F@CbXP*Xd5+cF-7#~B>u}B2Ti-vSCwv$Z;88u>-LnyOw-A-gj-F0A% zAUFt;u2@wbkN~gnVB775%S%_RcWtg|E6)KQlqCaX7`N|db|XI&VD^6pKRQaHggchj zcC$dY5s@reui5^_uDsWCMSG8i4c#ma}Hvb=F2G*K@S0~CKkf}BJ9M!|v|II$<#@%T1#({;DKfzCWxI3)44Y&b65OO6@*lnL zKYHJP^uGV-egDz>{-gK(NALTO-uEB9@Bd!CkK+H=dLPd}^uF7HkXaJNTF0 zXY^0KkM{p$y$|ib)Y2$KkXzX((gIuz5$#VOpUhrb|KBq*{|jA9SJ(3$QY-EG2a=8J79$F!$Z%e z*FzgS&U=-j&9a7~ZG$p?N6L&0csZWf3+(9Oy0Xe8%S15BuR5afsUn5f%#UB+Zc(5=>f*SIcB+$vf>7eVz&P7o4f9g(bMVg-9gQ@Wsm~FhdK>3A-#r z*MSvflSLu%HAsWm^X<-w#^_5?3E-o5m3qN^GifT!k<-+T|0mD;p6# z{3hp4+>pK*fkQZbw>ym@ z^>-1r;fMJ8NQWb;NChk>wV`ikCdjLzmnwR4&!+TGN<)Q8st(!d!KJ=zClZfN_jBK$ zX5GpHBsK!b&FamAyg?N}PO8Bw!_OyjQ(F=QZwuT}Pt^~8BRCOS;z9#v3C!HA3jzBk zc?>8`-?IrLT*V(hie?ecF(BE*q~g5AO>c~#4e@I9fmSwMQ6_@)bDw}4#bv#{WT;3`D{V~3Sdiw=t-9e zT3&{~h>nEzGWY+@C?|{3?|ZApR%<~2b5VY%)2Do8%!d8*rf}{LN&!mM`|0mH0wo#q zaKB$%pB;7$;%%J-lvW7s_1~&rk+TLxY{6Y9wl(@>aP;-xMF{)K2K`uI@Ge}4k>8k#KX`rox>6u) z@?>}h1zFN*01JKS#a56866G_1zSROX-E^$I#Uz$H~+zDQ7w)jcGf=+zhNP&S7{AYmqP~)rP zzgxRgayz^L^K-=$w)JH@Ao@VoOn4k@O~0}bL#v&x3hH(S=E`I@9X^(S4hbMvhdh2T zH~!#PgHbij(vH9}x_7)n$WKJHFvo(NnGpPwHAY$20Q2NqMnbpD3s1Mfk8`JhcHabF zjK$?ybi&_X7^h$lI3zqN)in>HZY&}U5MA*rHu^5IF zx>EAm5TR+T95DOfQ>&qY$K-V>MyqmlYd_pq6zVq$$1S2>ETSqWv6eIG9JqkJis3Se z5Hqg`xxCv2<@%|W2mbrAub4BHDUi+W&F6UKw)x|*^Xt5MlIb}0a@wgHmuyupsO>=_W9^iqzhjbPo@Opox#+WS zq6N_QLNn(VJpER#c`iceyTWzk7c+-%K-D}h(DL~wra$@Jq(z1X*O&d&;_F2}y;hsNO{ag$`DN7%8bQD+6{3i*#8V&f-5#H~MxG(x%2qGKd@1ffugL7Je>C$m&_xwlF^Z9VA)hT&E!-wO=CMZ2eQj$N4WJq8^U-&QZZ;5Es%j_)HtzV~j-S`8` zroU{E&POiZhV8Qv4PS7C-6klbe*f*<9=u?ytUrS6CBCjzKeRc1$+lU71Gcv@o+-f@ z=A45v52N?xP8aZRd%4nRc08N*{N8;KIe7KU`SDKt_xR`akK&>R$Yh^w1t0X!ma%i$ zVBG^O^QO*`vbxtLPPX@6$7=-qI{ZFU3lb&e3Twj9tv|jWVR*AF>C#?R81Qs=p_qsDGv3I?R`lf1U%=g_ zN5`pw!F5KDq3bEGS}EO9h0q=q4t&f|XKoc}^F~_b;fy#J2O@%M^79}79(_CTLoSd< zt_N?7(eS*{oAV^86hO^tc_;F>r=qvMpBJ{^|`E%LaA*M3E>g#3&dE3 zoko6_goTKk$FmQF_y_SWBF`D6sXj58oxL=|M`7>9>@5X=}hR46O5E z>ncAoD73J?y#q|JTHU-+33LuIDgx{|WI8$QBFYWQ8-wS98o{wrIXV@-FrUlgJcDPx zGRJ5oe$+#TKz?F*H+gQ)!T5& zqc_X6SV!`WCDnJJM~ADTE!hSdrX1)S;xg!&Y>|OY6ZcaqnQF>gLoG%zOlnm7xqQw_ z|Hp(=qlIl=%Aw9v?&g|3PfiQo0|wpRd{A{sOZ9B2y_sWWz^2q$I1DGNu<55ct%udX z&AGd#-UYxKv?|bJf%TR8wkHA!v&J*nz+ zfj9oVbTN3-ecIAnX=#3b`@-wN=e7H7-klXyP3q)0hzeCq;iE8d?q*|x`u+a+d2mUo z17RLDUpJ@F_3ql`I9A1SpnqKRdV_%erjmvjkvi3&=%0$y40WUDE4mxFo}dP;avR~_ zRf;92UVi%``OKwaa*oW<*{*X6Dx?x6YfLY1aB;1clLoqUxgsS9xyk26*M=CGsp*Wm zaiRTsM(y~`y4rE*hT8FuO|?q$8MRw3N@;9Fs6?6vgz^N9z{Ae(MH(gxstJ0E8F6~Z zd2xF7Q3`j-Hs)q>`m~69zi=9~)%5vsF-8x**W{XLQ=g!rf2mZG5R<@EQm(~RSGGK# zrQB>n_9;=|qFKh?KYSX061A*oPT>S*7OCK<{siH*7(W3{c^Z>x`>x!yPCc|6@+#Qf zMZ)(rnM=m_xPVipD2#zk75 zZhv9HP5mE;T`2T!*97pU_VZ&KB(Slp$cGxNK0dYS62J@#DcSb6p{uS25j#s4vQTpL z_a<2#%=QcQz903fMKSKXXd?IIQ15J(wLWEZwYPr?tqFDx^zz{+6(Wfj_Mmhk_+Siu z_o(vt^Qva~JH*v8F7oryR~iW+U@CDN{>)3<+AgC)*U6P`Wjh>iOC8<1bos za(DFlUp2tVjV?dMiNu25OY4Y-eyda~0}_{0ex>RRRTOK&%&8q$h-RRrlnYQ4Gser% zjZ>BF zjqQv~O^FE4Rz`o%gSl8mnBa>bhpSqWyL6_dxF~$>h2L)FgVqUkBjHXht?0$q*47>! z1h?EbBjVh=84>55&+j$04pl6$evijqHgl;RTd5_ja!~WtdH-(ziga|fkK}E)aVxl- z5s|(*-Cy0r$FUFInn5GVNZ1s6^*wRUR6ljdV03&fPfO^ zkG*KWPe~Z%O-1fWtDL&x;Id9O5vFN~6c}(>rtF`BT<3zHMh?L!4zYp)GUA60YQiuw zoDQrKNXe`hHmHF>F4l#x2RGV^tbtact@4W_h5S^YdS_MI&-^1bVFPFcf>svmMvF@~ z8XKFYMzrR4B#v7%tcbNRt9NY*+?kXbYlb41ns{by_zfgbgu4y9a}9^k@-ajq=*88K z%CgkHN|}5ZM2e)u(0$`0Bf)QhGB}Gc^jp#S_%11=*MT_Ag3%U0w@^ z#id&F1%b+(Og=t9;qwd3Iw$MOpz!xMYQw!X5aF|BNqA;YIA8}N9=YX>5G%o8f-?sY zBgz}!1nR$FR96}nxZlb*)&xXaNT)qZ?5)mH34h4CvzO-}>+oO0KrY+PDUAdVtA3Y` z)bw`es=$we!UZy1cZLTsquNk9N{#|0CBPKn5}1*!818ReBJR%7#EVK2y~tI?D8jEO z&|hs$(w7j^1|BlAs$|Axy%oZl)PhOx_X9a#*#iW!&$|yVVaWe;e z_SkjIGZb6tEk*X@9xlD)US*k=dMWu+AMf}@DDOJ98fxamUYbLFrw45*cX^hZy?wl@m z?h7*Xe|%v?)~G{9v*or@I0sYIEJ?8aq4p8M)Yp^0CF`NE`$SAoSG7hH>);g4w%oeAf1P zA~*5*l&&H@lyj|G6u5P*2h~^PC^1hg2)Zm>C}R!S+Y*1W-#$nf9)2tbb}&BTi8M(6 zvqSsAp9cPKICrvUj5U0BHW3D>vzg=-xPxpQ27}VG*1!-dK*p4L#926SMeM@la$|mD zwT3CcuI3&9pKJW;iRUnx|SLo(g&_(jBGY;XBa#(rQ% zMkuT`&C_5YoAViv$j@&3(VSI-__8c__v(RL2;M(!`TFF{{Xkpc5HYVvc;~0$H3;IL z*e!I@yD%$Q#CO4r9K7@n>ma_9KGqXaZCcbBV^k#{L&f-4!i%+p3T`AoDSMj>>XKa?z^%z=nBe2GfV7Ey^mXiKdW< z4gEhhx%9aq`$9EDe-geS$uZ>TN@T_a1ON1~AJD+R{w=x~pY{3g0^-cs?&I2yNnqHA zFCQE)98C?Mmp6VQ0V7Ni4A|Wy%s%9HLz!l-8hQ(bf(jpxmsAt;jvpD;_1}Gr4uTQ` zKVw|gX5$uPmb}EDbV^k2?T!0&{r)01BsZU(aCKes+F2u9do)eGrWQDLYgs0|XYhFA zdcVi_(#h20FjjkfbzJehnr0~fz?o*4|C}+#(l#XRhwRCMTkiWv=dLVVe0Es6Ao!Bp zUYe_RfAj7rCt%F?og%$*>R3|om07uf_WxKt&$dOKXoCHzv#KYbX>0AuWM5M}+0@vU z%C$Tv#>BMnzX&qcJBqR=^1@W2k96PWl)bed=_HGC)tnyb7G;Gc)eKOz?!zq)?|;dg zk-uU*cnOEz9Pdw4z3|a0nz6oq>by+*5!+*9kUwPji5b|eWqJ3A{hje}heZ}QaM4Y! zk{5}|uT8N>$e{Q~x^&s4R>3P{wa$?x({H)%f?4cYYl#!u7k z8&aQZyl+~5&KhP&2U?R<7Z#Lnw!w1LJ{0rX$lqvH1;zuXJy^N8{-KqrU#aV#mgjsg zwTdO-=>+HnFCKMNUW$2dw31WS<1hcj@0VI9nd!TCsH4GGtl~L6W1fdm}`yrbFqug5ka7acg*cH z=d<=EZlHCX`kDPBwm0VW;N9MpLBRTPmNRxBylhu@rOx+2Zv$+nSHr}OjQ2#Hw<9;^ z4_Cnbqy18#nEylmyKe@8aH1R!eDOu3PtUvbzgwq-e^g?=kZa75CK0JDMPr#2{@mRc z6-u?I3-8Er*_~T2;&>AK?7_U&H0}}m<(q_7(9Rdd2&`+x3hnEZ?v33A;jmZdGt#tI z=kLM>xADtYwCnaWkF?q3)=m32;eL(f!bMs);WtUI2}+BkbLEfXd>VntN{b$g_nFf6 z7XAwsOVai-f2+^!IfkUd0VLDmxBKzo;lHkRu%@?f+2{&ywbXPX?+mPJ_M}lYf0Tw zTYukg2H%HK!?ZnyahF>6KG^Bnay#%jB{}b^uaS`_0D8}1%#uyn*-_8lNxscF*;cyE zCAq7RF;2}~b+7!!y{Pz*qVSPH5m#FY;jv+cqQZ}{r=aL4Qv-*g;!68!*pS}yXIko= z+GbTxBgZv^qOU#*Qz7v0Y-|i3zPILAKuLL?i~H3*T3IO(-J`BUUyGouwac)4-t@cJ zoz5|UJ&{g)+|V0sB@i!1Y;UEx-5> z_%_@%)dLSb`8CFCo5#XekBi3=t;a6j*w}_uC<<@x$FCCV><&I?v<(uG)^@~?y?({@ z+B2j(9vNlKZZKh~rjAU-W@vdgFN%|!=-6S#zi#t&RiE^HUb-TpDB}Mi?Jc9?43@Rg z2oN+taCf)h!QI`0LvS4k!9BRUySqCKmf%4HgKN+M28Y4rPWC?gJLi0RulwWPwW_=7 z>FSy8w`*2+O}{O73&WqRO}pXd2>rX1&)MBtG!<8t7q~yuS^h5VtbCUzC+EwUBeMPF z!2`WQkAF+P#gx;m#4!qo99Qp|g~zhtedY$>zT~b3%Z!++gvBYpxK&ZEDS3GDU4?w- z5cWZ?sdCDXkqf;YtxNqLsj*&1(;&zSlS`H$sTI`Y$kmfEwBWH<`K4~=CAunYC+oIi z>m~jKThf1Zhpg>jII)S%^k5&o!R0DUhyR|c z*YlU7(M8rAO9JD}EeoNev<7JCa{5++kWH?(wDv~oIyn?~d*Va4+zK-|OUke5YAOb; zgMxyWI=PWEO5AeV;8V6P7ufHYTxquXsGN&Qfd~g)+4vv>p73s8nZa!`O5R15M8!8h z=Ml&Em538S{+ZFt`RZS0Kp-hA(=0HO&92UEP=7sb-stI&v95z7x3u&`JCldY@YH|A zDB_VxDNe8OTy7S}U+Jz)h-EHK{fTKFoLJg$qRmpXCwnP&qX4mbl&m}%%Uq}p;+8RF zOT%$93IH411dcmaRJk`5E)cu!8a$%RgE2O=H`F%_c0q$kS081LCM?$wq6c1Msg!3Q79baL5+}JS9ugCX`l+^S;}Qo>#;0qlYcl1TBd86 z)l#J!d$Pb=t76##z-@Opuklo$r+LoW7TJ`r&ERSFuF*ZuvP|bsw{YoC@ckw^3mz?? zT{2B%QEIG6v`VsfNDPsGPpfO4IFXW_Lk;D-R|`FPyPL8Zdgko=;iUHEx<=3%=6rqc zdOOne$_Lf+IMctxBuRA#>oyvX;{B=t;ROlTbHw5BM{DA%-H8%EPc0xvU9HYU5l5Hs zp+`j=ztLe4vW>4MdvzRRF;q>UMz~T}m&~zbA=9?}E6)^T$V5}5{-yopMmiYs%vUTY z#Rn?WL;}Dz8&qG+b^Y4GJC(Yzw_}9l4>76EpUjJ>`rDADwRl5GHH}4~*qWzehR50} zVum-`FW;dA*0GJIbv@sK%XOsR4>`-90}fHjA|l=u3K zK3e<16~|cndL&Nu32iP;)fZ)sZQ6rsoKPh8$<^4Axk4I9anRi(q7ya1<%|=P zd@1sIvtT3q!C&c-2GYd^`L)dQRL!6z&#0F&>`bB_gaSZ(C2+KJf zh2WP5?k)MQFKFq=Gc_=JPZI82YP z%1r$cC{rp2n;HLO`;Q%CjVWK&i9BI9`8GU6I%U7AAM;a-fVtE* zz4I5M{lLD;n`>~7XYcR`c6&u?yY}V8Z-@(bbQqXWqoPZo#T-uejRG^x>_&39Kbx1LU( zcjFxo>y(!!}yuMzKeS!(`#pk{-iZaR`p&6)U+Vo=$)y|$~|gS(xPFY{YmbR@=d`{WfpOlq}>F8pUu!es{Z0`&>=?$`L-%fCm3#*u-4S`qL zdZBWJxdT>nCcdd<-j|uK#E+JVHPmvJ*20jTCS~?21~EoPX&a621Mw$v;QqMrVnr{J zn`A9q`7#{&gJyNTgF|tKBevujsgOo<76W?9{$XwXsVL3vQ^g7^#bkzj_PE2>`yMT^ zAzDEqP#VPqKD7eeO`Rm$G@U~0tJLe;VTio1NLTXf_1AVzkFMY$gXp{TUfD%L_v zpBX-LDsc?EQqY*LHga1XY0%UKDbO^U16N#5+M<(i73?9}ho^Ak>+T21;)i+DaxzbV1h~Gt~GA0+6AeUHIh)k!yvyf zS9$3vJGEqO!p1>7x~VjSa>773pu-^9P=Gg*Wpi&x)CBOZ zk#ju#ee@t*ZJ|!Z5xlK$(lT_3voJEL7Kx87Z{k{Td$S*g=W8OovQEcns3zBWv_6ZY z_`>C`Qs6yfqnT%}Er~mLyv@oK4uZX|>A%~)Xx$rqo~5pOKYE&02XAq(Ca5%#+1urO;OTjfld0}j|V4O zb~A!4={;p5q4zvlWw;t~`udXSsfYWx-|{}M7eC+K@ znsXQD|DB^JPoAKKAFT*lQPWOf6?JWOS>3%Rbki=ccC)%IUB@h)kH;yD%jt*9`TP(1 z4wLaX#c@IFOS4w3UaBPBYtDH_T5ho1{BVCC@i7O@->QFO>5Mo18lgY#%QD`~r#O`C zcFrY1sHf7>&smmq;Jf~;FP>JOj`x;4z5-c2c`^3mi~?FKHcrRewZ8T)YCcD*0QrZ- z0%;p2bV!jJ@N-|wpDy|s{bAk*!8ahy+{UpahtTC?gjVO!at|=-srM!cYl?|X$OC!( z$3}nTHnzidt7RmO_w>NIh_YT8FYvEZxN|&v8rfySpSslBO<{dmbd_S~L6kejZ zXVanZ=r8oHMd#dM69L{t^Po#-z4voOI603txZASh;Jf;*p_mZKob`s*LJ(o@W9^aT zVshRpr+Dr;;2qFCRff$2a*;+-zWQ~)7ro_DJ=Bk4&dl617hC)0%|y)nn6*g^iTfmG z+vY1~zc5RNC_~dl&rl(Nizl%zsJ0Jnux=KbZ$M|}A9gv9y=90nq|xcTzM2D+`i{O_ z8cBzmb^pSUmgrPKgy*;6{<3;9(Q9E93M^``(q9hqB_5y%G@$#@ztznb%N4UmKkgdb zUGY|%DhT2IXn?-+A5R0yml@;`VOU9znfxmXj(;yp>94A`>sCOaiYZQ;w^d z2YJUe@o)q42D;&-p;+RN8Q4o18MZr;wKkPUHjTxUS}9zfAKb1bOEz>?4{;)&wmx{p zz4xo|ieC=4eIq@uphQii)47o1Yx?Glp6mU4Im5ihBFY}^tQ=Q3+t_nBbG(r+3}$Jp zZWX3!8I}a#RA09Y>$DWh3X8NB2Lk)F3AYRY9*Y}+W7?CR$do~t)nPagB^{ZGFSt)V-<~``i%9=tn%fi+v)As#{RLQ8uy_sTvKd zOp#91mhoqWu<77>>m|KssmQu4du(Pju3gZmpV-P}eqlG%H^7z_%7`j&VLA5P*yF0l zq1d0lWfN{B#L;Wm4LBVF&4!TLT*KLI??(&*KIuO1=*}k$n{u>Q(~ocV`vG*(xtF=E z3fktKgu>4EVuRckd-ttvGt(|qnXCjR8WZOm`lZ*45*BQBYB)|BpRFrJtTNV<5POwk zO$EiyWXnXZ58^^oOMD7E92Z}1E37pn&;n;lJ&Eoq1%|Z+wHJOVKmt~Tg)05fypLR6 zm|F?F2Ez~@9sJYq*hqmPU<3hlCH^ng*7w3E)(NdXhgT-*2OaY02agJAlVo4V9T>qA zjlhcA?r1E;yex$j3`do0kxbhI$|~sB;vmtdyOQ+ZBTBTeLnQ^?*wUXo#=3S7N+hby zr3sH^H%q2LBl9}Bl^FnRU-MenG{HFxg+OP{!ES~eY#zsNR^^YKG)l%afNlR&-g$N5 z1O8(I>f=TYd(6{Zc(2;5TY?AELt2@F_QO%ld4aw$IW0x^_pWXqQggD`T*is!xxkAG zC3FH@6z{IEOG?^bTN!rlf6UuxQWfK(KH_`IcIL_(+k3BojJBHn7QFwXM31@R%@fi) z-_)&fAQ$ld+940l9%ZI~lGdU^eIe2ogWvrdN=9p&>Tw@j2WJ;l)7AfXmp3uC@Tc?Y ztU$Dz(bbvmReKc0xj%{-&pCOjSB~yQrWZxxvX+{Uzlyrn>_72qKP)K?-UhZ#TObnv z>|<{vy^vZZEbj4gcurH44t}TKY&d;&y(p%9bzB-wb;q9qV#wp`UKULG2`0YTihr&D zdfKHjR`u&McehBMDj-*{T4agwM4~n13?JQxC)Pl>yGZGK@^UdtiM+R6#r_yw-I|p0ri+mwULooK||ST^iO9J{uJi zxoj=V3oaV(K{$}gmYRJt8=FT#bv2?Aa10aSPc4uIRkdZ~PCtKet?}Asm4Lbnh>^E( zZS$g;($n(Y1lHTNw96f7^5fLJqQgZgqKH@oX{4@NloOM_HRX1;WrO?6UbDO@X8i*r z-LR*z>&r}j9`9J2nO{MW1>uc;|3A;ftM2w$wct^u#EF=Z_VX~e?H{EiiytIbz5}#l z+|Z__^;hGX1iXuKdM!Vu=Jc!BKh&h0?)0qHJ$koZ{c+gzpA|M{L!9SJcgx+%{w<(- z>?T(H+;+6{ba}GTirU=K*3Eli_x88iYVC>@?<*yZmo4eM9H9w%Mus(nwU-*SA8tt$ z8riSh^AgRac3asqC%Vrgg6XR=yhlz&){)JK++P&j-mCzkrV&=G^PO_(*o^j@kE&UXmVy3KW*cL3hBamm+Vsmq%sdC9I|*&ySL+M&jG*J9U1n=0+K_ zq6=}Zl$4c1h`)Ie1)5n5`NDY!TbqmqGItcRbP(!27jU6LaP>pgV6POK;UV6`! z6=KPR36PlgYA2z%bm(2O%6nK?I(@}L^t`i|x8}3DjM|(P=jQwyApJZz(bv9o(=j2~ zg}FF8!FZwqxNb3=qigeabz_@4fi886_7irUcJQ>`zVsdqc#Wyqo@}s^l?B-|F^{F7 zf>6sz3ZHEvzFikc;G6JM^OZVi1y%^8emNYXNeB8PwOZ+r!?ih*!$9@rKyp{++SO& zre%p4x&;A`5L^>}MVfVyNduKFxnie*)%bw^V%s#+WVWgn!9HBwE~}&AO`p44r<W*bKQOFTZ3)??HpIHw~yfI zE5MuPSJs^Qu7t|z{x`SvqrZwbg)8AM+0-Wn|+%)GUE; zMIn-O)BKnU&C1wV0IRAC4}XXpZ*Fl`uaMl0lK0GdQuexMGDfE>J=yvdWyk&P3FoJp z=GK8E;F7*<1raxpH6OB+qUe9?b#w3Kad4YsP11{11L>87z{H&84)25{uQlhg)_E|i z@9Z&&A0W4G{2x%cre?}$cWkpW*Tqv%Z^nDo$yN^Cy=?5}vLL5B`0?q=!+lz4OHnJS zoQP}M<6H6{df?5pnu~vN5xE7Ow~s7gaBOng?}SDye)U*!nNiLCYGGMj6cPsa0?0)4 z_mj{nhbpbS_{1L4ysnu9eP!lfXhkX(|&dM zFY?;m`+nIka+EIA6-i^`&6X2nEq99U-k1Ri{an~tkh1S!O#>v-i|84#Oe?8Fs9)`h z4v2Ui@ET|w@k;0R?#!>f*%>%~H<5HAoJNO3Nk>k{_FvRE003;5Ir5Tucit_oObo9( z2w%HhPA^W#nDLU8@T@t*R3%Dlm+Q!Bl{HMV-J!EYvQpCKf(Zd58daEN>qh?7ms*0u z3s}44@}9I-mB$!MKm)L8h8A`Hm|AS(&sJDMw!OSLjA25{uNxp_6RIdrW>y`-qtyGr zFT0EhTFrl^w`Jm^y?kkkjEpy8Qe~J3SW4&9Fyy47D!92Fl*uQ43L+KL7LQEo*!p;_ zMNj{h5SW;Y`Eh@k*=nam<6cv-Q@fn9+R&NUIj_l4@ynEn#N9}3rgkCA4a@DLwoO}K z^+QfAZY)n!)G7#=qE>kKIbvfRG|>=Lvf3^XRYlORK~`J8sID4Ka#UI`?%|kjJXf1Q>pebYSch)WJX1 zShR0b9_`>c+de};64j{KntpQF`u(0&&-i1Z7iUpogB!+MgoFmScwNi{k~G|1V)@9q z?~2>u(ZHrGGpKa}-urV4{mk2CfYsq9oJ`g$v|Z>Ms!rnEJxHOjb3ez@{Uz5;k5p?6 zfZzc4tHkATyf3nf#HsV{hc@_Vt#UAs|4|7I8%Hu$~i21*rB;h)(ZSl+qN11-W;2dz63_1oGr(%&9r(saH@`6n*U6;ql;7tPayC(SH&Ih`b)4MpMYX}~!s27xlv&8t*QlT6>-C9r2V*nMqAkyS%ou460XjGAiKB{qp!N=xg?QO{KT=|W z7`XYn=BV3D_8O7C6#Ndc6#O0*a1dKjKMIM6eiT}ftB1TU6!iRwHWJH)MOR-pzosuRJlVJ&+T7~^Wc~JV4I>k#XF(ym7>KO@RT`>7zdgGvSr8v{95cY z6_E+bTG1|*ro|dKR5_LS2bzPjWsK7QQ1NzYz!&DoD`5qU{KgAM^$jRbRs~6tGt$g* zVIn%4af#6LO5@|?!xrbp$vJ5n+*KFiW3uEt$qElj--XLEN=vGqP;OEqPFk| zy}=*itRtVm|Fc*(cmZ}_SSvv?3ii(<0_LX+*f)D zQ#eY2{9&;Cn+E~?I|p|L>6Eu^o!CXA0V{@)n7j3;*kPtRilst0tRZLNTH*BX1H!j3 z{=wj2Mwcb06nle-^dVd}o+m>#-c&LWo9aU`eggSmI2`PEBFj!G7Kw?Z{@3W5^65W| zFj79)1|v$A1QSxcQDZ2O4j0vwFUO?B*bE*2R?HkAtNSLQ5svahMH6p=>|nSgqck5HV*ZR!!v>FV2 z|I<7Xp>GDulO>zrbVQNW;G%wtdNhu+Bf2Z4etlJu5h=wQM}T0`F-nyzrW1-osA&c} zb`;e{te%1e5yEIGixP-tBleN3FE*AfMAjWKSU`=aktpfi+9#h>H`cY2$3I?lF$kRf_NTW34-CNy%Pw6A2=VX2?n?@wa$=Z_`QCA ztbT+yT@GOI7hC*fHEN7e`a1mrFBnZo>lyCn3?*VxDjqA#+fr%QVRBj)mn)UFggVr| zIaNdmYDoBGI6iJkxJeEsdo?FzsH^lq{T&{AwF+e@RPG-l^Ad;pne}gWNla#^z%L9q za0;#D9$bilGV$advq*t5wwWsNi?RymUq_mdg6eHYonbMdW;p7*fHQLS@R4BBHS%wz z_z9eUJ2IHCd4BvZD<7Lu5I6ixhrG`$05J~!zd&WoqNyfDWlZ6STC{Buy$g2HGJPb; zKYFVF?!@GgveK~&)%)f}kkuGWqSQjllk07S04Er5RaMO~k{H#v@INaxF{=IGe=_Rx z>d*&1hzmufZvtC~a;h*$8Id<%mtv5nBAbvZk-6kaa%@^MNJ=V_ubPI0ha*W!GSB6R zwGSej2r~qCRAKCedWC-EEd+&2q!S^VIM4@o6ku#i&r+kBTE-k=27d9xgNwryJ8S;{ z7bhjwZr{j0l}cdK7Ek^NNH62}a|)Ufrh}b{GTz>drhvih9z?Ryr8Z73$Z^riRUYCp zNRD;dD@Icv%oIEvBJRP(5ImeOt}_7Nhnnn-E*pf?H=!Pm&%vJ^PEmxl74Rd)^k0bsCP*@Iv|7>|)A5@rYITyahL{Vi!&AK>Cd1?y|LX;u5*Xy=Y)Ae9L4ophJe-(3a)#Spyepv<$1#-xi+3T8)ZzN^&5Yrq z6mYxKdN(k~HoaWK6U#>1Rc$zT{UZy9T-gQfA=i?m*Wee5hWBNHN-QzN&Jk{p@vhPs zX@7&w>6vo5FXO$HaWT#jB>)%C#tbOi`8}$6yIod)Tx!{r^>CbXeP>c|yRmkzPyt1M z3i(9#O5O6aqfB9%V$(N)58Wr}S}O_rbDPzRvF1v3UY}4iNTnr8pG=Wnc~c&DDBLb! z*-RM*_U2lbgf2U$<*xpP_YJ?FvRw{jo6{y3(qbjOm$JY91sRgw8FK%{u5|WT=14aw zk1<(i{v(s{RSY}ZrP`-@y4@>>X40_wphA79lg56 z<`5DNQLKX(FSWXeKgbX?CrJV-#=YYCw2%2CET&d6?iKAc)pxlo#4jI&-lYFec-+}9 z_z7{Yqnx*etzSaBbdA=086f`e%rM3E?T=>@CAvO(7|GKDcFwmQXw2$wh(=lya?+^>hUowB@3QovuVGfHj=4vkSRNruH) z#M9r5i_7lL>_|w1?E(A0f9j50xsY%OO@vi*VlaD$80wbgvO;D-7CBzPY7LdNnmLUy z5ekrr#t6Sz+!#Nr9miULVX@g8qE%OF&R(TPs_BGi!y2|}ZO#bNA;m&7;-ssUqLe?5 zKv1P~!pTS0nYD4_h5Uz_D3@N>=}~xBZi8lZPhU1X8}D>9sEcD_kIcT!AT?8qK6^N+ znPKs;4&DL#ywqCkvLVtvYJv7E+LcM&a{N`+{rVR4-w0JkY-$743Pxw881eBK*aHV{@e)1!!H*EBrw zYsqCG>&!fN!spOlX8EeMXIY|k>g6-q=}_JXQX0iV8Kfj@D|Wfw`DI)p5-*KTSZaz4LMk1a7vmSQ-6a zW?1ZVQ>k5If^;60+8I)Z4?@-HlITpd)BTZhSM{bJgr?IaRk&ym?sa(`E_ICb*S&$CJB-y{v_92h z-@(Ir+2$6uD&Rik7~=9&)+KD1=fJJ%lIUWWH)3~i;N#`#EtGdcn02=R-Y6S`fH$ty zGGooZ+r_Z?3mLBWY-{`uT&VEb%sVv(x0S`ECHV%GrRW$&1rotO^~)mC!8eSq=0Z>ad!AW5uNIAcgT0o3^azDD-Xjt*Df)nVUJ?KS|30u^Q z`AtDQny8Zi*iHg!-?vR<_ZsyWiPWH@-E5>S_@DZ_d3CQp?`&_oJom(;wisrly(bg! zIXtrs8h0n@IXw&VePYY>_49o`hg>z%aA2O-eWcwo##9{W-Ak7R^-t|SfNvHKwxO5) zbAyw+7gGxlH_!uH<7BL6C+8y9>J=?7ue(QA;G^9}sNLehF@j2(f=1alzlYn6DYl21 z`y6}LvX?4tXOZ;Yo?PJ1-Q9)VxqY5#eYAo<51`%Q$F*;TivmIS+Uz-@lezASm6mww zExqyQ>$T*ikEjT6@UIQc#OtN%CF*6qihd0S_AXi@m|F|1LBO;xa5le0h98|rMKs*~ zo>q7B9v&~_{Yg!%7k@Ys^CLf!Zt}*qc3Rl0Y^Q5v*Aj09Z(@|;hJ8Zf8#oxHn9P6g zRO=cZ^mW!qj5yeRP0T~Pi09Y2{0i*)9-2>0_5u_dij?>q@KOr!gM3`{*U$Nj4?%@B zIfXSO|3=0tWjA%bqMZ-5>s4^jp3{9_HYh3f|DqFY=n2NZ(}NDI_#=V`2eK9S`^CO< z`Oey!DmXwlWRZUevDsDiU-WxZIHk9@d*$)*-m3!iC&uvk?%~R5!RQsQA-DD0DlsA* zwB;YRzY#tM@zfJG2h8Q8RkP}CwgO?gC?3@o>ngowEtcg>+ z1+G%1RMNkTF_lu=6rH90IbZaD(*BhG8TsWmO?HXiM!G8&L=9D=2(LXrflv@RREeh* z4|VR#Uz+{Xtq=834dPTy<8&2juj4GwKM-+X=z49+N!CsFjh)QwUp< zPlrSA5Uax_sv9Njvn4cxBs9|{G;1Wd0{f|Sc%<86ieXo2Oq&I6rDI|br{%Y=ak;c+ zg`T)+q=@PqOL!O+uzXZPK~cmSfh}}s`oYBjgT^qp_6C&PE~@Kk&`mJzH1b9(>Kk#R z=v*Oj7_(}Pl#`kjDxUw4CXEw2wkmh_=koU{9L}@#qI22A+{~&K^fG8Dnk=Jg{jiTQ zYntisB21*Qxm}6Hu1JJA9(t_Tl2Dv;Zve_NQ<_XrG%H7!>fsroVEe^4DQ~{<*ayg0 z@Da7b%F0H|GMQXwR$UXa3dSwhOKws`(bOBwsDdB$s>I#xTAERW<%!bIw^f@wWl?RR z2czP(cRD&6vXP}NSb8)2p`UgBIK}i+IrB#8J@@mz_5Hpv{J6qzdyfWfI?3>pc(P|! z>@D!)sVv=46(Pz4IhNil!-IqP3(B*QPraT!1RZ)~0Ww=zbuu*!IsZ;&3gh20cX=jNuXuihlhH! z%g4`vEf1XcdFR{xeV4(8u-~d_7RQuK#q5Hy3faQcP44aX<-_*nC4`o=<+h(G+krEW zLmqx3@3|v8*NCm`g((ghH4fPx2;Y>W?=E^DUPBD_ zeOgdtSJK)Rrm}zw18m0NlVit5$jZaPB^bH@@_ft@F9DR3Na=H#LSHz@0IV}3d3nM{ zFMi(L&(Le9iQHvuuY1p@fxt@_IQZV)b+G^YxTw|a2Hb`*BUX{#TG&`Baxy%q|MTHy zMZ~|S4Oyx1EPCEf?>zHLDA+=azgpf-uO?+Vf^7oQF* zOYP_5$t-fyPVm%1(Px32kT4=?u3#=h9a7WwX@2VmY<$EQ*5^up9Xf@JY59G#Nh*YZ z7=ze(t=A_94h~{FI`LgTd4nJ*bc3NeKsN)WyT=zY-7UCgxzd)l(tdw=}V_s8v z$1WxCrmgLiUj1RqVCN2e^PthpQfsUrXbgM#k-f*Sl(NCUyL$<$U3IB(L$DvZQq~oj zdaOhlW%?Xb9yqpJ39w~gm*7>V8lAwi!**hF@#^SWeV&ch$g$aD^UpDQc25!|YwdZv zBf2vpFyb&0fLHb37jt zX~5$Rvz31K@qB2n$>=?1Lqd z$E?SUN7cFVcK6V`7*{41y8L>F5(`A^+VhdXqT$(F`pX^ib>Fp56Q-wEcUFf1JTs3^ z%abZ?Vp~EJUl1-LFNs*cb>2a4#=4PSx^n#eR%t`fy=O`fKW$cS2Uo>n)$%(u?84#H z@+-H)(hlX2v{mHWz0~`FDXEg0I&CxRk#F=Z)lAmp$2b|Q^=&e6LSU7cD*RgsL+sib z!C?(y%#ry_Y6D2lSAa#I79En~a>MQ20koAE>c>93|GEZ`FGuL5Y!G3it=xxUzO@kH zmW70xB{4QpInjY;O%9lLMPA|dDXR!){yndC7*TWo5>G%M!{@dW8cyzznVZ(-~! z+!wSla`-(2zSf=vT>y3LSEmm_Aw9LgYQWS{A9{E{q8wG?d`3lytEH_?PKI9PJ3K>U zK(eK!ybh7YZ)t*Nwag3#6F@R;wUQ3eAc7C@WQdVu zS*ps^5zL++BlH+groB+yzF>4w+@kzMhx4cWL{Sb&C+H&gkUn!U-ea5kc^W>eIV^5l zX+|-8-+p%y{1iHUCR4G0ymg@Gz4|hI0KMFH>9tP2b|R3i85Os6#rx~96GUKBzD+>; zaQTH?(+YwmT0S{H_FXmSU1gK&S8`1-?Ov8#PL7poQ3JuO2LORvIrHbWpWh$I(*lcM zHyw8x+)7^C@9Rg%5hRR8%v%U=r6$8!j4cfWS($6H%X{eruYDs>QDA`_IXAT2k1Zhm zHhv~YE(}mJ;o``|2M`?N9}|$^lh}oB8O_Cf3Hl;cuU0QtU-L!a=XKy*TbXYTOXtnC zOKVGs;|Acfd4FVekHsOx$M61=p>nTs+G*M41Gwtg&(*?}^5r7x>634AT3Ma9m*D;a zXxHmO0L$;?v2nE?fHH9@hJANuVRNx!%(DPnlXF=m$f$JF10s}cZbralsS%S@r~>p% z8v8#%!0CqweG8rkn*P2bNT z9XLN&dZSDJX;Mhv8a22sXnVCuYBxjY_C4J2o>itwu8pu~>D^AoUef@iUlI9jAmODe3C3)C9d>|fj+|MKEWEfYZOq+0 zKH^-)5Sei%>}x@{+qwaY9*+ec#~E8KR*?(2>_*;09>)aREmqMBxowDF=PskGa;*%Y zg6N3lgKo80h^>pf-@pHMwI;skrXx285Qh1zpdji#@Vw_1fShiKr2e{wUR^!;HY)8x zG`yv27{0Nc-IxCuD|#~(hb*{8w{P%A_!z+`^$3*?UIsoY(ACfJ3z`8_^=k)8XnW}!OS#bZTsYd8anfu;R(fs9LXYnEK2C@r1jjE9aB~S~RDHDyWc7j zk~xDquo($FZGgsJX87KK=~hC8)7W!RY^dL&tqU$$efREwU*t^BO5}hJbvmOF&midE%ae z%U!~(7Z>d#m%ax!QVy)gbx{(UX$|h0c%AN=X#+4m3F8ayTpyR4%X|;t?+zafg8{xC z`lWY3v&S0s-8Ol&UM*WtW_N0%zaea)&KzVoZvLoc00M}(|JH3ohF%_eyIV$55A^fT zQLb|dO^LEUGXP+BT(a}@#$H=f9xe=%Mn;2Xq`eAampS#`uamK_TAW}nukShT;T2< zz0QAP>DFO2C<#lW@#)qwNk1wSn~UTSwP=^8snZ#;j;%j@P64#PkP*y%o~1UZk+Yx- zv8eiB3X7SDO(}7#s%T7GEK;=4G(U4|Wmtzvl?+G>fQGJc7ZyYsyNKhJ3fXWZr4VdElwu6_Hz@mE&>qM&fhxb{w0rmB4hnH{Q&p*QlMOPfQFtFQL+2)2OsQ!!Unc%_!$Adc`HC$=QC zlufX#8&d{e9_(Jf+dkI|%sh0oPQsn;TMh>VN!I&v}1!(s#i#M80*<*0*BO zM}Bouc$;3UXhoos{fuNz_Ab^|&{u~0u&0PHYhyY<4TN@DWCe?=ht^P<;6y0ZBK2*i zy2!UaFKe)cx2IgMqPR@zJ-B<@%+Xk(FbcHBolPh&&5?^W331J z-CSB=qa*>!3@znGQ?eucFNFcq~F@Geh6o5%O2t?AMnT{4em78F~#XyUxbCx3u6t0az{CN(ZiG<9ec=JOymz{J+cNtnoV{a3u6f{D7psPp_w?elR%Eq+|J&?2WA zvKYIk@@i;N<9ytxo(Q9zJiQ&2k}fE|+o_Jt0Hmt>mwv;Z%0x*5_F$phXlQnXgs|4D zwz1X$sX7blB0?%8aY?n!p;y#nhh>_&tvv6`xX?$`GvAq;b;9-i7snz2&S$18=M>JIAh$>(5Q$?gQXg>$k)|i1OZgY&*Yl*-NJFudD=sky1jOn@b6Xm8MWNK3C0{=)-|qDPjHL^@0v~S z^2*uldT7}-kKlx|JL$04VJFP5GI@F$%O$tc(TG7C`17=TnP{}=DsdH>4T8vcj}Ao^ z>w5GuuGPr5Rn6FF@z=_aRl4Y;ILsI0iq*)XSIuY{>SDA|8qTGJs4@Ko6_~{$V&Vxv@m3d-@{V>8+;C@@MY?c z?@2L{-5C8?>}Y{M)5d{%puf~k+3%uc`xt2tQcmDqS^(e7$z1V6Klwg9KYleTTTTLu z8SPCGmr2y{{(4ZzA#VJ_XPQV0&iZ2{NH7gI5nI(no`pAAo%wo!Xn%fNa5>vUz3 zH5NAGqjmlq--8bRK^1%+2%pk3HLSh|Rq%a7_;i$YY5AR-J8S~hPbg=;o}VS=40EMSwbs9iV( z=WYDdu&vl+Xqi1r*0c4HXW86KQ#-fj#=6YVwTKo2R?!CEx-lU>!5U%>W^Qvw=fM1Y%(6diR zNdAJiKljzSMcmstlr>SWPS7B1CUARu*)QWTQzYJXf@}o1hi-0o`L40{?yc^^V?edt zv*U+2pzaR+OA1@wY$%ck+=hUO;Krd{2$fCiUYF&(GX&a;kdX~*^8-p3= zb!C?;5Fz!<-dXEYL+^(kIX@pRwt+fvsOQH0=Aus+$M7#y3%Tn2ezl)+KWhR7;BSyg zu?5$I_F6BvuN)7^ZP1?d^(0aR11%c}ljwNS(P%E$p@!+GpXUHKTVVXlmP8 zb}X(9Ec{<|_^!XHmPg1C&|GU74a{Uev@LS6*bqCtuHK_MNHp`$=$&BAXO6(ZCbP+< zxMn_2*ds8V`tW~1$;Cd)A=Lzml%pD%jS;<9)V=Iw$(^io?OAd1AB>d$rubwG?3DjW zA65*QCR9HQ`wlDNVR z+h=({txsIoCb>JUA2_e&tx8mp(uy0)kDt;Cd#6^;680acrd-gLiT=7Kf3YplbOSlk z_b+GwwGA0<5V~$;vR~H_B(ZC5WX|8GBBiu36Z`&<`EZqrZ-hO7%rEKaq|;sEsodbD z@V2UrtNx$tjQ-2>mzy_sQG`1Bvp<##5nvPAD)DTpEh9Vwd)<-l57sUH|NFnS=F|JY z|A(=+0E;8Y+C~W;Brv$k48h%92T2GP+${um3m$xM2mvCv1cJLe1b25QxCD1@limI9 z?tcIO-1|JI-+IsKs;-`{(>2{?{nCfcRq@8aXzUU`zM1gLSyOBF5fZHr8~cuze{Uc` zo^a0zJ4Qkk3#3C?d)|o)V{u>0vr`7K1 zV>;3Qi4ZA@Fd)Wf*|n#m#eDz{<3VEpq<0o=qve<{7_2yaZ#%`4@y(Sm+W9A&hvQU~ zYiR)go?xf;G2N&YW*po#FmD59P({ zu$mako!FJj;jJUTmaIl#rL0dsnTQ)cjU`~{_x=#kn0*~B(w0FtbBWlHYBY~K@s1C* zD>eNLQA$Z!)5~4LMipI0(}AU^peb=G!5FBktxGH{&a06%T5OEYSCBOf?TrrbF$?c= z{Y~!`Wg9-^IUO!J+S@!kv!g5&(>M6rvv}CF4z$+n&6n`q z${=K9NdIYDy#eQt-dykr=ZIl^eqdMDZ}V;u_E})#J)$J8h>@76(5;#3s*(A9eKvPH zB{w++blDzk`aO1eSrU|ZD(Tc$msFe{^%r z!oiJ9266L)tv!X1mG{Vp5};wUs4KoJt4n%aVqIYmF?zXkPw784<}PZDr2B-IC~4YG z$6x;$0B?z?umKZk;Jq!-qMK?iPH1;v16v331$jy<*Z;fQ)}tidr{sN@P1qag-#VEF ziTwgId2{A#XYV4D;-P_~(`9&kgJ$wV)7r)%e(s-xz564&TcYc7Wha+=kL#6hXzRH8uRBXOy2nOz!=MAOj4cW@3 z%%=+0=%jGTxDF9b)~To1*Gyf%$rD^|FOxqgteUxg8Z96Z3WO$G&ajkWYB$mUQP>z` zQrPI-n$x#gB!C(*vj$Pxe%7_oZ2C0O<9KmlnyMs;&2Lun*11Pab@jsI)BLai)sRt) zQRvhA4?Fnj)kr~PD|m8$sAQNxfA($3L+@$Kwa`c633HFVTd6PdyZi9`u*rd}i<89sk8#Fx*+O zKdoKu_fWWc?fsZNs&I9EDfnQ=)N`y1NX*1diXDiw&AJ`%3po?s4PBn5g)$k5{XAh9(?s_Z0PV6 zY-D+8Kf%2E=<9*k``gsn=6}*CL%DUr@)GyR-;y%vgV9dk$v1h0`fS}k&+pUM%&OQ` zWG%dxt$W&0^O%uD+-uAGscAs&f)g^^ANh`r>)(_pFU*QA@{FA02bq)msEu3F-b=m! zg4|mn$%y!*|AuxI4B!iq0jSX?{Boqd1bhJ`-Ot-ltrqAKe~hgwW_?3#f^Z>}{i7AR z?L+nB@FEE)QX<3%gA-AK9grXe2jqW=jDmv@btY|X4z>zz4_b&r&+^08Pynix@sr5d zNhza0$y5}~ADjXRIU<7e;S3>$1TKa@7&A^No{dP-&_U*Kpm`;7;j2%ZUh+3_4R%C6 zepGUSKvJ^D)39ftg>h^^w3e{*2A@P{i8ybNxU%m_s>1JPv8%$lEnzy+4>mBJUl03{ zPk^Db+4LD1|3TNBJFhea%f?(P9rPcP2QnXFG~Z~lF*l!JEU%!p!k>LQ(Eigg+}vb} z&~$l{PLVhKr&XdQ$u{XbRqTFe>Ot$iMp%J16WRJ?T;5bP^U%vuFG0ZqXkRfAX=JG@ zJU(vFzpEyUMTpy{G?1FO3g+>3jN(iBMyE+t2Y+gVPNOM4P!;WjL}nH*)JN<7S)myN z2Ugyj1PqMlz2>x_30`~KaUX#CjVy^oW@LkCmsBF&G|wJUAv&XZ7`d*jKii+4Zwh&J zL5ngmY@=%k7c+KY#^c+wPozS_LgG%QTiSFyfeZaAZfvr%O=M=5PM;V5rDSyVHj#xb zg>{gqw}W0-t38+HFI0I+mN7fpy~qbk2ZE@7hn$$KSxc~E(EHr5PSCd$S{zyJb$O#` zdQ#)(lOQvQ*2ed!l`{4?8$`$~s&gwA4XN>qOaFI}fj?rgHbF0MWIso((37^Q@CIbr zAj;07MwfSyn?(spMyb9e+kDO6m*DW3X%%%)F~Na`F>cT4xV2A@b(w^5(N~b^}6;;4#ueCqZzN$Fz-o9q0$ij9SP_;y=ZS&lz!w>z^{m zfrxyb|2EV(P%!I72ePXS`EO=H4ANO**Dvgx9OdxDOSkxtrvO{~nfY_scSvt!1i(y2 zoL1Nk*&U5^;ix*Dq!-cY(jnF7>LFW?Um+0@QeGGmUKlEy9s{n(tQ#15J;b%K6@v0Z zRaHW8KP%cKCnno^ll|ib5YFcx$Srzm&WJX@6O_o=y=7Nd)O+#99Cg41RfmdK#^)|m zA{E0*4kMHMup%mDv{nfdQ)bv?$l_{QEz@oo@$&KM%x!7 z*bR2nKuEc7M2z|h_fV^4vP#Pu^}<(1S&^Vn2XiA*^NDzqT03z0tn;@n*62WbYi~zV zsP=lqI8~pFmL9SSZ~GcM%nA=^#82MRMv~(?9H7zksqCQ%z5aeIM0GBS&Hy~4cyBg- zWdB5nEmNKmxq%cEXCqi*%nOu{plscJbomaM*|~G-4dQs_cish#yBHf&_ek6jO;lB{(~y+8Av6Qwwu5l>`=X^+v}(cgHd@X zswIO+T3`>7#_6OXpmRbr1WXmbjGhtfbHZ?pEgFGL@9xondv96Ul|>WHp@>ag!9Fx+ zM17Z89Xe#j?-i%8bSaiPcaxD->5Ii9M&$>2dw7gzZRMW=B!Ul|@|`?BhlvfEIIQ2xjG+VYQtavxv|;R$cDjd^_t(?jOF7m0{4#(g|xMzKt=Y zAd8L1h<5O|CWM%0<@|Aa|CLB!o>*V_5bfS-)-lV;gZBB4UCCzbQ{;P=Yn7{cVOF*> z_U%sI4_r>Uh?ne!5Hk}xlEkQw)$j9>`YGT!Tt%GBE1MxigqZ$0m~B)RuKfs25QpMf z>0_OAYN-)_AaiS?0MX5;cZh?jmlfC&<{L|EIdYT2+pzn0kE+U<)DEL&E%iJ%Cui^+ zs0vNb-x;aJJ^mDDH=U#Wz3a*Ft$D7AQY7e zBmx3O)`lpDP4Gl$MIMW9p&rsBD}c~Q7!Q_Wj@c-+i89Q3XNs>$;+b=d;Iu#KE2GI2 zy-W>xyC7wZc-E42^=bih>LY^&+K(9HWuLFVF>-O$VB_7>$3_##dc@9pKfWv@j1`!` z&%G=4v7TkXvLB>Y&m!Gop3I#|HV|s{;DmhXihSSoKB{n)h|GyCOo8Wk&H?F0o~%&B z4Kd&l_R|1@o%My2s+I$4&3GNk-bK2`xH9mb&~WMW&xK>vqhgX+nt|eDioN$u7TE*b z-SzsxX3KEe^wHx`j&Lj%*2Z_&YlXqRlMaOIr6ru%q+9o)8=A2?p6HlAQpUnZq zx*KIax!tbBF)q|bFhaJbP-0uAE6r@J= zUmXqHdcip^O#a!)a?Z{E>wB7OO_77awoT_f-UBI)+s zu~ghe`?Gj^>213w54HDp2YaW?v%Hi4#p8HCQHwW?l_38o(Ce0@f$f6F&2Zm(zxk?` zvAVP8TdgmRe)x2i28D&~{aW>n;h3?cw_XB;M$WDJj-9%W;qA}t@q8D(ghch7Qbv8g z^~yuZ@p=Tsy+ffQp~uQY#PND`g*{Quq8cwf_Xo$Oy~sTs(&?%TqgLYSD&AsiTfOPI z+TtoleQUG-l$zdijRs~*E~`h8BMST(F-C_EYONKP)<|GTjZQn4a=}aCh$?^>1LS)( zK%4+l@U$xsAPu!TYZ;2R(pTx7QYmvcXA)s?QIFma-q*`boxfH5S5^E^@>(tIUxmUy z`X10Ma#iIH(2(y-_lO^SNbEu|Tp!NGa@-{KnPCc^YJEn5kFC>>6twB&ADXa#prmi& zXEl9qiXNzuE$Zx^L$P_5P0&;%C(^g``tri_D0RZHZ3*{uqOH-`q=g`(%0 z^B!8b#n$eNoCO+Ho1Nhk>#fBulhZaVW#IFfD!Qmqg%7n##c9p+XXzi0dW!Il$(!)& zjmYq76wc(d9H$p|D_#q(vG8d6h!tdwL@_&J@_Q1V zfTx7;7~8VwBZ{^)lJFZ{rcxiZ*3i=`_!w?Wjx#HNRNiSc;rwi*hCL(-hQ5GSOj?6aAggCr!xA{CLln56 zVL)Z0%x&7;EvsjJxA;CSl;1ss>*t zns8xW@#`p3k>bOf-Z=Ub()?RY1YzFwn~d-DCo?%ySa8xHLoBy&E$A5G4M`>48IOfh zt*4S<;6+d~^1*W-%sE^N%^(8Dv*6~}M?hcTI~5sC3+vWq2j@pB67(3R@{Vwu7Vvyg zPc>7yr8IAGPhYr=R$LKrpGkGI!(b7!#vb~#-t1JI&+0elnVT{;J?q>e(%bebMWel6 z%|ORtK}S##=oaWQoSiELIz3}qYU=Bu+0AK2jhA%iP00#9Uz)%GkX2b9NA1H)Rjc#A= zouJ%AEZ#HQ^Kvq8wa`*b{W8&Cri5di7$Y2%+s^ zT7#13!*HtR!K<#~Y?qf(nqvk2dl`_O$=0foxHGF2LG0Z>bi0)ddf5sbEdyChV?Vwu zkB)-Qh1;bUt$+b~ ze=+K<(dZ9?Gab9Qq9;_Td_qtIfTHJ5(tJ?mJ#T$oJ$+)UfB1!}`HScz)*_!DcmIA# zeSr6)OzhDzYWZ(ci79VL&o_HS?bE1q8Q|5-m(}2I@~Ky$;PCij3O2NYbL4as?wwfd z-mc_+OSViXc4eKmerp13YeV8BYzwiOA6ykQxtEmWXYQK~Q$-aim4?l4;aoAXljpm; z#U6-A=^9h}$1j1|B*RA~vR(~9#{qdqt)%Wlklk?Na+@LgD5 z)Ew#7-2HL%#5YaE-;UD$zVu}ip67j?c$7A)vS}jv#J{Uk?`;R>222Tl4#}KqxGMN3 ziTNe7K8@kn+`F3w8arJqd5?sUb_q;##eD<<@N4~YQnh@_B+Xne%bfp&B9))Q;McR@ zH~-e8gMsh_14V=%-XWn=O{4E0qO@E4#|+PZdA#0pxxQSdIMe)Dl%`Zdxz?_fE3bDx zrT$$?JO%#yEj6KjItBdLi6^RDzX(S;__DJvd;yn>>14-$As*cw7`u6McLc1dShEQ? z*K*_fJ#opvzTp1-l8?`W@%SQg)e+NP|8U8kEXk}+@7_G5#ESP3IOX4dxvg1CW~;|Q zCDv3RkUqh{{w_+tIn}_B%?oL7Uj4m=AXsfV+e!9Zfzw@Wna@S`9EsljOj#_(hI=Y0 zYk^J2Fay{b%Jo~{qqP>QiBP1g)J{;qxQ=ezi@ z?P8xbdRphbjJ%(FH2=Dt;)ls7@ZlQVBE=V*{&%Cx_G5^#c9>RQ%?yu}s@bi6BPhlj*q#z>dy{0xul>C-yfAYr#tq@`2un=xoiv)EB?sMaK>hr$8yD8%(;X&TPA3^^<#1u79k?oAa_x1<-Z?eLHIPbY86?GZpz(V_(~Mss2#z z=5j}^SWL3W#`040`>Cc>LuPc&&ED#@b>`DOe2?D{AvpPk?nf$cCwo|pWft@P?)^yz zI7~s*!Ns1{b(g1DZWkTerk^31s zjm|gc6E=NPjXcumw0!tJn0YMIYx(?iGdoY%O9*qr8-39)%@8~o#=^3DlZNzt)j-?IUQcpKS_W5X?#=rozV(2R@iUB_z+h9?%@#1OcZ;@DCl}s-SKx$p;V~5 zj;*PI={;$UU)r+hLk-!KZrqfBV_b8Kw;?dxGZ*WoLA#vnwpSOG`u^_LMOzWOxbIX} zdM%z8W@8~wFGD4%))yi#sm$m^VTv2P#As>daeY^7AUf=}Z~w4$+&y9ACQ+6)J-n40 zGu^UmP~tcHJS;}6t1)K+uPZ?NRH2Pf2+mp6RWuUSOmul!OssL^HJ9R}p6&GE`R#H{ zQo^_U^BSJcy^EWRCMV6?t)ifmH0YznYkh-M&0W+(Vdi^yf?4qi^NZwz(^r9%S`3z za>so$;XTXXUd_~&esmf`kB4$Qu-2AbB&3bWGzHQ>{;B7Xs?FEmHChc5r;&3?;Di-O zqP67_i}L9Re}|Ul+90k~B0%$E7U2a}vhN^7&*NIqaCu3o=J;xQ-1gyWFksUieTtCK zp$(WRqpK~nxbz}sb*aG5Rp35N;l_p2h{37Z;3}V+dRX);2)I!2poGj`9|})h?wCwi z9-h861&(f~De*8f7X;f2@W3#cV<#|HYwy0iOTQOV+7$PnB5VWK%o$G%)Ja+N8Jv}|S9RHO{{MCtQoS?zC zxuq%DS5I+y`9bfvqrArV>J$(2-gJOEkqk@N=(fob0Y!>z%#;h~)oPZV9~%P+3-)kQ zu()s>0sJ2fUDO|`M*Gj}C&@hn6l9I|-mq-*IBZvSq^?c}7`Ps|G!Sg4JK}Gg8*hG= zu5Fwn7I^0dE#AR2+?w8^Y*A#J?J>N>^+^->(DFPH_ulfAC6=$=qlaJp-G|$rh(V3F zxTW;7*$~fB`tLuottO;GS(Sm$^NNe`k_4o#|CCjg8mY+kyyHO>>8{Au7=ON1;sD>v zCB2at_r}umd&N!ANhALe5jF|&=%(Xb3hrjm_DOB3Gh$KDrf?4Va> zGw*&_ZE9W#CMm*`VnenchBnOFt+(g5G$bZw$I;hq-Y8h@*jw;2rKJRzIo0g~?^7qW zKZC(k-mK$(|N7{LcHvy1c5m5wo^Q3kiskAcLr| z3l`QAFVNS0OUjKdixyxr-0XUqlp5rBh|&iAT#0)y6Xv!L6>`fY7aEODu?Q7mlmLbR zQ-q1T;kgQg*ON)>M`yCisw8FQ^{Y%uZ=X@Qcw!5Rz}(27LN7AOQAVSIPG*V9b*ec> z?1P!Dqo`pe5m2A;;2l2^^;HM|VIsD9+t$H6Z)6PB(vnKoL?Sf2uiULvdgN}QSMt=E z4t;Pqg_+y0&qoxdC|9*>`4QDoF`X)4g!3)E?G=Y?qPUY0S9bz{xUvwgwlfv7AZUPQ zmyziAWp#&Z&-+4_hOD^h2hU znn=`x+kk?m6?nYUCoASRZvwR`{Js+aTXZCc$wHHIc}pXhyYE~{q0!IsIb(KV>5Rf< z!&|e@+cvk>>0y8eHwI(t`7R9)!K{WuS$;cj0}ig{C7Q!Q=}r52n&{%}D2>gY(ti46 zu~CX40vR_4{g1}j9V3;b^0|ksv&@nTo1q&)Y1E#{Ly#!n(I7ZrZ@8h+h2xh@n-dku zn}LnHp^#ynMIm_7L9YW?y2@#>2sm!{BsxB8`MQ;#x*mnWPV~!2=CwBx_^RVN2nihb z_VgxP*b@VeoR!mj=%>jKUH!A;Zd;Pahvvm=3x=zr$78VyS-SyDY-ukXv>aMI>h}@g zz=cozWYeGc5rOT)_$QXQupYT=Y+{(vE!N{K4uXm>L##3s?zuFb9KE1~q;X!5@;b`Y zRU3~l`$V$m;*|o@A~2{=@U;%jq$|t_%>q#s4)O)QR+GJ*<|ByqSu!3OJ~P@2v`k&H zS(fO10$H~RNW-tV#kK9~SH*R?(PjTz=rH%S5QL^$qj^eA|I$Mup??TPxSKHEfd&2C zsB$GF>PT)~X#7L{tiQcm`gii}_b33hbE7(?=xIdZJn|p#`b)idk5UI4%uf$z8~=;) zG}A>LDXjCWEv|`h=q=?DvD&L)B=IqZ^poOuY(|Bzp2+Y$WG1|c*fU%W?DC3oC$Z;A z<)+|aCBY)Ght5aLzEU`Lx zQJN-;2?K5`Hj*mBsJRH41L>@bOck%uynmTsu`v1Zblq8tXr;d|4_LTzWlz3hI8)OZiGEIapKE|hQ9*j%&d`t~O-|BK=zrUh-%8DGEB z^8I(J@g)dfn4rH0#R!^>OX+!fZo2SNMlcMNM6^TGrDKvEPh=Jrr)c6082`lKgFJr3 z{Dk#z`EW}^Q+L+s!Q}xl>9D+u=0r)T`CJw*uW8F#VsfIv#_x9>CMqhwk4qWn{Ol{gWx_F*HO0^5D)g81+gb;hquM^Ze>m4i7?6I=4fQ3WNG*+ zzI(ahf^=+7?r6c4Go8dky*`+L>SQk!MDTrK*(suZ6*dY-!73YxeC!}-@-Emx2!NhI zoUD2%?PsFNmrfa-d8~1mFJ&k|*CU1Rm0)0S%&`bEbOY4f{}CqKeo2fSC%Zoj@h+gQ zv7i$+p(`xK)tGtCw7-B>+rQqy)seZ9z7nGOxr_$)jB3YVEG1j_72PXYpz;mOCbcVN z8z-W`TVSeHRVL~}kO^tmq2T7kn>qodX4E#soMQZ1^rrmf#p&2KnH-+(vFSEByQS~altlWrs29Kb5^*nv9*MP7J zTWv?{qCPJm{dITw^shA)cT>1$4mUP{tP47>@F5g%9vP; zu~qpjODi6YaUW;2JiT29#DUIOh@b0(i0=xk5C{kt?0ilx;YuFVO_uXdxmpznX*az? zC6`*|@QsbmI3^NP>{k9TpyCmkR?y)_kzMs-Ob4Zgz+h^+4kK96yQp7zyK}nXi4Ojy zO#n4HV9FbLRp^I=PeEpFBoU0#EvTiu+NQhnB&p%X^o5(xi5o4L!;tVN!_CUlGj*D| z-_z%B_M3*Zhk1t$DD%g$#S^2^o#b#ztwPK62-Nshn7<<7E8_LPmk7o^$gXl5(-E>P zO3_6(zoCAQ7cA{R5w045kAD#h?}<|a*!PY4C#U*VMtfht zY8YC<#Wgo1FJJZzH(G&br&?C&Nj54izD6qEYIb{HJUCrV%i~k%XP`9^p+rs{7KZCTu5oUU9iI z5yDM68$0UOA=!NiYj_Fm05bnYD1ZTu#jlOav`!wnlnbjGYdFa8@X*S|)#!Ulm1B)F zs5&GiLV^P@(2Ri%)-2#Q!Q}pVRw(ZDw46v8o6? z@|t?24x}lp*iMwa;zH+a+2hqL^^4cD_MZM^$d!~wtGC?(!mFioan&kqW3>s>e2M>2 z3pk*MJ~y$DaWSeiuQa7$72_Zw#+O0J!c12Ef?{Zb0!*ttK%7V8eGV))fk=utZ!~^| zYP||642~%!5N+29io5=os-sUFhi}p!Mx;&6`!x)pUSFSR;uDnzsDI2Pp{R!8m6p#R zk$2E{NTO4^S6cR~rcD}}GVg-Hg~~zr;iZxw4f=L8kPr@p2|6JMbo>7?5d>}PKkM=D zN$rX5Ndy)opm__!fGS@S;H4i%W&B^n7>h_aTp~!s==cf=bn&qbLI|BfK#tMIHpVKg z=agj9+W4r!9`b(``er++APsto0%}PnyqGcU4E*qJbJz$PaHd+fUp|414@@Oxf~sP6 zh(J@Kw5CwN7!DXC=)#^bH}o$L`OAa;@<5OZ7L1#nWA|AZF*MRs+y()ZMS)E!-kFEe zIfVrbWJ&8{@g}J`wp?h>bwvMnrKmWv2hD_+T}A`D!k?o&;0tDC9Db*bQDh<2dj!*W zNU4};!^pwrf$bF%tF!6HHp(A(>4izP{+5Mm1W*9g6)>OxAb)A;6Fm(F%7U_y`EJE* z6@?95?1T1ITYo#20fFyv`Eg*fgfv^dD)RS5eZ?#jfy875|CZ#81+9z*jO9J>r#7Pu251q@6NLhFWy=jstVZ>!E~S0=0MFMh z_t;q|IBlNcZXtRy0R%V;>?sp#*puG`KT#oo8Z#A^nlW`2U;xFi_7WK=hCes(P>bLX zj?ciAl8xnXAe}aYZ~p(+!UQheih6r%L6E=ZbA%EcWP_I&jjxG5B(NVdw(a)83aQHR zyL!;Ty2ijI{coBqM$Ydi=SqGZAFuH&H&3yyiegy9J-w+T^0ibWsMvp71GT1k$=q) zCI@D*|C89enn5+NXORG>jMIPe*Z-zN?k|#$$oQ899Gab1yti7fhx|`d5VnN=x;S{* z61e^+DNjZ5U$k{L*uMfD!JH6zh!rDQpNPMK-NDUxWj`Q=?kUIHmQzx0ppxl)IJ#SV zWAYBE>`|zNEuKhQV*jzrniF`q{qX3%a=+|<>4&oPNd8wbEMS6^2lTKGm@5G(p>D>a zPOqPeAN?u&2H@uUpOZuR&$G^b@>7Wop(r3#^Ei;le^O&1nNhYVWBtf~mkim+1vc5k zB*{uY%z07>B*M-9oLgX5ym4yqzcbs$G zsNCzZoQ@kWhUDtYDmFwXyO9eV2;Nwc1C?DD7^v)s!mxoFkW3P&0aZ|+j8srkIk78L z#Q*l{ygzYVwGeX$*`bv=q5+tmC?|WT1sseSgM;J)7*{G1Ikl$?JFA$0nAjwnc6jye zQC7cna}<#}Te*8@UL9#hVwCc7h|o|En{!nn2e|*lZmP%20CE%=)#%52!aCox^-|;o ze1Lvy78TVDk}xtr#i8PB!jT+r%lWZPBO_^tI*1^2*jvVtajIdb>|H(e-W2aQPT3)8 zp~Tn113g>DGNM>qCmvtohCBXNnb592piJKt0(C63%PQPX6647DAM$1qfyEZ%{}qux zG-CfQj-pG1Y~r&@rk)eb1bdSJ{2lbD5kvl)T7~uulV*9r?ixAj0UDE>MkydB=H%);V7@4 z;bWIcWxSw{jN3YD3cZRfO(%&}b8^o>X8B* zoCS??#F_+VT)UU@4!VHK%R>_|7nO$ws^&4tzjzBGfb)OdC5(c|NX$ixt(G+nLS;;} zB2pE>Rx4~k1we@OiP>HtIYlD`hy;)aumn7BgQZio4&j=@gVj9lTTNc(o!tByd#${A z9+8uf-nU06&m@uH<&B8wBajJ!Zuf&osoOcIzz`^ZDpW5BwfhGw96O`yDOy{7w;(b| zgZsBDRBQChB*<9WR8eU%-%zLsQ9sM~pc2O=>ZWS^^$5@Iv?7zl^zlzJ)Os1NI6l-# z(s2Ut#D_NlFy(cQ958&;JfVHMLCF6WXj3aN3Y_kZXwS1}N?&&SN^y>Ze!h2RY^%%u zi=8M!R4dOfUQ$eah?lg(wi15Zv$K1SPMu)H;vKDSz^3I3Sg1^M|MZBn+5 zsqOv5|H2P$1gN}Oug-W;$>~lsVIz)UuV8>W{iV*p07`?HSd9RZ5?MK>wr_l1LYVFU zfzwSXuSY?Xh?WZKwHrr=irvH=*MjVMKO0A~`I)5HtqbN#?uCNXRaR>(|VvWRN20RvR|LV8VwE5|rLIqn6Bt zr7%Oc@y>D66Vg>Do??@fq`?&dj)bMp8l(w20RJH{25z!43@PqG`=-T8 z`xob_g~uA}DL^Y97767eJt@$pIN`m0Jho~Yszly=aI7DCBy=~jDw`8>Q|{3k2uuxA zF||}r6U0x{sOr_Kr2ApZdWhTDUM@nxNzXj5DrWawtGc^`BseF@Gd;WX>}^aFcap&_ zDCPH({Rj1yBRg@cLlp;2d)=PD$sT+0?ZWJ)KEhx^MCYT_!OF zM-44#{TkOH<-g0-n-z-cY!d8!tyy(9b$7qX8!ozfyH5X^ypdpR9n-uQ_HPF;DBuYP z0-q2sxP2@?wQE#Qhv$=&w};Xd19QP412oudl7)dGe{Dy8&}>qKA-~Q=PN~X@m3$1h z_M3d@f(x0`xMR=FAJo<{Y7wuZQWv@5P$uAK5xWKFsPCaT>gb7S#sYJ6<6J%i%hodO zD2_E{*W>r8#c643eP#S~j>e=~r}?_@&88?r8E1l5c4vOVpE1s0btV+P%=Y|*z^^K2 zn10JKRY=V}#+~pySz@SJ1jIB zcY2ujS)$^;fN*dQu9t?g@lI!|xtf+>nK>&^|*C}lE8$$?@+nSGwM4Hz17D(a98*r#SIa6k-rfURPT88Jd-6WY_unj zorEX@)1t*X^S(IjqJehq=-aNf48a;PgQV6UEi-U?`0X6eax4Yom+g#zu>V5<57c8W z33B38lA3=ik*Qtql@hTfqrA{Uu&uniNtWZf*11uzN8TrI!okl)#*>MQe|L;VK*ezJ z4Tm5QZQo(YBD0TNKaa*RNuxPfBUxP3XP z*A;P&yYms?`6^mn5ye))0R5o~&_gt|{tu%*^3KF;^(|Jcn$MO{xRVOtjx>RrfkH?_ ze$v^P7+CyRB`Z#w}{0doFcR9Eh z&b!Usl@rd8Kb`H$?yc^nmJr-CLs$X=_HnQlAKbG8nF)BrN>UDV?2%$wW^Q`dY8#V6 zO|7VJt8i!qAOSsz)hIdddR0`6CX^fj&3^cqvlX z((ml{4oe`tiUgNAA*7YiXxKSS*eQ$cCjWE_yiwVD1AO2!M$kNeA>DUEKy?#Yb_ZE7 zeJ4nag5^~qGm&aY`|E2C5BkE-N8_;c*Vm#MsQI6dR3iY|Y(SvK;76BGqIA@GqivjX zrzOi&Sg%x=i?6XrH#He#8m+9QPxBr^MSR;^`q} zny84ojTuJoq}t@}KlPG}=V`XQb3(!1on-sE@GQvlT%Okb<4w+11+u58b^2C?O<-UT zZ7%!oMOP?_jF*g%jIIoBRvfUh8#{a&$@X=jf9mkR2TMhq-0yWn*_@;i+fs_sY030A$$n_x&NEyou~v{TI9bqBw9AWZfO)8-~mw zE?Gx*1>;R*N9bSF`inLS#*=bbaER)=mpg{aIleI$NC4F`R2H!Rz&D{cM4A$q-?iDe zs;}Y=F zplRrrrG_Ry`4$yKekWWQs)L5KY_X(R6Cmj{7S`74&z=}1@OiIT%%lzzb@Pl&% zT@%@ppSJv}*G6l@G`jFPY(v=)`rml&M5D@UOn1gx-JVQML;inQWSt%4a@a}XXL5-HF z?B^U&9B3UP`yK&qvc|VB?ifKfWGPk@+m$2YxmhTJ5+WlEd;W?hSm*tu z3YdJGz7*Un835=Jmh<%vN{?A1R(t9cZ<%dnAO>v|Yq?mwvtZ@TMkw&?0TkZ4wjX&2%RDFng6X(B2q`+0+wX40bC*#B zsl_I+f(Ei3mYFB`(9Z`YeJYgy7gZ>rR*YNV!(7Gd^%=*m4Wlj;Xj&^8v0g!IVk{on z+dyk_Dju=kL2Ifno;b?Wlq7+f34kZWna@EP$Y7t3sDk0t+w>tLTea3C?Ltn|+(GUe z#g=jlpr+*dA5CcSsB>TH-)9tS=UEf%ehUUDiXNH*>hF-52bLPY{)1(wTLyTJm!P%_ zRm8XS@C(3@cOBmMd4P3R+5u!JA(o6$_I4FtW zNS=C1#$$hTe|H*fW3c?}S-|fcW>p)JTzT0!G>{ebTi}5kJj%V zn~X#|WOjMH;0+?#5}3iZO5QR8(xnDs0%-af8Z$d6 z=S>9$mb1y;Uw-C!G|@Hm;Y`~sNb43 zVR|1?^o6C`q6wg{Cxp2Pl(>W{ zZy%Jy*FN?ybG_*F6jga8T?2Mpj>uv#jQIdv82ZlAaE%(w)CrCO8r%~-I(yK{Mj${> zLkRMF%C4+;5Hu&apu(+Z% zyyqO{>e<0zaYbN`H<32@KaGKPK*$VipdZRWtG{Fh)JZusZ9DarVCZvhw8^ZgHt zij*kbjVzr@qjU>McgG^FASs}fG?L1a3JVfTcT3j-(hVwzNJ_Upvwpt)|Nj2Z^Lt*e z!#VFWbMM@_XU?5DGjs3aG5MF$EuKL!$*cdhs~|Ap3$x-;Gz~q!p46BJp&yUzh{AdU z_5jNAGPYr9md*r5?;#Y_^7;ihJ+~4_9eEmMOrLL#MbsZ>*zNy{iEwgjp(LDMIu~Fn zZ8@@)o=Sl3%Pq`~et0_mx4Q5Qi#aG&xGZBMOJ#LJ`A79`{h`NEe2_+5bwUwE zkmwwqXR+7X^YagVI=2b1@(lTOo}29>A38;Ug<@u^{3DghE1h zoQQJsd!DLWPfUn1sl}vGg@;Ba^nXp|1Kou1tVXIct6D8FWF9AJJG9@6#)*P>`<-DY zuv<4(kGuri6dz1b&j(59mX)?#hl}z5gEa=|E=vjN#D#za}4{ zh3>hYe99?r%k$zhE+Kr7zCl)gZ9n`iVM4>@o}IjHn9ob}4lQXe<%d~64m-=><*!EhkC9X2JNJ~k{3ppv6nsG6ah5f(pq8R#s<&Fh&N7fikn=8R_a)*6&)CU0%GXR1T$W5|wAqnS!@i64!hB%$Xux zWBx#SiJ8BYZk59hm1hTrinhEOIpqINCTLfa`vi6ooUy6E(mcKldNYSKqL=>{{d2ck zu3lG!S!)y>qfMWc#eRIUW8LsaCicQ2x5Hm-Twkz*6sK6f3P^iC>mO@DT@h$!BLm<5RZ*l1EQSXQS}7uxP{9ka|gn^C7iRpT|O zguuP5L>%}Ip3bN@qH`F+UlW#Na#HktvAOBoj(f}92UW;zm>cPz{bS=ojd>xhy5^n@ z3Q?j!X#;WL9;AReI9c_is+c^44lFpX8sS)%_?ut)k1J-cPL17Ccn zQdE%()eSL!M8SSO$i>a5d7+%}Dtqn$Lb>zNHm&x}wt^k=!R;tPpDF7mCbyQ7p-I)h zq~5D{eI{;B9w~He`%@-jP3|`omi##F4Xi=(?v1x^t5*05nO&~ZzHPO)fAwPY+_F3r z18ifaeWD_y&<1P#4wah3`t{AH?bRW-ZipfOopr!E=lrE+=liW~g(@j$V;5rY$J~!? zjw#5V{2I@6RAo#>MM4mmkBk3LiZu!N!X3vXQK=EHs)sQ1ISQ+rBwy0E@B!&AKzUr$ z=*j1~X_>!BbuFgQ1PjVJL1BqPYUaKKH-7Mv3Ogoq#z@R#+83Yn&9?oc@QH-pVbXH zVBK4OA3GgX^exAd~6fZgz(LtVY6F_#+L2?2J-LF|z=7I)R${)3$$k0IQIPD6D% z)+dVV>#53*Mv_Mf3N*{EdM97mD}8>@fR9Zxv=ti27bpC4TWUiU)RY~ni%NMejDQl$^I`S?tjA~vb+x(&Zr8O$A z_-1Qr2M8cdQ?DpH?mdSDN%xn+aj&y99rw1zCU4Ervx;a}I=xU^RPGN>VIqRy>E|e? zavA<$vZ}OXi46sb;vf;`Ny9eF;j}8C z+l_@8)yR1aH+C7pViS9_lT@}e;IZfL*7fIphO1UF1M6_khxEx!TGixm#cyPh897%3Ca zjWc;YBxiDT?XmYW@73+ftEigFck9kqQomOY%3fT3|K9fN;OeC6i2PaYui)V+3xeAj zv%54MmKiO>jDf7TduG3(7207&02e24is~>hm5{BsNN6hjs5Cbo*Ho)g7&ot~FunWq zm1Tq&BaDpq>S--=UgrjGDac zh#*eWOKKgq+jH+#QjwX_P15~y4SjgY$VGY`;89*LF3*5hG^k*L0)>kSAb3;xq`=N| z&9cBl(hadYPvbp_!ucNCrpTvgDGtU!TRX9kw*6zGHUaS@;R^)p_Si2gQy`m5&arBnCWbkso^D1#BxDE_-eP=Bz{ z?=cH5j~m}cf_Vm}q-DtM9NxW)j?8?jWjVBQPcxo-_&p=$tiCCUAxP;~2qGoN1PV5L z->}|?dum>#sw`F;g{H-~)gB!6{6%D43PI~r%8X<7-#xJRB$~41C|s&mW=B>Yn=Ia8 z6QlM*6!~6bvG0u2#k~7&)md~77BI^gQ)^`Ag`Mj%^@bKSS0%c+Jneuzia2gyI_asS zoq}UPx^N}g0S9yxi%8_d)JCIOgZa9z*E`b4ZsE>F6l=u?3+tOo8$QLb>c$KuB_{w1 zHanZSdKlZzyz{?+OU0%zFqZ9iu)x~Y%x4Z6%*?F zg{TTW5|r@p>#TW&Fl)C<->IXfNdWO>{o>~cT`>M0H}3|@JC0Q5p?eqX;IYNg&V(BJ zVUnBBs}6XuWxj=|4gm`MZV+y;)`eQzlYGk)DCTprQWALJRp`VHE5b{PvE~A&aPg7y zcA%`|39@TAr3e%|-g#@Y`w!tIIEmCW5dd~}lz7EoCF|172h%UW^Ntz)jxy#nbi#Bx z>;Cop%@{CqWkh}-@*DZZZeYdltT3nojJu6QBF%BC$QsUHrJvFt1FtEPhJm)q<43nu z6#Kzb>yUq;gTW7u#_yF~w32WYHdKW2G!a?420U!qkBacfNqjfAH;|1kG6{7{?&FP) zXgRDh@c<8mhh7?Ae|*1}75F!Sp|itBP)T7F>#XR-?9Dv4l_t&>{HXAWkeenVzi=R& zNl1P20ZE}l(Z+i)@5nDq3U>`e&ZdQ#|lqS;$=I zjzZC1(Gxc9*lE4@QMzv%-m6D!2-H>LXql}+gc*wl7g{0mo^a~v&;lN(!8E2xI~RQ& zL?hD05gc42qn_aB=Ay2%T2lAU{A7zAOmsBM9v*|c;&z|Fe;%cK)R3nV@j?+3d6gZd z`*H59P#F{X;1AqJ!+Q92?oIrgX0ea&67-eMgCYHTwE>DQl|nIpk1 z1+}>H=p#zXCd?ClS+g8R9g=gF7=ZcLc~K3M3~bw&U3;}e#A#X}0o=Dy(^Leg#n4=h zGyLUszP$#Rr#wf3p%O!=q zCuyClHA#0%_u*XB#D$ZLNrK-CZhKB`vo(Quf=N4`>u9qQ{ejoeaQ`((<^IK{Z8kOb znkiPK=4UQwlR?QS-Ibd4Tu||fR(*f8HK(TCN8Xj1BFVmHYmKj})_{iGX(Y_)n9QP9 z;4s~;*Ftnm22v|Hrp>wJDy!=}cR}C0V9lr^u%DVvlQU3VC`!47(X)=*%K;Rv7kvIF zR;O9-AJq9Q_5_&R?eXrBSn2mJiy`l+v&mhISdMRvmrRh1UrN|f7C~^1VgA^DMDO}R z&?ypSLHsM@XK&~-tAF-SmyT&13&^D+WTy#&*+!8hcEN(d%mUs7#ZpvN9G<{nqZHF2 ze0T5JWHe6FC%rI;+M?igbZVo6F*(2Icr~~6Mt;Ee1LeZ>O0$cs1$4M$zKrH{)`U0M zjmq^8ags1SvacORnwG)h0{Exf;WiegkbtM~!|p(~hkUpxy)D>DCZ)ddF?^s!&?!2 z#zF_o9ginb@z}X~P$i}q{h?Sf)M!z|mvAu^V^5 zfA4g`?GXig?8wzrf5I40s%X&48x&A3JNCrLRn=FGhaey(CUr+g%3DkTVhW;RGV{Wq z&{O&)Uec|)pk{U-b{`HOwtCJPQfFL!%;@Wtn%wGy5WGouaw`DeqhTeDRplpYll9mO z!?pu!#6<7;)gW`8OSr(=<1HFgYHo#x zs7+kYFh;Bxl|;B8Nc?3=-TrtB8A_~ZW6zzzM+qxKaI)_%?%$>G93g`1vpL&4}AZ7#_zC^>4 z3^6}-{XsZ@Eg53QLY!;hc`$<7$LVj6=n{bkq)`k!Z~DXdW%ob*gL-oqZAh}P&Tn5t zX!+2sL)Vxg?9i`hw#1wt)GEd7f)M<4!ud!^^+rIOs^=fY7_BJ!zMC;yhAbYrjQxuc z;*>#En83Ir#Qb0{kj0jVC?po4;s`2=zzRSdG%Ny7{SuNVInQV9aSK-uRB6*rEDKZ@ zZy!`wFVS1*P$?K!u^6V81)lNEebAsraPN%bv{2S;Mf$!l^^Wx$4b}ZL0Sx3K@Z7tr z`w)-AAk)vbagy;5Gf<;gmD-Oe0vMc*LIE^>L{XA<g-zw zN2Bw+n5ds$Yr2uUJO8reBblX$J$<7)I*8?BP(sl4NXIFQgZL=Hm7=Ntc(c21lsB;R zTcVcwXy@qS=+0x0YH?#4IO&FR3W#6)%Ar+iex=Z=+rG{)@?n$!Tu&4_csiTZy~}EH zNmkXpD{gYBSlzv=ZgS~W!vejf0E3%KYg>2>P82eLutnU)7KMCr!fXkayF9l(rW(D! z!_QWg>)ejI6KJHSS4#>XyYfpbf^iDueXrfAu<~?^i=p;rZ-#IzI3C(kRcZi?d z8Z;)NDZXGsa(nfsjCF`i&JG*@pY<5;+?!wwjqfAHv}Xtp&k@&gMA;S-7xk#s(mGd% z#Cny3h5g`p;}E#BXXP{q4TXzVxucw_ARgd$yLBYiMCn&7Tzlg>hE0AlImHQP&rruZXnbdE zdbc#F0JR9-OHhP}E9sSD)fqnVL$V7JBc9LG8(c=8b!91uP z_c2?uMG2Z4$WcOLrxkR1)K>0(I=vzI>*d~W3^{qTLOWnv#+;o!0bp1HE!NT4AR4-G zI)d=Zbw=3W)#Xa>1_!ncgvXSd5Y-h{%n=N)e{!V2M|^?cW@p-%f$bN{GCJaf%3G-0^EUx-(1H>DFn zXz`JE<2#U`@}9^ooyVJus`I$@1#rolD`qLuDeiy>H9cXn&l*uD_9%X$rA^i^{n6OG zf8x?w(xGrRqVDY8nr&X7&6 z=@w$o4>;{z1Nn!B%E}tfSVTnHaKEojD=c0LN2h<_6ltSeSv#>`Xhu9cXcBb|wCNq% zJ*q$3rmu@>oxY*fU@39^>FHPn0bu25R)%J!@k=U?#w32IAcx)?F;1<0DkgC9c&ryu zBle7=YmTPE6dTZyU{?q$U290}cCJX}CaY2~JLT!RoSYmX& zqVt)M;~RYcd6cky{lb~egpnLoMLx_$j)MN-Rb*&KPdxAsLpR3ieVzBp;bGm`#+9d4 z>SQmj4}2X_G1}h>Wul$PDTzbjrZAwViHYDrj-Ox8gxk4aG=omTHQgKbX7;0i?<cAwj?fllCOU$P}EjC8G( z6J=(Q+SHM2fu1jVn?3L>x*Cd7+ooJ6fzLq$s9sbOvDr*5sOH4L=QtAtV2)+B1F3~A zL>yo;SKKm&7H@BJG@!kePmBEx2%*CxRjWa_CaI>|i1uYmU8tlDSeUKT=Cy&i$q3CHg_*CMSyWxAAetCJqXQp`7@I$uid(5@eH$2Y`wa(J`36>Xg1mtbr zd3pC@E*vK|&=u@Qs(qpoJLtGI+*}?6<#qmy!lM@br)sHJ&i1o5+sKvG9Kt0XOsCpUV+(I)ES$!6gaija}K@GBC{n-RwVz$WzGmf|x>5&rA zvmB0%*Z0=t^(N?5K1CK4NF}~Yf-*N&|3szptZ#iGM;I58iYa{m!$p6=4>g!q|e%rtnP}KqecH5ujh_@uIh5^T%_auc*T@C zjAG?n)$I>@2(3J??;K+V?jo^RW1>rLqPy}dKCTrcIZvCHpDac8>;-oEvKIZ}b8CUn z0M>JpE7-ZlVcq%AVP=6RFEyM;xx{2XhosQcDJg}i#?@t38!@%G*w|!JC)M(vxW?7h zg)C=k`z^vdhiZ4ei!;r^Y>9XJ)b9BSrUSEMRXvl7yvqXvL~KFqR@B7S zU6JQ5;S|Yp*+Ns1X%Ff;v9+pB>|;H1V7nt!oAN_Tf6}iiCjw=P_KfQd5S^I~Uty*7 za8B2?sPral26egx(i;x@pGzr}ld!zIrPJ;=qwuaf>|5$24&~oVS62~EPjnV$nRQmJ zoK3c^V(*86jQg(8*Yl_q;e+~rz>|7uN3%cd?sm{bBi-DMLeB@)SlXM$kMEN6q%g8DL!tu5x$HmOT^rF(4&Z_l{Q zRm@j28?&{ND*JQBpXxL0l}LXz3DTVxZh5^_`fGdqLV9CavgIz~U!mFfg;PH}xq@Oj zn<1Dzcwu^D-o<=`$%{EhtTQBA&Dxk)ti!I&Vo;}zP%VwiQ%>13bJ`r~*^p0P%8s3k ze03u|$XWQttn;nP+1s{NlzlL0{NwkHH6zm33wr`?5h)ApK;sK4)_L_Ybiq75MVj;n zuRft}!d(5pyQqmCP3)npd-5(B0V)x5qR*2EYMvgmGCHnoX~|CXOnx9K>?t!MZ*>z6Sav>dojnYw`f&jpKPjOnYN^%?J8Dp5@Z`;Qx~YA#|F5tI<`Ju` zAMyhQ2@NnF!F+AqwRsDL-QW_}M3 z5p}=>{u^Nfc|W+17G*MU9}1P-1Fa92vBx7-DU|QszDIP62hm0{dY{Qa{@kU{YZ|H5roi##% zc*g~1+d~1DQ2UdhYQn5VtT9C&FM4dM_pp<#z=|zN|IUcC#mbBBzms@9m;8|HY(TZ< z(9UcC!(=@;Pm-z?vSDH!K7Ibn%v@^qNXNWw^=MwqXriPIp9@Sq(}T_0&D!PKb=n`c z&(H2ly@-GP+jZYBVY;|kGIQ1IaT8Xr#@zDiYZ$G)&tl+;Pf0d?Ny<_Bm!roe$i>@b zN=|l*FrNp;#g4+v6eW5`X@oITMaDzeicX6Y#v(OS>Ls2{hMY1ycz4T`)aMePY?VTD z78f>bq9=RFieGc<)0-eAYYhXqycQiqcwIQhT+OKr5J5H7rOG#)9Ly7LO^y9xc+EYi z6lWzbk*GF|IjUv_y)wtvjb#IX|Wf`rJ z0@g#CQ(NQcA^LHh=txab?(5T_u9fSNy_iI=@$QujgllsUs!!SQghfC$+u^eENyX}f z`sqwaVFg2%C<5+eNjhauBj$HZKURWtSA_0xjQzB|W6;JbFOe^1dX-A>n;SoD?MZa{ z6iun?G-*zL%}+D(3tX*n<5P2P|1z>x1H9!?S)uJA_2(YrOKQ_cwi=8aCF;n{_wQtN zjnfUML*9iIR0~(ckrh=-;$b7k&bb)k#VbdyUw2slX(Y{nPb-OM=t4$7Z4*<_bHQTI z%6_7cz`ur7*YEY9RwqLEBV_)lOOw&jJ>7Y_Q#Guhzr>G}TQ}+X5l4L5La{;6#Hx7< zE&_70p$B}!piIBa+z9LfKIY4@`lP=?ZW3$ZEEHHVdD{I)X)~{RB9pVX^7WQN8sWL4 zKf@4qfRJQ7EVPx1Ub*U)No-m48!(hzq^Ps*ojWmH37LG0kkE=*FPr7)wJxTKE^+6o z9jKW3yCRP_+1fflsJy*dOcONmPpG@niatuojFLs(?wIs+C6PmcqpIv97(W9rCF2LS zIDZD|!YqPDl09xl2kW)xORrI0&A8tX7+F`!ruJdi+?=^@Q(?v(z3ph^{C38=sf%o$ zrFMp=V*Q7s-7*WAa>-Ux*Y(1bfVYMWHI=(WcnJMtGi%T8RDxKR+K0{+X4cW$L?(Zo z`DTIT4Qt-;+0K{A9xqF3urqOeOK>(U^Hs|`ogngOMQ6bpHm08(D)GeepUZqW<6VE2 z=ww2>sGC!XtRUwb_i0E16FIRD{KPpIiy4sYYLL^nHR!&uu}7^hOh*Z+KxwO8%ds0RD|(l>fJ2zP>1|s%HYXcVQ;g z_)Dq-wGQXaKZI<-ej)=4YxQ~oYQt?rRkggenN4on2Ink{LhWDepW_J(o-__)!oO9? zBKo*2x*;~OGY&IKXo(&pD%GfUz_AClPi<&*gYz)TLQaf;Qvg%<{ZcCXyMbRlY8W*U zmM9WUJzbmpmqqB-E+3h-S4OS+wyvpRPZZ|Pi$vqHH@w-+ z;)QaS^Pb5}MNCqU?rJ+07+axC-wRmTtl_5MQ9}(1oM%!SWWROx=)#>WdKwr!!U8jU zG2xtt#WFm7$WOdK8yIRj>~PM4tIeXD*1z&J)<^T~jUn_?`={m*UKaJAA_L3nJKVKQ8DjI6);d(ZqZ)rm&?uAWT!#$Q77B^?>fRe>hbLOFz z;O)C!|HzpvdxlH0!pPsc>a+{C7pY!7nNZUz<-Z-gMSjsa&C?gS_oZ!yzI95Id!G{8 zH2uof26i&Hf>T&k9ORjJt$1hK58gAQrZpd z`LqYxY8r_iH&a*DO51&zdF+OoyPgte5c_0~>7iin!Mjef5v(!Fi{1K5cLg(Zp!FRzRxF`p zd$)mtaaB!9TudifV^}ah2|D>>RV^H-`5MF3MM>kaJlyv)w}Ulu&Ep-L9jBwJzj)Eu zx81zJ8>2_QmrM9%i7y{D(Itdr*Ct+G?D7_=a7o3H;>&^5;zOHUQfFN{QLfZ5uX(+U&ZFKp{XvV^rv-k`__?W&x@1DZOKn)~M+3d^#zt7q8ru7oaPl;h|Xv-H3 zJJ8TM@aEhPRI`vTK~Cl{%4D3B5v?}Cy}gh02cZ6a!o@rA2RNgO^RUT9fNPCB>xPP& zT=W-Rsy1BH^>V|g*g5R<5ETtXmI_qq2HuY;7CCV=5maT#*73dIga;C0Dk%{pKX&yh zR1$t=(dJ=`@a2%z=8`ng=FM!gb-OCDdr7EP%xgt0#%Y6*rbF+yCW5SV)i}YpUfUCF zkf~mhI;?Cnth-{#cuQb6&dYqd($=eIAZ@rF!9?01i)O)qQFiq0Xuw!YnK}7$(9&Dt zY0G@%=Awq_uGd$;I^yXj+RB;j_-@y5E-wk&ih0K9#XCk@UVYYea%7`FCYOW;wZW84 zEFDbYhOUtowusc7tQ{{cEP3@YWpqS1F2ot{dQJG9Y#1zlDO`s@%U8rTZFnsoS>Cnu zwv;HxpTTv;@Dgti%Pe)5`JlqHF1Uc1xz@s4ZOELOUq)8&2iIU_I>pGFTehknKTo>)8pwTnf`t_?-qr=B??T!p|*I zeM8+S%>oA3(~hte)(Bf$E6&e*Ha4ljs?ZvtP!jrlqXsZu4*dlGX)V`8*Cw7s0G2q_7_B=uR%N?hk@AH`;M2o8!)N)3BMBq$p^TJ4 zkEaJlJw+8Gu#K=>LL=fmUr7V_oiNn!W-4Da?vunXKFKls(L*c=faTHJkfkp(2w#l8 zpL~6%B=Fheqg**BI$A1;H$FaV3I>z<`}gGUHxu!Lf6A=75gM__DMP~4^8&tSvGxUC z7bkuH{=qU~M7TZOgX^Pa8{RjGq{cLu3AW#~hxu0@arf}%3k zHT!$=y!?_2)2?uWcY0sb`(`5=ySQ!CcYc9huQd-kxQ&Dxanl0Ct3iFsJ$>ezaK)gD z^;`cq$U$VY^V|6@p1MM_+Q{a=7a@}6p!(%|j}OtDeh>9Z=3XX0ZleZ`ZKkNQB<~wB z4z6suK^oe7*=~P$I^ZCyPyA`T=U0Z!E}5yw5F{~Hzb9qKI)jl0B<4n8gLt;8z z$kK7Ze#I8prD#+`R{RSkY$k?|QZ>k`P?RJ%rJ$iB8g9Nt!^D0XkeY^K4Twp~HvMV~ zHafaBz~+qUv3#q>>Ngp$%-i67x|h3R2{VodP5hb7&ViM%gCwE!Y~<{v?a&&lldzOg z)meYq(4#5m23E6#+SaKgQin}mr*U#b$s<-iz@`NdB^DQBlbD$Y5plx}imcyJg-IS> zll@{hHdiz9Jae{}{0G0k5o>^EzQ7tk^XB`ffO-%4#y~y3V4BW=|IZU>`uJc)Hl3b) z?y~;VT5p)}@H-LN6%O-t9r*ij;TYBcI&hTo}V8D}y>fXh!&0)pE6A zs0at$0e?#VSXDSTbG{b4hh5jbZ;4jnd@7X0w|fBmlEA0ZVB5!{rp-gygR^3oY;(hd zCVEUSb!8@6yzK{a9=AhlH0r$_5DPG1?!rKW?zsorERd=Ti?!z5iq`JhzD$ za#yXG0dkisod9yT+3w?w=E`KwhYgj<2{?o>c7r$Wc7P?aCcf@Z{>%?2x)0F_?!CwL zjS0g_+hDOlE_I@2^((;Bi4R>uRm>jpG!gccCqI0G;^+G2PUCU=VS`{VO{X@`IKNWy zS|Tm*vrT#PETa3YI>D^op^dr`d{iv@>C--)-y;k8rDgYWIS!7z3HdsX!csglV!RH?0l^@ zl6p*-lv?pCwS4m<+b2CbPCM;)`pQ}sncWNt-2Z=73bTL4U!>h`DC_?t(7u=#pn*Kf zFx(WYnDVeL`QBwb5?PZ)?DT+j%I|;57|7%c<(C;S;}3mGF+?kX#)|@>Pq~IkUx3Y} zXicM<4wPLhG8-xip+jh}^tpoA%HYx^0h)NlPQ3!)MDvDd?c(?fSY z;49=LGJS#7)jhku|Nn=gvJ(l3331)YWI^*>G~X%=d$mg}xBY*mZ%=P^JTAD;BtwCp z(#wOL`+lDd%L)~@?}H8YD6_<@K*vzU1vctV`q?rrj=|RGm;2HS!S6Or+Mfk*rk1*4 zj_dWT=wsS64)`?WdKq$>SoUlE1#EC5TT1FNa`)2=y{g1S8vmq zS}Jf0%88iFgp-b?XTV8!EHR}hJ;fI0H0Ljt`eEQjehU5vQ$ct(`MpRi3Fre@<}CaN z|K{|t+UrN3R)`PRdpW$N^cyZJ1{PoI?F1s-Pi#_}=!+Mw4DCQPY{ZCHGmOGDy5pG0s{9@a1;Me1Etu;2hyRjE+TA^(2Lbjx*Yb{34l$;NVwWpggK_u%LO7VxI}tIld_Y z?omLc+~!(IJPCt!%Mo+3>m%ln$%YAzRgl>z-E^UDw2o8DGzpWcUyO9DT1L#EdEZRr zxm(+81@WYhMsZj{kCS!@cuPpf5nLfnlT$m^&0KA!IknuE-|E1+W34-MC>kf7oVYe| zJ{6MDF8JkkK8`(~x@d?)2c;VEuw~klTjQb7)HFBr!P7|RtYf!-CnYd9A?5IkLymFU z%{6B3PtG-G5V&~SriHr8@*UW-vEGEf zC|!2|6QjLx;7Cjb{8hnk*+h7?T+MS9n%|WBa$6l3cdXTf4mshZPZJ53u{fJQocSvw z#8{RON2L)&PcipL^<4t<9D3AR zQVJ-cNBTuD)PzZY8oy(9;q)M0`de~gUS4N&^O@%6a$jGogMDxVVd!bxJ_j%GaOqhA z@X5iz8?f7@S$i9%|FY7KuSRx(azi=q0gtOt{utf#M=BkMkizPA-8oAR7rqqXR8?ve z;%GdjDZ6yx>e;uQ51igoW(^lV1{NO~>;yIn%xx%}+$Am97X=geeG!E@zn`^iO^%#i zccYuayB9mZ?pQrNDX)KSxbT2$hmI^4JaS22;=et0E9F116}9y>opM46;)HX(rVfsU zvvx8LF5WfT3G8z}k$clbU%7A<|7|Cn%UjB}p_B1po4Uuryr2jWgT{$WLd*J-_iP+n zE&m4^avNW`6dw97LTlvD)RM(KE~w^4j`1E;aj`HO7NOzQTFsD~`TXTyqEgX?RiKLQ+9AHzyl!OB z&ki5EX8tA0WlAXuDatmADiA0{ei!t}WwL%lG~%!ZgLWM*HcZ~$TLm9@HH_Tmci{7e zGX~1-HZYpSmx9;OB(#q=vBp?GanWASvlz6#r+Hq(C4ViC?rk2v&J$7@?4&kbhcLdg zhosJENEyL*HW>1J!Den{oz>$tkM()~!u#md7er-VecKHYDisZ4yuG+0OgeY3lLD2^yjOS53}YWv zanF{rj^c$MUdx+-tB`0$!Qjald5_F2u}zs<2d&bgW#0s^l5k16>kup{5nd?YP4v-)pz{ zuWV^w@JkJgvuJL_8lBx#ZQ*w+lr9v3d6Kam^&n5K+j&~MAswMsUQ}DLzMrW0Ywo=^ zV$OfF%IMU!KonEu+d;*Drkz!$h|cf1&x{f` zGgRIB+Zk(SS`qy*4J#OI3R4Sm7|BddI|J8LHIgpwzf2ro9VUE-7@mufO`R|@F~v?A zpXj0N^ra>M?c0op4eYdZGc@&5a2G>XEikR_8Y3_-t#pKuYRnT~3<6+N=7kV6nJ#Gr zrv?h_LS)pW;37#3knX9LduzQ7%^1mkHaw}<@|IE`@&N;{<=g=lZ&16LoUzuwSN+Bk zyGoo~|9gj2WDEc9LpilT@dj>gx1Tb=PHw2p=jg_x&R+N%8MK~z48`K1eh5B!B92d! zp>YH2!}!PczpKMOSRbHE?SH0TdzE3IiGBa#qi*|z^b!562N?7btjp?>@aZvTNOp#) zYTJHy{d+GEy$_JDl=}1U{D*j5Vt7_T>g4Lg5F^!p7sL3BQQB#z%`)yz+J$&(Zpd07 z;o1zN2R*LGR{S7Bjwk7km@l?w{q0|_OI-srFHU;inuPAE2a@yFVaNFmOCaI7SqEfJ zYoJ!{(V%V(o%?bY9b7RLojtWQzlZ8?zJ5lQ5%}zj*yluI#g2)RHyKex`8-WeSRVIR z5?WPy`ltCrPUQm+;h%{g8&BoD?BpzbTz6(T#KiBEj1?zTuJMXEyIgM$^qr(P` z!`BroK#MU07O{4|Etx=Wm9W0M96l(?E{t9F6clZ6HdGavE{PAt#5 zm5Y=lZLUq32E#Pg@HIvuqDNDola4I~?`VuNi#F&zaWDS7s^8E?!PcO+V|Yu|HRbuc zAPw8D1j$qRItrYSN6(@Ygwe1cz$P_+hs;x=IC)U}ugc{9OuTW3Kae)#tF`2(JA13+ zBpwGp{36)1%4n`O`Sn8CWmo0O33Lt%p_ZXWsx(rYd=yr1hz2`7Ic|#+E3DkFxt5#* zegooNcKUiq4f*IyYa0Erh_9ffqzVE5CJ86OnW0=4QU@7^G!-*y;HcH;i8AsH$!@5F zRkOsXf3e>wxkR<30DT{Nq93@nG%&JYat43Sc*l{2HbP9X<=OK!ila&$jFH16v0tu` zcc?vYD5hQ9sBn_znrNdfRh0im0I{!_PJbl>m?mTt+SGdZg)_#x&DAw&&^DN)H2ayKu6a5pckG>&a`0a2uO#Mz(pO9v^ zIzKtP-fr72ZeclgS(dSLdV<5+n{+3NIPtfVhUnGr?TGNR&flL}B!4e`dYPIhznkv- z_|!rI<7k)L06h69@?YMr4Sr8re}4A=@?zSx>vub=OJ$A<#dGy3C?R-Yohnl!Q}epa zlTy^WxLA(UOs}K6y|VuEwiRCo_kD*km0?nXBn@{+aO$(f1DcM4BwwqTyo~jm%qqj< zpa2RL_r$nVCKZj*AnkkoIO3Xd_mVd+d9yJE`F|{g0bRrWy-b&2#(R1^Qdh<2)jhbK{krN?-X&KIyA+;7N4EW} z!I=@Cj^x?m_f_xgs^!eSqx*QF9TxU7T4zS7x`AYnlt-%p>i-9&_gx4({GLZ#ZAm>m zz1uKi=)ztG-yI}Y-T0|6kvjtq8Xzm1gQC4ONJ`PHm;=?g#ow~R94 z7ly3A$~7*nwr?y6d0(99VE`w{$oTcTO|`T2>+gi5u-}r;IFlg-znktX{`&o$Bk9HR z`@Q#FZiIusFVCji0x#=0KF6H4&mMf-S~j1-g2qLu@W6!)`7Om@{GhBX_K z*>!KNZ}R2}S>xyG6mC%$J3I|)=8*9>m7l9Ifog=OVX_cB{-z#uw_u5`-V@zBF4R+l z&cw9=4|IrihnU%Y(I&Uv_LFsfX4_r;>G9<9mtD?CzvBAmg8zdP`x<2@#ePEfLW*BO zkx!YQ{sg7+i;8xC410|!?`@^V&zBL-545}1+zkwI8A2HkzoN7{lN9z?raiH31Y`9` zPxnn%EaP0?Y-XWYmqRXQeg*Iy1dnbsP`?zTVmP_Mr_?!N-6|3;vcTK?MbbZ;-wsdf zk!=j!pvgM8j|qbv0_!+hmJ@8o?|b)7uK2R6vwM>k&O0-bv)}$? zfIRcpvf(J7(m?ugmk5?{yY0u2RikG<$@4zgO}h_X|ByeDVAI^=pws>ZlD7 zk~|Ei6pZ9osk)e&RMy&r(hd>*cnL4Z2s}C&A=#$jO*Z=sLde7K3RV&QW4`JX*2nf4 z-`8lZB4)G6V}8sM!fn)0rF}Fu)?SKh6ysGA0<4_e{-Yy_4tK07weNk5=~uYR4socJ$Jv;)b1oHt$vv-m-D6EiX$<;}?mmH(LcLEsk<$dtrb@TzK_+ z<1=&3Zwp!^;`n!TNP5+=jr<*rV_e`p%I(t)O6G&r#P{H zc^IR`Y5LIUcR;u7&&VuZVS*l!^I`ulk;2b6vjga^Jhk_5;;uXZm)vvP$xe!T z^kgf09`Qnh13gp@pR<0aO2X9wSIBU3PBOJgU!_X&^ZBFNKF9#Ki0ne$lHiO;neaBe z^-%IJ70dQ7RR-N?o{vOsO0t*T?A?VY8wBSrLB6GUYMbN4`K6P@ga8$D1w5rjZb`vt zgJ(Q{(tdhFCl%MtM$O9cTkzfh=1K})4<~ty0S`r)Dc_x^pNbx4ga6Iv-cYadlRf^k z4nz9~>EK5}H?yzfNZJ<}ER>B={1DTj{lEVGNM!2%kr><$dAs@iW|BJ3^a(a3-L!O< zP)+!U#86qaMT?O3m{Oac6Qbbt;G{|L2oFbUwReNxLq3OH_oFwKV@uyQxZ4pef$#<8 z{@e>YcV?E?J8%1AlkYcLK*0CumThXT;3Ez!lb`Km{s_$N;)71`eJ~=bT1Zn{!I+Az zkZJoi399*+Wj`UWW&7dk-xWR&WsEBZzQd4@h;*1AJ{T(EI+~obze%v(t*4PDRD1u!U0Q=L_1+({?U&Pgly{pv zn6o|hv&K)2pE5sHek#PTs!336OWaY4QcPdfAC+x;v#qKtLL4P&jmVN*=nq`_P?-=G3?Gx%YkV z{oebI??1+WjJbbvuDN#ax%ZlD?=|OcdB(1^6J7%$W%$x~C-1p6l`_e|)N$_~Fyh zFV&Bo8^Z#%_g}eoSG@G1VXS`}#o~=~4y^9KF#d8NP(u3fFcBvrZhnD{l$^1qPLK7S zk}aG2;-({Q+lE8pK;Zq@9vxQFC!eTY>px4e|FZ&7S$r2nWui$3guxF~N=TCoGW{rN zOYHjC7Aqh7hBYkK|Kn4{biu|gZu!r@L zp-GFp>WYtnC@ef;4o!A-1wcHjeJh*m$hG$f+W0Gs?;D)eEEAs=Jm8)$X6`-o-p?O( zN?F}+DAI;1WWXSTmr4H-pXH*N2@cX4uWRBtVNLgIC5e@*skKx3egPMsVv8!*5 zo|;kl!=IYmfzcfpQ2E!HE)CGSS*bRE^{-PK!eIc!raQ422Z%8))Bys|HTNB{Vy0e@ zF;v&)wu)rbaNfhfF6r8}(`8(Hs9{|H|tAozAh@F%ORF^qUCwr&s`|(u} z5P201?*k#rQx<@tgs+GI2<(n`2~H0ztU`alBA|$)8iR?gmG3X0F#0}z-Orz-kgN>N zjAfbj+Ism0SUs1O34WVdmC_ak{JW}Avs=p5Z5e!C3N zY)2rGfOS{6>%S9s_SbN3cH<^L+I757T&t8^>~r6oW?M(!R%UNL@{k~@ri>9R|4U)N zNu-Zj=sEyL4JMv6_#W=_Zi&<5oDCq5Mtd58f(2d<5sjv;ktoDJy}PamQ8{ zH;K}HZzK0C7K5f!w@r#D^_D;EOzCr{Yxj2ai)+f5`yjD#Vmq&##cuU}n}1`Qc;N3J ze?^qVEnG|2-!Z5(Z#(l#UEVZqY#?|@U2UqrP)k$Q-JRJ`>2Hy#$kIf$q`0uDgoHze zSCM#mxCMH6zy$gK#H!Q}4^;U*r-pTF{{M*XSGgsy4-i5vny6gkd^FUh9Lufr|Ev6vv{VqHH-XJ|EA}1`vviJ zCn_r&=tlmClMz7zyHZ$djSYmr&oTXdC40E*pVuE&ooA3XsLuv@v$ zsXk(@?Y2w#E6|w;LjW<}a6@083SsapNbg{T?Q;8XHRlBP?SH6FLu+!_yX`L6k1aVf z-WQnTldm2Ae~MuxXNLPtZic68AzfkgBy4FK|6a&Bv06E^VB~ghc8eF5kKyf<|F@(o zZQOdH1!7ntq(BfZ-frZ1`nychLn(GJ_R>%(H*smh>Y9$HgIhZpr{Qc(S1w{kIoSz79YM+7e4G5I?K zsMh*wy((Ei)&ukl(EnA0{yy7q*zQ{&j_paMkK&i7cBQsX#nj$zn|3e%^1q8wr3BPE zG?ddc0y{!()@~<-5mp{0lXzfx#rYOGO4VP&@6 z{V^HPeVY5n_r(hrgnPyCUsF56B9JA|#8(!r4zJ>0t~ z4}_6$j#fQk#Pv-cL8IOrEU__b3CNK1C7X7Q)ckYX!gbF8+jO+Z_&+I(?obDWJVos1 zv7t)N`6tSRTaa*_>Q8$1JU^T}1EeJd@&Q&ka3@HxU4jDPX0#|q24vM&6!Vd=-=y^) z*c1+(w4OTSc-F;?$$*iq!RGAIqwnb(F{HKhQ|&yLxY?FF)uD zAQqBvXi%#JE8{27C+NEyK1`rbbF1NcA?qw8B*G9G<1oakplYW0uatn6`lj?PMrdW1 zc%O)3?Qj354E-dD{QS-9WlN_sN7q)a@EU#;xg|6*r77!`>*Pjc%A#T|mC3@tg7^1* z-x~}E!St>RLVSRAU+6!X!NGHA9)0xR3bsLQWMvx1&EOfoTLpFmlMz z)si|9@;F6Mo}plJNVz~HWy1Y6v6K^^d=A>j?S|DA*N(Phi~xw< z?FzStRg#euRG#O>4gKOn+xzPN)9PrJ>P{2)-%b3oXO|T@R$x^zk0a%oM$8yYaOT;82Y(}jVM%urEVqc zlX}t=wjVtFqQINqm66TI#!z_XpZO-Aa`L&R(&5BM&JwKQzplRA8I-IYcD-tVyNIBBE!!umAmEh?w03O zRV*Q+QjzS+7L(`CPzlGD?#fSB3CFL;!VlU1%t`ZQnit$2> z2CK*DTz$N3@%c>*?q3$ zIURO0Vs=M`kaj;={)L>rZt}%HLz=v&uu>X0y(747Rtar$n`5>FzRKV`98eF1UU^P zWuW%<-k$;A4gJ~|1|!fR8m4xO(1^Xo({4L(6YBcrY@!aNhrGUp<>~n#Jc8KW&u&dZ zgi)spbu_}lh$gO^{X`H5wLWnS_C;Lu^vDZt*)46`9iG+I-e?CX_0(bHMA|Ktw)?oF zU>TDnW$38u%U#$^9EQFOV_A7locgR%Ka(}%CUGst3O42$>iXjB$}u9!6?y(xewe!j zaZQ_hTMj$cL!Hu+x=AG|B8-;~i~z{=XLr-HZ#E z=T@*5$hQbKTo={07GNBHD$nfHO*p_%aqIs={y>ua3_ay|26#wU2T!cjG};S$?jN=M|F^%Vq*A0FyAzp>?1*;<|~Qu z0865?7`113n!4lIFP4dVZ?(0s=wcJ9@k4)nwE8Vc)V8W<^&7KB2t*VtS+Yt^lvO%y ze|HbyUF{IfWBl@>R9W~M8x>$lVGngspwh3e^jzZ-C3E=sEL=m~$}_1M#`xo=-pmkoNG z<*h28KV!E(Fmny%ZSe|cJ6<2~_NtFy!=$-AztO&R$X=WwU|An9^%q9L54U^vK@bRP z{PTL9d_|@&>>M$X$OeJMId%>iNh}JOcCv8c{_YC$tDR*ZC85BWzugqk22+~vC!*UR z9MfgukK_v(PJRzkm-x$BKb`rj!BKP9Ra<{M3+2Wrc=ear#|c7 zMQlhD{srx32bQ>#gl}io;N)iqNAU<$J)aT$W?u0oC*3BLY2=cn8h7p2{0)ddww+k~r9b1J zpjNhlAH;)vrwEpJD9~>)S1Hhu4o4AEPr=Q=x;S=(dxMq4QJ7R0sC&LJIw2mPRXfw1 zP2fp9S&`t#?9>@RGZRP7jVbs$nYEtZ+`wtHeJee1NR-rhG@X@?XXlO6=o#MKln8ZJ z*d<9n$)f++PO#km=>+WMiDI`lPUzMmYbqzfU@H!6!?7|rY5zwte14{VC9uYRSu>m9 zF3APuU6PA8WazxlHGx!OA5!#jH#9^dd+`CaVjDMxO~_s|hq;W(QG}?r$JA=ONSv z>vzyJgPc)MO})gaXAdV>qNZHoCO@-F(dmY8%5o&V#YwZ*KxRkYjfiGXeXU_x+F$#O zPm8K%>x(mg(QeNa_-B*u=Ed_edclk4JPtaLmr(8+X)up0Bo~QpQ&sF&`*dzcKuR)C zfU(Ztd%;IxzT+C0clNgd0vY;58e!y4Y!j0;S97~50^hf8(5XU&OUYc`B-ZkEqsZ&V z53l*99GyPezWDe){zZngBmawxv>~b%buo#>z58^wU;H1}@wI)|PSx)$A%C+*+kE6E zRmQIi4D$JL@W_;rG{Mn;(Ua5o?!H>5PV>M%~DPS&_ zIF(1-Y)>7|VjdT9q8xw>oiz@MHIn1p{%rnA+)NC_4gMwlK7l3FzJYrN52rc`FYc>m zI?*ls<@;2rICpZ@wq3%v2?g^0K$VZ2&hv$D(+F219@hM!soW{Msa)}9RmEHzMZ6Sb_k_pzlSk%CL4kk;Z5|H$3kzb+kQ=pZ7^gB#y%Z5-ZG!HmgS8Kwiton)@n*Tw=!m%`T#! zs7O=>G!P|=KIddK*LAs@bAg-EH2){~aSpK+TKFiIVR^bQ`PbT}6cV6}KEw zJdM@#UgCc9D)vJuIo_ZuKE>0-+8>WAD3Zq;2^*Q5?%}(>V>2TkQr=bWh9xfy(td~+85)ZXxRZ?;Kk;y{JD+xb!zB$nxQV88k(jj^|U4Qu_HnS5) zn$iJ-LAu!KFu?LsmB^&(BE|7R>Ky4*vbSRD9L?~zIA7U!?xVSVrgf`u6yt-zRl9e= zZ27wsL#sH_-y7N}h4{aJ3p5`6VT?~BwRPh6-^|>#n%B~RWkf9pVaM`9R|6-6RKj<` z7+n6!)5uQtGBV|WigS+NveI?Z_&C3BSuwUbYf2Hvmdbs9ozW3U(05AN2+cT|9=&{Q zeD1`mBoHO{BaCPyLwVzQ*V#5vz0~O7J^gbwWZNsNNH-O_uP|H_t7A`Fg}BQ zPkMpdDsL0mE)F{6kV2CU*Q?IXxVe0Kn?G#Sra0#%>GXIEj+I4UpdaPqav*om<6-~= zoA(Yd92Z3*DS92yd2NqsDo9m-xbDq{6k@duc#2qyscr~9O^;7ssEC)gyEPO(S2qx-Pz9DsLo4dlhFqn(0INwY1{SX1Rs+(CNN3{w>9?fUU8)(eTM<2m`mpv)!PW@7V-T7e+qaQ2p|>B z2Q+iQ!yp<3JdD!?03I&_z;OqPci^x%E92DPYB#gH@vukvG~!R>jM5|Tru&)RP~hEd z9C$U5X!CG0#4odHo%m@)W|Ps69KbbhdY<*KIL7rN_1yb2E8~5TWrw!pN)uvbHBeM? z50iimmrqI9&COs%_PL8Iqe-vWnS0i7pERDjqG6qZNRk%zhl27Ad+#|iuzIJ=@I!qQ`TP^Q zi90pfDr;F#ZRd{r-JBAu14V7(VEFbmw9dw>K9Vi*bn~PRB#A=6XWABoQ5RRIn=?h8 zUL@P7muN#5nwrMXn zM1ASqY2nXTx?d(XMhMEXaRMjs%k-ePjAb;?Th=mH_dk708B%=imKJfj+_{Q$O;o6L_hETjQ8SOdf@h#t zk3E8C@@6F$PGBaegRb@bAtd3FD{DY67xy`c$LzM+Luo7l(Mo459L)6T7s|4DtpE=0_U1HsIy2qY5r$2+l8&-?)d1?aO$<*o60L+5dZ!?h%YurZhbjP2a2q!-pYN})d9cE6muN|f+snDhNAcA0i%VSZZ6Lj>ezshMCT9)7`Z)yx}DH^ zi|GODsXIn-ANN3DGpOrhh%o8~mIrC+2BE_0*0=C)E@^-S?EK@%IU}vvEgr;Y-^Gk4 zPH$;hh&adFRq0r=0j5jG@{Vh~-HeW;r>p4*dlBFi}$?!spd$e(9?O zn0(FLi&n@|m}wI~{1oiA;Msj)v-flz2H!_zn&H}cj{G(l!6FB%jBRBP==pg1qHgr` z=9SOSBUVW&_bED>O=h@j=O|zY5difZL~L(Flz~Tu!JKUU#Y`X9+sHL;=UT(|kZP|AO z$xEK-OOHLje*-_`oh{P*mtT zoX`O~Py!n$NV^3a0YA2@XoMwgATBLnY22K8e7NwRZKH>vi*bOB-ctw}ZEa z;xlXw?pbzv!;9xoCwfcax{Z;FQbXf)Zd2dYtnHhih`McxW{J~`$?2B14`C@gqZ_L9 zGB9PG{t&!^?z{BEDOaZZ5>y}=onvpCN}-%?-qAy%qRUIx_2)Chw)aW>Z&TMB79Iu@ zH!xN6K$ouue}T>p$NT0%F4J*2W?YBxvq+nyr|1XX(6#?BiNCyc`|p2z)Rb*NL>Uk$Pg9(}J$ zUEzmI;2{c%vx+b}%9)Z5(9!n!xm&utFWJ;}mGFw)6xePDB9!NKmAvf<@t=>*i#h&b zkAJ8oLY0=^a%J}Zmv7JB$){^U=;kSXKlgt(qn2h9<&P89O3aFa+NZ8h=eMO0pI?v*B=G-Fv$CjBUoUW zi!ztOoT3$D9;4i^_MUaRtS`OnJvLrT9ba$s>2oiGlE3T?N(OW_nEv{FH_axglTw`R z85-&86ytTwaMj&|VUzl^Shch#aOxTSJknW3@IhjR9nMPKC>jlK5>QLKXPhony6;+= zbi#ZO?>#yuY+~1aYDZa5KO0b<*uC8R^^)48mSZmCX^)%q0k&ZARVr+I8$N1kdODQH zR_v`~#)orrR26+J^oQ~B*)^w83i92QK1-_8i5-h{vZ=)2Excs-HVYWciCivMZI!mJa4W zi*d5sapGU(+yR^YObu8sD>m@*op)1IvDRKE-R66PQnE5h9IuJ!->j|H{^D^hc!Tl~ zaU~W7mne3IQk1wA+EuR=w2X!3-AZViO>mnI>1Z#>L(Jpfd#`hP8oj#ViWRtB&etR> z+W2rrTwAj~aD_j&dt*RT4t4X#)#x*B#eRjZ_UvgnTHjYB>}Q}8--&9?a5EUL94(= zmLk}19R^m*%1vPEvs(|;&As{4F&tn28W>Apvi9|v`thRD{k^2oUva|$7Pu$l?$09b z>GBE7K^ex$R&vf(4@PrJA{Cs&iHFDo=u%yTTNIC=jXu?L2yAWIee8ut9)ULHcm!c) z^x`3Toafl;OPEttyVvbPRt-9)feXA$2arU0x7+Y2@BYuqt5LJY^ReR|mMUz?Q&G6_ zamWf3R35K#GpjJ41*gWOp!PF(Y>)loLvcl>`T@99&$YM!gA&i1d9*}lDv{nDaWet& zp>lRFhI!X{i`SK*E~*M=*SS-po)+1S>*!U?U*ANnwuu66XjQ0>5oJGurTzH2B!Z~uW2Vr8Z3|%j?XK(az^>K zJFn-PFg=`ewJO^^`Q^}nbwl~AZrI{qS5zd35pL@-l$Q~gj)v*ms6f|iZ;%ZFhR-iD z%$@5v%NlzYL7=1hRBZV>cKQ*5KL8CH^;fp=SXT14`t>@LkUJfhS$G7Wi;8iUMu>9F zh^fVZb;9Ks#qJD2ynXsIHic=y8D7=lO^|!XO_0rfLC8))$F^6dZumJuX^`oF zclg02O-Gj(!SHUJuP}ZW@@xcxgzh2+$|@Lp-nZs~ew1_AjbITi zQ%5j23C>-$3-@exo6QOvo#kkMUrK<@QPV)jbTk#9HC&ON91Ln+(2AH#< z=G_4E`phrsVie?5^CvVAHTOx;tPYb~^i3|@;Y3ig zZZ7bVrL(b}i%WO)MCM`ez&Ylg4HfHzowHkipU6Ve((WcBz533R&ul#{?F+mp8->yd zfmwWO^jb9SuS>&FF{5ph$s?5tS1g8x96=Cofgq@!!G+gT$Z3pARKTJ?Jzw?_Kl!^S zSZO0(x`+Gr?DG#X&(iGezY%H?5tu6qq>G<^CF!#8w6`JrO5KA{ShDwO={p%~dlG>! zG`{m}w`Zo|QqGNk;59uQiCpi2wD=&;ZEofZRkuB7Y#!4$5n7!he}05xhK=kFlL8_RP*1>ox*EKn3_ZlXUVfv@#-O@?%eSkzyQ6 zOud!VJie*&pgmj$f9SwHtV3)$_D&YFp=k( z#IfZ#9}<3cJaFCNt6x$xEG0bY(Z)A{P(U?K<4n(82G6HA4zB^qYb~m41*Qe9FMn|8 z55BwsG<^Gi@aOHFECahk*dHu{EBq)C@+_dPQMQvUzdB^N1Wsf4<(rJ>3EA9lI%Pe2xZ4#_`h<$+~%I9I3V-UoYupty{p z@hf=&58{rk%G@3<5S~erZP0H`?DBZ=Rrq>P{d$$)G3S?~>#~wFA=~6U7LtfBcsh=t z&&440WSsF@3;3B>>CQN#&ry-6ROF9&sbr~o(yA+6f=x}Pl=6(5W8MaBr_;Rd;V@y= zQsCH8`$4wfW82v0i?76g%ZA$jBwFQSY`0PRwvdf0!Wfjpt$9zMv#Q?Gbf|*e<)@{o zX!ufYismDuE8U?NpJS?tdYNe~-`7^XbXb^+SveeRUVko_?pg-=t-~QWDyb1mMt}Ku z`o$8B%-eR7OL+h!4j2z$>~z)!kl?2VILZMb1#O+W(h{?8QW7h@FChrzA?z4}M8XJA z>t$d#^lih^Bu(dO@@AY0c7`cB1LEaqBKzy!G7ub9e+6VglUoyen9M}CcrYZ~?1wk1y z_dkXbZHXbOWQ66kG>KMYN+?H>PSRgroQTa8`g)^kbAQq7fpiS_gDdew6O&S%qp~M9 zV=6Iao6hS(NWqAe8aDZ+^N`MPLc+6ML5#yjRC~wW_?8wF5~k-1Lx2=%i+V_@Nrisv z)nA{=o9X(z7`{hA>G@oyf(9O?hiXYc+miRih-;2gRp(o~9eZh&w^^x)*5#MVV$?po zle`@T2GLUS6J8c!o&JUoAfRk-O7Xd8N#fdS({XDbB%0DFSQd*}78jkF;a_X@Oc+QP z2fUmXp%{C%_aVZ3_r$Mq>sjBV+{@(o-6xbnlgQEp4cFHpYjQ6Y7Ykm5^2dL0$cte( z0&c#S)2Yu-ayB2mV9FCV$LB{~!i0hFqcV#_#Yh%$mR5$`JAr(J;0nTrh|RfbVl_#S&@g7v&h?FHz>jv4h)6?`5JGd z;E7jOxHum|(+1+ylgMn^c}od%xc@C1KLr7=P=+RdV%S5L;_s{=S|&*tY> zhnUkdzYd~d_cN!jsP`7iUYu4Q3&U%;eoF>DkyI{4R_ma4eW3wS`@(`{sPhKo1Pm&# z*Zmu=+=x^LBPX`McR=$XNIrS@9U7rLfpY?oGWBinfUC9d(~0ACg3b572n5Uy(tiIsrv;&S@`6*Wxa)CZnaB-qTm^hJPY?BFSR+Q#S6DnB(&8(RgG7L~zio&4 zz(%fx2VnTu2pTYgSsryRBj|0}d3AhKSvI+E2W=03cG_-n%;wR*5LvD|Q8@C+*0)@# zqNeSpuxu8-$-rq%L;h(M>g$6`@YC<;tViKsoBb)Ward2z8K-R8ec^t5cxTbw#4C++c51@<-bcBmMdTjR%r@G5Z`L4&oNTlUjH4IkZUcvJC>`<#|{Qc`S2r;LfwS`Pl3 zibTh04Hpx{0%Q%xA1(e}A<#ks(zgKr<^`62FZuvw7319Bc@|nsW>Qj)vod{uX=bry7n=t{Si>Q# zgDoT)OqJp_&$U(ljX8%T)AaMi7;0e8Ey@)bkhBO9jddM_yzUh`TFaUv*c*-*N48*58LJNb5RSB31snvjoi#ETj zrO8A|syGC{e|p%T0T=Uw0nf@(+mL9D&HIaC%jSTvnm6=w>utEyGz~{PNwa&>b?L{? zHH1f5cKB<2(lL!(ED<6E-3ODme$?S}fL{X03FVc$ZApN;a;`rJ_z)iKrhuy=NLHoH zq#a(7&Cy8?Y%+j@zvjKOq zis3ilvuu*Rz~0NX`5Ed{JRR$wM09<@w`Sf>iLG%XTaR2{({;wH0IxC45*QadCL{3k zFu#$wWdLJSg1qU%a5T=dI%S|-9H|@}g}H%>oGjsm76vM^II!!1LW|X{io_VziFV!o z9ne5}8~U3wO*TGmDA8qRSv?%HEE&~FhYWL zSYZ|C-xDv%z4KoPJ7~TsWt*eX2^t{K2?7!5pdALYcFYH}ime3q+q+lgq%6=omq_F0 zX*xEev!?1of9)$xKrw;VHzYnnFx}ASmt*UwU+|MsWA7Da!r#Re z6NMDFr|qwF{h^(@{U>O_&2jr8f`I>mGXVH6d#hpSo{TmAPAgsB!b$h&^EbBnq`OZXlarD+YFfzqyiFce2XCGK64>a z_I*AIo)zGa+RLBDc;`%K*^4QragFF5av9azHXqG4oz?_9F1`%)>5i^A0d^>98i5!v{b-nr}(8e^V0Q;-k}^P2GJCm zf{KxdV#Gahpa9rj%RFGA)wU`s`x1Ai2&|1V#$l!P4L;@dnxru$PkA^xW&)rXpTlQP zJ!~EKmNU3uZj=|$iMh>KL+2-^nJu_(mFvQEc`a0~eCj8LD`RJ+KA-o<>VE6Ig-8{m zb|vN|jl!$C2Z@8QujB_&jE|ey;C%`Ukm}rK<$p(Z0eWx#--9&xST>m7YSG^>%xO{q zd~Z~%#MS=CP7g2B=d{nl1dgpm3qV*oJb-xqs=@?Ryd-M%p2g{SvLPs;-gVD+<`1_N zs{l%tOS%J#z+qV=djj{~-Aw(tCP#M%JlL$v^z&{GlZiK1z}?w!zYb8awjECPldMDP z879kl_042n)mOb-U!03cKC<3j@2mdcBeF~LAjg;J^SPqJz%ot44jrQ-g5YAL@*(Ha zGvN9K@~%7?X;~3Z#-2JPFi}>N!%lhl&L<-`B7s#deiBv6sGPiSi0{Tv&pbD8-4=r@ z+mtOwD5E*BJm@UYxM4}imb}y{Lw((5b)mnubsAG7+hpuQ=s7k+-q$G;$qO`KCDWe{ zay~PO5-Ljr88)^iej#POPTz65efscN^`wJS`8+9xG$;*QtSHbRpuJqfV789EDTDn5 z8?GSnr4kq0OYfHQM90)2U?s+wtU&9qkbw`N|5;?>>a|$TvxW&19^~kMPjZ%YjzUGW zsp2K*h95)}_rH1(hHBCDyV+v$k<l>Ibv?$A$E^8`j_9;y{Gp`DNie)9&r3T>4^r*FCbu^AsS*BWwjE{;wJ%d5B7 z56TQtRO0Sf>{OEGEss;e|AhDIPtT#=KKiazd&GZt+ezGY8`^e&ZUYM$mD}#IU(|P# zohLd%lc@G5I?yk@B(cwp5DMp!@&RVdeZibb)bF{@F(BE;Dd3S1;@?->1egIU|E z^EGK(iMGGSi3C`@uX>+;R-Vez=4>XzzBKpbldFrt3##D3$!oDM%v{Rr1XC5WpCW{v zPge;pShR63GQt!I$OV@an6%HG!~okVzcVJ*q&w@vIpx>+b&@Xg^7!jNCq6ux{3`gj zyJCv`izY1X13SN(=gKNfZ-55wU)f{6*f$BYqsiy5ti-fN@u$+$sUCH0qgyID*gZSzLVP7FYd`01Ii0r2EVRFu#`^ zJmoJiFcUggT4Ts4XJrZiU31+0sS7ieUEcVq%j^Ha-hAU4mc(ZeGw<*+Hhc5!RV?kh zWZaBCV=P$-u){9W&w?fKh$abqIF3L++nrl8INw~S*Ze|uxo-*=BkoV4AX)5=SAhnx?cYTMfxgzH zz9Cx)8e1O@AwJk7zOVWA9*EAMEidiF(n5=nK>t-Q!7hM$;S`g8Rv1o z^8;$)TDuh9;#ruI#|&O*^jTcFXK(cL$2WQ8#RMFygo1jCzwEvyWMkz%T}vlqI}$iu zgA=mpm>4n^Q)~2>VGSeRF0Rvw*Z}0j-QD8xo+tSxGyG+ut z*d5Vob;4ouvRA+EI%K&Q7^gHX*Jo^8YhAf545ML&;QU{ts@H1bVB~k5W*iTNbj%0; z5tP!|veCS0iFa@cMD(N{+`fN3N~+}75{-QxsPd=kCb1SNUec03MOt&4sirr%7pCQ{ zSY&5;AzRW?FvVSSo2v$y-218Jt^7wx+%jhcIasih_{zQB3G4>L<^Ku;Ara4R4fDM@ zo`K0~^>B~a@b9q&Wsr7^GIc0?es|?fe__r$`;=3U z3nI@{dfW@w1*SaYrv{WSpx<=UM%xLW2+xpYGJd}sgQ`FnNR#=?xE z%!b0sqRPf@|I)F{;I?5KI}2sn%y^6;&TILAC{B%Z(MEKX<%%BORaxgNbgrl6eF{Hu zYyJ~_43_1y9yf@^|LJUAC)UU<`2oVc1HgXP|~6tvhC{$C4u7m>G68=l5vWdbr(C zc+0*4SCAEiNzB_hc3W%SYOEi6%Z^{4wCj<4tfPDwXl{9fTau<)JN2k&r}vedlrz;% z?*&?unsEXpY_58a)HuT0f0{)@JL9S`wBemb>q%gEc2d|YOZ zY0`Y*UL^%J{jo9hyw8*5qstSaOts=gi62_0ADM(K#7*V2hZ(-@2p1)XKEIeqIb%=~ zE}4sc9$&s?5USFS$MI#LAnVa#3q`|m&{3l(i+29zCye(qB0J<*I_Mcz0}m@631um5 z3~GOu{&D6?Yq?m6!=SXT7AX3Iyv~w1bn>_Ag+g|B^Mv>Dus0{x=DFJUJy!a@_wT5k zr|bEO3{p9(hEuG~DoUze%xM1JXFmQya*tmoF!e##aHY{migoeLr^&bNjA7HGngqQuWq%AEdnJWtuQ|zbJE;jCQejq!0Q&z%M zYkH!V(_dCWqUtrH_e?j^OD(@V80=kjCPrA9)V#+Hx|;TQn{?}FRA&1rhQhmkY~6}o zsgpZ1YU+EA6wC@xAHX6WXJQBgdteyzls1b^bjCDyj4Yf&%*9CG4i^;>@L^qHoM+`7 zzDz`b`ud|8o=04{IuU)E>)%Ito-Y<4_-lN(e>>EHbL^U`bX;qZa!-#ZJ5z5Pl#5*V zka9Rn7CrnW-g)wiyS%QqGu>@I>-u1aatP0?9WD-Vz9qmAG7_$!zz72aBaPXAh^wL_ z?xRlB=f5xoxBs8w@L%p`WX*49mkVJ0EY5jU$JLjqLJoP`i@SQR9;>M>9(j_G-7DA2 zNPgI;py&J>j1RPOXF*A%>-D$1>{Mp{7Pe1-v#CpvTZxYmEZTgpOH%)M+r0_otmPUyMo&pHG1;%`EerizZ|CvuP&+1WrEU*J-X~-mzn@YqhL_q( z-X93n+c>)$NFW1RpkIn2jKp zDU+n+&$wzBF`S@sso(kSU#ic*&Jnpv_E70UOH?Xa+Cv<-M=IL#zFKGQbK?9}^~c)B z?|j~^eOvL{lVPE2lYWkmB^vde?Ad)mrd#l%bA?O9_wx6nRg()vzk%JD)>Xz)em`nz z98t10ssH^erx>Q#hmxC?HxfIA)L&`W)I$h>gZYtL=%p`#A6cxLgC+(mlzR|#shWe0 zdsw7JOm_ZRna^a>Np`O_oO04>X#WqBJ&fa^D|E8gzi#GJ#l&b9h|t{=pd~w3qQ`Da zPvld^0`5-TJ#z}OWo^vO)|2JeU;S1gY7cP-s{_3=EYtL(>t5&Uo6FdAVZOD=ShD06 zB7?6Oz&8!xdj{|_lHm9X7E?QM_Fgrd8U0aJmVkhap{4T@+c#J9`Dmug13sD*%B_Q* zOP>NARU~jQnpIqIF$8Bc%EDcWPN{JnCzA+FH}rjre$W7ihE!We^$#wmeNQ!ainmcy zd!WKzogZ zMq!c}J2eVe+ToLJ!tP4k7YlDS3BZz||#}1^Rv*&}2g> z8wmfn=Tx!LgnThabH#ZAlgRJK(Y<0lzxK{Yf2@rJ<4>e%+b5k4+FDO@MDWvkn^=1g zo^#gyAI81{tg2>fSfuL!0+Nyf(uZ!O8<9A496-8LLQpxBlpunHAl=<1ctq)xZlzNi zl+b^U@4feX@BP02`~T-zXU$r(_g-ga&6=4twfFcEaGOpkbQYd4PJE@%k$TEl-$kL5 z9>h4t<8r-Tr)F(Grxj?ZrLy#RmMBoJWQ*nliV7)`LH)j7?$wVYTA$LhIz#x}MIAGgAcS6oWWpBFZ?W|3i%97t~^%L;m z*!K-m9nBNTSGg)r+c&Cd6=%n1Nh|pn#j&UPp1hsm8vsArj2S*>@Y4e{2Kec{O?5g3 zS12`%3vVWyOE-Sk%3 zAbdE{GAd>;NB$WVeI)VC_2A(jG72P6`_*I;m=T6KbfP!8BBVRUelYU36xl%J?dv-l zmwQ`W{kRT>q8ZU*j6%y>F@w0;egqF_unS`mV_gUB9>mk4dd{>Dh2s=x5PQjtMm{)` ziv%pl@z{`J8cbc03#)^d@b&kb5ac*$6t7a)aM?zG@M+zBkW)}9ZxS``U5E~6%PRD~Bd;)QTC?|M5V zkV!1GaF5w)$w7NBbox2*WoAr}Rv}Gp;HP#r>!mSeoMT>g5}k3j+DwUstp|@=YSS0C z#2>c|Wn>&a5b51PgckkWK>MUxw=#%`Nwnw6z-D3_HB2jf8CJARy%${AMRRA}HTFXx zF~N2_n&K1YC#}`kf@g_ci{q4MJK{GL3yPH(L_W1NIful?UU7_XeY(Ug9-a?$hhMu`RKPI9+3GpoO@%;C&4( zN>Td!fsfwHET}>D(*1#Os6AJYQ6;fjp<|zkLFi^jU!cKlyIu12591C92SK6&c^~Ki z35O2Cp>Uf#?1P30v<5?9e{HM}2`9ol|z zYt;?INwH*~I2-@-m&B(_ZP&^;&L*eY(CLqZS_`H{1sd>!=V{NLBY7Rgv9X?(^)jX; zAf#aeI#6b1U9n}__L>9j?veG9FVDA{MvYwen?GjZxTZRhN5!Z?rLhD!EQ^XSL{j*; zEkB4=!?$|9xm(~d z6UD)i!XcP)mI|MZmrW$3_8qzE^rv{@KBBv`OrdxpdlK7nsYqh-a#7Uuog&X{YP9cq zPR3aBkVF61ui~N@M38Yr=TA5>^;zs}1#*&zE%&GYJ-ZZFQxZY~vxa46%AE4UGdSgI z(;Nn(MaQDdZ)z;d)4INB0<-V{yl&cvR1WuZgK@q-d=K-zA+YZ*g;--P z_GzgLZn*GN)LqJxn$&710c(n>wm_a3&X3bc>bp@;-$7DtwnwJgbMzF;#Y2eVV1%q& z{Y70nQz=H&$b1>;Jvpyh+kBjiUcK^?0JMUe7`n2EV!04xbIYCv0d5iuQOp>EOyH>2 z@3Jh_aRNP4uMp*<(i3XX!$9~nA}9;wAF*hVh$~EfOs03Lmmtufq0k7!Dfoz`n~&kO z4`adBwXQQhz5zG^PX=mi0nZPNK&M~7B4cPbd%347$Q<^angjAx0zLarITfkNjVs{n zU!GB7<3_^6jM;A>$V;|~usBn0+CZ<&VTm8+_mL$9QRD>rLP^iyRVBq3bzEKSAuyxQ z_!NvGFt4#{Cc}x{A2!Hp4-q#(a(yVvAiPS>MG6B!?s&{dS>|r{j1Wj;3A0V63qeAf z?<T^-M(TxcWRs$sBNzj9(*R7TJM5I&{t-L4}WO8XL19<@3e7q`cfcv#>S^S zH^4~(J>{}di66pJpO3biT`u8rLo70ZBRu`I7zb1CV2%VUv`r>vVJ5_Q5(5!hUxkY` zCl#|tSHL`;CPc{vE1iT_>6M!REr@PTeGvk+6A@f)j$$SC>S=&pzajJXfqH1^G%glB z3saa#lcAEUwtR}Lc(ONjw{fuu)4ym#?WbSogvfRl)R7O=z09;ya|BPEkm>f}0}Clk zxn%`q#+Ld#;1oh7w#hE^&R8>bj7RZ+?7=r`wt(0%WS6S-+d8I?@E%o#{^Bl<1okTo z#A-qOxCWA)lFJ^?@G!6{ggqD&0n_B;PPvp~rYWC|fz`T}i7W1)O~=DRjfh9OIZd#Qv(u&1(uy{Kk)^MfeeT)r%2sJ zDa2!7F$q+duF}Czr6ujpWFfkPxL9HuKKCeBp%Vr0cx%c)0&5~&LX-}qG{|{?YR{lH zTi}sdYr{bIE9lzk=AeDFkvER*qm^lEwQJ--pzRhz$|zcQs%2Ly#hap?m=LD6Qyakx z{oxpXBCdOm{oxFs_qWPcgQyhZ{u%%1cxOi{qDf?Ts0Hen2!1pmU?M)jWGu#8Hw4SEY9r8Fx^iXMyeu7qRy+mHX`K1V@_H|Y$Z|&$NtJY3d1Q;zct$mP17Ca7 z%;9J51m4MWi?@{%>~f0h>`9|xgBh2tMBRHQ_`ZZi_*A?)3H$JKC_^53&ylH|M(?enk#%GB;6#i=p_5n($Cn3Fo=;#HoNXFrn>I%?Zv+If z($j>%R~9E}6%ra6Yz0H&!zZp(NYbMQ&sZnQV)Q_7rdRR>4!-J;Y$=n_AZ@FtFneLE ze-2)LwKC`$+xSLk(PNUIu8i&>8X7_7^5ilnYh+0L$T+Qb!q143t~3G;ol!ShFGt@Z zmHLF^&jfE)c+W+0Mxm#*aBBY$hgIBy1hy2VO!({%BnYWzCx3!R2 z9<_4B1o}`;3?v-$pJZnlF=(-~IBpRnQwl=fC}ICQL>TelU}X<@%0el-xhOCY9{(Z* zJV!)rn;B9P(DTbd%^Ro!HTYowsFE@@f~cFABM7zPJ9pji!-dnYU63QTwH+=ge-Up) zQXB+)+|G`G)jvG9<#hv%*gsg&1+OM(pg+p?O;g^tA)%)3y!&6x7m@GV5P|;K=SOk# z{jCijxqPv_hGlhXr>O{DrF|Z)WqfLEo=6oR%@Haaz^1vDjfl;>NJ?_-4T zSVBHGX+3s-_x-E%0y3xtap{eHzNMsViCL>9f@+Jv^W=_7H^Lu}zr6uw5RA|$xy+7l zLJeDNvEWsL`)qg0`iLgKV{=|1c5Wa_F%eF92u>oz4k@z%pZ*KSYILC1Xf~8uDMz(76~TbaWtj_8;t>d7aBJ zxS(q>ArZsJcqDf{!o(4~aU9}W46e{tPIpKNq}uiQk$~j>Lkc8$Oba%m1D6AObLxvU=nP1j^v6+o`%vGF8vNrp3wMHv z4RH0BI#3?7y9@HQVU~_FD=tAnIsU(a@#27_aEhZC3KGzbA-1c}l7Y6e*5I?GXeqJxAFi z)+!{NMc_H1?S+~^P=0EA2nkcl7AGZRJEc-2P+9hVawP-NErI>= zF@$cP_KNz$SKKos=`OQY6{k+QqAtt{spo{u67qser5NA;3xJtJ%21Bk1*&4Si)GRc z^gK`C1o{%Yv;U2@}Qq~!CIC}WDDlynmGR4!vu zpm+U#Xa1(7r@<~qLi09LihtZBKg}%!(%4qs3ToKztU`e0luGylmAl&_cOkAS1W#E) z>YErn+~t?QhJQj5zCh62!WOyvr`j{}0ev*!V{%-9i;vzlNk=qs^h z0~}Q^)>F6*-#5U^ZC9knu5}(lBTdx#;lz!%Z9Xu#a`!)zoi|QF17+=8FN_X~YsS$Z z3pB8`6L^HcbZiAKHU4T&f(k%hXgNySaDnKDmK<;EpQ|KbWz9%-0K?vZd+`H&6}ovJO2*R1tkX9x|NUu z^E(8yrf6QR=!?7#Os2v-c zap0B&#mXm94#NheY+G2r3JSe@w{DUK!_wEdlrU;KVBmpMu`Do)8HaL#dm$$2+_@li z?!Oy7{(`3h%7j?wB3|S0%`V$G)aaCPen1;#fuZSQjzD8z3R@ZURPMf=w5LxIm4g*7 zg4p>8S&Q{7Z|G(}?Q6*TB7RUR;>#h6{qzE0fkiJ2%KjNU{KdlgKOnwjVCPE^sdckO zzaVz~F`7FxsGpHuE-qr?F39bSQ$_)jPKqcBa!ZDyAMAcGU^nvNbNZ6*spgoqtu_&j>yw*XGa#bi@3Gp`) zn4JiS2QqvGz?J{TikeFf_#Hx5K6l1Y%>Viort$Wp-(k$*@}13>@19;C7SjLvaca@= zbg5(aOy{jwX!hV2*R3k=+7s8U&M$@eyy{Czt9?*fSGvb9GsH{Zh(9_1@$GPI@XZ;W>gCC77N)mMMLAf2^P?7Zq=l;P3e{eAhmzRm|avAP$LL~4fIifp(E@Gy7F_?gJKear1{Nt0_m;o`h~8Kx+FZ5mEJv)%GYKGxaCp(cAM+OaaU6X5PY zcnO93oO z@GQz)*jx#GZVz~gfDEl~(a2|D3M2}T-`^?A=oK8-ovG^_nytSav$WfrMc=LhW`yB` zG9!feZdnF?Z@9SAMhMW@Y;%<}urVGe4G?%~2?Uk}@u7<17bUP5r*|=^0UlM~BXBgzCwsc9Z1 zrH)K9I1PjrbUz9MkC$%uqf+RDl3&7&N@0?Xd~AJ5g>z9t`RyYDq2xguNjd8o(@)HT z$%8C^$PXxTO+t=22$n=Blw6)xgG#M7Dz)3asMHFfq?NItlE{Ke;!Y1xh>;?z;AD-^ zs_Txy!enK@WF>)+15A&I=Xf-mUs0d&aVjPMClRB^w%oC3sKIG&{b6v}OhzOP#IL_9t#Kq%yLbb3PffOw6Qq<3FvL1dGDZ!))0llV4Zvn8&gd3HI!)aD z@F8>Z0=bNWQi8`+a%1xTr!!p$ToafyGR<{744A>mCZQZsP3dRdIY#db?IWZcz+tFAhe^ai<3?7>Izu;!ya*O0gv3fqJ6twc=3j zXuYVcMXrbJAp!nfjw@89v36y`nbos?T^wn6Y?UEolw}V;--8-v<7tDbVDjt_@GuS; zcI%O10sT@uKRNIIFagCXeV#P-kb1za;Eu+!DTrheFZjs`rs1(WB@hrymSFNj4NGr# ze}I=8Q=%j4OPWe!Ci&S)QGKaGN+JxToR(>zw*>fE5E3F+(>Xt?y{>+H5p$*1L;l&= zH>1IfEixiJBeD42D>sGK&=A{>HRxCq6N1l8)l+&~lF&s*lf@;YEI|G_S!R@*Jh zT$^uz;W=fgCmmQ?Inrk&A~@0~(W2V329oNKSyo;wF3_TBQ&k!Q z4q<~YsQH)A>uR&H=T2_TEb=6JZ|C^P8124Z&(iEqE&6qwbzRnrELH-ih1~HH6DZh( z7pQgC7Y&PZJUKdPB324Gr)T~AcLeDI>m?T-TP0b>s0(asBgwZjz%m+ui|53ht z59umq4s|6*1k;4ygedqbZ|TQu@D1J5Sj-8tTy=G?<22$48&?z3oR$GXCpo=0%`nZ# zZGMqrTy3+-GeO0Y{@IiQ6(1v$FJ#3$P6BC6r;D@U1z?b)Q5k&!{y1{WNBtdB16@LH z#U|Lvp}cBXmXQ7?v?#QGMmIsta88oEIJ6##B26SvWU(%Z3DM=aR1V1PbRiE3?9*hY zH1F(&;$<7<%nsfopbVzKg{NiUA0Acqza$6$u$wf?`9(zEs#0ai(BVDPvC@PAH#xgb z(1ct9_~Xe67!!D?%uHPYh%{`Sj6kWwmm9*48hRO1>3o{B-tDzvWE4MJ&50+@TTi-y zXx@nbXaFHNgYG&^_g9Hf^%E!If(FAdyyy`nu)Y^AYn`BWOmmhJ%Z-~GA&7ID<@6as z?JMF>u^H1iADznL1mbk%a7f+zLZ`Dc6E%MBBxI6s5nOJ=%RLBVU@Yl3MZX2~N&biY zO#;XXdJt=Tsh!tSmPD^e?c%tgoZ#4|9|X$7X;2y1gNg;dG)TX~h4G$tUchj^BjXb# zm&BcMxpCp<4O{;pmuBs}FB9Q3iX3JxjoNt)#e>389If=4aHKz zKuXNVdL+2;Qv1iyKOr+)>apL#q>BmB%Y8bFtDb|Vz4cJTZ-4!O_s<2uBR;)&@&FmevFLyUA-Gcm-1PQq)b|Azi{ zj3h6WVX=T`0cp56vt58y5owEB4@4rn6uMWnQ{Z3`Z6>0*W4znqFgs~ArMc0yk<{Y4 zy(iwdS}|_q?s{rgT>Euij?2n$o6FGY3OFt6Lug}co|to9a}>u#(HjlC4bkn>JQvH7 zi7eB2NrmreeO+gcX;V9gxC1jEdQCUmWOTbvD@{voe`}7d@{j-IMPqrK616c|;*Zni zy6uP7CM6&4CeKC zIqhmhOEz{5eL|6Hu|?tj_q3{nd~KB>CZ)E)t5x-mwkjwx4n?|79L^gHHQw<5ez#n$ zyz@ac>>6}=jTyH~&vvWEtR`yj_!>X?dFXGRS*0T)Jxs%4u-&!cf4ru)@I%sV+_aeH zorUj{p?uv))15h#$ClQN^3{H=p`7PpF_cg34H>>QgxZlw|FjhT&R{vH#{{X4)J4i8 z&5#A~dz*M^H|?^G%RQ%!iu6Cw`ra;q2}NXtIpz;p+GRI3j?A4``>%agGow#`EL1su zTJ4X0@`q7JF^zlH&F=UKrSr>G0<6?n{ww)*W zLwd)M(ju~%Dsx3vgOBn^3a1JAl2a=|&v)%Ik5@Nj!kd3b@g(lzO1 z-uTq&Z}+cn_zeyproCGcy5H>t1bNbWO;bxK@#ns#?L%1wasXHb?GTDY8$^*`0GUbO zN&;*u$vvXHy7u;WU@iKccN+8;+F-d1u6e^zO~4$DNY8<#lrCoi(=nR|mKi-ex0M-$ zoD66`n|!iYzV>L)(&_!%CNbyoH;JMV8LxdF$8|pF<0>@X%^R>P`3%T>6e(BonP(|w z3Y_a)qd5-9PilE$Z9Z40^9Fo@H%ou}C{{2VD2Q*|&pB{M@n?BO`q}*1Bv*&OYv9i3 z7L|pvz2?1giXA3ox$jkr%JB}Badr-+WI0Gq{s0Epr z$sioAdAV_RUVE_eb7J45GIeR}mh*eo()$n-@XgSdos&*AH&?4p)5_4qK(y0ZCWv-y z-T=|&-<#_l^#`QD$E?yoM9J}`dI+eDuHQi|(jR)>O27FpupS+;=4_+i6xcO!4m(E= z>Ut8L@tW$8+gHc7t#O+0+s0&o zQ4A<8-u^>W_GK4=COCR^36CYRQAy_52T7h>0|h+TC+Sh)DE{QI?)16owDtXlZg!YH3weNxM3VE#e^_Q>32 zf-A+T$bN9GVQKYf4C=Qp+*Cbb_{XL;Atu9YhPuFH&6mVpcxpuTK&Rol zCD$=D`5RSIm(u_>uY^nUe<&;eI#h(D!^Ne%JMST(p7X-9Pz*1NSA_pk{0-wMAY(1J0;YO1d`6DODm6P+Da|Pfb816g@}<>mv+qcU&+L zZRyo>wz~~ftu9@z>uFPv071>_G!9O?2>8y$EC^dSnjSF=c#5_PF)IVV6Qeg@ zik}%&2$vc; z1+2M8{+R>~y)}{`4-Mbm^KkbcM8VU!IyggIYIZAd8hSljnN~@fr*z)O`YSAWpd8Ow zDxlYe4nVdI_~{9p!w44D^aOkrM?@CyLCg)d-Z>UJP zfv8(Ij)KQentG8Cd`WW>2$7vFtezb+95me_0d9P0>W%^^340;0SXCgb{7f)cS)Zd9 z3>2hl#8p9&Z6=Vb@-r*P&_$ap`0f7g>PK_+9yOK3{_^aN`nnADfoC?Y3c5MZOZ4hf zr7oPQ{yRj?jf&vB2JX>~W`r{XdLBYBer8<~rqhUypXwsr<9sY@n@+?(_XNZuK4hz1 z!`*B6Z=_sksgvH*`R(9sim9Cxpu5EW$lM~LKsBlnt7%)IA z#BVb}ET~cFf*hb(a(;;|Sz9(KoY^NWAist4#q)Kp_u+=111U zg_Vg(z?R_~Vu@HLg3~$3+dkZF#26a&ie?JD7-+gK+6GJbvgs4I2^)$C*}#_Gdc{2` zctE7i$VIzVH8g9Gq;D$jQvX2bK=0t8yFnGZ%njs-wKNV1!OvGeKPW)Y11bAjdM}S8 zv8ayPB_m|R+jxg9;z2dJyOD|yj5}gg(k@#iBCN|TT`(9e|4@QGcB#?nN=EM~MN;S) z0F4!%CMC%{&u&gqcrLq_q=G^kDD?0-nA1H|D+R+vE+RcoOkhS(ZT8#1LOT^$j8UUc z(j-@K4`qyF7dDuJZc7SyF1x7K@p{xXDFj7zqK4ciEY{#wGI3*8rYMbW#jL?RL)_|ME~Ol zcX}D)|BAUZbG4_9O|0fvHI5*d%{tkWm87^M(tbTU@~n%CTa(F!u2#XPj_r+UXc753 z8#b%<^?(*cPSwhCjKJ+BMUGkkcL8VA6mV0A%amA}Ka1dKu9S|SM`njp_djpR(yA~nbOB=2av zth^kv9owuDm|V`v@U(I&)AI*a4_Y|9?&+W#AQcj*oA}Wb9l!Q*k`+JaR5w~p&2Ls6 z|B#xGr;Tpc&Z$87Hn*I3!Y{5~POxbFm#!kUtfNRNQ!GP0c+ap>{H#N%3Ukk$?Y-lc zBUM4$4QUldu9_=d7b4+sNPEbwO&F)pt;cf7w!9X?>9qF zg6fS_6O5Z0q}g@wr<6Dp9lyoiUbO%AlP_F#{9`k=QKX}zd4Ti_W555xj#qU?mooLq z#VTPLOJ`{cVS_Fba(dH0T`E=og<%liY#Ev9X!Sr4!-eS4DW-YMEFx;T0G~Tz_%pI(^si^yfmA>iG6w@miOD z4^+y{Ik%$5x9fQ-!6>-&D$4r_;wj|9j$x2~^WAg7r&&+2zFa;3MWu3#{U>t$@d)ea z6IQ@*cr;_@V4nDX$4M)Ua4tM&%~_G}V%yVr$VJd_qGZSuKLRvwSuzoy#wz z=*2->FP@LLYt5dkUNS95kUlF4B00on`X%#;KJo`T$y0`9gBkP4Uyw^i*7N%8UmC(1 z-$njA3@8czft;{qm*=16ZoX*5yyb>}ck#AL8+W{)3B#%IgG2PekH=?@m(om-qrpe~XCCMbo+sN#-G&=D{Gke6ib&ia`7?11RQA*gZZHZxWS-YB? zxI5eTv%FNbF6r3BAkqH*yQP*ig-bD326WPR`)noq2QoK{vAu5IQkm$-RbiMAWn*}* zw*vEn<++uG6fy-_CrOg0ij>6Gj^Sw2*fo;m2oJ?EE4aKhQ;wV!m3T>9@8LtQ=(w^i z6Q#G(j_=UV_kup<6OqO;HtQjJ;*aO5S0C;MyWgT$SzEg+9pBearOa>&9TL4+9O2PL zq9R2g5T~LkGu{bZp-l0JY5x6-;q4gFz!vuU3A)$CbFu3WZ-e0v?n4XdQ~nV>Dkmhm z^XU2b*Rn)R9YpPe+bV=Z;zkUpNOYf?13Y4f0B5>{0_<1+2~!0)j9*S>%^4Nm<_kOG zkk}P-)60D_qRz3D7mT?bRf}=U4mXe5PLLpvy3)Rhfd{c0of~x$Yl<~vp!7!p%>*@- zQ5-rn)>Lx88iCNJi@gREckMFyx4GsUHi(z@?uZ<)EK{XU9{yBJ6K2H`!>M=ay={}QBCl^komHOu3AWRH$yreFeQuB?@)6( zF~+OkywT_kD@k)Q$m#4CF^S5Y+{21|zCHIruOzPLxy>#v7oe=NkFzx@SMPf2qv*J> zy%#m!GO@%~nqx()y&q||d=XeN&51P=6KfQIw)_EcJ4U%`u%ad5;IyDg?vu&M1 z`$>tC_>@lrBF>6^ClL|XE8D%AC0)g?uoTz(IA~5cvi(lt{zX|4alHuZ68I|-wx#Fe zi(C$vI)Sx$+UiiZbn^s%K_?!N9+O$-IeqO83t-+4+Az zWLd8XU`R^o-+ulGFM)mI-`lmGGG>86#ljRHy-aom7le^AODaB6KPi0BVzhsvviT=x zym4xJ$IarTem;OkTKhX#Ye96N-hF zM4jKmk$7uA;qg}Dr?|3iwTQRGC&ChQxTzv?ZUQYD-X}S#kjjkJgI!g~{=3yp#zflp z_OEgaH9b$dbV+65J5dMlDxX*ic-84#QIL7@V>#%zHl6*@0XJmmvD$mm5L_=)eGH#* zVj?Uby^l8lxf<54gV2K^|N2c_ zPk-(uY}hsgtA9%227z&@112SxMIss0@Rvi`fuMfMaUuGt zf9EIM;=ao^%jHnLH6e1nJdR4$d?wgW>HCKKX?TVr|-h6rS_Anx~aTS^iU2Bc7%<%S@IPZ3sdhW83A6A6&EF$y8vDa z=2y~?o9jN$*f2!iPN8KI&qMp?qP~WUw0vuW9LT^t4MHb}@#7!h#HLU%WDryH@yc9e zIbu?pX4jZQo8;Es!`;LBo*=*CC0<^Iw{7i80>uT10xtxrh0`>&8Xm9ZzO}eDLF& z$7)o%oXjd!N|ldg#0%H!Uy(WJI_*77a46wuk&9q*3BrVc-LiNzQFW)}f@BUmoR99c z^b$O(Ak;d#sjd7n$;W2^zMX>9v#7_bP&tL|Y()W*zY>s6SAZPVXCfr}MoLW=;^2pa zRi5-2DJY3Cc0jDrDG%0#1|Yt3(O!+S{QOQK^dn>%2BT)Ii5doCdoYs!8fy`gc)5Q2 z`Rq+dVMs(sYltTDA;awZV&4s&Bg?$zuM)lEW3mOA5dKr|_~Jr@hSVJeXA|p8;%b-J z$8w(hh}RD=zZ;F*AY; z7&_aRoeE7}P&)<1*0*;VTUeL#&Z=R&-0Z?zFwCamJQ4Gn8Pyl^R*ZPa_UPBQ>?~68 zaX&fb5Ko4(6F0-HrK&F-mibRRk)H`A?1XpU&Qy8Xb`GTiCMPU{CS%01f>b;vKrUiS z3%H>yu8Jjxc;~>85c0eCMP%|}UQe60i|NWcrh2}(^-$(#MX}XSZ99LZ5?%sX?`Eq0 z$>fANt{C6d0nP|Zp@#J1*J7)v#_>jn$D=xW_tiFf_eY;WI0(W?dWPf@Z`nx0lD8TF znW7La3eBtc@L5c&icjGww2ETUET~HTRMi46+)It9kXcOnxr_JdRVqcL3|H#UQxsWP zDRW87Wi)n^adrdVn-+;PDvhn~TD6L$?ixdyih(eC8Uu_^C(o^uJ&HF6g$WDq z3BS#mc8{|9JHupLbXLw3&Q$Ma(-Sq`p5(@JePR2|HUX2dg?cAM74vkcvBd%Z=cQWcav>@63ZY1Z4vXs^q_`|Ai~Wa6;V(-q-mFtJ|zAh zVm1nD?MsC_3h3d)?+d^_$c)p`7A8Xy1-qa+*Sq={qh5kK0GaAyV3#r8B7hj8^H9=O zg}XsT;VkH2H=He4g=R*bz|{uFe>IWFk>s=y1d_RFr`BuAZlhv2^D~a(0ZxU9_a6Wi z`YVZ$4HiOq{DcNoYCr6A4yo`PYdX8e4fnW9lTGU1u)H@H;D=+&YC>Oh_CyI zclTMI&}SdT)-_7G3yTYGmCKb4i>Fsx5%+_yr}meu7i!E7N;kSOmr#poU`6dX1gx#i z9rJGf3~RfVTMTA&>xgf(=$YeP-J>`_9^kCd?ihpd{Bf+zJhvFy>-@iMo zt+Owk{^7}d!?CaBo4GJER|?-|v+1?Jm?QM0Oq15t2wtg<_^G*nME<#BmPPvZ1=jGZ zhR(s2?}rC|$3MOwcCHk5^S&&HnJAcc9`96S&Moy;b_KY;XYNqiX+Dj+>MP%vl(-0c ze1gBfNwGM}+s6FHfVN>V0iPRUvfp!2)j26qqqAFe8dpYzn!%p1M$KTy?V{%SU!&&v zXKTLgeN<~!S+1(r;gJz{E`P0_05RcQ%gO7URBM*pLPhHZxPs{9Oc1@CzX76Swtgxu z9aCvnI2teVuAWk9cToCYeg=wBS`6kpI*U$d+}H?uL)G=QITh(8fY`9b8XhFbOsA3* z5%6=hJ(wTpxw8=kz3wXxV{*B6=)DN7JKd6$nZ58R_$4RC$Vs3P{@Z{K%Wvf1=EZB!-J*l_twtIqICOE_Z}3clR%byuqmbj2?8 zW*gr7`r387`A&=*1>$he3fgW)(A9X=)rK3G4i;{MHiL{WYR3JSZHS_V)~k*`)xG>Y zL^>vZTS7}?&Tvre0AA3Y%4Nrpy*sW8T zm|S`TB9T#ND&RG}mA7`0+S#DBZk)CujJ4MC2UDk`=zu?XHhqKQ=z{X3ErnQ;mlb0; z8W(wNC3o;IR%iY=XZn?sP3D~MdQ0j?Lq>D>db5jNMWMgz-ceIk|H?<6m-wxcJiGtF z)J5}#Nby9$Uq&-cujJsIHQoE4*%+S52ZJlktZU7%xt))i<`k|+E$)d^Vd-r=^Q%7J zSS#^G{LPwr|9>y7UgUQAjtthTMC@gy@4SM{$%;(g)9idl5guSpx$SRRv=Nq_`9CxB z!^>4ddf#0KlPY47J74lst{{WKn?M7SOV)wrt9bPYUwmd zciR1Cw^`$`QXacfwDe{?$uwD5g~$$q9L{|nsWl^z`dA?>`&c=6vJuTVwv8px*i;!PYcJaK$Q*ih_BtiPE9#vq~bi z1Z@MeCf%X?bey37bf+cf*7ZmE?-X>N9S8B3VG;AZlB>br7|-#Enl1c#{`X6sUbUT* z@}8Y_C@fOeDNNCuQ4N_p6n@+b+J=iJN;mp9E9H$}d@b`qvoRNz)PT?FLZRO$kBe$F zWU(X4la3BTLDS*S&}r$EFS+^+G$5I@U;&<8E6*=@^!$nb>(+ggp3Sboy4|`1T0dw- zgHPVu9r_s+M3s_wvvZ~JgzXvJd)B-(mS1)Jo{+5o|CJABD3e+IykD^O%Fo!?4H4nY zrD?xl=2^8o{8yJ@)bJLDW{Y7oa3eSkbt24f$oM`5YJ~3W!;-SaOd|*w=o6+oNg?;*-#m0U`5_pvnmrI3!7oDBH}7}PYvpf@0PrX|ADIDx zJplq#We+35kq2&G>6BDlDRaX;aOC`?5*9whTGm{w{;;n&*5H9lo2TPSk}gf<+gZQ0 z@h;9n)RaGb?*|$21% zZEF@na4{HwO?8s)L&m=;vLosCeQfF!=)3y8z9iASO&csZ9i@sQh;W)8Y#vQ1c#r6a9gUU;$g!vyMr zE*V9~6lyf`VoS#>-8|}d5ejU;$un7C1$f0Tv8YSz`$VdkVo9qwU!jVR!NwX{@%Qbz zw@edecV6h^wWQmXvT833x`k@yw4^Ulrz`k~bQlT02ym)6!_jst&vgQ`i7*O-JnCUb ziFijzrynvUhZI8QxV22z5lK9pI=X|UGpyRWgZ*|WtnU1Wb_aNEghfFUhW>_02u28A zB%vo{&#t385uXoyar9)Si63opF1IFrTvdI)TXN_hJs`V&nv_^1ETC(iX859pX~H(Y zH%c#E=ukgzw#=as@R}{;#ljn62daAM$5ve^9MY{i{&Yhz0p!uEgj+$#oYV#Q_-VGB zINgOQAv}IYS{j1Li&FS$wE_x^&lb0Ck39w1nVm-M~F8) zMCMHQ{a##s{TuTH<=gW3k1R2aVp&J7hPQ4#3FV?9%-S%$UtM35oHH~()SoC)e1 zhu2E+Kx`qu$lsaVa)sFd-S-@9dU@r%6exs^LgyyweIw0$-mU~0H1Zo=|03GmWD%rG>Bz4TgVY$EeW#;ie!$=`^kYiHW>RKOm2AymfJF|5qRrM z12s(armhL*{{;vaKZ*H~ZhX~zixBaE{GCxUc>%V83WMCkT*2AAlCK0!L>BBlbzz$gfkdNGybf0XsE8@SxKiR;3F~ zTZ7BNYy;A1PDwwHoUj&IxJ?VWFBR;6)zGvgi8|d7)Vk;2-+JH8|9pdc|5w}E!n?+{ z%j4u`!cp+XM=7_|)&Z{Ibon+T8@@WDS?)W@uE~qsL53G~CpN_fcHXxm-iznri|=Nz zii1tO4kd5DRR!9RtJ3;bmVE(71GGxBR;s+xBKBkbPey>ey;%S6{|Glntk`T^hwG=` z^NVa4@0zEYcyaqimB%(8tdvR8YzJ6R))4x<=PO+aZ&^%9;*&RX8!%4KUUYDF8yNh3 zTf%33@@ez}r`Jly=OHbxIe;BJ$Nu2{j?d<5JA(B~^xH$TU;~ju4K;dNZ(6o_A%$%n zNri0%BrszZ$KcpD;{5}cP#Wovg!JFSU%GcHH+^HCKOk}afg|!Hw7*m5cB{dUHGJOb541vn%9n zrKSX@eS0`=N$d(aN<4xU-#MeMzvFrQU%;=WZL%BOI`mM!(&!jEjyY16L%H3?Z1*5s ze7~nTC3{H1)7P$O%tVv&j_;!O*u#j7$9k4MU-5NkWRlMb4R7S4f5eWug&d)jk$>4Cn-!@lRcBX&A3fg7-I#ma+qnPAZHZ;6UA;Z%&67)>pJ!%Ad#$VgcA-|C$$VX!K;G=r zV8)&B7X5KsaNwlE2|udy!4xdZXL_rpuN@*`X23UN$(w&#)JE0^jKdu5QFWk=`HesL zw{^9yv-z(-`1%jNvaVKF@~n-^UJNtie{~s3;M1$}UGnB2Ij;P|oM&w3!}A7HA--{+ zPo@!n@XYfNC&C`f4c_{i)vDt+#+9DaKh?`a#CEU#4{2{5RY$Y*jpBhF+#$FGcM0we z3GVLh?vf1zch`*tch}(V5FkKscL;8GllQ#$JLjHfegE9GYW=#Z=$@XguI@eE)5Yw# z&ZF7hjUUa@yD3sdK1{s#eZwQ4H&ec(x!-FX7uG+sFKO`IZ>WEo4o=XZ-<1xu^?mCOGpA)N@eS`Mabc&~1SQ#n^o zx6=ujJn?q!3fa5qXhG?7KI&=ddc%{v(Z&cy?~8HJI`}dDKN$y&$KUOU%g$g#UcM^3 z)#BfaTFhS7JL+P=FuPd1(Rz(aJMChbeg0(D`Z^$YyOw(J@`3Gn^8*|Ak}D4y!L?8b{cSVer5!L8`we9{NZLc4bio8uGVI+n$0GnC(HI5 zQbacsrcJNW`Fq&qYTPH0Zcci*E`{nh_1oI_*PBl71NPT8fsE-zBWo?exN!xWi+(!Y z)FCdLn(L1_8K3@A(`fmytflkS?q5o7X*rO#b4r_DQ25j`wrE}KpOK+sw$3p}H}{3n zdabxu59H>Qh7i&JH(d(v|81Lol*qqO!S9|W(0uf#TUTW~t2?CiQuLIAbIw!hR1b{b zUg195Wxk1a{UI_(?cnK4^b#{lRi{m1KdIXW6X`V1;ymK^7d8G$6n^(=G1^m4pbb7c z`2I6OfX2E**0Zr-yZ>UR0GoufbqD93-tAeiYtX#r==GjMAVT+b(*8p8_`%;d3?)0O z%?!!)*?IAW+vaslwlS4#>!MEohXiXD*p$5N9?wxcI{h=dc7q6hdE4ZwQ^_HYhY#Ng%XC}zjEiu<={a$w0$)4qVYW8WvVD=|te7;*8 zK|=&o$m*pRQsI~9kuULSvZ>D#@S_hU5e@Ik z#PqooN}{(Zt%WdA3J9+ucfJL?Ih>!a@2)#k^DYvoG!;LKh0ih6!oU~U#RZF#xbDBs z(Cq;&28VR9L{y+%VI<>{ z428^joD+foI(xSy57nL23k-2d-a2^=rcVJVC? z-=NsKmoKSWX(7b(mtkQi(nQ2As33`lDSeHTdv=zFsCy!U^}1ovQ}JUbHZRi%`-;(? zc-pr1aXU4N2_EWn{KGUZ4b9RHg3@V)nU}d?%CHFa{sl=K9stL*AsHze{COS z)P4YvQ3GYa`*>DX|1#MkW6ida;2vPt4(&3knMl^|cplZ>N!KMG+{QALX*PuZcixd2|qqH6Y{Nkg3e16>X@oHc> z=hN?(_KTanD6EMn_YwPcWxuVgOYp<2hdVa`E|f>h8*meXmuYtIz)#(Tn25F|GSs-g zlo}Mko2{iFgY$2tp_Nv<6~`a!P1ii=9tX6SKK&l)yimJ|YO1wwPvU=j1L1)SCOW=I zETREGOnp%0rHRMKV`R>E_L+)56UU}f3gu;q!B1qX^n}T7vKfV+0GYGwJt<%1-w`9@ z_nP!bS(GP9lY?s1Bs@f}ZX&OMyC--j2q()?RVrkr#TQ93-w`=Fm86qE1M0L5taEoV zlo}!U`oruIQ+F~SwZS28X-;T%^jh;p$PvUuYy6#eCmW8p&7L-VdCMbiO-U!&Sz`)Y zXseYDu5CT@Cjj*(IvrB**PqCp#%BrqJi#Z$F*rk`?8kK5_RMXo%G7WVU2ME{Il2|T z8!sPOU0M{+)||L<2UDX6`9oLFp znb#2<%UANu1&+^~^Mk-*Y=QwtA8rpoh#04>5D8h(CuG3DN0~a6L4XbLJt|BHsloq+?!PVWgp7pW^!k`{a)n`Y7Q zV?23d(moAmt&eIQnO0oOSRYL8@}*4eQ|z?@C8)U9DA{?2xtT!I(i7eYQD3Z1t&69n z$NiBwh;*C{M5Ep<@GUBAb-N$Ek!Cn4`_FWBog9PDVMrX~rIt0IB28|NkL=?k2P`1R zyi76-e5w&k);E?G%$6R2;}OHGMr?{5^U^s#SY_@TR$N#A^wO~ApV8u(X~I_|;Ji09 zNFave#Pc&q*m(DEiE(N2Z}Van)eQIQin-L%@%QCwR&w*Z{Tg%}MF19h*CJZMx2R&i zX+tV~WVVo2w>hD$YHLX(4rE^WQj;0OJ?W$`JjZ+`=rW;MRHibP#a9KMVT&?ZMSD9Y zbgtR#O#IpB<&MLK3-S1A1a1r^HN%E`vT3pS zhd!2m+y;dcDZ4lbYHz~9n?Uq4g_SLMC@G!i*rz03IrNqI=C|uX{8l5jPmF~7by3ytbx@TO)nCk4(=TYFPV(CQXZmX&~Y|uM)npU4T za4oYg(pK-2a5t6!Baq`oK{Cx?!KoB1K7vX=P%R{|Q7sXx4)iAgC@&lO)b*~GG#=1g;g)vEveQ8y6V z)|&FDXXn$`TR^+&dIJ;_X_}CVGw>9z)pS1{w0U735{-C*XNC7mBs++94Y>OLSj}Jo zstt2d(?Bxt1okJuCgSrbO}Y0M!&PU%QMR(itx@d1n9QE=Rx|3TAYdkXvV?HZ7=mm* z$;J+_P%-w0Q_l>wloYq2C8m?sbN1}vZKhfmTL=M&9l%}TK55zE{pCnpmn~7kkm)~2 z*k%x19JP!ET(D11hZTw&3(84;h=FtgsDtkGaa(FAJ2p9X@s=52v<4mFi$Z_HU%hzy z8DC;jI}>j%m#l;RrN3F{O!C zCUJ-gRl^M3hx`~MNYuyTo5!oeeDR;3mEy;$MXLi+>WT!KV7)KDpHF zJu$HA%z2t!=XjeL)wXA|!f(EnSBWQ+uTT#6V}=^D3=*8R-wJ|0N~8uKLiS(k?RkUA zvhHm4ul!YT-g-zk?fA!@9E(?00e^c8rs1u}dNkj9EU^5o$57SYdhDDQZ1~H8QGWaO zm8*YB@XW##@L>_EDd@r7uJ*UvY5#V6;@d@pWWX2Mj!NGhHThpj^^{{z9yafn)C z0ve$-@PmUYAd)3O26O?1iqwk$ga1{JyVwIaZ4!~A6*8k{`q9Gc z6nVHs964n^Nm`7A2rF|Urqvn_B_fFy+2FF8_QukD2$5T2HW?WxHWCTqi+F*TiZ!1m zghaTc_aY||&K5U^p%|*$j|dQ>iA$V;7pI9c`HSp-5#}$>O~X@W=`LRWh@W7z@idS& z$7$rNE~tC2O{6ii6wH7EcFx8f?SCT01;jT)!oC^O4f4&tx_|A9^Vhy_YSy)-f1{4*@KM?G8!dpqS*Le(fuqT4Py&iU_fxAPY z&;Po84D46bn_W(FW7_e7xo39C{5zFGsvpoZ~>k#2x^7}sSx-#?KUcrbX?8t{O#Kwwk;^~;05 zcH?=oTPpIK-DVw4infO22IJDA$=L`cvv2-y#Bvf?D9l*IU(G($U;i!{?w&f^9rKL8 z*4)Q|GDgA5rV$Wr!%MK#!&OV@WMaKD#5o?vkn{CM@i58?GoBhp22~>+e>0zo-c_+k zH%6|FD9w$Z^~B5M*Y!~SQJm!h`E2F8j%)C28NnE?P~KsY_r?#{<3~g3 z3-}M<>2e+zCk_6@m{YUmP2nKIT5`1tzu_5ra(WxqYAx1k(@vQ4cCXHKEn;EZ_;fk> zV0$zH9fRRzhb@V(L11a%SPAxbC+f?e37 zfmP(8gV6+HyiUa@Ly#@xp(oJy{YoMP3Tzo}RQS>t zxg3`2$cv`!KxH&=A~x3S${{CptXoq`hPh13ndG0C3JZyll<3Mx0*bFSf}?OFKf;Y& ztSJepoqI>&MlVi5Qe#YG0~tmrTcc}AiZI9z)da6Y?hy6^FOVF{8)kDGEejR2xN`*^ zff1%F(51l>2Nog<|Bp}~1BGs?S)LCsu8F-&Eu#4Dn<*x!SiTSJGo4Vx<3RcS6kQb>d9` zxA0AfVWY>4UEC5mWDMR_I}PhI8>?8s2pdn;ZlOZYU}1z zFHQ}Ju2x424)H$o*X%MjZslq?<19NWl;205 z$Wi?C8F2fEZfg2pC~m}r7G6shm-1$(W?7(7jO-kxNX;PS?k1f-h5V*ec3&J{7`Z2U z-V-!>h_s5oP!DsM4=e>@f|R-tICioTsQ0ON=3WzAvF=M%2r1@peX-TG$#}3gH>Y?krU@%X9loLUU^D>u73JGsRijVS^ z4U(7qi;T?{<-w*xT&By@{z{ISp7-G|E(hVT$bad}&iuyDjppq{cC#&ZJRG)|fKfw5 z1*Iw{g-UdsjnV^64Udvb!WR&94gmlQHy&V*h~V9 zPS}>=-dJ}qORT9Ug#|}3BD?wXrmx~8OnVulsgcUgR(=J)G!@)ew=4ff^_x<)>Oy&N za@nv>p?Pp)*|53Akagye)K))^H7y#IfN#3sA}NLw>FoYEz6f$pY{^Ud=xt4c;X1B( zb4QBFxBESIytqgaiL`;GP>XY{2;=JMwPcvVMt)E(WQx?gR6g7c0X*uq$iTuJ|a&s-ZuZu9upBMc#g!Usvcto=SS~x%B z7Y(pGMSQ>pDa&`PJ`IC1hp~K0Hms4>tnT!BV?I5q{qQBQZs+g5rMkO-5zJXnp}M<9 z@beB@%UO>p{jh6z1!>38zHqMCyHo6RP`>V@QiF?g5@*Vbvj?T$ZR%~os^YMeeeXC5 zk#YjkRPHWJoKYxUSCX;xp7)?Btlzh4PgMF9#-S%eQ!$CpBzfjTR=Ag-n3b~t@4#Kk z-a*%FL)X!a&-573X&9B_D-=^VFe-p5$f@q{mrnV^lz1w%a$TwRAW7YUX6errU2~!h z>pLtR8P4Hv_)L@;n37Q``7)aY1EY#q=1l52(`x`m?fw9#sFVt$)YgGfHI_TwEz>ew zrK$@hwJLd&>jyEly>}&0IwQ1K2(-q-qYDuCd@HvXuT$4^7wjJPthoMafTmCfIlwyQmbX7Jjs$lQ5x)7)h9B zOsU!hEFA#F{W*Y9G6~TeHYJ}&@Y~kLeM=CQEoE!UzG9wvn4ZiZt-L1m3T;KCB-A4; z9i*h#YcOIJ)&k2wd2-1P94d)J=#^SDp~T_hi4mzK~YJZv62O1y!L5Tn+2xwe7RG5IVEdUH8NkR*hFa&O^26**g!V6wbR8CR7kH1Wo_o{>p z!(i-ho4`EdQEoqyyQpOQU*SDXs$!(L3>jv>#x{jW3St%>4{N=7u_<-5aJYLG&2QHt zkA<{N-90|DK2%mEgm5ezCN`0p%|E&eI7Odf&3~yl{8JL}HsZojQPINlM6}3-6;-5? zDX4F_Xi{Oolie;+R}oQ)O>_Y8ccRW;l>3W((E%{xgY?l@@Zr(i1azpFglt@DB-A{+ z_T@qfE@QvJ&2o-!LyILTi*G@TooeH@-+~p?_!Z$}AC+>m`6T8QAnP5c3m$VFLzR{5 zGkV)ost%S+N|?0G^*A)z9D2VZ5*P59Iv^$j|6&<<{9Rv|s1Qq^%215qmri5B&wi6} zf$d?>1Ly`aM->aHlgN>m%Im96XG0>)O1YQ|^{}IlV$RYV}HF@+{3EqGw_@96sKcJC3e-DmO6wQg ziC64a-5cF}LuWjisnV;KTuh7kdmSx6{h`mpD6E$2OT+OKkGJI09-xv(|7jmkSrl_O zi>33K_@WGh-dYS+9VKo)FNlsM)-@lD>j0(PB-|-0x+xh%i!Lk+O1S!M0JvIh8aUTx z-SRd-WuMS-`y0}C0+3}pfR#|_*lq|Ro?>}skO%|c`Zl1@BhkW+VJYSmjDjH%Tz|*2 z)KVIo_zjytbkAkzv*HOcU+<>K!J*1^+*2k%_Pu<5tke3HGe7e}55t9CTY(uOu|V!J zImIBlfT+vrVzxgtl?_S;ktj2qOj=p`tI7Uv_VVNjHA}nH<11EC9z@(3!ymE8&>=3k z%muvxq=aw<3&@f`0yf}rryebDe~{~}^f~%Zz%O_=+ikSY*lxO2U6FWj zJ%OxC#Lm?W2%!dGpsSG~^CKZOLIc3R59Oj$o2d0%Fk@c&l?$W>l|xP)$dGLE$w#j6 z#mIjVkbGnXf5T(dvcU4iC$aT^mgLx0}F^H;f1nXEA(CuX50qT9|=i%R=^w89Q z(B-}NSV|$d1XLy;c6`D9bu^dP@kQ*{QGH>@7pGrGV__W=b&E&kksT8oi${?$g!iQ4 zIILL(1G3W|@s&{Rm{C**%s*tO4dmM1ROoE)^upoX2~{0v@Likzs}i7Zc#;gNFi^Ig zj}dlN7&c0SGK(p7RAXoBfn@-v_+$r4x6C|B9d1BoF02+9v;Sh|Urc|)3Kz_5i%Xt0 zw2{(~QBP@%?*m}``WLVMqH*z?W)_TJ%4TtHc~IP|>fCO52HmUJg4};8Vd;cD>ro-) z@x#)4GD#bxK7rk7kqxOxh_1$5L@rRxXmTzUs-iDjniWsL}+i2OdL8XSOwaLL!{~!sud> zun_8L>nlf+igjd3ghrQ8mpcmp@|;~I5LAX3xTaOuLshLXIj0?(B!SqD1m7wNiG|e}DwGuJf zQc`o4T@qn1m265BUR6qVl}%f;_4DkVXQstNaAAtYEkg@Gk-y)p4HO`$c|Mq0NuF4> zJg~`5zD&~|@wB61Y8{_sZ^a+Di8w+B6`N500q^Zz+}GTM72wE7af@68qZdUh%pIaX zL@QuA4o8G35Nv7_Z6^MNozIEo>N|k%OpZRVzPcg`ks^`+8WBrLaf$TTfm0zKks1_= zA;JOn8_4`!$S~hn)*0;)Eqj`}j>6;HWY+EFC!ud--q{)6>Hjw>Hq;@zT7kh#8RGi{ z3CjYBX`LPIu{_J-;LSs8CqFoRaxm1wPbk~;J^$$Dp71)XfC#mTPo(Bu-+YY1F(e3u z4D%x(mst@)JZ)4NL&3*5J3V0Y!_^#&N;`{;XL>ppfQ{5Ka&~UzKL{>`p8+1VckxBm z?v=9XHGVmV9@Rxj#ON^rwwCI>i9GgDHhnC=CW&{g(2Yr8ZiwPQmF64uyF@A|(vB2r z#DEu(X(y6GjyhUIDanJBgvpT>IwG6R1#Ax#4%o3=jNqgHVM`3ECUWG$HScg~q!lj8 z7ooLJAs?tA!}w$4Lnt%|Vi%zgAP)EmSv$UeExvWpxXzvc$MP5#&T4x0B*Id3(r1lQ zQLJ9Fg09FgVRfh<;l&}Tu2XZl+9}vEFhg&LdcC(dOkpTU96c~bDL{KW(<0j7)p?MD zAzF9`$saAC5fi*A4%nf+PlNeyMIK;qTWLuZhh~?;dDG-yS#4}$KH64tM3|icT3Ythvm0uqGZ!18VhIVWK!{V3$>R#u3|;{tF}?Zz z#e#GF0DX}t^IqP~nw-xF1oIOHX=Z7L+ zcAB?d^SC}?`aSa5f9W@$$MtE%+SAPIXHakdvU}H4AwLz#7Qf(j*-z~s5f|@xlbK$| zL*3V&&Ns^JNe0o<3xDq_LI0{R5_I|T${*hOe5w1+@9wZoA8QJ(bwTSgItE_o>c^u7 z6ohdG+d!qRn13)de0@6ZxmFl=CeSW9((#3$W9B8cOn;-O zYvuRK9cpCAa|3A@g#S1J6sZ4wJr;E@JgiodDM^b^LoYU_IGYBa>jF6=hE~#!@%wsO zS-Lu*vf4kbfO-o&;*9Q#Id}W6hXv5g1L}{hQ?FpPZW=VM5f~ovqcsC(KG98;(r?j%Yp3MZ z&NXiD>b3ECJUtWixxaSzh9$Zy=lQ;F1f(o4b3Gh%mv&sl^!rqF-Ns3DZuk13{W$b? ztu`;Hf~QlcB?L7}t8>8nUiTWl&G7NOm#J%?|8j?^6WKkz&Dd2(Z3sBtNPlG86GHoF z_y=0;g?chE9TA(7h7FHiM#+d(Be9^}q?%fKHKRL^g2NszB7Uw7&jbZJ(+QzPYB3c8 z*;#oObSzIFR#t9J1peF^nrBk_N{{)BMPNurW4)6Ucid?w5o1eiIv^ucIG zfv>+5jd-&}dhIDc-{E_AnL+&Wf}{VsTXtJ5oV{kvbjsqdvWsHhh+^-5(aZFJRCBpf z|FGF4xc-hQ+qJz}k_htl_raClc%<6xu5EkT$FQUof%ZWya@uY0x#r*_+YY<@mfD_R zE55EzrTQz&3*HsS51V?$qfTe@Y@)i!-Ys-&PI!PZ%-u(QKS*ome!r~n=K!M(Lkj7i zN?l)q?T*$5@tLYDl`_tOkGO-khM7BF(QH-1NDK?w#b%-$p9gH@LL-9#pw-C_KU^&j z0$4sI@3k#m{n4~dI{S^o1VF)~IsKd=OE>R*-U8E=j^zkvYiTp&$T*}>vUP%Y(2!E3 z#nkoH|NN7F*W<=$*XLko=ZWjR<ae&$=R9?@r6QVy3)Rte;o4 z;~U?L7`Y2eP0?6j*UP@9Jl(ynDFSV z^Wg*its1m=m*3+_n|)W1eZDKl(7 zu%mLs*r2lW5l&`C-)@vrrJ$=|nliNkJadt1*UU}DRcn!Z5HGaF^ zt$K60v`*2y`UOcJo)A-Z`Mep$@ao2rUIR+F5IZ>pL%j$@C!zWkGEK>zAugXG0oiz2%?& zHt>A*u-e3F1UK6ejw)*T9_BMOU{+YPfhV%m)weEp4FJi#(bV-UapvV)<31*HcuF$iOGYgu+OY{cY?&j>DyR*_qlI3$t{1A z=lwX53hBL*FUj83rO>AL=R2kbO`>3=G`z-6WKKPJCYjv6tN=IAGW7@8s z%9#4f%NR@!%m)|Mp%Cm5nl-@ZKTScdGx16D@kzlv@94@*>B=boiMmHvF*Z8V;a^x6 z4H9pA;Mkrbb`~b^H%zq|*dM2@KxlbY ziadH4wD9t4hwtmS`p?i*xyta4ufnP7_9BJFQWDa&`==v<{Tzwv73ClSjAy--LaCSD zP{}Sj+KNtISg0t36aqwlcS7zE#1)PmhZemvW$curYXQsC9j^}JwKJdOjD2b*1uPSq_p@J&&Z_?FM>{h9{C!qM~8viXzm{t4Tk8$7Q^#AnwKPcJ3h z6<6FSwn#YrGp2-KYoeb@8&!dgO)E}~ReXxH-zGxWz_qkhgiErk71YVBJmpRNc+Ie2Vmsuoq; z8(Qd^T+T<7Be zA&Y#xCqCtYPuq@fJ|Zzemv&!J9O}VLUVL`y_>Iy*z65p3BWF0w=dj>tyD0_VWP)I^ zHIm|zKU&5T^lar@^kLO+haO&!!MMNsJVjP_$6I6cdWQ2Je#WVTFIsnxDWwg>oWsF% zU+OvRcj=xY8(E_8GMKUD*Km{0uva-C>KF_x+^NOl#t~eQ%V%}p`96#hzW)6?XEP>F zm70Gzd;VQ%j%o6erw=WP<7lJsh|f8?89d_%5F%Hu*|VZzq7S>z3AiTXjNu z2vy@Wm>@+uYPXgCR)GAwS<5YtHmO5A8=h#sSoot$;0~35fA6cwI5TsDsj5SmB61E5 zF~hC`u)JUgf5l?V>Jp0do*5Ehle&Z(j2dR|U>$vu*EagmENRs?SP9=D4IO-vMO&aE z|N333SCd%TjE1F1$CMEZfmn4{F>YepQeiwqgH&=0A7*5YJV#_#9RWYCn*oC#>g!Rh z#80pIZryjzAs-QG@UN03wHbY{3;RVPNJ6ORND1_@3;0W>zPmigBEMjHz5>q|U~Pkq zlM2#FjW=B>L@{Wx2ZVbZLc78L8phufU1Cm`Tz*5-No=B@TA8zSC0dn>dAH`>%liKO z=ul$U80e$z0ZW?!!tlE%&HFeaYY#a#1P`wXIir#w9kSXoxr$Bh6j)@-~3A%5f0 zEbL~3az2D{>V^j-TZ-ds3b*X=&znC-r>upr!L2q2bKfT(NZoR_hQ@(!+GFk3(-cm{|p61#Tfcw@}|HXK`bd8lgQ6| zZgW4)7d&|ejrUO?4YHG8EwSa-hZ>bPJK>|$Req|W&8BwWAt>#nhh0Xe#~7L8Hdn3b z`39)EyHuGBhFVKikQvz0bzyxJrDZ1QwL`|9T_ZJk@QI$I+LV@g++OJcPilFKb(6-a z+s1}K-1iZN-*AgaYux)cgYE;=!xIt>u`8J-!<-uo##>*m%WT7pY3NTo08s4!qILk!_M#l# zmZ$g*_=9|125Pa1Q|i&VLe!oTDVAbnM#J)vc&cM}g9v}*lHb2SrSTWbE#IK@@0#qM zB`%Mi^EwOjt_-*K6dGytYuL&Ec#4j8iw5Ozjy#c6K~s~?SC-cJ{j|m<>b3_up8vW< z-%sUwV`-jyMf0fM4P6Xz|%_I^#8dXiS;skb6Gr zW}aXWL!=<@3m}BDjvK+M$}-V>PI0`bGZ#N+)k|2RGnYd@7Gz$o)1WGiu%b%6rA9gU zjt+U*3KV7K6Ke)ZOj;BWGfj1B2?7w+Hup#(opC&ECq<-5lS_I<6-HWyPsNrZG$78K z#8CU1J0@VoJ4(Z{`jEF5zw$e_IBiiXWJ?3TLu8yRV;;-KA!$~%Aw=PXvhS~YJJT9Dkq${j8&^B`9>Qa$(6Yu&($JG5(OUkIJ6G_VGx%N+On{T4q zmyc(iKF%u>`;@I^p!Xq@`%Kz5{)@j58+#PXR-0Jmugv52)4TIb4rt)qc6;?@By3Jj zUhn7^((&$A=8n_m4+z$J7$#Eb)LZ5lU;lVE9y+`GnsxK+y@WmLZ`oWZ;IgqbiI{8q zbXJYRMU$z_;QNEW4w^R=!l3W)36DIOQDe-&RV=3cl$>JJe7CmKh+eB1C5DWGxUzFc z&MH!1??;C#-xc%k?=Dk2zlmu$R2L{StTqZ-_hGkr7;p7zB&#&OtJ|pNj`j#^WV;w< z7YaxQ>Nf#lJ{HRzj9KPzbGS5LLJ!+4=J3(+%$;Xzma6M)i}*PL$$A8$9>-W?2@hUpEs@SF_8uHQ+hMNuu(PU@U{N-5O&;=rCx zTcfG?3F$XotdQ&POf@K*m~!GuEtgupUQn2j^-DY^qLZ-$MQe6p035~6nb_gycnb0P z7?!&1NP~xiYtP@dB!x4ilrlN@@8a9vSKakS^P<%Yj449gnfOLER^d(-usad z1^YsR-)X8HAJdsqnDk!Aw$g-!7rc#aHHnCNpltCoAM#&E7%-1!XUi=-)gSYfcJmia$U2rsHv@iYg=< zTDw(Yappr;mAsd1&aL{KfMGsrvRL}6L`|vmtNg3^kKP@nR)KiYU$+PMd12eH?e)W+ z*La!{BCC@j7mZyy%R6>4d@BCjzfA&jJlOaFil?Y?q-Z>6eAI)lM zK42smuO=8hQSR~#ddNv7mR(-2_=Kg!k@j4EAK%liTzDiNPW_CRU$e?$%^LEu`Dnb) zWY#{QU@(>%1?o<9{2&_4V*Kewe(Wv^)R(G?U-gb>dNmyh#u0m48;Y3@WBIsjB6$U23iKFJ&*F^rk}c!mY> zlsTDK;o}c5ZeIU=6bTcjdejkq>rV*q#%_LO3xj#6?k|H_F5_CN(^fuR9gANmIv@R2 zQ%hqm?57hsE%D5@_4QF{refA@W!`@_b(NSX%o`meqVB#QlUp4DOMQFL4hI}Q!t~+Z zG4s7<@v#mpA$y2hSe>-5j{*aUsCXy*A1u;#(D#%@{X1QW@SKX8cH0)K(Rr;)>aU7M z{GWff!|$qYR3%M4g>Ct$Qiz^;Nt{UzUaNd`VWFT>=DhhHc@1m#P*o$?^0KnH<}^I> z;?$0-Y}A3bO%e<>C@(0;yr2DmgY}rPKpX++FV+k%?Jr(7_q`Cqv;cnTf4dY;zZh3oUA!ORRtZ0x`cOYUz{tq|m!gFRLT9aw3n=;*Ih zJ&7`}#W!$hM9(zvU_{UC@)_@TZZh64M%m~_;6#;a5uYZh7pupIO($;Ao|8esFdJrn z1U>=gWz%>xPhLd8b?Vz?<`fUEYG~a^5kEpgQWSMJ>(~%LtQwKc>8E7%ilYV`o!?=d zW%j$aV+K=_6Uj`eEs>Fp)b#Z#MxEzb?<%er^_oC+mSD7qZ^SGwLmd}mo4<=?9qsEu z%}u_*xXC_0>6bkSVPvvxAyH(B?SOv1h!tHn7YLB9aSj`_Q!}@H$O|3Q?KB^1t$w|r@+QqAEe?skk6OuThE6H?3`^C)d2aH3? z6{}P-s_M>j=ZVG=GVDoF(%7U1==TzzT%EcR6W0HHORe(o{w#acQ`2)$LzzYp`=%qS5}R%pFG$%2^{M4%WLBGLu@0yHj}!^sJt7ls$mFU0+n4%Fh+H3FqY38b3b3NC>#2X zjcF7cP-T5GySJaR>tCaG<}6(g;&a5Cnxl{znvWO(JHc#=1Fr zMU7g|$H=7vnd5cQaB1@_+ArJ~%P;FPx_5c@Q%oqbKPyfdd=^akmMreiDd)${EJ7U@&i*5=H!&Cv6U)JHO<_kz8vch>yX zjNGLwrF=+19D^z!ZQ2NAQLrgx-HLq7q?cfdPQecxo$jB5TN1nov=S@@1wOTZ{3G?A zs=f$DS{i3tec;y>-@$O=_@BcK{}&wJFW1~hH+&5ISB`ZXRop!4mD?xBRYviNm}V`? zdD|Sr(~{K`ysr;C^Sv%F8WV+MlKXS4R2|zIx~2k@-mAQt{u%>pY>_z0t{rCzi z?{msB+=}z-OG2rITb{xXFJqBiWm}##z+>&yo}1KmaG6@0+OMWJ2oTBX2+s@-O0o(Z z4^yYUQt_IVUkNmR==XcIQU1Y`wu(&f%7=ra;TIz@_!-7J{y^F6N=Me|$wxY+&umK0 zC}SwS&+LvOEx8lmvJ<30ES@Mr&X4lf0)3>(iXI1rLBF)8UD^7$!l zhiPuGdjO9Z#IY7`OTfKA`7|3Ue(4tDq&{>xEC1W!vVNY)!vml3inLb&cb1%-2K|bg zlqCZZZcrn5cl1Fcym0wN)=nOd8~CiPCA zkMwW-wkm=`*U*587_QKaj9$Om+OsW_EIO<7@)tFQ+84E03xB%4{HF>uq#A8$oX7m? zk4gudHB}^1F6jCq@?T?I3s}n)8}3+P@Z;FI^Y84Wef;IdoNc|)*UaN2ZF3l?YeJEl zh}7N>56lvA1>|^{ch72*!mEetcDh!c;6F0emkq>Y)Ge1eFCA8<_cQ}P)i_~pj3bB6 zL2BcGdc;dUgmwUe`xPH|+&^|YGlko7H;Dh`Enk@0A)g;fXol4fh z>CQww0uImYx+-g5qQ(d1`HYi@o=;GXqjstAScMWPjF6@!3o&aF7_l`X4-WNK@R8Fs zIwzy5+La;cQl#9~4s2ZFzi0Kqu>t=3J!&~kA0(<220c++VQh8VkMs4N-Q>?Fp|S4i zQSWaK1fV*OjOoPt+Jld-{p5sD40)Hb*dF?V%S1ELf@gOwEfAI?C0lIqu@iMh8n4Ai zO@DFMJ}>eUD#gh!rYZC9VYquY@7;ZWVfXgZ+0*(6{Lqi<_fo(%yDQatUaP~{^=0}n z#wPu4@ncvs&E}w8kQCkr!KMzDt7-H_UGQTO%W&SWKh=6wx5nrai}vyHtU~G+cOqaiuKm6=Yo3MP z6nr)W2~wzZfVb(ku-iCT`bu#0ICQ3q=-iQ`;;kPNm2-8Nf6<xB^D@uw&d zw=;e`((7)}1WjK={HCF!MYbBt11OW3>F!WeX!uxC$kJ(ZqfqlPp;$vAZ3Rtw_s>qKru^A()$!1qRZu-*KhBG!7x) zrQpnSVGL@2tm<3i>$n{p-l!RhY_u5exDd=pw5;59I&+Qw{GJBx=(4 z4GeNlJkC&qe&|XjD=7^X!%klj3Ba^g4V6av;W9pKE?(R(c>orhViEbcjOR?`N_iB6j~Io_&t<%9Iny#;cVNk1kH&uF>k zl~wX?vq|z^9huw|HH^Fxe_~C;EwfKgtx#zV&sM7I=$--EP~1`#%#GTRF*L&UQ9 zReLUc$=R&&E?T@k8lE@BGxyO4By-AY^TBs$noku^H}{yi@VLN4l$H2puM^Ecnk&(u760`8LjT?yb`;fqytZeYvz)0{F(i6z7??4#sqG0Yj zV}spvS+EqajaZT?&fz^!dPjCf{p7~{A>TyL{E6L*bSZ=Q#W4b|n)V)d#$yGL>rYl5XqG@+e#M2(Ivn0xw#iA*L)Z<(e1i zyrdl0oqd)IdhR5TkO3BSO26*+av*|^HIORJfUTh{C{))%6o2rGI+UOrd4J(h@V)Y0 z^V4EvGD1}L#Q`}aUfEhiU*c0{P3?Umj^}ec4hHxzmEsvChGZcu^rfaWBdZ$?X*Z@w zE2Nj*Kvei~3f`sGr^6~s2J4Xs*-+t%UH&<)l`HiEEYrIMQLTtS@G37Svwz?_v^llw z%t|Z62b+F|(J|8B8e?Ssr{cQ=0<&n(Hyze+F zbrr2n@Tb%hK4#42bDSK;tLKnoNMF0$z2;GjF`3xD+O2gL@#skp%JKJ1==_xlfVz;EsTCZ9c~Aoe4bsKL9^Kz`ygq{>OiQ_~#GT`-gw!xLtcm`(M-lzuEQmcDvp8M|ZUE z_v3Ou-dx*Z7ZUM|NKf9`KC?RGmZ4Hy00kH_uS&1_z@-BN=p1-u# z?%VxU9WPhbalfNJsM~IJdThOg`@KKlyz!a0tzmpGxBY%SUp{k}XFjBd+%tPS`(KZ( zKN|L})$_J*_s8|+BTsqcjgML$*{)s6ZkN3`Rv)=PZf_p#cG+Im@~X8wF*@rbFXtsZ z@_KLf$o=vBk$mFAhWU>FXuI!dUOW3p_R;E1Zr9^}ZvFOg?)!1?a39xW$L+1dM~9Ez z@7G&v=l$C69=&uQ|5^SaHy{0VxBYgWy#w9N%k{or4@LU@-j4Hr>1Fka`}o-dyf|kXpMvt{1K1?dT1; zwnytie0e+`Zyv|3U0v<&_=@i3g2s>b-X8bceb(;YpZmD)=Z^k4wft%2AEP{b?{RF` zj_Z#fc+A!=_szQKY+adL_Vd`}js4HZo8GqTdEQ-#CjHO5w{yGnK6Q8Z?a^ByO_T>* zFa3dip7xP_bM)!5Vd~ml^jDXoH`g6S_WklWW^K9~x%aN!WO%yZ^*`?Sw%=7iSftOA zM}O}qaon%h>#nV22};ey6*;>^9k=B@5B_G9FuCfLh5$V7_sxW?{|zO}qOE)S)&T6UTsu`O{B;M ztanE55i5CH$4hRu^Kv^zC2w!eM`M@IBJw_!LKL}N`!wL7;Vw0w$^<$@Bockl8^+r7 zhFa~f5U~9*-gm45#uDt5@wy|;0Mh~O>?Yiv^gMhWAUAC?P#>;L)2D{AmNqhDd-e={yNbkKWZgfPAt4weK zd*GOS)ADDZpQ!Z#tA zZWliCIPH;KHu=bt-FUrjy^Fi3^ru|+*2V0Ko9 zAQT1gqN0zcMytK-VlR7au(Yu1LDfId-BjUMTwqN4l73->rnricc*Y4Zi$F&O(%(Crq!BUNtzl&YB zJe(bI=`X)7c2h^U8y1(LWU=*=PZbPTtvAK5oR6*yyU@+r^_kSJ8(t)8b~}16UftdP zy9+)~GFQ=Ud-Pd}A3*!|dD3)QmqiCw->L7PYdnlM*lee_9{Pmt1LF*gu>`_=U|kNI z(NND;85{FQAM=apVbLTQb11s5toAz;R<{AVt2Fz6vwdkM|peE2U zZAV9nN|?oa75iLwOL;HRhiBKNybC$py&;0v`+2?5+U<_S9jzSpGK?7Bp4P@gre7Nt z&^g4;6*+fILMJQ7r7IiMz#ci)cNhn*PQ6VS^$YEZS)!|~$N8YRT-nQqTCnJXwU=pa z^ikFK^MPW;V>zI-x%aEB+LfYpDsAHKf!ragls4BIxofTIqM!@fT`$hsgROUw8krZU?EbSmY_L8d)S}ZifRfn zHWP4@mJ7z(?uw$I0)pbf!f?#SU#0;Kkr~=m1gJ~f0~aPhy+`|Sc!x?}=OmEo+uTwX zk>z9}SM}Y8D|f8EyA**gn6Gxa0CxMj;&kmJmi8F-N2mr%pig0cS&`AFeaeYzI=t8G zj=6om?C|@rTAy2ABEc}>$2X|I4TbvMdw(mt*u0FB4hxK-E>hhRxQLl_6Y02OArn^* zlGxwV-+PDgZ$E~P?lsqUK_5zAKnUTN_D2j_44S6_X6! z8gwo%_O6&9G1{iCj=BYOLnGgpcS2df*YTz;{bJT^?daku-$eL3}Y?6&50_S*ZSaxONZK;U@sCX!rG6SX%=aJn0k=VYm}p| zBgeGqtF+0{Zy`rrh`Jk!+MaWf3W(hK2bE)5kz`BWa!1#7kC6j%kru|$q zHO;vOL*G~9a_`;0kvYB$%FT@w%s`N)){$lgN_n($Sn8My%8_y&7^T2@&^A2L79&p3 zD;%0BCbxF>TcAjkv03+-ML+W~9Jy3B0dqO%$MxggRh&O3vf5=0orJ6{6y6wa9<|~vtVo8y4s|{&k z^z7AE!Ykd#uIzPngJocg`z$g1$4eIz31Yy;#Da3UOl$u#I+rGgL<^kBVSUTR``)MC z!qTl%VuAdeo zK}vKdA9Kk{h#$fSss%x^L<5~>LYT{Uhka$VqY%{71VOTC*B%(4W!O=~MH*OQ9d?wEzBAdzI&wu1!9a(|XtzPE z?YFdyMRh>2V8URGA@IUco^z0`i%xjOM%_=Z_yKCgRA2Axp@rr|xp(913RVg|E*^!p zht{`WIa&VE`<1bBGLMXv+t9;((YUvJ{?=97p$rOpgy9S*Ub=#G7IZ4o6$G)d_8={s z=~`Z?-Yh~0FHhCmEI<`y-W^z;U}}o09LpyBVwU$oEG<8aP)izZA+EDJCh&F8 zts1lx*EvZFrT|PcMY_PVXP}5-tda3C7Klm*QRXD{6-2pl zNlEZigFhBQ^FeSW4KRj3N&NpNv4Gi$P7xr~`rrG+9P{kKiVOA}~LlNgNhUz;8(hUu>ddMu-wuq4$sG z3|bz|@-Wuj6dU#=etv)SNiSCDuIA%>l`>Xt$`3<~Q@$bWuVTr}3eQJMEytjtANO7x zos#TF^MHh+r1Rr?d6*dx5_6R0X>e~u>nle9_h$9(#aG222fcq8ST%GcwMMnPxI?tl zrr(Na<(!u+Z+Rnpn7V|rrX!ujrhGMRu)ZI|IiIXIoO5Hn>6}|&a5h1fTSjzlOX7fd zX?~{AdFFzwoybn{3$k@eA7>LNC1fx#+BJ0h7uS?Un3v;RK1-;OE+n&OffkmBEn;3g z%d0KI@?3D$GZmJ`4F!W=3(Y0owQJR&gINCPOVzf-bZ7#P1^o;R6BURCp`3JLAe4R< zD`KJBNYIm-z?=rW_XU?z2UpTON52nyaFY3B{@+}rv@0dyQ6~{_#X&<_^jMXFu(}Ja z;Kz;>-kQM!T7i;0>NW{`p%rLYBeDZgKJjX`tSeDQ0Ozn&2Dbt*5fh{U=oyrtrd_g( zB*bn#(t6v5tt~bhM$`4t@Xo0n&<3wNY&MFnp?PK#fl)(@CX~nM#&tA%5t;rew+cSAjG6?+)WC_|_s+NQ=RhtW)EoPh>tr42T=rYE2&N}L>F=B?2tc$mk zWQO_K>+mrUo^wLHEQ}AhQ@c%5g!6=Qw7CNoNW3teT2weg1zK_ixk5Fe&FqFc8Z4TH z0`DWdMODV!N@@U@i!QB_%7^S_C=uiZ$lOylgc1RVqnJ{+iabfceXj<{m~sb5QPN@a^TX$;-WvSQ$o zGkZAe5Hwvd;7|yScEV4$ewmHFOJoSH8*_$Cl?p+K^#Bn%>EcVsWyP=AMGJ?h4Bjk4 z-RMXlM1A{0F}MQt+}v+yotSPETF%lMN!sz9FuM9huV7<(F|UM%P$QF%RzGxBhgxrV zh2RWDe`p&d>|Aiy-iHpp&>@f*_&?PL~YM+z`Wk^gWrKHEv6K5XPPq7Xs01BB2K{ z19wph!XWAl6c>z94-qVJ!8FB#BPQ{NIY8p7&hf!8tF^$otMh0L75iRi0Qo+(@9Ez` zBVzte|IWw}%Pm6ub7t2u5IS;;pfGQECu(o8HL>70`)z4tvJjP!YEI??EfEP8S+dE+ z(qU#)ll8AZ@Vfh`iH4&=UgD|roGctoIzU-@ySXkR{JqOIm)~2qIe(8<3|E^uYSiCG zPl1^=_3etxh6-n4+0JyRRO+n!MH10KldwD@48~ka@)r^Vb+CDt3ouvF{vV(4F19VB zK%+xoGfNbRD?BY!$dVd!NSRO$uvmV&JAb!ioa>BOA~{l$Jjl#i;)yniY%kqtt+XsS zBhKGcdCiqUs9mFCg=6+H0BU;Q@6_W9SA-(6di$sNTrn15G;!1vbHm(IPK;$Q0`7`* zxVf`%BhvX+OHOmQ+dxAJemxRRfQE=nswR+zh$cAZP6N>d<^p56TAUItnB-Axb;EiY zntZM)G0fLkQk%FGGX7~)9#kV0jII$>o~mF(|B-N877UnyLtP@6CB}fT$h6GI%5YgN zCRTt%8;m|85|)C#9CVr`?AuFG#L>hOmC`sDmQyk9tlP=zF@r2|qxs)*|IerUi)?I<5)+;b|7#>#I2AK z5pARrB^~aPZDn(sq!SDh?l5wJ^@&{k z)VZETIOmw7+lN4$aa*dHLu1Z3oL_K?C<=m6glW7Cd<0%U(|&I=yAPhWNt0wAg8qd< zB_m6^*w)}a=#wul2$lVJz2|HVo-QEy6y~TyjgU_P+3M>j9Q$1z|8{viC^}@LAga1f zvFfX%i(gaa%hWh^9TfFL4nEKfC=av5US{^Y2|LUSB%5rKz|hyg1DhW8ib0j87bB>G zev=W_DaD;u*2O4G^)TiqbjDRyYt&&)6qnRwWF`$_lX-XOc*IM%d(!d0*vPqN!%<*; zjz0y~ax}O*5>fX1yK`)jW9sBz_?=-%f6&@kaK>> zMLYX{VeGqbovM1t3jEFWfBeV4{?q^U`+wYi_m98*9da*jV@u%JHgZRLk!>64EwU7m z(f+G$`ZPBPiPoB@C)1Y8s`7{ud+yr7mO5lotOh>w0MOxMn}Qm6V50aNSa>70#EgCR zvA?TbEWv5STSxLZ^b*Ufp9l{*guzgRdI(O7B)HDtt_H5)h1##jc2V3F{skA_+QWs2 z@urf{mw>4_3ssLC&28*O8=kgAPmS&qIdpU%gOWbgSYo7(T4M>ZOO4xw%p>$e?^w7L zY(qEsSGEfM#f1>2>A0yh-LRDG{oE2ap6*6mCX|gHCluFqtOi;VR_2^tv?ILByQE8S z{xO70af5wlAzX<%&JeCf9o6^5T^TDAP3l?DQfg>XTblDiU5aUE$dkzYzf&q}cGs*$y25fCjcDJJd*nl#GijdfhKG8#3baI;bK*K)XlR*#B%IotpQ z5`8rbnwrz{LT}!5=jy}ER}vPSH`r_{0crY3@lfM|5&0(4Pg9A3JF-l}RKhLl zSM)S)dHo9hO+mYGDZP}5@G*#QxNR>yeucAno zc=4lIoJ!bJ?&Pp9n)-;x8@VoNKk6gUhjbLdSp2Ba*lFO-9@u3CFJ}7g2xK@fMksjo zV!&9eD*;?eOSUH6!E_zZifTxI78jmLTTN#>WWNaW49k2J8Hu^{Qpum$ib!)_3A7hN z^^kPqCNUTMo0j+8`9%FK!za>VfE;ssZaCYo~AKS?_*eUzWXN=O`F#RISNW>=N zvAsJmgO-p8W^hSJWEL9@K@~#DVpBtqNu0XZSzgSY)wbKRSTB$68d=uFWoAuqhoKD+ zTq8d~RKK#f$Sc@&H*+ttI=Ap(gt?*lEx9MQv5R>x%f1*oJbZ^*;o_a>{w0jz%H+YK zlFW?7q8j_EH0NtMS;;lPqVNE18AXu+A+>W=zFf!kXw2 z8A^}zf|eC6im=^Yi5F7(1P?+AxQW zEbJ)%D9X!(*Ok?_7O^}R%9-pW`?TaV0Q^|iafYuDin`euOM{3}KXxj7HXw%>yHu@-wI)ZZtx86X&;VCe`qDuuOMXpGa4hCmiNmBlrGVZc9mK1Q707>XF zKAfECZcxYqEeV<;+AB;>RkKdoiQymYfo*cbZ&93^XqH?OCL?$$k)$iPeo7H)RAubO zo?+qd+=gH#Ss7c$qO#@JJP2fd*p}Z5^D}JAFR$o6+a^F}o-Ij6%sg|+)ZR6i1G+>uSGs>`N25*TBhaEhpQ-rd(Be zs9zDnN2_%xMKJx;Wy%+-4QN-sOiN2hBff1haj4GF8M>6IgaSXs2-kk7xoWa7Y0a2I_`rlT6_IIdlAZUlzhS1I$mADQ>$X z?QT5O1gkV8bF5a-l;YR9Dy$}(GeJ2AU1o{ojfx$Ljg~m?0jFk}os1AZxn-y-W*K2gRU4b}OmDVJt_-POdjJCV3=2pj>7%au z-%x_&oAi{%?1fsSi%ZsigraZeY9ZhcpIiwTP#q7Q#GG;JiMxD*yXLs>+7y@GE{?JG zuCT&1sPyoMVNlIxx;+(=`k=Oj0xWq5L_Hwtr)|(0%-c;a3Ms@(Y!Zq?zb|z{k-gQQVp0967@MPmkRTU zWX41GEovt);%+uJk92_HC&MloES7#J0e9xeTWi5W*x8txWCsnU9Hb=KX(*UO=T1x% z!os^cBFD68XaDNKtJ)zH-LMT)`^>mXKGymSFBNWSzT>Wx^3{?AEri7SpGZD*^ufVXlO^b|ei*qhIvGQXps3Bv5ISkeNKXD}>ucX-O{oMQuGQw8qi3#yC%Hgso*? zq-hOsW~MbYzbW9>Iek!h2h`m@Y^ zNmZb*8xKHH^1?zdPgNG7jpJqD~O5m=T+z)E}Y1zUR4 z(B*X;v^h`7VV=S`b?~sAvQkyDNC^KidzP64(?7?5UiUV4MhL=Yey5z3_Y#L+;2R8&CmtL%8HBwhwuP7 zXU^*K$MERRsXRz|fny#yJxJuVog$Xg183oCEb!b^COJLRNgjJK zY21nVV{tt0vf$)Yvy~Y*!&jIGbkgjnsu(6PQvg8ktCfjHuJnW~f%whr$;awENdBsK z9#Dkj?zCRd5k->N;_^r&TG4`2HHlQJHL-&wW<@30YN;r*7gcx26l+M^<-veDk(fJV zr?)W*i5^xDSz?%Y04NeBcX^Fx$zvl5$=tCeX2=qU3q>*=&64rR-;+M_jDYN3hFp*q z>`hdUiQ*PcsL{O)^BkvR^23}EekTp(dpTlfX%6|(*&I!@)1WF36Xn?_<{7{bDaf9& z#-G3IO&`b74gyln@U<-DJJN|k^uc6?uWH z5TUQF$dUjh%Qe?5l?N`@CY8+Ygr_mmLg|=LDd_T#T`Fd6^0!LA%aW%DcZq+mwr{_4 zjQn(2{2(A$(MIlvNr|qBPFl(Ff?h?Cg&`i5Go~6? zh({7ck+W=5l3EE)4N`DW4H@d2EPm8agSWDhk6}7G9BYIV^G4Sx9$@7g~MH)$ZU= z;}IJR_$*oFx{O0i?I@>0Q(S(ho8;a=7M4mmMPX59KXv_f1+lc(%>_3}7eG>-cr}gt zIavG>=w23=o$?e(rD9>(L2FCKMjuqP5QN~WkQlLKXm zBdW;)!A4~?-w{BRU?YHdtO*Wj?C$+^^vBVV#nis_sa=HnK@WA!99k?aa zJ!73Ad2)3rJ z2=ewMzJZf+PWypAq9KKG`9VWA_KIM?nmyvcRG|*EHERPiBtKBu=q^KBAJJFqBOuUr z_Rt;4W4>Mu@tXOA2U;#Ci1&y0w_N%Jfd9ZNoV&n;uRfeCBjhe31d<6jf5+SxBoN`M zH*}{JJbwo>V#hK=JBz>LCWyYQ94D4ETn!WYhB>~Q#7OXE`JEYV@-tgF#?N%G_Kp)L z>}QAt&*tIEH?Lv7kLKN>rX}})mcH8GS3DZGEH{%^ng=g082x}N-w*l%=?6FZIuZvQ zW34LFxJOla<*T}5PoY(nX~fI#tOmUDHPGKl4Nhzv(BDCr=Sc9%k3|2;+~EufT7G9E zAwP2?AwScRI6>mr&u;L$ta-fh&Et24ta&Go|M0)F=JComPk*P)gGXh*Lr}17I03lp zQC^MIQvB|Ik+aKKPkzWi++dcJylVTIQa0{qI34^h*>qwq-f%Ia_Q+I~3-oulEXD=A zy>Zc(2mKAh@CSYbItyE>HtevGR6Sji?luUZF}gFe&+e2<-=8@1b@V45rdpp{L#{TO zcn=?B{VSK&!L*MK9APm<7%KbU{^kGskN5w^gPjjaf$9Hd;FPD6+m}Chbd;XAL#j@4 zqUgahMk8b=uehY|Jkp$wPIu+7OpLr7BVi97ab5Dk*Cv}8uHzh_xr~5#vD{4Lpo)8` z9F`^pG6&T%66MVoIIWiXTiA!#$Woj-MEj84*1UZ<)>PQvwCKHkwwx|Oa~T$~ZR`{) zP%PqEM6yFH&zTCIhKMtT)(yBQ!r>o|(IkDO1dKeI@{aY7hiVA7e%M1$WU!DtWQgDX zplNgrLePFJw$(UepT^a)DTZ}n+i6zzU$$#EXf`#qcu1FeBH}WN*QZ6@*>AM8{Amx; zXRMs}ZD8e$Pmi$A)EAmXBy0l<>##$*rVV>vzY#%WZMZhDpB(Eh7MU4`eA?1K;xfXu z7z00bijmO8fweFNu}!)1E}oX3B`V3Z7S>)y^z1(68_t(AdS= zp4HPsnL(4Gu3S}Y8pHk@w9f9738+@_uHN{46x1cUk3w~c>@^h~A*(f9Zm3{3Td6}i zYZ(S06blnpG*%Eks>*~v^`xumeeKhGB(#&_Va2$C!k8Uwpjen4bw{`>)p}^{bIw!G z{fJNFxgRm^`V#G2?r;G173|P)+(1Fkp=6?=*BQ(7r`yNj^TWLaki|1Z)}T{POCFo* zFOU|)Z9sr@;Wbc%hkm%Hh|{Z1@q-klcX-fgV-n6SM6&>M5!BZZ<|Xny;=5QsgYM>2 zuuB8lf!+rXPqFH0hMbImR&V}T7$((n^0B82c@!!l$!=uw3Q{B2({dy^ler;A-QBoR zkx^9)!Pq)NFIs01y=a7@h7N;nwJb+|nt|Qo)dl6m=>yBZm?eaW9V2m@dwQ7$!wu!` z0V4$r-NbR)Y@B4BXvnyc>d`eG`5sp#N-;g{^M+%SLovY~eS(?SCo^rVpR_+gHbdEa z`KA7Mg12U*u!MeLtP2PD8Tk2B269}%6?KwixV^is@+1Zg)+xw|z~dtQD!ukCW*p24 zQ|^>3KlnDF1e3emk~DZ0iZ0Wv{33rwen=8YY>lE2&>-={?2n6O z5t%-7+Z1;Fjh#}moZ4P@2D^557KN_t@H$Mexw5axDe!I%gkeSrai*+CDPM9NlhvIQ zk#Rsj5tY;6M3miOn%QO%IC~!WYIp)8fsKsCXcD4sx)X@`FkL@j=d)bNCOqS_K+u~8 zL-XYvo>|R{8ee9cFnLk5FKZ@?C}yzvwlacxwEsBw1qa^t^?W>;s*hc*d=EJ|Z)_|F z+L5HD;u->ijuXWtzh_qN95?J+!ugLjDj@Fc{70H|kglOsQJ$Qg(_fD5!pd(sD;lNH zoE2*Q<#ayd$4AcE2u=)Rka~}M_gMKu66EypvK?_8a1T=!=~b5341;6j5PE|HU*8mS z9eQUmIBdhS7#y72YDX|oZ4Qd1dB`oTf|?k|cF>@hCWcO$SH88$zA#QBMXh85i_+hmQ-=ZdlVx z;dCGx;Q51*PQoA^zg_?j3m400IQeTI>=kOk@^@iOG5^^6jn+#NIqf(i2@EpuY#vqW zA*hTu`uA|n@VoOQV#<9fJ}@x@Z2MXj-c?beJ+U0>&h<`YPs?*rOR3W`%2Zca1oTTh zV$g#S^XI*evk`IEKCh;AXUAff3SDSa!cLjs6}P=R>v#2mXtT1eF8CV)Iw*oPd^Le+ zLNEIf=(}-(j}DsIC#(fK7X*kdv*=ZcrtMtf?6{Y|{r$iHe($TwKmY3+*nC0D%Xz}a z%Hcd=W93L4a7u73yXvOai_osZOv5{qB?hA>Q6*6X%iHDU4_j8}VoxXDzJ3iSUNc0+ z>A4^UM*Ccn4w%!T+oLfZu-0+fL)(tEPHCT9k)yF9_tXnrBVEV(enSM@)^{;yAJ?u& zZ}M`f$)W2z!?(8h@a;-+JAL>Mq7P+ZKW?`8v~bwJF6~_HGF!1$C z4OFi`_H`69G+6Ebown3(q%uc@J!WIN{1bUp@&?4YU4#^m+8flx?BV#kDYxxv# zsu6uVXET#-kX|vSvnAc+1|C;7u#HbHj#uym6z$wAPC(Jlz48zfwV*hMhAqJcYKF0E zpc3Z!<>!Sj-zt$p^1JF1q^g@PVKkEI>ziau%3eTBF1%b%hIDI{CP*+j_J97%@BiuF zdm)n~QDS(ZY_3%qW^(MZnTWepZ8MRW#Umk>SGvhZE^L#J+Ru#ps(nwjZ6-R&bHBM! z(&@1nayu<)cm7(9bbkLpe@!`CHbUw?3w#w9Hawh+hPaUQRKK#!Y1x8dc_q9e52IwS zvU0A_Xay zEmRq#%j@B1+v^Y0(d%h@7CEJ3qF$%;2~Wl3+w~AU&0`me)vQ;?u)aag zz6zChZRJP+Jw4+Xezhnlkach=pn8N0N~UdGPL z5MKZuE|Vr^e`*!^CW5@^Vg#$P!INN<4e0~&ei782uQ3enA!J0As`jnB+3e%#&^n{D3-kp4eUR*?- zA4YJ~k)vnoe8u6JGBV9v!O0&W(1`)o*#XjF4R$aD$0#Mq6;XuD@ke=(DY?AZyFMXN zR_}*wUSOW91}%6%lz!F5=^jt7Fs@;3pc~1R!rH(LGPSA4BZpLuBI8&igVwW+WG}-K zJwXv+?GD|DHFTOB`0Ubsd1{ui5xC3E9MDJlsH=pT#>*gr!uQd-kpuvL*B_}hdXIiH z^Fy?^WXB-5TC6BHuB*rVN(4AINtqnw&3aPBF6*$qY!=~<2BV0^x*=kTksF0H8)5tq z-$lBOJ78XRxM~`VnhOY$%h2-CBbAkGg?wChux8l%??;JW7F|Y(NBna>>AE&IT;fW6 zJtaN3wR(wZkB>ftwdnkbRMt+7M=7p*}~Yv7c-+jVNGwmjRIE3dTs zA*uxXphcBTf&&EE&?MLbE1d%xnE4^hSZ6njDT_7x(1Uy7p5tP%ZDhZv**33gwLe6` z-4nCVLuKty;VYL?<%{WgTTD}-!K;*-YB6s28u{rk zt5-f>G@iL^+{maZ?^R!HGAIZ{OJB@P8yvhmMCHKRAqQBAGewu54AgBBz`PiXzno2| zi@%(WyDa`VjM~)ft2&-ytYEPZb?ny4Id^np50D*|01j1@7+Ic+a!$0^9OuL${{dm#1PmX zG+vnH!|`AQN|%{&fRJ>0_o1lmMyH!DepRbeXX~J?W~XDLv6)~u9%m{Qq3)JW*9&9p z<-#0JUdg(s3V)f%H$*7sM1Em0NyoK8E*+fA(@Zqa9Fk+S03%9tqSV4a9?T@0+f8U4 zS?C(J)4C4?rT`wG-mVx6)RmhnVf#P?5sL(wKQ8t#k|P=1*KMb|F2Dquxd0N$F^^jO zFt0VBxnM)TTJ+;(MI^$Dvrob_60c6rrxjWd8K(#Hko1f` zb@61w74zHUt_Q#Wf3Y51#`kLGN%DAZ=C}B|htm9BCmbrx6vfFp^A|R&V>>cX&4dzXLjhzod z8u2zWM?n2R|JYV}GA5D>Z5qJxQptOety!MSsIEPi8|#z|m0xq)9M2!1kZ3$v7H1bv zmMe-l*ZTMt9)w_T!J2Ewj`3n1X{3Uu6A7B>h2U+HGXNG{##ij5VJePYXfzdda?pCF zzJ%6><$5Aj%Df}ng=VQz{MyoT1O`gbCA07_j{(u`jeMe|UQdn9n%ELXjcpDuG`3{j z8cQTLfF!NGYJF2f`N+zPjD+RlT){|KE{<>nu)>bA)d2J=x&9UCWI@dz*V{UsxWyDLq(k_khmnX}o( zNi)JsaBspW4}DL?t~Md{sz2#K92@cIggiEr@nzS^q`eP~<$@VLT=kgYD$~Pv-Sh%| zM0>(`5IH+-9y}#|XX)a&E7>tB#nLuMxP)9(+?7+(IsdlhfOP3NOAo~yWUEcOWQTyt zo&3($W}Gr(KYZ0g7wMsWDD`O^BKgF2mt2{A!Yq4TOmE0przdu51Z$Ivklu-nMCPf# zs>n^O)c&KSC8)bbA__ietHy)qD^g0@J!3^57qZZjdWVz6A91blYzb7^r#M-fqPQ7r za|8uz-Zv_#aS0n{-JQa%ZZf05_E8X>%tEhfWx z%7SYFEVAAs4Gtqpf_8(TT~)DYuW>&+Psp~D1n>ce6 zB|MfzbFoL3Ymm-Z5>1v_C_I}HP4)wvP=^yU7=l41RQ&blm^;c-F-Mav$795W(-<|H z^|-(47eOAznuMnOh8XGBQuoX~;F_62E0iu8g1r2kgKS*mIVAFLaOYub9T2<$S$p za$kznxT{PQ_sKP!v7wgj9Dd2Krm)G$dN2!2c5DW5@JEmuCJHl_jsBy5std!*imDZQ zF%2}?T5Jg#3mUcrnT5hI7QgjtlECk`=%(G5Z&RHthZLr_4R084GlQRRWB#GhuY4OQ zxsM3>T|dY)V!pCs<89#nMq&%-z9lD_@gOSK5s3q#$MtX+AT#rP7NOvcl@^Bak{}G7 z%@f}2McgaHGxWItLupLzwEx@`Cvv&GLSI3K<~c!1C=CLM z1Q#Z}*>0q;mJC(~dPtY+OF65?aV{_;VC)PdWXFo=4idb8(;YSr=Buz`)-ycZ0OG5C z$FJ7R0Nkl6TKitUY2y#7#(>E&p{jQ!rg+T5yX1Rsj+R@F0_0t@iuf5M7nVm`V1Olb&ia0DHP~x!gW9gH%!6@_*9LtdV1~t2x$q+M=iWLS%s7fTY$J{~2 zQ!|tTf;D<#g&OYy+3GkCPR_C4{nTWoK`GPba~9z^s6&prpfy6L?JhMwKqG>=gP`pu z%i!wFj(K6>YA(#p?2KdTVC-;mDY7#`7hwU}wxarn2P}@WjcH_IaZp`EInfw_gy41a zn#}@D?2^R#Iz4PNQWkRo5}bv&eFA7@uNUS5)WZ!AE&|-*}|f zlG1D&sL3@gXLyiZ(v3u~rshpU=@(m}*VMpaB{5SU^&+OFoo z!yr=+US=sjZo;Feq|w%}HiJf8vX=DF;*9V|e7-eI87SE!QKY!=v}~Gc76a_bx5ZvqIXU8$elY4FMSnG)Ta#L-v>76#o<;&P zGC_C;szJYFBv*9BJQ@2be%s|7pq2r0s;Cp z9_kVv?QDDtBh_k|y{Kwmkkri{7QGWCWSf{T3Z?3OQBjhMFN$GZvOJljX?sSQa=?He zFK()@Rgcp682NtCqkdzp=uykWWI$Z{4c&B*(RQCm6t9f>gB^qIvt|L1e)t6IP~#&P z<7k`vxU1Ww$01yIV~j7uft%!)u?9KItG>kQaXKfDmD44bH|)O(`tJ5Vxb zESp!@Xt1S|51M<6Uyi?K(}?-|#(N>cfmyToe15%xe(&!1>kQ2fWTNj`P5Fe_W6p*sl2`EW)n= zSkf&dgrBG$v?{;vz&$h6pjb35+KwwKMdzN5(^%-cQpuR&Vrru5&=H+8_oK_{i-GNauncS)mgAh*VDN|K$S}hQ<92DXK%~eW*n9T=$P|KMsgxci zQOI>&n1z`~XS=)B*+Q;!=;z}ZZo4wvg7U(k`(r;?gaC*@cfZkDeWZL3Obl5uC;PfS zGVjHlR3m@qHkh)9bpP6EGkk`F;Qx)y20YY4xnIo6vDrYjNHTT^*A2ES(mZYKxw%Z2 zz*pmR7poTMwdy#HvyZTDjm6@E!yrVM@V6ckI93iGey+STOeYqmsM3OY3#v|@@BbdsH@tJQagTu z63mepdGd`))ovn@q-Jek`B@DHN_3#eEvU(-wv6(wXbckQ@wEuo8qJ`8)tZMLr7}_m zywQgxei(gfyo%8W9tS-?sVCEW%pu!#%bR9{$@q!(5l_JwX2`L0l6R8jO98tu77QKg zkA=_B^OR7=>7TrgZq z`Z5Dan!fz}k%vlAOBvB}s)~E0DCCz5;Hh~tB8tn?KynC;E21Hd78d-~BQ+HiyB1@c zY1S@nMsN}a^z*0bT9KneIFx)SHy%o^I|sNR;0CAsSP@K_Jg@o4d$-PT(IHr5(<1-> z`+Dj?KZ>J!2PEM~0)r18+~M8bPw{7gN909GQb->bAP}U%sUXGO-Q9~j)S#5FP^eHZ z6{uk~sL=0gzmeU$-S=MRpWog5c6N7WWp-wEc8?&}DiEdRLweV(z)Z^nA3f*~$ zE@39^(%p?3_($5#22-X~^YKbA&s|@Fdaz}`Epily1k{Dhoquv)4rCdmTO{JkaAM61 z42df60)v+hnH^-gcmb0;o05GXEKkFW`DDAWa+oqxETu)YVTUQ7J#u2&8nbR+NVkJ{ zvRB%aEdS-%Bi^Xd10_}G>1-~Xr$CmBmfH&xK7-x0=w418M{M?R07NxXT#$$^|8g#@ z+~naAEz%?~7Nc`vf}*RMOdBqOHy%_y@b+yvY~7c;ctuuc;cy4POi*^k2nTHB`pa|J z1QtN|$aozI4-d%3u6P=C7Py`Y6=Oz;&kL|xTAmlEE5M_hLnnL$c{N(2y;U8pnFi9z zO2ap+c_mED0QCpTj#OQ3L7s8t5Okgi8QmH-EOFMn0nhiLI**s1aVpH^%!kwVczktj z&m(I40~Q~4(Edjrd(6=O#~w69z|irFP8wpZe#Eg9x&2T}psttCbxNhhLs)nkG2m@M{1(FgS>NETg#ojl(E5IH}IRiPB^j^6hyD{(oJ)u z5q`$TTNPmrqFc7BB*a~A>U1`XhPb;p4tGz0FJA8n2#e>)^U`SH+bu%k_Ux}=@SOmO_ zXW#SQmQ7E0!M}_KcHK2P>>PJsofbFo2MHKmU*6)79Iv3Lm)=(^23gePayy-?mdfJ& zoHA$F{<>M|+TW{aRsZJE_|#b)&Y1FEK0i=fd=WEu1I=pcbotN_uSu-q%ECb6#1B#3 z_I67Z?_|lvCyn8?%B%=#Wt)EVTG^{13OpZm_bf`a9J0-ImY0obIAf#P=#Yrx2A;V4 zl);|vDMM2RcOU5G;&T@Np)hFjrUZYagb3%$VOUD(g|r@$8Af#1Ion#D^PRoZH? z*D=JAW8-0^BwYFUL4?E7)vf7@LrP#3WTgDDmm#9A(c<-RSR2R)t#AmG?NH@_SCv?Z zH~88%w)4v_YxB5{yG*Z3in>hx@?EC)1^9^jAj^n;lQTqK_fjNjpNKCqjDinpwR{*& zSWZSde-*1MIKsOVZ;V4Spjqe3FORq(z7`Iq1%eSZd^a3b4YF+oOW+ zq~*Nb4(`eq9Ntt7x|27WTGegtf+MFD0=CJj%$vL~Tj7Yh6L3xB(0UjsXc~Xm)Xh4IuX$ zMDv2Oh^@#q`{7=VRqC*!kieHpX7Z&>@mST>H5#k#da5QZ)ZzhSZrs^qshrnaf4oJK zbqiHIP>d@Q){Pvwp~~qVZs`tNNUM@P+A@2t2YITh+&Lae)(s5_Czc|@NO=w-F7FZz zuT2^*QpFRb;<=0_y&^ zxT^eqlh5u0O|&XE^c`+=zEv&~at2Cxq>(r6hq26K!?nGrL}gQoe&n0dkx-pEaO#sA zAOe;BtvOwPJA&AH@yceU^otCODbgLns1s!4#|sRNum~g8*)QQ8c|it$4UR@@ggqe{ zTuF)_SvJiL+E!5z;|{!2YW!UvlJaU(hBO6dmH3_6{ChG06&br{jDFPy>mvC9z7 zY0eOR%3Ht1P*Owna6EN|E0@x-#TJgifHt;6HT0abyOYOd_F;R2oWThUmmw4w!i*Cb zK?XO}U50R|bB6Ns!JMqI1tm4nO&D@jxjU>E?z;2R?z+u6G2F0Lmz5uMlH>=SmdK7w zKkB0EO|r1ak%K@EgZM!p>BG);xT7lNAkYkM5V#D%Ado%y`05{atN1~n8Nxvzo<% zX@)>fDKn9rk_=u>X@)>fNrqBRadIiA9OI#!;yb^}i_t2FlALY#{iY!((NvR=m2&22 zh)OtfLaR9jL70gB@mBQ9ac-b2sS( z zT0}X!(8_&FPA*$da3i_HxTV~Y4a6P%3B!FBLRHC>1zEDHS-mlnRdV zP%5yaqLd2NSY?mIaQ}r|rWyM$*k$bdO+2_!zljIe^_$)u;#*a5!&a4BVSTHrGdQ-I zxhmhPnmue)&R(93((7m)&x$+j;?hbI%BHsREEfN8o`;(w@>e-OVI{8$FS0ci*@PYZ#lt_x(jRMw>wAriq)Ztjc zVa=`=GDpoW@qKb(YlNJ4+7y`1z!` z;e3(DlF2?sSDd6L+M}+m#Zv z=^54g6da?z#L5R%4Hb!b_9e=u@UR}@SViAMnjZC#d{N2ukj8Pk_EX$YpVJ_sQlG21 z;y}J(Lq)#67mr!~%dh%!7$jcx<*bNX^(EPh6^9#&75S83^~FJ6*`nn}s&Z?x%O0-D zQk7eiCD|{>4mY|Hk>lG-$63iTe-EK*cHUDjxfQ4+UXnt8nmb`qZr(;aR@p@EEZse- ze1EL_Q)TUDTRo)2p?q&g4p23OC+9wH*Evii#F2B>odBn3Hdx+7IDFq#xcxv&F@JA| z)Z-4%2tK{XZQNCE#5bCmLx|KI#|bJ7+35-k5qa5W!AO)#$cE#Ih+ykfV>U{byklKy6X&#q z{&P=jCU<_C1!<**I6rO35&LOfRv|_|uH$l67<>#rt1$dwyb8m2M2S-F3PWfpvFu3R2zO1bnfPN4zOBRP;-IOTe2BtvqnYYr87n&@ zd~9J$`6y%GZ4!s<`rgGE2egQzZ6qz~XY;fa{AJ^y+a#LUHGVb2_`c@hv~b%;w6une zLkaZ@#-W7sMdMH|>}HuJp>@6x7f~i53DG!|;W~UAwt2g@Vn~iZhYU4%2WtdF&My#& z3^w~JhV)v4kRdhwD~1FkVn|OH1q^8+o*@}YZrUkv>;UldF1%Ye7%slxk}WMa;3~kV z=7?bUL8Uf5jd8i^Q7f)K(OWg8oG&Sxk|UX-0ma|v_56m0e=wkw7MAQGE%KRRPYZ8G zMzrvaBqM2YHkzY_?VM=Nu$AMBtTH(x$7kZ6(;oGisxcbg6(vf1$bj7Y5j3})Gme^D zLZSnKBPd?ri1zMfjjy^AHX*&CG!gwbqL;N_M{k+QYo0C>>sAtEug$5Nl@GEHG%I$Q z#Z2dg%!&&9pBx02B#d&PFWi+9=)!n#frWYNE6j|{6MHOIc>*fVwEQB zd>28eoj+#Wy>CA8@q}f@-JWh*TYqV>s!cgE0AIxaCYu;o65tN|<1w2p9Z9d0gRdoc ze`29YEINES!JASGO#;8+n+e`&qDibRyqe$xW~CDJ56>icu~nKLC5H18{JYs4a=--+ z!b3N%t+!Mp!Px^pZPZs+q<0ehiA8-~JL&xd?=aDntluQ~4GXQIoq0!sS6OXQ*Y5m# zf_GV^HRZGdT%F+AR%uN+SqZl!_+_&+?anI`e8@!8?)*}Mr&*QimC5kk1b=Cj*3fpl zKf&v0sw}lbwLLCM@kdsjO+`B|!T+)9tZOIyEWzI;MxDy%ttq}~PGTKK$K$`PDjPbA z9#8Of6HR;SCkeitKy4hM&0n;4a{-)};*TvN+RFH@_*`mC9X$*Vo8l6qD81$ba(pyd zNhp}NXz$hnn2)zqaAyJRg*PQDdI}p0U<*8Mo!Y8%{FnKLmMlDYXsC;6|7U_%B?Il5FZEWkICfI8_%u7?`?aGY!8*BfCW!|z*d z-O^eX;SuFHqduOCSD6fG2knjDHH%iPgYodu=%>~_0_Pc(w3PlKyxk;4XV+)&!L($6 zy5Io3Cv3H{ck%@=8TYoz(}~!^b1c^MqG#9+Ptu9tzZ*%tviJN2JQ80|aO!9TP`6FO zLkt31I`^jHeb(S;sQ~{p-k)w*TgPD?ryo%BINJP2;eJLrdVUfLyw7T6y{Qbg$L~35 z)H}$GT#?{TrMx6*bykWOjN0nO0@xGx8Ev+ZO#39kYe$_)RN_ApyxbzJrM-VB-aBdu z)DQnk@S0KQ4~>MQaj(%*h}vUtwpFW+k$HG`C7h|MIe4>4tB#pN@Ed7BYgsGFlyhhW ztwu5ZaUhv9*Yf}74I()IA%Fayj zk7-l{HS|p>{yhGZxVkgNUy_!x!P595bxda8%?a*08fR4QPB;*iN4)Bd|irfjbM=KJR`+F#JmuVx2O0{I-xdpk1TIY-Qy%!4kr%JO0}Gm z;%`YtES=+)a{L9{d34aigeeYVey={6$O;O_aM*{7t%1ZJkl8 z$yClEy|*Z zt5q;BQwbc8+m@+B@oLyrf>iSAxP4hKA&{!5~S4Sanp4x)yRF~Qx~#JQ~XmpGEqhAGF8824(}!Si&0bg%ir*Ef`1!@Q~4}7d^^E= zQxx$OCBj!}c^QRP`K~q`hOanUT?+)Cy@u;k{CJd{^1*6o;IpHo$@ipTUwkapHB-L# z45y{|)3`0A7H!K*Qv4uJ%&Nq*Q+z*8tUhWCSETq}oX8W_C7zn#x6_qt%a@68HW_6x zdZR+WD#cev8JoHYUY6pAme_UQoP{?MoWHq&rWek`TM2$WSn}K_VPQ?|F_tX-hAM1@ zCu)E3$J&Mt*l)>_6U+Yk-vs}aTJ`5TNq>~!R}&LY=j#s={ECgI{QNM%7fd|;Xd`?* z!P`yx3hj$;CHSyeo?cu9-$?LT6HmW}2+t;XiHWCQ3WT>4eAC1$6z?kuUTE@>se1DJ z1fMs{(<>q1g#<6MhnJT3y#zmR7N^bk9F2UtS{>C7C-@x`FH_cdi5B5nBaRRS9%jXe3ak^CSIoG{yf2RO}t#|{eFU9Gx40m>dpkO zF!^v!$lDV<#VoJTq55)ycbMgMDc+wFeBZJs^gD=f9A0GB>d^i`t9@d1cCHidmkCa{ z(Db5w_)CIAR;_yd3Ot?Q`6jRWp*(mp!3#`0y}o%x6EJ( z9$;1Kc9E`2@ENmV-Okk|3BG9KX|0zf_>zg|wx#Y(@KTdm=M$TTZ@F1&A zoEu|9oMK|>Alevbnj9$BH3^<+*~{+3OmHk7XffdYk|*G|%;s=@yp!+~%aQ2R-VN|D zt1Rc_{2YGM>?!?r4&0dHU(7Dh&)&dm2`(^-bDxTVClWl{s@8p}1ujVO1{+VC_xuzu zwD2;w)pb#VA0<|IIWP2QabK%6w+D1>f=}3`>42Mpi!ChY3Ec>%nRRMM+>qd7)(Y-S zqwgd*XqD#t=x33BWlD0lCw6&)AKN2SC+f*~ia8tHmeeLV!(u^O_tpfzV3MW-_{IdE zvb$bMyFS4uZ9Hv~3leiJ?Sk6S5jbFBQ@10+xA150{IqyOfpRuATbf(;r z;t#C~oo&83o?szqS)1YUOx5t~m7+`2U|l?YnTfTvmU?R$EQ_Zuvt|v3-LaZ&6T<%E@R z%Q%NGYGush9F8_v5x0sf_xz8=&DN*^Cxkn=um*1I{&sFq{d;xXC@$X@Gak2z6MQpH zz^&toeZn~0H0HsRx*BdD=kU$39BvUO_#DgQChB2#&hqvG?hAGTdDnZmkC3qg;hs1_ z{obrbJ{BkF<^pdrJ`yK1HGxdh2jT?vlJl0-qj7?I$XP>vI8IYfg8^SzIj-aeUv% zvI>qiyfo!$?Gt!^lK3Q1cFHIjnk>h!NjENo2}Vw=u8e&WzfVGDrO>Vg93%Eg5;7|Z zytDiF1phoTOD;Q&{~VdnS-^Wae{l&xD-4NO=Y@!LU(^E}6&La3hQ__@K8_OH2>1=@ zHKVjb&OX+wN7g}9J(l3V9fz@Jfz|vwN9(mF?={~sT1tc0*4LAbNOUf>vDxSJEkZH+ zqt3?r0TCB&t~|>A>k(Wu7x2E`e?~TXL-y1^PIOzoB9-;}=i|Zcn(0poEt^rzZq7YI zc2=C=X3*Ol9yp?ABIzTx^G0?>#(O8{$3?j*_bQnOqcv;6hQm`Md#_l)`%0%qjQ9lJ z%N*HK?FAeg`M=2qwKZMyhay;rc!`5aN0gXJ)Zo8jew-|PIKfLs8FMWTJiKjWQEdr- z`Rgc6)8KuF3r1^!oWo6@PB*0GercnIH)zio*+w)|UrX@xkz}+M@aEayMz#^L`UKe; zBa5QZ^iG2R9og9=eBS3cV^hc!99a9ljniNw_ZK5cB3d6$@c-=0vO{pAE$Lcpy}f6b z)8+t-Clc0wmWGpYnBY9CluY~_AH<#P7NeKhA{p8Hb>4iu)}+5^@$SYiP3e(!*yL$e z(PJY~>Mb|~r&xtFGFX6LO{_xl7JL!EXth!Uw!(+awl2Cj5a?HCJw*=3;53WaybdSf zlXf9>I1WE=6;jVRjC{aCY1GNsIp1vjq63HHn2M zU2p{1a3)F}7UG3AN*jjohbFy^20Q3~XLdoO33Krz6QfN=+c6d?x%hG#Rv|^3tjHx6 zMxzDu@i?=Z27C@rF&m}PfjRgGli|F8lW`9VquzvraZjrnk~HTfxSxsAU>DL%3ng#! zcF|!LDR~pVfG1lRMFvN6;P%onvN}7x-Zk5;DBxK9&_-!+!08-IveY5R2h2jUCi_)q zSSa-jj>PlKaa}Yy)a`p_P4y1%)J?XUu93rMa4)NnhHTVLHBk!K7cVfEr6z2IUpB{N zGlO|}g2i$(=a|ixZI&Bw5Psimxvb5*V_!3i$veDf@Hvy-F4zl?w|FjK2Yl4#xqxYS zqD4rv4%6|wb|DRzjK`XVG+_$9Wiy;}v|Gz;x15h`{mkm-f=?LSW>VYgz)m>Zs3#|N z>_Of(GwPv5=<*Z~HWfsLk4Sjx+nMIpR7J9Cam! zvn~~<-37bgS!N$~a^6;0WTCVgurr=*brFgF6Y)Ni+?IrHJY(Xt3y$OZqm9$yt@dY3 zwmSt!XMe^lrp+O8x7fwhIdE}b6Q#*9&I>F9xWju7SJ*r?c(>ywlbCh}yW*Kor9Wqf`aC?HEw&?A2IB4_diD91Q9FzL%#Aq1OZO-L04F)ZFSI#E@2Mv_0 zl|vu?z$ztcwP8nm+C*t~IM8Ef3#FAoFP>xfV~bA(K5LmYc~_mo!m5BtxRY6kge2}`qBQw%?kt1ptkdRme79RnlX`zzf>)VL zH`_3Xr<;N(=R?d3EsQ!W!8^=u>|`9qdaF4dTP=71_r6Y$K$;*Uv4#flOq>zwgzI859!@%R+IAqk8fMFl2$o@=UNzK zbzm{g|}N|kj;E3USwi4VG-VKwMRpO!>+UnAsu=* zywSvHK{q~SVzl|}Rlk8jw)+A2g4O*k4h}xok`H9{ox=ecMm0qad*Ur7MjhJth>6jJ zF1+8uAS-r5e9^)nd%A-^wb*TNc>g%nfeIO4}ZvwVItY zwNq1k$!fAT$3y(c#K>V=yu`ww1^(g`do7Ft*2d?pG1TGHSZ7=8k~X*re%+#j^#0B9 z8^Pwxu!GMT$911))=}qcR;C+$OJ?G_ILTn7&7tzoS(T8{upVA!^&9DT921^ebkt!T zJla4YU9^e2SqM2aa94}Y79a6C)S#1$^J`Ol&g_#6w!v4I-X}Q+PF-kmN*3y7*li$? z$-M#g7zku))bSt#ffUD$ajwAy*`@2_r6!*RY=;+Ho!V-0jL-EZt8Lg6`>Zk=d>Zn# zBo50th$DMoO?**K7gqmsIL{(VIvAG4m(sOss|INjkHgszznSuVATH_f!w)!oXukuG zlNw2!pbW@@YX8rd5ab= zI^u+heG89Ubil%iz55+-$ii(V_8xiEiAxq8e8@5U)uQ^u?!}8I3V8IyB@2&Uxa7En z2X41s`l(NSYK7X^{>2LqUwFhZu+O;KvfYhIlVDT&lV7IJnFqTsUt6xbF=ftd*p}a# z{I(4v_RjBu8I0IBkTK%yv9)EoDRZM%8`G_M_u=HhIkWkFZ_YhuR(~J#QSKhDW)n*0 z7f#-Tl6$0@t@%x=*@_Xln(2(_s+mRA^ibwHRnwz+Kh4Rmn)#e(&ZOO8O)f_2>!rVX zxxS4Fk6##XPfG5U`nKdZsc#D^vbTHY%=vZLZl&4^`a0Cj=sN`Pyuq2!tl%C_-!XtW zy;Z@zoW4^4b9%di`#62)0Os@#)6A?7GR=i<7?yiUD|3YH-^0RaPjj8rVY&AeOe!E zP(obUhD2Q-x9CPx4!>|Y1C+H-T6A-MlNQ~K5t)rCL|z|d$cctN#or5R5?u=pVa2J?N_a>G(dx* zZ(vq;&y@ZlW@yZ?|EP^%JhjBYH2QO>e;OpK*H#{wHoJf3)G*uF5&v?=2~^d9kTPz? zT5amo-l_fhP5Gnles z!0(b8$%m=EWE8$Df6as6Fm^9t|2dgJQ$lBB7pX8rse_pC_XC)x>Ia;?w;z5X&jEN_ ziW!&&ugV{j;bZw@%4D((c-l>wJgJ9LSLA8O@6=>4gQu4RdSE6^1c7GF9-Ka%T5Xn} zxf!hFUvmI0LFPBC%tSe0s0SWPv8MC!+s-O$zXik3bfxXIvOl5(-_rSa|A&2>8 zLf#|tR)GTcE)$9#k@pJJVL|!cfX|fgO&Fqfr+1?9SKI}?(gnYlCK_aipuA-8eSOK{ z2l`ULo6?-~;Mek;5ARWHj&Y;^wPZ{!>4U#BZXfmFhY8D>?!La6U9gIO&0tmkn!{@T zwSd+AYaQ0`uMJq!zcv9yictry#Zru9!`eha-vGRmFg(x&>&P>Mbs2FW>w-=7B~t-7 zkmazsz7(*9zSLn$eQCf}k~@d>TraTHejJVn{bdkTX3*E+i-|HJFtign%OrWj^aP&_QTfPc=O;b?#4+i_=`N-@HZw` zL@bGb0T?%?mQu@(Cs7uNX-N6+X;34X6p3M2h9>yHw4rYJ8BcY3>w%y1Aeb`PJDEoR zXX#IAl~-8K48jDebdawe;AaLul4ni|B|i)Ji98!*hw-yX76=i?6Lq<X9lm!Glw_iS-^MYITQZDvSxNai}x!A=#B!_E~@z%CU~hg~b60lQT|6S^y)1w9qehTaP3Kwn8s4n>)ecR_yzWH6}$ za@f5B3fQ9p>M&3N4cM~+nlQNnS}>&o+Ay^OI-&|GP(Zy*C`j|FfJ`({1?tc!-y6^@ z-y_9)fi;(gLtSc3l8A;i?d9 z!POzyhHFBw1J?#5*5UXntpBEmaBB#* z;kFR$!0iEv4LG4nYw&%r0hqy^A(+EmAy~lOAy|idLa+h%hF}x!3&9rLAA)UoAOt(` zU_fFMPOQ?Jd}nX~acw1AOux!{#@ue+5R{h!zOFBI_=dhT;6;6D!b|$nf^X_e8(wA^O)KHI_zzYg|HMSi zT6E09*(V;g5XO#kCM+*91A{#@Jb9gvx2d9h%j+yiV`F1EN|`lfpqI44vHo=`jDgw; zzUXNSkAY`t2~E1;+;pty*s@*_C*PB2L3$TIIewO(4br+Ozw-sKb`RI5w7BsfLo?vs zlol;<4h+#^d5RR-q(zlhIdK#W$&&kTR;LxFrSx>kLpGt5c4NxLt9>hf+>~zZu2HYpld9-E|Lp^XlgFTrp-90(!{`@RRAK+)5v;lrLNKL02o!3EX zxQ-d(KZv3GnK*GnFhi$Gkxf!nh$eAKC`12bh7=}-&Xhc!p_`c@=c|xEE?|b#Q6caR zQs@Ag6>O3)Ll??FGvEPv&Lh2;80v+K80^iQzMGSI!q0;23x3u``%HTqq~}qM&aELe zT*D0UAH>juOq}>Rn4vF8kxepw*jlI<`j;3yo}shS)oLpR&JQW{v2>N%gunwbGzgCe zc+804JrUrsCxq~x4Di?)B6v@6v-m$r6MHYPs#yOItt>VJK8WCgH2kU__NY)jPY3m| zw;kUNZO4tq2e#wJk?pw2aNBXy z%68nWvK=?q64Z9wVpXE7auf+A`wh1rxAX?4reBhlHeDBNrKRzE4{Ti~WUx({K=$Oe zWkL?yl?h}|ZeJ!8&{ZaoJ;{2j0(HpC_XZT@dlTw3jl{)vY07U*+hx*b+J{_z6N~6Q_uOoq*bovsmFiN z)O(1ho;bvK>YXMAZ>g?8LWw*8}yR}`}t)0ql z-J!Bucl37aPF#l{w6~>fh>?}DGgDI0euYptKt?pDlkK&OJTutUTeZ7+tG3%)wLRXd zWgn|pwd~v#tF~Wq=P*g01?(=*I_x3O1`Nov346-31(W63R!<>WwXCFJGy@4+R5hYLpH{SkIvhi8qVPM|Shk8oR8xxo($cA$c%Oo*4{!b9uTq zmuGl$d8Rj)XL)mZwl|mONbVf=l4k*PdC z<`{LeBVDt$>M-}HlsAFIf_IV1AIp!h#K){tTXTft)D@d>g~Q#aQqD?L&gB07>HRZ( z&#(^TtoMDzW@q23I#VUbTI?h(yLv@V6sM|yHR7}ar@=%`_IcN!)|Fwi4&$lURT6mD zKu62^Y?_O=6@cBQeV(pWNVJ!JS3$izExiE;aW3@+96Zi>0}c^)thi`j z-oRHY2nTR|b9{a5v~oUzL#dHU|E-|17ls`KhY^43%ay+ujuj`sBfRF6eqGlGukqSc zx_1TrP97z*#kae5&8l}}ME`wt# zpasWPKpT#$fDRmAQj^08WdhluCssgKYV+bU@Y=k%3_f20b@)OBG~kOB(1epGlt7CN z>k4Xtaxso`bV0ph@;~3AD(1E`c`L&Lz+x z%h{DZ$gA%80hC2{bH-Pu1Yj1~&D2#FhhPzz%G6z)k+L*tk_^VWdI zBC?GOzOgU>v&bAS^2i(}Gc7cSi+W@Z7mdgqE}D@!T(lx{xM)Y_aM2-ixT3L6)^L?r zj}72@Yyj6|1GvrxZ~)fHwhh1rJQjjYcsvAK@I(l<;mHu}z*7N@4R|_8Z16XB0x*MT zLokQuLa>16L$D4ngdhi41z?km@c?X*NgjZ0GRy<8L*{u!W0P$3DzVu`mU;kYWUmKc zPF8yW7G%6vCAHv{0OEj^3gTcM63(-$g=_7=weV`fuDx;Ajcy0g;K=Z#?YBN3!8X4$!L=e|67H~Foc zdE?RJuQDZKs3_I!IHz5@>5!iDR{za}8i%UJ+zxzu1cLJdJ(4+DgvA!EfD6$vHC6y7 z^L=BRdKg^3`GxnHC&$BAd_^@sC>woSsrY;%X~!U$dec?ww6%r}5EoizO%6@(l(wE{ zpM|Bv)Av_8M-XR4boF(mPD%H!wq@s^(C&TcljTpkhUI{^m+k(K0%?BEfrhd_qKx>K zAaTcIOo5;6W!=&$(OzL&?M&fGE3W>D8znX|E}P(w7=zB90f zt>8kqhE$AMT25QE{*sN8edO6Ol%D9(*gx3f09kt61SWZ!fpifguI?nipZlJbjjPHor2D5lt3C5203hzIfNYbGqP#F{tu=GtAR_No$52adkP5czK>Ui}-9B}Hbe zGMSQ=@7p{ptdWDC(C$0CA8)BZ7lkdZOjoB)5QMSuvrv`w(d6iK&r5JNR=+B`@Knex zlgQv9rIxOQI$<~wYNfm&)qzi;@!Bc=vy2=i5f6}Xi}N{-dCO1JbAsgOtR(x^yV_d% zyG1AGjmeai5vqJJ-9U^}fK<2e5wz=UBDHMe7WrHZ4xy^uzF=BYfs7~uW8#%5igwIN zxMi!@CWY5SU{-yWS(>TrRKACgNoCjwY#S~$3v(Y)TJ9-p5plq}zS|;Pd=j?sbhnrwB>PFsNr*!UDY1-<_-x}kW=Vzi&>qy#>8=wa$- zM-Ir`oH-x;fNy@7x=3ueC2R&-a~zstzy3}6BogYO`1f3q)J9|XN}hE-n$V>3e#_n~ z@MQdYg>`>bp6RB*A}cis?3kI(h!&k;Qlx-}8*Q&~=&KJye%O8rrC>O7vV>mtAmhEl z*!I4*3xTcTtH~#wDN|^X2omlA0iS%hj{gvu4XrA|%+TCDBw>)i_l z>I-yG9dWMfiQh2Q8KhcW{^ZcLK5 zwYTTdzap=+m(Q2B(GifnSn2Xs+foJukq&uJycIaNX12V(*7TXp&|63rD#SdwIsy z5u?d%A1_0-_d|&fTCe6ZG>CCDBAR*N%we0392*>eL^fBgzi}Y0N`5!X0IZifI{Wf47)rQ9 zm!YBItEt~Ue$A{t{zT*1RRbUy#~>1GWS>L`%pya5Xtz=US^4wO)z3GW8x>Wm<{sWa z)~`H3$`_MEhIG@tv}u;^ox>gfqKtGPmqV=1-}r4mou$*sjNklEceeuH2OzYV(MCd7 zr|c)Hw1<3WzM*0%Ua7XTR=4*nwL`rgS1M5R8}^#ljB8ypT;r6fanzSVc!R(LfQW!3 z>Typ_SW?JHY_h%?jc^u5oIl6;-#5eePhW#F%Jz-2#-Nh6Eo?ThQ9A393(#1yM~?x?d+X=HUImJf?Wq1ur^yc}YEe%Wd2He#)pA77(=ebC zI&DLPpLM`y#=8xsnF`QiRW}6YfEUO1IP;$woPe)z+cjxRTyg*5r z82bsbj<5m^(&&@k7dG%?Y4P{#GB+XnK=8LavL`iGEU? zWJ<@Dq2i$%S@BLljjd0E6=lELNU_*V;gWrG2-M9WhoACgC)|l88R}WZm)i~vgKZrY zl^E0GQb9&Ge)q06ADW$hXtCU#{Ts8MPQqIQSvpc+4?5e;1E6vNC+#7w?nL8K>X!QI zOx`4%J`O2|$n~lmO(Iw4PT|XGm?;lP9)|i%r&(qnSEEuV%8&hF9VE9jwA>Y@@VDl0 z9}xvd+@)((4kcI$y|he*xex5jP|g(49kysvex|b(mGY7my!UWVgq~l$4me-76%b+I zD9+fne6!X+L+G+#MH5w2NBc=Jtvv&JN-Mz%V^KPTFW6 zXBB*}ayG39@0hFrW?;g~^3FYo@YpFM-F2!9;KGYUOBi1A4>;It8opnG#-Hb&U}Lg8+BUY3zacjHvI`( zd33c_B*rJ&%;&jRVTZ5|KncNa_j8@T$F8N>jA@$_f*V6gUcf`1v{_xj%H1a(+6Q?z4FEf%A-NoVAC*K^#wryT zm1AePma*<4SsUB9s5|2sJ&q9$Uqr5+o` zCcWzH)tzSge#(}HsK>nx4S7Tp=#wrLT%?}-AiY~K?<5b{691qre?s2L;XUkNB^!&a~$gAzfu}iFd!Uy&_YHF}mq)hzM#st!@PnHAW#);-r_di-K8n zqr1Tduy*y^qgC?8(0OYw7AfhBf1wj7YyM(JAHS9Kr{rrEMD@v8~*<21-5u5l-1I&66CU?~@@%=5VE5HllA;>Fg~A4PC?#$8&@V==_d;6WbbOV4TT z1yR2cjq@I16}Ym^I(4Z6u#ny1u34D|D2Uz&kU|s6B2f|dDPs=VwMo`m@PRXG_H!#Y zON)zj^XVv2UM9evSY&nyD&MI>zhY79SfdAtm#`BtsV&auQ&a>Mc~5$cj3|!O(1pUv zjQdzi0W@zu;tXPseJx{>#Ah4OCvT(XVMF~gFF-!_Ub}>8P)9h?{xh5~;J>rr135(n z=eE$OAxfsm>^Et{LhDKk+dt`+vRA>*UF&ugCitNZTv>-GNRQb>ksecvEl<)S6qUyN zk0JyjcVYpKdSs?}4w@ZnIikV#YdWQ(H<=P%=9dwGhNKC_snLOWQmo40W58yKpXO~? z#N%;EbVk;w(&8!z;RYf{-Vj=9GlNMNLz2}uRKM>j-i+lYG_90l)fa)c3pX-Z;)E;Y z)PbnD>6Hqf*0T?lG(zg^3CjQ?ggHQK<&E$e*a~!SVOKc_xTAe{u=CQh5wkDZ>W2m9 z_lItEXFfZ}~?c%)QuVxrUpG^_;QC@1_S9CQltJ z`hY$0bc9^FfIwlyjRC4V&edRf}_WzQA$hku;jUj7%6XkC;Ejx1(V?mP!4*4`BN zuw!(UO6i}t$vC6EX$nzvTFpoRgIxedK)JurmAnd4O)qu?8y$aS$!?ohyy3m~jDF^I zI1OP}Xaf`MNg-!*KlmZ`a@aL?k=hv(K7PtqP%j%^EcTODKS(|cb?U?rk9FPbs^y*C z`RO}YzzS;!lo(7act5GJmvadW*BV)k1npHvz1>yx5S{Ok;|J1`;yG9Tvqm>`?$GlX zpH2hzl4(N^eR5gO3RSXksO_z^fR?yflwhh8c8$oa`Zy^l`8s2GP0UbW#l9dNX4auE)edEP`EgRq$fr>pP{-%}WaYqCVwq#m; zfoM3a`r6=v*_Pqr=m~qyWm64_^ZYPO523iFL)+B(Dd!>J2?s=uKV3BZ=?7aXmf~-< z4WYTvfg~-J=J{_}_88;#F+wY^jXf)e9tDubkL3nl%1WqvpW;ksUd>ikNDu|nBi!kM zdx6_M%oMm*B~jigWJV3NMc1Bkx=*#gLW2K3-Mg!`1N7&+?z( z(tQCG_@T}27ID;R6`0TOK^%nr9qpFAq$eY%v2BC7U;LIaKBbzJr_{(EhLhdkqui6noq=>JD`f%-_bZWqaeJoe-Q}t(SlBGl)BH?yA7Vo_cuP$h_n5r zo|NLb`{EUd*R~Uih0Kxifj{Q0Rp<5oG`UXk{(o}yw3Qj^7&?01i*f|HaoUwfZasm|Fr zE$$G|I(Pu(wIce0>9Bii)5Q{2$Jhr(s#%*JZ`TTvs6gqCz{OnJ8wHtoY!PD^f~dHm zo!Z^jPUKCv`htI^vI7{|^DJ@`ozK<@M4Yb3V3^IbU~X7{JxU37U{#8PWySehiSy_Z z-(DjOk3Sa;Y;h%(JDZ*ma!Thbi;%yT1@y}1Q69&3-VAuM?%!i)7DCFkIg}4YQEJ{c zhEsX7ntUjr(21k!5>s>dlz?TvMbLwq9Lg7G1B|L0x%(ELL@nw3h^6KrF#x90xFmSE zEff)CtwmRa5`-+SxhM0e$$RrJ#A4SXtbzwsgqw}TpJ>BuGQm+A+XXOC3`iVMsKE&+ ze2Lz8Kv7CNIt*&u1{p;Ml;&@%cGDt8P)-0FTwqDG(-~2!bO>K!{$yc8-9Dn2!-6e{N?(m? zcX3G#Y@ILma9M?Hv1w^OwNiYa+YX#Jbd2$+bkoDlryLLV_mfjbsS=W;6kp$FKz#YY z47^+3?fa`r*01o+S)}gx4js?93~2p~E|7xYUF@$+ke&O-%1(jLb9?j>nF|lycVU0bB^X#22FYb_-p=b-Tvq#@lG97>N03 z8C4T;BquqHPpLW~pXTfc<-lf0yt)1Cw5CEkzv-8IQc8dbs24R<@{j#Q*jToB>ZwiU zfd6{3!=2ulVIA&);ZW3L@L|GW%TRed(PSrVEp|GR!66oYoGu}<8?;D)%+{Gw#KXyF zbOKwxbSQZF*S2Iio<{!+q?%C4H&jHuW-WHcHgV%ye9Ze6Wn$TAN5~q}#yi&}6CL z1iJ(ii=C#fea+9yMOyqCp|gG1l3-rYeQ)j`MW-+3z@*!1Kf zR09VqdX+^Fr%tE=--Vb7-`~M3z4gq%CzDS?QQcgg@#^Y`_&~%d`QSvs&+wfnzaw9O z%7;&@KDt2s3e)AXIKW+^=?+g-PXBrzk_(O}t#EAr+L=YJ4vgU~f=zHqoQM}^v0eg| zfrv>-hR*8Na^~p^0Su65C45%5fi-*0?sI7sLmE*F#DlaK{J9!24tByXsf~&^^!Zg^ z*8Ek~zc;iBd`B8hguaY&DJ(#4f=w4eD!jORBW4b^))ZQ49A$HHVmq`ESSaQDIh-&k zkj_aeR#j|`!FjU1pLFaq(2(0x$A5dNnem#u*0)Ht5#xMd^5B`OhTm?-Yg};o?@p1_ zL(YNzeoUbhn{D=3(Kn$>k~lRtF-^>y(|{WO_2%HZSD@%#ubi$R@*|M<+YtfoIIS^zIe zZB*h|?5;~Ajq2r~Gw{<5jVbP+v)dp*IADYHer*osil?dGjxI!s1W76(>L1@Aewt@Gd^z*|Y z>nC7IM5nFso-3QJGz7bJ0?mdAt9K-4-YU>Z&}-Acl9)!C)JPE7Q922-U<*VJ1x$>K z55+qix$XLpl&|Q65(b^EkRn&s z_LN9(yX-{E807v;l^#H+8X5JetZ=JR=%HEacNP1yGiKax6x-9CvD+fO#E|Jq%Rk0V zHZJDh1_sw z-X+haUB2!>MJA&0$qkA4@b$N^F1K@Wk@F<6)p`F83;V)+mcK`ExJzvnW4&g(Q14(} zg4}sPX?Sds8&CaDl5p*<_#|(iS>h=nv?x>IJB{TD7cVhiM#;T^E;Y3epOPhQBD{4i zukk6qx)FS2t5e|3S)&&CoGO^<$cLp$l2hF2>xtCOZm~Zab?f*Y zV!u17r<~4FyKvN~O0@RKi@sK!eHW-Ya99smXxVL{xMnP@U2g3$$vy$1G@70O$AIld z$;yoZUOAGIqtE4_d`0NhfR#rz0B%Gc5)xutW#mb)(E)+4>O&gH#EkjRA>7DA4TJs=dW1ceuEoCGA$KrfQ^TlE<4nEBd~1-WmE>MLK$s_*RkIjw+_iU z09ZM<*d!`|8p`ju@5|X#l55`8$L~!HFdG|?^N`F0kB+drK1-mf^uS7IApGI6ENxC2 z&>Gg&KvwT7Qali_FVGBVi%$b;~$5wsSNF#jteSFR|FqJ*%~(%U~aP+r=j8ig4E4 zFz_OX=6g>jRCzAvv&-@JvtBM43oaF`ZeDefW#C?740Rihz@5ZK`heSpP)?OW}*0s2o+$zS(@TaQ3ag0lZg<(WfSW`!4BN?z|DP3}e1?boc`6E1T! z0q_3(V0~W%sE2AGZ_FKhAow6VQp~jU4 zW7|Gr8z?H=&>?H>fGY1rr_F}V4koA-?&LGlPH}F8s0FtK2#8Kz2h)$(d?HY5ncPl; zCr=9pmcq;2kQuEML&L0EzwmWjQ<*UT{HHG+wQbu@6-mEY%UMlq*K?mpMwYR@(dH@ZOk zbhOf&L(_3)xUaw;(|R^c8x7ZIr7u|jaCj5Oc1P{C38!$TRYRLv;cTA*fpN9)+#Pc} zkbE0U(s9pYY5S*g*i@$?+MwGSYJHOZiGI!YMaP?sg zgny859&#y)Z4f&(2w1eD&bOja#1Hn-v$vn_$CZW*@g@gi9Y>n@4tbFAy$|h7@E9Qf z!b6EG;U!0?r0(GqoF|hP^PbATVehmr!w9apena}yz5;?hWEM;wWA8J0hNFjv^Waf7 zx>vyv)Vdxvmz*No7z1K&hhFLuyV9!29x{wl%jMZLMFgQKvmEvxN3s@a{WPt%3=v3O zi2-0$9#_<%*a3X=xd+b|5)kAw^}8-kcPI;{^j=Fz43x&zt7-Do5muH5F;iB851B-9 zWV)G0^d?C(zit8 zAf7UXr#fn0VUPHk^TyoMl7!P-TJ4CY?d&b{zRdZu>!TNo;(;VThm0iuOjJhxJ?7P2Ut(yN8GptKt?SGr-76=Z0yOuih? zLyDDWn|G2;Vz!G($ubQ3pg5jG~2nA6c3!u@%<4 zY@Z&K3H1A8i&ukaJ_)>^#^~`4f?H3N-4`#fUanBnOo=(Qe68~FW5}sDG!fh!SXrne z&Eb=pFy!|(Zn8#HBh51gEpe)*jxB7QWVcJXbX6jk2lv@>Rk{38DX>{c)?dPp!zi}Y z)jxiScGz@z3Cc;*l{^ld`E}VdSu1YRGSoUDzL03o@a_?S)FM5lGH_uH!Nfm~KBh5LPP6?R=D7Ot| z;6(J2#Gu||r?DLe-iK|{$s;PCy-)x1h93$9%5HZW2S1>9zUv@rP86$Xte}ER3m^|j z+2)4va;V>@c{yU&#g;*6fNQ+a?raWVXreW~C`vH;e?yMlcYZA(zK54jCbAE8=U%4@ zroh>KKFa;~C%%0ecGzCFPfK23YtE!P4^Zw|`ujL2j4y?b{7hLE z8}Cl^wHQQ$$oX9{%x`Fh!1EV)G8WoXz=;mtuW=9nAOD!mdX~^j^jzNbjtXQ`&rH@~ z3L@9_u!m}N)CyNHHa5vqoD;PVTsm7EW73B=}m^eSaw#;s)K6i%F&3NVqJx zqM!xGNbN1ZZ}fosMVI-23)8iwJ>y+aoAgCZV4nnVtQ`X)T0y#_Csjnm7_2k?jr)<# zP+C(v)Azm>D)M0v?fY|oiwTGn-zQbVd6sO%Q8`O!N_Zoo{j9_6#Pu|4{F~{uiiNS- zidA|py~lP-_andc8qI|jO{W>p#xllYt(!sY)geKwYg~D!DvX6trRav1uDNI(ORPsG zF6vU8(YLt!LP5h_B6BoY-Z56n6=?D*Wrp3(Hys#K$u0Tol*l$r2YSw|{2Wy@I0qES0c%!BlhnOD&Y3q7Ttf<_x*j)`*h+-=}e8C2HQsoewnjxMn+=3>iRg>k4XV8lp9|w=P4m1zmz+AxX!r( z17#acdqU-p90C#U`j&ZVeUxeM01XUNI~zl$bwnz`zcg|wgO>0wwHScN7)+aU4^BqX zImVNJyvlS>>Xl`{G$>;3)19}#QwV2`c9>*LZizQ+Eyz@fbfU=FY0Mk-`pbs|E{_7Z zpLWJyWHdtVGttZsU(Tm-pu94rI*PN5Adb{Veb9A!M%7`wYd*Mr(mabzYi9(7P-KN3lHI2J-NzV?@vk*p zf0^=n$_b>8}dDSR|u?5pKK_xaf>JBvU-H{IEZ)wqZKJOA||nPOAj;G zGc8A^Ez{x&aOXL&wdSVLz-kd&Uq@V6XW2TG!M5&bn|!0m*qCDSMOTzJ6E{8X-dG6n z<#D1DH489vgKgK6^jRS1trmZ|c>y=?txH&j>&uj5AZAEp1)Os6h#6MyX2FX?Z9dUmM~AP zxFg0gh(c8ToO>nxnnQ|KBnRY4?=`FlHxGug^cqfW{W-`Y)Cg`?XXsC+$#HNJ>QB>{ z!2ZYDLjx?|U%?_^xG5=?bS?HdYX&K#U%)P?0uFW@-jQQUSxRj1uZB4mTROOiT`=Ua zM^K^6X<}4ToCs|4m{nZ8;j(u`+p}XR^X{%4dKvbvrH1`H7socaSaN*jWLgs?>|O_9 z>z}lPmb1`UcA5nNFQxM8mxGz;jgUOP;T4n6v?@ekOpKPG>MJ;!<)(Z;c&3NSAAc^? zNcF8`qe%<38YzR}%pmhpw4Z746>-=Hstq*Qd)<8Qc2b#uxQ0g;c^Mpw3700e!(|#1 zGf-z#1$=>)w3H_q6usm^-M{!VncEzpMI@BA&5dG1Wz8P+ zL4G;aDFPmU=V{ws=CZ3lqq$oZv!K;)sE#Po)+-=$b`n4F1}5w<{Ubm+Cl0b2gdC%9 zpkKXi`sNXom|`l()JG2Wu^{|b3D^4VCQZQ33VNBpz2dxE6G!96rSfptf?^%kNzgd6 zz}@^L=58Bg+zdirz2u#}Oks#d3R#d~@+kh9Eao2hkEJ;+l2CKCT@lj9t%rFIlwyu{ zDv5O9*!)22Yt5wOYNp3Xjtq&(4t;+%a&d3n&~b~B9ASq+bmVKAg`!xAp-Sa~rh1-7 z=~N#`qITqNHaY-ApE_xXDCz=Q`B>(pgvH{HKH6d8CMdz5&vm)|9kVbb&a}Fooeaws zzjkV~Fr3O;%(kH0qcj$!`E_j2|MBM~`gEsCdYt9TZ<82K!i1%~m7=6Q+Ke7-YNW4! zOMsLfch9r57+nGeS+ij~_sooEPN1^-_&*k5+$%jOMBDwuK4VIIQbTUstn!wPd10fg*pZa0%U*_na>(SjS-5Upp9}VBe zjM5WO7Yb?=6p^~sckEe_HhWj=jNY1$+s6eS3sOCaQyzk!b$$yeyO_o*A}exad&jtr zSPM4ry!pwEYxU&Y3P|IqX3QE3LJ`QVZq1S^aQ4xtR2KdDH*BjPWcW*K_H04gDdt== z@8Y$UR{a7ejshzeTqUcVfLpHuk{1Hnwo>NO4B?(R!AsCnp%zAhqLa4o=7y+rP8B)E z_sNAt{d1oK4vl1M$aVH2ABGRuu!zS24qx{TT{d4!2o%`X-Vn?p(o5N^2<*!0b79p; z>ga6fvWjC#%1#ue3kzb*j|w|w+6*Nf;+uO>=P^PJppAcU#;zR8A_)T+L!9K*`UHxG z)-*ndHq3qZEAD>)o9i`dmG9^a!T-EH7b`NkTFC=Mdw{%}=|`c}%6EBS1syX=lqlhf z`P%CU65y~Tl<*XxNXVW*(Sc-s`1dmwXGC@MtoCJ(Z!-blS{^StLvu_;SAL-$;vbKr zfO*r4O~~pd<~9lGQbAW@t2v-rTI91A;d!S^F~xQSeu0!r;SkB|?B+!ykxIDx(Y(BH zZA+}l=ym$LkKtNn=Fra3>_SnCc%gh(SpEOzHWovG^eE#0T!_eDL+wv2E}4ULS`%>F}|62KIl?6iL!rz3xX7Vc;WJC0e>j@fgjG zeSDJ5C3l7vw7!-$HtuwRE@Ltgkq)j}$cU6t@ibr)O6Py?%ze&(Dp#mfE#zB^x2JlTuq*;KznOJ_i_rb9;i#fg1jZU{ zmqBM{E*B&{4Rf)j1@Ez1ErtToMPnQb$qj&A09v*#W*ENN($u;8v*F=V>*P8+W-vy=2gws2OdWo0sdBW% z-(QU@aDb2y*s*GIh&Xd$EcpdatMvfg1Sd6kR75v!@mG-OhSC=04tAEPxQMiH(`3--zA(+++@I0t0;qRcy5c5B8_&^AFO#z|$Mj3qwHyd!?tb7H^bR1G zJO_PXhyCUX%6Sg1o2m6Ejk7oBOA#?lMdaGm{FP6$GIy*H5y*kxKR9%~&kfWi-?c@0 zkdj|R8edqk59Rqn7%Oa_gBZd_tJ1t>`}U|T7QXd1PZGnNrSt+I<|W5#*?`)6oX_Y3 zbRz_qIoI%|s5Mm}6QM9+0nv6$*p&j2pc@5G|N~XVUY(sHOz@`2X3*lUOUte7eO+zEpb1 z!Grn&bQCgTZ8PBPfp;nc1^*jkyg`@p&qQXCeaIUtlIB%7vs zZO{Xwp8MZFT8Q5Q3^wC&pZt50e|iJlcBb%Qm5;VJswQOC!*3B09w*~^v!`AEo>>Pf~6j1gO0ntKsa-USV zF~Tbsb07THq^V*rN}0W7t)k@sKG&F7VuIfSJho~yUAY&R`l0=wRu{;Q^l(lDK)Pcr zuH1x{k}|`8u=P=A2ZVg4Xx}p)e?a)u>EXKUfs#THzJ0;BP*Oo*FI-n1gipb25vkjr z05;?b4E;Hp4cM~gZzh6nS`9rKkSkB(_zPNQ!1+6Qy?b zq<}oF9Dy0i^zXxmYo41e#%|kBa;>fG1jZoNc6db+$)MJHsv7YjI%8I7pCLG8-BdZ~ z&qvjfpWlQemSN=1@{;??fOuQR&fC7TWc8n;haU^Mq?z}fkLKs#we7FYqqJQyrwJ!# z#kNS?vsOXK+RQWR&gs)Pa0%3PY|Qa8o57wlz@)SAXLU93KQu2Hu{|a~1<`FGHUDJs z1S>3{lB{z3zroP4Pg;A^8Hn*G-UwYX|hy+xTeZ|#6)oHEk zDor{`wRFMLLYP+zhJ+n0swwe`PWL46?s*n(X zg+Nby79J296=BfuhzN29aq<^1wzDiuJ2Uji^MHivFoN>yWt z6O7jhbXBgHT}0sB{EsaSKRF)S+zXB7^ikUVE>mOQc2znu`>lvXo*yzA7$GqF#Zx^i zKc$z;29gl|+qo+BiKC${-?6^mRW{D!vm6pk2I7*r;rCfkeqvW|?zZ%^b3HM~{Fz6< zbQBC#a{2GS9#Z`qN+!P?z6?dKU9#6(DIe>^_Hk3DGs5(Vki)im}S_y|zYdiXnz9lH9H2Gf~BDnEwtGwXlOGQb1G;m#d>#E6oO@akf5 z<~9tW?&O`=|11R&(x`5$_zN=4OF~^f7FU+)(ORX6oY@9pI@yvQDJ9I0Ig6SH8Bl)s zQ9J1*6&L#l(4yKK<7+#5@`Bhk+}mihLZpk$UC*h6fIa?bO_#e?!6zbASaSO;gE>Ec zha#c!Y*nXPT7;JYu2~Y62y-KaL=x(*qMuy*P+9FZ@ap?hz=xT!9q$!e7o4l-NoP%*JkD^-jMmNP$OvT=vup z5GgQy-kCc5bzi+dzE_4$Qig}tVa?lQ){Wv7A3@Hf8swz?vJ=z6N@Biko6A`CvqvH; zDc*qzeAyar2@P|mKI{q|q#I8NMV3p9ZlRXn`3)&O$m0o^fcUJ9*2`t@FB(~LqfJV( zwcS*X_slgp!2UCKzU!*J>FYe58M)4b>H5nW)Bj<1Lq&v^Gy2!r%xW1DQIIL32{ zrr0QV1WIp})Edy^^~MLy_t2~`#E^AXC%#IGc?2SIyF7(sZW`26_Rgf)ZFIrdyj|IySq~(0%pu_Hqm-NcQcml<27-h#H}bdn@c&)t|Xi z58UEDdvVSpkE96LGjXgx88|4fr$SPuamuS!90fJwZ!buY;fdg1mCpg>siFGNE~!a- zx@DupAyKI$3JR4sEdqB%BrLlNn6W{*Drhw+(>GpJrQBkXV{kU{_Bk8>V=o~;n2K|K z(&sXclpvX9yc-&rZdOK;{Cv|JkA7~l`!k&MF&jNWS-td;BF|fUZEc*&@dUxBN*7SF zcx?1B?~nVbv7)n${s-LwM%eA2R6;=By`k$uyhI$$@pB|@;H2P4>R>ytU5u9(5c;#% zmzx5Ak>5?O?s1SWQ6YI%>k5J7!5l|p4;DVy8|7J%n*+gY=UKpC*|H9*BBF#@JejfS zX?Dc+WA-wq;O6o?F$jI5fGt%U-}LIM&Sw|oa&RYT&GBVZvpv}0wyeG980_xI*xV$* zTfUdTAA@O&#dm;eP1RY*opSl#embM)J^xZExOAO7h2DSt6OjSpuBY3c336kYUD>y&iYmnvpn@|_e37jR`{8qu?x)@WzPWFe%%;7D4C z7y?+Eby*hIZJraV`j$VvngS>In0gCN#uk-nfo!<(njaF;^kchiO)|-e^?4E<&l>e^hI<6n;}rV3JV z!bA*>N`@nwj*EgANu;im0dY6dH>~`bGssMC!fS?5p9IGLaO%bFW(HU`R;uX|A3P9u z8*z9S;UFm?(DFFNUnJ~&JWM{#yO&swk=}zBC}2ED_?dz*ajF}A;N9vltDL4?Wd#{Bg<#2=x$luipSrTA)RjS*7ljwnb@|#< zP>E6nP6-GQ_$s=5p*11_GcB5JUX+9>;-hnx+!`#X&>Cu+cC1wuq$YFAvJR8=-)Dr- zN!$Zyir`hKOl++l=c(SVRe8;T*uhNNx>o^gw~k%C&XXRQGhN%Y9C!{*$g{{JMYW{# z*cGD`#=V&<+u;V-^;OS+O4R7{X5fUZ0xv(zQ1k2=N1ZpDui9loDN)kmNpk0LWMySw zpx@`Y*<$H{KPW&&!$J?0tdG@@M?t1q%Q0Akkm%wwMajh@>MJ(z5f1J_3a7yDoBK5S zf=l``A^p~~XxTn6iWW@YZ3T%BLxtSK=t#v^*uf}}l?1jeVlj~cqQ%LoP4xfdDBh;B zCa=Remq+$HZ`#j?w-B1K3&@F32d;xuL_Q(C3hCIx{)I&J7CjKQ&O^~{m0#{_$_N_} z4k?`*eRV4cP`j<>`o)>5y*gsz4?f6_IBpT>qFqlk9B!TZZBiLMae`emBkhff!S`Ki zy!v5pCk!9zsZHNO0`2nep7`{BWf7>1i=voh^qB%>wvMLp%)ude?b3<-GBVG4s#yOq z1*;v%E(n!@8e6tDFiUra%DJJx!<><)vY{FnB-?Q~y-2k^_jKLcG1A1>(nHLPZzkHI zFm1@KZRAp_LTDKI&H36yD;22Lw9ZMPCe=fhhF5c44e$OU0rB0l4)LMM_n(2~W+B{L zV5iH`xaH7pSsFGjnPkwGqK!8iw4r%>-|YBP5gPW)K7Hd?!w<|C zwg8t_bF@`D&+8#erCZ(0H@=wTI^~;Nea0>00ptkW3Z5vca5{!wD{j-0ue7jEL zCX z)uD~}LJ1jEWiK0$F>Pma-Kw|Z2@pD7STSf<(6>Q{O^dSs!M&%>V6Xt!TW$X6PCUxj zD>AFW*u@TnZjdfLNBLXf@?}5)YurdZWOmJeG!ajz8RtKa1REwoEIM1_J$RpPEg zoCowj1}}YJKqiS=yztgTW$vqQNPxJB)_eOCim_RRNE+o;Kd7$r0i(?SY_>^JjOD#< zeGn}9N{ZtL_pntiw^%6Ij0DGNSs2I)=ig^o;U!F zneFr!kSq3(d31!zL|{hOoSI6$31-r%@=DY{f?^}fw?q*i-I$NHxtmU|Y@haeo{I1m z{jeLQPgT;L7sO#;*yr=r=nf1{bp10B9skS-rW2wv!@r8`BR;zoCt%;~EF2e|&U%~p z?o9Plhpx&jsOrGk($CC1kl=dpHUQe%qxdXBR#dx6V)&Xez8*bp9^SjzqdR6(mus1wD zaCEtr3myvS(fjQ^GQRpFk*?U@BgT~hO{HlXIQduXyHa;rrWoA=6? zJOQesB(1G=#v%EgqsU`~hdvEYu|7NK46EZY>6X1O+jVNoqOhd`;}CiaH7;;+PM!m% zdRyg;1eT-OD;@|k9KoO?mO#voPRs~vG+UjebJ1hn?W!=RMifEehZ9Vo;Amt6Ne!ET z`Pp)35F{Xo^=XAqMNBoOL-{Byi-=*M+IV!y6K;BA?DQ?JS@dk`s{)jyw)RA1m7Fj- z;ML$ojTP^@3EuL4VGJK(2VkuotJ@=w7;LEABwv*>s zP_3GSPyB*nL@gF|WLPIL_HkxBgpUi^m4U_MW8uRFi4~eBJSn+R`fjBv1O=DsI3GuSh~I-v3y-+Qd2zepEwmzNoh z@&pi2{J$#u1>oHbdcZ$_DxZP(koAC@mA*|ePmSS6SeCyhWw|&g?PnNNOVA3{Eb}+U zH`z-ISqOdfsnGl1`IZ}-)o2@|Zdksr)#&l_Z}edmEM2BEw>>t+Rp{axHszGI%7$7L zUp||ICZA5Y7ZZ^wn^F+?ys4{ZJXTRwnv{@i#)7Ba{xo;6!PUPBCQHX_I?#MphO zK|ckzDuM0hA!VJyGY2E~B`kKD@l2J#$y}-E+EJ%+bU8*ylhUW_B#>?}*bZboH#)V^ zY_dVyf%VyYdH{pV-I0DYxA!maz4c$+_2W}kn|AB4%-(-Vpal%>THhC_sak$eSHJCr z7flcl_0k$VyiEeRe%wIz=+mGR>oz+*cpJpwHHciWUKu9Xa}RES##Pcum;rU6>k_{< zGi&4({Z0vZ`)ty6l1}~tbs>t&Wx)Jw+{)Q;PIx+RDee3?b%Fs$rHjF+M$F zbRBU&1)}zwC2J_d!G0z-6HV9UGHXw%4XrPA99>*ztD@oUOX9`&nR3_{@(+_a7z?lV z1@uN8r5(;NI`_+`L@M=cMn*J_c$s0BJ?jP!*ly*dVXdE#EtUhNFcFcX6t=H990&*{ zDrp~6#%wC#yRnx7j&(&;!Y!`=i)}dQMZAjPpu1V}`3#cA($8Us0zwCIBFab#_p9Z- zGR%P#!I61SCemUFc=EmOca=k4!6FJV3y_$bIv26N+1`q^VVDJGBnT=6%nutdfvxA9 zV+hl_0rGoG^&qlO!-Fyc3YKDS)OT>w*aAlt@U+%6tW(&19_ytF>HIXclb{{-FJ{># zwFkPMSDcw+iX-a2sW zH)prC@ycBwxiGx~LlXOUKaB_{!ufLA?qNZOum*otNtANJ7m>=M7^>ef zkNh1FygAqJk*1vqG8nt3azzX`SL+8|p)oKnZtRO7UVMPl7EfIDXAcIY<1s6H7Z_`G z$MPYijD^#YbST{BbRnW(EGt6f#NN*S<=2|V?G~a|%xq54@c*5KB{(G?Y)LqA zu+Z4Wqy|7j*N0R%ep+3Jdw2BtScBbrTc#t&yfTvsaSTo}Os(q3v{~R6nm%<@nR9vP6EPLQKK-2PC- zg>ecMoB?;AbISrJ)FP5ii~nR&!dZnK6}C6Z_M7C)L}z#n;4F(y>p_T7g^$2oD9E9_ zk>hdLh>vJm?ly1Mx4Akz$JJ^4X;Z2x*Ock$Omnor-)T&nKQW;81@&=L;YD*br6*gq ziQ19cGtM8&$X#@07?MJ*UAQgw6~bk&9a@Pf@cbq-YTTkxgKffE0@-Rl9A<7Fy(4Ja zv3W>;I!0_~!!@>}v94|XS3t$&&Lq$A_dDuQJOm7zR^wII?D*tmHeR+FZy16UH`WBu zX?OI@>6OqKLqmryxh5t@=eL#HTM^uAjN7ccK#%y;-D>Pdv+1{t$atCM?!~SV_GGUe zd93OhbQoUg_+5L>E>G18Xw#d1mEpejlC;_Wb`62^BMl|VQ9@chrMJbn-kWVdn^2jq;kV$WaDU8+}lN0nB%P`OpWwk;mJNzpb=XmJI z{u?i^yS4{GUe)d0oe%QyW?da`0 z<(5(NM{6kT=$>F=Kk!)$tmy+X&KR>jc5n7}UF)pryV#^ldaG8A0{TQ<@ApW!ExaVW z5`P%_A?jAbfF;J>Q>!!hDd(pky=~@qBLkg0Z`Lhb2!l-Eu*h~8zL(ldVGv}#=Q52@ zZfIghpr749*4KaX8aCho+LtT#xj*#ON?J<4-TAOSl_%$KLa*ku_`B2ahj@0fP=+Q-PsiCWuPI`}W+jcvcZtMHyq3<3x zz*HHzcj2}wzu{d4w4*;TnSV`Q1NC+}@}HnY86yZ-3~Ax(Gl_hRFK9At$}ja7J1JLK zq4tHh^1OYc&%|$inoU4F@LO0Vvcg#Hu?ZcluyGq$OJyDy z!jOTPX1x*!yZf~jkl8bHukCO4+O+?!hGraDcX>A0e|M*_GhIJ*y3u(KFLd>DPQ>&; z#rNjl2(aGE-AI;uJX<^Kk6C;VHo@?#?W3cxf(l<{zT zPyCtT@7BD-d8eh5Is}5uf#lmW`c2Lb5;2arJlO*)Ttk;^l}0pWigoWSNV1Lg#+RFQ z&7mvmi6|GMiiV{q7objPUyDrlo?fojqkX8o$;($y`bqhGcxOiMB(a)5iW!Kao5hxG zgKgFQ)+7(j##Gvibqw$UY`yc3IRL{pE}QIX{hT3}o3r{!RPoTiNk9*K!>l+5B4A(U z(i3HeM^{614T!-02mk7{iqmRgq1&gF{a;TesFv{mgrbvLv>&w(Fl>baR2h#BWS*H; zIEsvNUfGddbmsxqp{-*Ap%YxbU4E;9b?00j_-yYPP@qV8zI;EPaZ4=V|DnFJI(@$Tdlp$N=5;q2K;B*m7a zBzeU4G|t9J;AO;cal&)}^vJ>tpjfI7Lu388(0+dpR8?j7FpbnK3{Ambm))^sKd2x= zpe(`;t0RnQPYhT&)|Gg1La2z21L9Te7zMJ_+?7D&@hU>y$>)j#iO+Y}a}$B2&&jN50V zsio(kaT1wuoj5oi@NQJl<} zo}@q!YtzNMXNH=Pq-^UZgI z$eDwPN33A)ogzc{+``P^2C@E6j5BE)vC&N>9NpT93C(5k+FipRR8D9xCE0v{H0|G+1j}`x;@m-AfSS`@eR6D6E6!9? zE2@|TvqKD3{0^L36Y@>p8h?7pdYgImD#k~s$)s9gMiJ@To!j`cDZYlO?}+XyaVKS? zVtKoqHYpk$n=Eoe&a88du{M#|s#4q^5-1cfG-5!{1%E0z;+zm_DOs*F(nIy!f2pDb z4@^4Wm6Ky5-B2P{#3@hjj3@JzKawgNJ*n7eWzhF+@k=%FjGUj>h*x?HwE3-o|4#NN z#y^kKqKL1#QeVY>LJn(REwA?8AtbiT6PVLk zFO_R?VIVlcdw;PtJ}66lT=3CM9?v=!#-6K9@vNq|O(^9?j>poy37K}7{!1fyu5xYD zXNi_cR|1$~>+H{y{j=0s7T8kcmWIn=IXefQ(NQ>|Yj5{Sn_>z!6tz@~Q5H#}cTbH= zhf&n-r65kW>zZK3)c^>O%Ryz2&N=%TUrvU;z~ENw{^qfI&QXY^EupGFHj*fcVQnte z5PRQ~Qhw!P!?G(vSJVpIYjPs7#k6>`GyB`biG`5h5)B6mpPwc9*H=FhCsrI44gp_H zc`DjDb>y1gSZDu=?&2XBY&MNEaGfjM9Q|WVWbouCH&MkCPk% z$UHC+yRB5l;vhRpy&^i;GNJ?ph@Q8D0o**&?o}?{MpBcn7i$Dc@%%#fOF1q!Ig^qKslbY|9x7#D>!{ZJlt zdgm#dv6mP{-f|p!^MMIWdhsAU3!v-l5(x+AzNS#pE)sg!^u#0|ko(Hg(!=~a^iuOZ zI*5tKJrQ&5eX~PuR4MWz_Rd*q$FJ8E-BPN(7W6<57Rr}T{ zKeswRUdE$R+slTV*Rzw08qo|E@uR2W_LcifR|TD;?i16n6gtiYobi^rSSwq$PHLR0 z8rxc_(`)?rb@Ou7eRsM~gi~M}kFm{`s293&vRP|s^wf5kt%(KOwCJ)9(wJS=smQ6&+(0P`v#(#L7Vap{GBEyvUdw0Zcs(35hAmgHQW8t>7_^kScduarU3a;QajN0XBVZT9N?V<9$XqDx=8H`G#W3#2K&NtBY662fAkoQ|`W&#K z*&K*$hj3IgeT_9)A^ah-5Yi38mr>HUZ!is)_^#g29LPBm=R~R^?O|2E0yr9Wj(bc9 zFqY~3`ZB{9Y{e^ldV@O5ieI1^#YV~s)h^I~bEHm?lpfr>LM_Bt$K^D< zsKISXBWdx>uXbinre&hTWbqE`84yuxA57rQW5g{}yG>7QRP*y%H!-ZKz#ob*Yo-!i z+jy5UeYkY1ajEr_kA+#McZ=@^#OAlNIC z=nk>Mc(-77AXeI9HD(}mXA(ex(PvB)=fKK4S^Q1X0^45POD&|Xoa5IVp!qnW{jdN^ z6WXG`%{s}?hQ-$|fe?XPURl9rbdl?`9W4BNCw)}?%J(rE4VzzK!Qvfq851R5JVDaH zy4{sH1yV}Yu5fs>aTI<{)nb0V9r-wSO3l=QL)~iCTegLDZv1mTtxJ-x;N3@f%-;&t zL}4XXc&|1MLe%$mzxU>iPJh`V!%!Br(?`+|JK;^Z@Fz- z9#c~>OLFUyB$n{VW-eu?JLr{28>Xmrq&(GjVCiw~6piaMjPuW{xfb+C__x++VYDdR zXaK+|%fGd@FmbW-{!8mFJzK~5L4w-?qo?xMQ117cv=t=*#mtoqHHLH*{j%z494^fE zlF9oAFCi4CJx&BbE7VFzS>gsadE$#(#Mbltyy?mMa-pwrk*5)Lg z8dekgO6+20gD~cYwDaMK?F}S)1cVehU}N6`^Pr#1 z*YCYk^_tEdP0G9usAcKaI0-23V!3ua9*uT`#hktpLG7Hg%{$WWJjzp>?NoEh$djsN zJJ+k`C*hv|p>MS!wT*E=ta9UqAW^^z9R@i`VU0%iLQ<_$kxn8|QwevepAhv^S}aH> z5w#Jw4u6L!lnE$BV@VevN=zu|6`hOApK9rVH>k42Kqe$rvmDZOcmlF5<(r^zcDadg zZyuLJ=QoR>qKXBDX;)L^O9hpVSUM7q&~htjS-y9@2y&WKQHR-hJU^{;C|jqtWXuQF z&^JBDaf_FRFb4yMFowa(nSvFd7cA2mTHnMCR~puvqDSK0DCxM&{k^MYRD46452)WZ z(a4OD1X1Dx?aKyBJ?g5phGRgep5=a;9#hD7kCT~0csb(?l;Lq`c@i+LRcaMFGMYS? zKcwlypg|5_$>BozOa?`fCSFNI^aXD9PV_Kqb2UVuXrMj_2>C>iKP(c}ApO-e`vr+Y zO`2JT=jwY`oS)-~eq_E+h4>taf=MT?FRBI95@Q!!DY4T-8kO%s6f=M=+z0SAJh#{ z9^FOXeR`0zM&?}n%h8F>5QK?9z=M`d61cBwDmOo5q4iJ&22X^`_AE49Yc3>!xd0@ywkS^YEw0Kw|y+ zjE(i3-PbZ(@fIikg*zLtCm~IWeNjvv(sizh=ERzJ26y2!?uMdl(MesS1X) zvFBSd=D{-vA?JXigJjyJGP4OvvZgtc77#TUcq%gnrMzzTwHkaHI4pk}53Fg07)Fg& zY{!4dEi&5m9+j=fb8`P(cSXisO|TyvmQnM5meGoGI^J6a8#Tv=^PHmylp)-{rqG37 z)gF-AsXe{5;SQOl4pa1wkEv{`LI_I~?d=^R_QhID@XPz+M4ot@R*`O(RauITE>3+2933MB00GG$HZm4t4plLwB4(4IZx;31!mo5<#U_50QGgu5tF2QKdywAL%fsiio;kHmv^UZMxisMU67tuoTX?&;Ji?yrm$ zHb&1Ys~aM#bYyU-L^VDi)69-A^u`QSKl%xb0&M%Cg%(C01TpPg9!PIrmXZygutf;d zN{XF@L@%QzTBz2vZ3ZQX?1T*lm(fl`V;6(9ps9bn3RH-2`-zJ61WOtG^O0=$GH2Dn1(9yf5zv8rP%FDnY3QI#Jp6%TAlhLtB#ZR z%Yv0$Ep8j*c%kYQ$Tx?Uy$)6@S)|B$L7j8Og(J5@20;)>;Z7u(e`|K11rcw|mtrUI zydUOP?YINPah|iO6Y&Gaz|=YaM@%^2-q~vqa|OdSuW51dE|xq(3l1qj1BDc zLRm`oPzdPIeaLGgN#Bqn@pda9LXXMiY5W5d zYsOrjKTSpg@1qx28^RBE-+mQ~X1e`0F7?Ou$?EJ`mKhw;c^&W4zC>V+sL!Ru5$ctN z-GvP2@sbfhCsmntgAA-WwUZ0$3Hq^$Oa}?_j}8r9OB}uFvk-)eh}lKlEO>aqA?pfTnX8c^IiEQ^8NV@!e?f$o8u;$Ni-_`H+92GftnX}Kz z>TCkd(}$_{Np#GkM;>vfPe;mS?J)(_zpSf7#?k6U?;y1nPus}&MzG~fC0FWH9Dw4u z_qtt2Wt)&9BGeFQ$jKlXCL7Ic_tq?i1@$}HhpKus+!Z50W8HjUwm%fDG-4{O-10hZ zBL8HF=xhI(XI7W28=>mVaQfH}2^ iXHL2v82Ukrju7a!X;?DEwZ*99SNr_cva!&OzZb1+{{8fh3c0D16Wi>?v zxS~Yx#``BV#8~X9TsvH65DEZ*3jg)54gM7X3I6NM+zg&1!rty7^jsY$ML-4s=<+}U zVE$DOzWsrg;fKGoOIVm&J_IY#&4@4Hg^S_Eu>K$RHz+Q54_Z5zxjZE6Dl#lN9{~WK zjDY`{{Hp>;u&Mk#>0)m35KQZS-J4Ah036u>0NDTE(R)Z(nNbk4@|LB9*b`N^~SD)u3xyVUu06;u^|689w&ieM< zgI=cQW)FSE;3r4y!vz4SrXQN*+y4joUr=}}NauVK2{1rS`M@Oo%|Ahl|NNN`vx@vJ zE+klT4EcYpz{}yGs}E!Izqmi@@G_zN&D}@p5AlcL<~{y~`5ymY@c9sbIH25neam%^ zKNwyfR`PHlxc4E3`@WJtebqzuVb6BY{(AC|h4*u6iYV}A4*=l7KlSjt8TwCA0Nel> y9!o)GYjt&gJ_!{SCr=*^U2Sh0Hw8HXkfyVxm!-74s+6vRi;@+Ogqnk`$o~Ox;gB@| diff --git a/projet-vga.runs/impl_1/VGA_top_timing_summary_routed.pb b/projet-vga.runs/impl_1/VGA_top_timing_summary_routed.pb index 45cfd1a0283cd90c05837174e60ef26b8522364f..1fd077eb83b539fad2a001001941ef1bb8d6a0e4 100644 GIT binary patch delta 60 zcmd1GonU4z*SGn=RNiX~)*N~uB(_2LI7h4G<8`O^Tm_R0NJP=~oAZ*eqx%tjn+pCfwkp=P$ALLDrssfn~Q`JBs540IJ H=tu(q>J<;S diff --git a/projet-vga.runs/impl_1/VGA_top_timing_summary_routed.rpt b/projet-vga.runs/impl_1/VGA_top_timing_summary_routed.rpt index 1af9ad6..e379ee5 100644 --- a/projet-vga.runs/impl_1/VGA_top_timing_summary_routed.rpt +++ b/projet-vga.runs/impl_1/VGA_top_timing_summary_routed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Tue Dec 7 12:43:48 2021 -| Host : irb121-02-w running 64-bit major release (build 9200) +| Date : Tue Jan 4 12:21:24 2022 +| Host : irb121-12-w running 64-bit major release (build 9200) | Command : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation | Design : VGA_top | Device : 7z010-clg400 @@ -52,7 +52,19 @@ Table of Contents 1. checking no_clock -------------------- - There are 0 register/latch pins with no clock. + There is 1 register/latch pin with no clock driven by root clock pin: UPD/currentSnake_reg[X][4]/Q (HIGH) + + There is 1 register/latch pin with no clock driven by root clock pin: UPD/currentSnake_reg[X][5]/Q (HIGH) + + There is 1 register/latch pin with no clock driven by root clock pin: UPD/currentSnake_reg[X][6]/Q (HIGH) + + There is 1 register/latch pin with no clock driven by root clock pin: UPD/currentSnake_reg[X][7]/Q (HIGH) + + There is 1 register/latch pin with no clock driven by root clock pin: UPD/currentSnake_reg[dirX][1]/Q (HIGH) + + There is 1 register/latch pin with no clock driven by root clock pin: UPD/currentSnake_reg[dirY][0]/Q (HIGH) + + There are 23 register/latch pins with no clock driven by root clock pin: UPD/update_reg/Q (HIGH) 2. checking constant_clock @@ -67,14 +79,14 @@ Table of Contents 4. checking unconstrained_internal_endpoints -------------------------------------------- - There are 0 pins that are not constrained for maximum delay. + There are 23 pins that are not constrained for maximum delay. (HIGH) There are 0 pins that are not constrained for maximum delay due to constant clock. 5. checking no_input_delay -------------------------- - There are 0 input ports with no input delay specified. + There is 1 input port with no input delay specified. (HIGH) There are 0 input ports with no input delay but user has a false path constraint. @@ -90,7 +102,7 @@ Table of Contents 7. checking multiple_clock -------------------------- - There are 21 register/latch pins with multiple clocks. (HIGH) + There are 0 register/latch pins with multiple clocks. 8. checking generated_clocks @@ -126,10 +138,10 @@ Table of Contents WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - 33.269 0.000 0 52 0.101 0.000 0 52 2.000 0.000 0 27 + -5.611 -86.314 22 1095 -0.025 -0.025 1 1095 2.000 0.000 0 250 -All user specified timing constraints are met. +Timing constraints are not met. ------------------------------------------------------------------------------------------------ @@ -137,14 +149,12 @@ All user specified timing constraints are met. | ------------- ------------------------------------------------------------------------------------------------ -Clock Waveform(ns) Period(ns) Frequency(MHz) ------ ------------ ---------- -------------- -H125MHz {0.000 4.000} 8.000 125.000 - clk_out1_clk_wiz_1 {0.000 20.000} 40.000 25.000 - clkfbout_clk_wiz_1 {0.000 20.000} 40.000 25.000 -sys_clk_pin {0.000 4.000} 8.000 125.000 - clk_out1_clk_wiz_1_1 {0.000 20.000} 40.000 25.000 - clkfbout_clk_wiz_1_1 {0.000 20.000} 40.000 25.000 +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +U0/inst/clk_in1 {0.000 4.000} 8.000 125.000 + clk_out1_clk_wiz_1 {0.000 20.000} 40.000 25.000 + clkfbout_clk_wiz_1 {0.000 20.000} 40.000 25.000 +sys_clk_pin {0.000 4.000} 8.000 125.000 ------------------------------------------------------------------------------------------------ @@ -152,14 +162,12 @@ sys_clk_pin {0.000 4.000} 8.000 125.000 | ----------------- ------------------------------------------------------------------------------------------------ -Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------ ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- -H125MHz 2.000 0.000 0 1 - clk_out1_clk_wiz_1 33.269 0.000 0 52 0.261 0.000 0 52 19.500 0.000 0 23 - clkfbout_clk_wiz_1 37.845 0.000 0 3 -sys_clk_pin 2.000 0.000 0 1 - clk_out1_clk_wiz_1_1 33.281 0.000 0 52 0.261 0.000 0 52 19.500 0.000 0 23 - clkfbout_clk_wiz_1_1 37.845 0.000 0 3 +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +U0/inst/clk_in1 2.000 0.000 0 1 + clk_out1_clk_wiz_1 31.915 0.000 0 78 0.218 0.000 0 78 19.500 0.000 0 62 + clkfbout_clk_wiz_1 37.845 0.000 0 3 +sys_clk_pin -5.611 -86.314 22 923 0.078 0.000 0 923 3.500 0.000 0 184 ------------------------------------------------------------------------------------------------ @@ -167,10 +175,10 @@ sys_clk_pin | ----------------- ------------------------------------------------------------------------------------------------ -From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -clk_out1_clk_wiz_1_1 clk_out1_clk_wiz_1 33.269 0.000 0 52 0.101 0.000 0 52 -clk_out1_clk_wiz_1 clk_out1_clk_wiz_1_1 33.269 0.000 0 52 0.101 0.000 0 52 +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- +sys_clk_pin clk_out1_clk_wiz_1 1.397 0.000 0 13 0.418 0.000 0 13 +clk_out1_clk_wiz_1 sys_clk_pin -3.437 -39.544 21 45 -0.025 -0.025 1 45 ------------------------------------------------------------------------------------------------ @@ -178,8 +186,11 @@ clk_out1_clk_wiz_1 clk_out1_clk_wiz_1_1 33.269 0.000 | ----------------------- ------------------------------------------------------------------------------------------------ -Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- +**async_default** clk_out1_clk_wiz_1 clk_out1_clk_wiz_1 34.529 0.000 0 25 1.220 0.000 0 25 +**async_default** sys_clk_pin clk_out1_clk_wiz_1 2.088 0.000 0 1 0.745 0.000 0 1 +**async_default** sys_clk_pin sys_clk_pin 4.029 0.000 0 32 0.841 0.000 0 32 ------------------------------------------------------------------------------------------------ @@ -189,8 +200,8 @@ Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing --------------------------------------------------------------------------------------------------- -From Clock: H125MHz - To Clock: H125MHz +From Clock: U0/inst/clk_in1 + To Clock: U0/inst/clk_in1 Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA @@ -200,18 +211,18 @@ PW : 0 Failing Endpoints, Worst Slack 2.000ns, Total Vio Pulse Width Checks -------------------------------------------------------------------------------------- -Clock Name: H125MHz +Clock Name: U0/inst/clk_in1 Waveform(ns): { 0.000 4.000 } Period(ns): 8.000 -Sources: { H125MHz } +Sources: { U0/inst/clk_in1 } Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin -Min Period n/a MMCME2_ADV/CLKIN1 n/a 1.249 8.000 6.751 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKIN1 -Max Period n/a MMCME2_ADV/CLKIN1 n/a 100.000 8.000 92.000 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKIN1 -Low Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 4.000 2.000 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKIN1 -Low Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 4.000 2.000 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKIN1 -High Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 4.000 2.000 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKIN1 -High Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 4.000 2.000 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKIN1 +Min Period n/a MMCME2_ADV/CLKIN1 n/a 1.249 8.000 6.751 MMCME2_ADV_X0Y0 U0/inst/mmcm_adv_inst/CLKIN1 +Max Period n/a MMCME2_ADV/CLKIN1 n/a 100.000 8.000 92.000 MMCME2_ADV_X0Y0 U0/inst/mmcm_adv_inst/CLKIN1 +Low Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 4.000 2.000 MMCME2_ADV_X0Y0 U0/inst/mmcm_adv_inst/CLKIN1 +Low Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 4.000 2.000 MMCME2_ADV_X0Y0 U0/inst/mmcm_adv_inst/CLKIN1 +High Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 4.000 2.000 MMCME2_ADV_X0Y0 U0/inst/mmcm_adv_inst/CLKIN1 +High Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 4.000 2.000 MMCME2_ADV_X0Y0 U0/inst/mmcm_adv_inst/CLKIN1 @@ -219,28 +230,28 @@ High Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 4.000 From Clock: clk_out1_clk_wiz_1 To Clock: clk_out1_clk_wiz_1 -Setup : 0 Failing Endpoints, Worst Slack 33.269ns, Total Violation 0.000ns -Hold : 0 Failing Endpoints, Worst Slack 0.261ns, Total Violation 0.000ns +Setup : 0 Failing Endpoints, Worst Slack 31.915ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.218ns, Total Violation 0.000ns PW : 0 Failing Endpoints, Worst Slack 19.500ns, Total Violation 0.000ns --------------------------------------------------------------------------------------------------- Max Delay Paths -------------------------------------------------------------------------------------- -Slack (MET) : 33.269ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (MET) : 31.915ns (required time - arrival time) + Source: SYNC/comptY_reg[9]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[3]/R + Destination: SYNC/comptY_reg[0]/R (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 6.142ns (logic 0.828ns (13.480%) route 5.314ns (86.520%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.252ns = ( 38.748 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.651ns + Data Path Delay: 7.463ns (logic 1.058ns (14.176%) route 6.405ns (85.824%)) + Logic Levels: 3 (LUT5=1 LUT6=2) + Clock Path Skew: -0.032ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.488ns = ( 41.488 - 40.000 ) + Source Clock Delay (SCD): 1.659ns + Clock Pessimism Removal (CPR): 0.139ns Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns @@ -250,63 +261,59 @@ Slack (MET) : 33.269ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.656 1.659 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.866 5.542 U1/comptY - SLICE_X40Y49 FDRE r U1/comptY_reg[3]/R + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.456 2.115 r SYNC/comptY_reg[9]/Q + net (fo=10, routed) 2.756 4.871 SYNC/comptY_reg__0[9] + SLICE_X28Y23 LUT5 (Prop_lut5_I0_O) 0.150 5.021 r SYNC/comptY[9]_i_9/O + net (fo=1, routed) 0.989 6.010 SYNC/comptY[9]_i_9_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I0_O) 0.328 6.338 r SYNC/comptY[9]_i_5/O + net (fo=1, routed) 0.845 7.183 SYNC/comptY[9]_i_5_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I5_O) 0.124 7.307 r SYNC/comptY[9]_i_1/O + net (fo=10, routed) 1.816 9.122 SYNC/comptY + SLICE_X17Y26 FDRE r SYNC/comptY_reg[0]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.580 38.748 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[3]/C - clock pessimism 0.651 39.399 - clock uncertainty -0.160 39.239 - SLICE_X40Y49 FDRE (Setup_fdre_C_R) -0.429 38.810 U1/comptY_reg[3] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.485 41.488 SYNC/clk_out1 + SLICE_X17Y26 FDRE r SYNC/comptY_reg[0]/C + clock pessimism 0.139 41.627 + clock uncertainty -0.160 41.467 + SLICE_X17Y26 FDRE (Setup_fdre_C_R) -0.429 41.038 SYNC/comptY_reg[0] ------------------------------------------------------------------- - required time 38.810 - arrival time -5.542 + required time 41.038 + arrival time -9.122 ------------------------------------------------------------------- - slack 33.269 + slack 31.915 -Slack (MET) : 33.269ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (MET) : 32.277ns (required time - arrival time) + Source: SYNC/comptY_reg[9]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[5]/R + Destination: SYNC/comptY_reg[5]/R (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 6.142ns (logic 0.828ns (13.480%) route 5.314ns (86.520%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.252ns = ( 38.748 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.651ns + Data Path Delay: 6.972ns (logic 1.058ns (15.176%) route 5.914ns (84.824%)) + Logic Levels: 3 (LUT5=1 LUT6=2) + Clock Path Skew: -0.067ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.487ns = ( 41.487 - 40.000 ) + Source Clock Delay (SCD): 1.659ns + Clock Pessimism Removal (CPR): 0.105ns Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns @@ -316,63 +323,59 @@ Slack (MET) : 33.269ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.656 1.659 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.866 5.542 U1/comptY - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/R + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.456 2.115 r SYNC/comptY_reg[9]/Q + net (fo=10, routed) 2.756 4.871 SYNC/comptY_reg__0[9] + SLICE_X28Y23 LUT5 (Prop_lut5_I0_O) 0.150 5.021 r SYNC/comptY[9]_i_9/O + net (fo=1, routed) 0.989 6.010 SYNC/comptY[9]_i_9_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I0_O) 0.328 6.338 r SYNC/comptY[9]_i_5/O + net (fo=1, routed) 0.845 7.183 SYNC/comptY[9]_i_5_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I5_O) 0.124 7.307 r SYNC/comptY[9]_i_1/O + net (fo=10, routed) 1.324 8.631 SYNC/comptY + SLICE_X20Y26 FDRE r SYNC/comptY_reg[5]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.580 38.748 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - clock pessimism 0.651 39.399 - clock uncertainty -0.160 39.239 - SLICE_X40Y49 FDRE (Setup_fdre_C_R) -0.429 38.810 U1/comptY_reg[5] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.484 41.487 SYNC/clk_out1 + SLICE_X20Y26 FDRE r SYNC/comptY_reg[5]/C + clock pessimism 0.105 41.592 + clock uncertainty -0.160 41.432 + SLICE_X20Y26 FDRE (Setup_fdre_C_R) -0.524 40.908 SYNC/comptY_reg[5] ------------------------------------------------------------------- - required time 38.810 - arrival time -5.542 + required time 40.908 + arrival time -8.631 ------------------------------------------------------------------- - slack 33.269 + slack 32.277 -Slack (MET) : 33.414ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (MET) : 32.372ns (required time - arrival time) + Source: SYNC/comptY_reg[9]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[4]/R + Destination: SYNC/comptY_reg[3]/R (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.838ns (logic 0.828ns (14.184%) route 5.010ns (85.816%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.064ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.253ns = ( 38.747 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.588ns + Data Path Delay: 6.972ns (logic 1.058ns (15.176%) route 5.914ns (84.824%)) + Logic Levels: 3 (LUT5=1 LUT6=2) + Clock Path Skew: -0.067ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.487ns = ( 41.487 - 40.000 ) + Source Clock Delay (SCD): 1.659ns + Clock Pessimism Removal (CPR): 0.105ns Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns @@ -382,63 +385,59 @@ Slack (MET) : 33.414ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.656 1.659 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.561 5.237 U1/comptY - SLICE_X38Y49 FDRE r U1/comptY_reg[4]/R + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.456 2.115 r SYNC/comptY_reg[9]/Q + net (fo=10, routed) 2.756 4.871 SYNC/comptY_reg__0[9] + SLICE_X28Y23 LUT5 (Prop_lut5_I0_O) 0.150 5.021 r SYNC/comptY[9]_i_9/O + net (fo=1, routed) 0.989 6.010 SYNC/comptY[9]_i_9_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I0_O) 0.328 6.338 r SYNC/comptY[9]_i_5/O + net (fo=1, routed) 0.845 7.183 SYNC/comptY[9]_i_5_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I5_O) 0.124 7.307 r SYNC/comptY[9]_i_1/O + net (fo=10, routed) 1.324 8.631 SYNC/comptY + SLICE_X21Y26 FDRE r SYNC/comptY_reg[3]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.579 38.747 U1/CLK - SLICE_X38Y49 FDRE r U1/comptY_reg[4]/C - clock pessimism 0.588 39.335 - clock uncertainty -0.160 39.175 - SLICE_X38Y49 FDRE (Setup_fdre_C_R) -0.524 38.651 U1/comptY_reg[4] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.484 41.487 SYNC/clk_out1 + SLICE_X21Y26 FDRE r SYNC/comptY_reg[3]/C + clock pessimism 0.105 41.592 + clock uncertainty -0.160 41.432 + SLICE_X21Y26 FDRE (Setup_fdre_C_R) -0.429 41.003 SYNC/comptY_reg[3] ------------------------------------------------------------------- - required time 38.651 - arrival time -5.237 + required time 41.003 + arrival time -8.631 ------------------------------------------------------------------- - slack 33.414 + slack 32.372 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (MET) : 32.372ns (required time - arrival time) + Source: SYNC/comptY_reg[9]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[1]/R + Destination: SYNC/comptY_reg[4]/R (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns + Data Path Delay: 6.972ns (logic 1.058ns (15.176%) route 5.914ns (84.824%)) + Logic Levels: 3 (LUT5=1 LUT6=2) + Clock Path Skew: -0.067ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.487ns = ( 41.487 - 40.000 ) + Source Clock Delay (SCD): 1.659ns + Clock Pessimism Removal (CPR): 0.105ns Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns @@ -448,63 +447,59 @@ Slack (MET) : 33.600ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.656 1.659 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/R + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.456 2.115 r SYNC/comptY_reg[9]/Q + net (fo=10, routed) 2.756 4.871 SYNC/comptY_reg__0[9] + SLICE_X28Y23 LUT5 (Prop_lut5_I0_O) 0.150 5.021 r SYNC/comptY[9]_i_9/O + net (fo=1, routed) 0.989 6.010 SYNC/comptY[9]_i_9_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I0_O) 0.328 6.338 r SYNC/comptY[9]_i_5/O + net (fo=1, routed) 0.845 7.183 SYNC/comptY[9]_i_5_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I5_O) 0.124 7.307 r SYNC/comptY[9]_i_1/O + net (fo=10, routed) 1.324 8.631 SYNC/comptY + SLICE_X21Y26 FDRE r SYNC/comptY_reg[4]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[1] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.484 41.487 SYNC/clk_out1 + SLICE_X21Y26 FDRE r SYNC/comptY_reg[4]/C + clock pessimism 0.105 41.592 + clock uncertainty -0.160 41.432 + SLICE_X21Y26 FDRE (Setup_fdre_C_R) -0.429 41.003 SYNC/comptY_reg[4] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 41.003 + arrival time -8.631 ------------------------------------------------------------------- - slack 33.600 + slack 32.372 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (MET) : 32.433ns (required time - arrival time) + Source: SYNC/comptY_reg[9]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[2]/R + Destination: SYNC/comptY_reg[6]/R (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns + Data Path Delay: 6.945ns (logic 1.058ns (15.233%) route 5.887ns (84.767%)) + Logic Levels: 3 (LUT5=1 LUT6=2) + Clock Path Skew: -0.032ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.488ns = ( 41.488 - 40.000 ) + Source Clock Delay (SCD): 1.659ns + Clock Pessimism Removal (CPR): 0.139ns Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns @@ -514,63 +509,59 @@ Slack (MET) : 33.600ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.656 1.659 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/R + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.456 2.115 r SYNC/comptY_reg[9]/Q + net (fo=10, routed) 2.756 4.871 SYNC/comptY_reg__0[9] + SLICE_X28Y23 LUT5 (Prop_lut5_I0_O) 0.150 5.021 r SYNC/comptY[9]_i_9/O + net (fo=1, routed) 0.989 6.010 SYNC/comptY[9]_i_9_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I0_O) 0.328 6.338 r SYNC/comptY[9]_i_5/O + net (fo=1, routed) 0.845 7.183 SYNC/comptY[9]_i_5_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I5_O) 0.124 7.307 r SYNC/comptY[9]_i_1/O + net (fo=10, routed) 1.298 8.604 SYNC/comptY + SLICE_X18Y26 FDRE r SYNC/comptY_reg[6]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[2] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.485 41.488 SYNC/clk_out1 + SLICE_X18Y26 FDRE r SYNC/comptY_reg[6]/C + clock pessimism 0.139 41.627 + clock uncertainty -0.160 41.467 + SLICE_X18Y26 FDRE (Setup_fdre_C_R) -0.429 41.038 SYNC/comptY_reg[6] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 41.038 + arrival time -8.604 ------------------------------------------------------------------- - slack 33.600 + slack 32.433 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (MET) : 32.460ns (required time - arrival time) + Source: SYNC/comptY_reg[9]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[7]/R + Destination: SYNC/comptY_reg[7]/R (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns + Data Path Delay: 6.941ns (logic 1.058ns (15.243%) route 5.883ns (84.757%)) + Logic Levels: 3 (LUT5=1 LUT6=2) + Clock Path Skew: -0.010ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.488ns = ( 41.488 - 40.000 ) + Source Clock Delay (SCD): 1.659ns + Clock Pessimism Removal (CPR): 0.161ns Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns @@ -580,63 +571,59 @@ Slack (MET) : 33.600ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.656 1.659 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[7]/R + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.456 2.115 r SYNC/comptY_reg[9]/Q + net (fo=10, routed) 2.756 4.871 SYNC/comptY_reg__0[9] + SLICE_X28Y23 LUT5 (Prop_lut5_I0_O) 0.150 5.021 r SYNC/comptY[9]_i_9/O + net (fo=1, routed) 0.989 6.010 SYNC/comptY[9]_i_9_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I0_O) 0.328 6.338 r SYNC/comptY[9]_i_5/O + net (fo=1, routed) 0.845 7.183 SYNC/comptY[9]_i_5_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I5_O) 0.124 7.307 r SYNC/comptY[9]_i_1/O + net (fo=10, routed) 1.293 8.600 SYNC/comptY + SLICE_X19Y26 FDRE r SYNC/comptY_reg[7]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[7]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[7] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.485 41.488 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[7]/C + clock pessimism 0.161 41.649 + clock uncertainty -0.160 41.489 + SLICE_X19Y26 FDRE (Setup_fdre_C_R) -0.429 41.060 SYNC/comptY_reg[7] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 41.060 + arrival time -8.600 ------------------------------------------------------------------- - slack 33.600 + slack 32.460 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (MET) : 32.460ns (required time - arrival time) + Source: SYNC/comptY_reg[9]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[8]/R + Destination: SYNC/comptY_reg[8]/R (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns + Data Path Delay: 6.941ns (logic 1.058ns (15.243%) route 5.883ns (84.757%)) + Logic Levels: 3 (LUT5=1 LUT6=2) + Clock Path Skew: -0.010ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.488ns = ( 41.488 - 40.000 ) + Source Clock Delay (SCD): 1.659ns + Clock Pessimism Removal (CPR): 0.161ns Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns @@ -646,63 +633,59 @@ Slack (MET) : 33.600ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.656 1.659 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[8]/R + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.456 2.115 r SYNC/comptY_reg[9]/Q + net (fo=10, routed) 2.756 4.871 SYNC/comptY_reg__0[9] + SLICE_X28Y23 LUT5 (Prop_lut5_I0_O) 0.150 5.021 r SYNC/comptY[9]_i_9/O + net (fo=1, routed) 0.989 6.010 SYNC/comptY[9]_i_9_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I0_O) 0.328 6.338 r SYNC/comptY[9]_i_5/O + net (fo=1, routed) 0.845 7.183 SYNC/comptY[9]_i_5_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I5_O) 0.124 7.307 r SYNC/comptY[9]_i_1/O + net (fo=10, routed) 1.293 8.600 SYNC/comptY + SLICE_X19Y26 FDRE r SYNC/comptY_reg[8]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[8]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[8] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.485 41.488 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[8]/C + clock pessimism 0.161 41.649 + clock uncertainty -0.160 41.489 + SLICE_X19Y26 FDRE (Setup_fdre_C_R) -0.429 41.060 SYNC/comptY_reg[8] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 41.060 + arrival time -8.600 ------------------------------------------------------------------- - slack 33.600 + slack 32.460 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (MET) : 32.460ns (required time - arrival time) + Source: SYNC/comptY_reg[9]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[9]/R + Destination: SYNC/comptY_reg[9]/R (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns + Data Path Delay: 6.941ns (logic 1.058ns (15.243%) route 5.883ns (84.757%)) + Logic Levels: 3 (LUT5=1 LUT6=2) + Clock Path Skew: -0.010ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.488ns = ( 41.488 - 40.000 ) + Source Clock Delay (SCD): 1.659ns + Clock Pessimism Removal (CPR): 0.161ns Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns @@ -712,63 +695,59 @@ Slack (MET) : 33.600ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.656 1.659 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[9]/R + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.456 2.115 r SYNC/comptY_reg[9]/Q + net (fo=10, routed) 2.756 4.871 SYNC/comptY_reg__0[9] + SLICE_X28Y23 LUT5 (Prop_lut5_I0_O) 0.150 5.021 r SYNC/comptY[9]_i_9/O + net (fo=1, routed) 0.989 6.010 SYNC/comptY[9]_i_9_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I0_O) 0.328 6.338 r SYNC/comptY[9]_i_5/O + net (fo=1, routed) 0.845 7.183 SYNC/comptY[9]_i_5_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I5_O) 0.124 7.307 r SYNC/comptY[9]_i_1/O + net (fo=10, routed) 1.293 8.600 SYNC/comptY + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[9]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[9] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.485 41.488 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/C + clock pessimism 0.161 41.649 + clock uncertainty -0.160 41.489 + SLICE_X19Y26 FDRE (Setup_fdre_C_R) -0.429 41.060 SYNC/comptY_reg[9] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 41.060 + arrival time -8.600 ------------------------------------------------------------------- - slack 33.600 + slack 32.460 -Slack (MET) : 33.744ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (MET) : 32.771ns (required time - arrival time) + Source: SYNC/comptY_reg[9]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[6]/R + Destination: SYNC/comptY_reg[1]/R (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.472ns (logic 0.828ns (15.132%) route 4.644ns (84.868%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns + Data Path Delay: 6.605ns (logic 1.058ns (16.017%) route 5.547ns (83.983%)) + Logic Levels: 3 (LUT5=1 LUT6=2) + Clock Path Skew: -0.034ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.486ns = ( 41.486 - 40.000 ) + Source Clock Delay (SCD): 1.659ns + Clock Pessimism Removal (CPR): 0.139ns Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns @@ -778,63 +757,59 @@ Slack (MET) : 33.744ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.656 1.659 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.196 4.871 U1/comptY - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/R + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.456 2.115 r SYNC/comptY_reg[9]/Q + net (fo=10, routed) 2.756 4.871 SYNC/comptY_reg__0[9] + SLICE_X28Y23 LUT5 (Prop_lut5_I0_O) 0.150 5.021 r SYNC/comptY[9]_i_9/O + net (fo=1, routed) 0.989 6.010 SYNC/comptY[9]_i_9_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I0_O) 0.328 6.338 r SYNC/comptY[9]_i_5/O + net (fo=1, routed) 0.845 7.183 SYNC/comptY[9]_i_5_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I5_O) 0.124 7.307 r SYNC/comptY[9]_i_1/O + net (fo=10, routed) 0.958 8.264 SYNC/comptY + SLICE_X19Y25 FDRE r SYNC/comptY_reg[1]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y50 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[6] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.483 41.486 SYNC/clk_out1 + SLICE_X19Y25 FDRE r SYNC/comptY_reg[1]/C + clock pessimism 0.139 41.625 + clock uncertainty -0.160 41.465 + SLICE_X19Y25 FDRE (Setup_fdre_C_R) -0.429 41.036 SYNC/comptY_reg[1] ------------------------------------------------------------------- - required time 38.615 - arrival time -4.871 + required time 41.036 + arrival time -8.264 ------------------------------------------------------------------- - slack 33.744 + slack 32.771 -Slack (MET) : 33.981ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (MET) : 32.771ns (required time - arrival time) + Source: SYNC/comptY_reg[9]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[0]/R + Destination: SYNC/comptY_reg[2]/R (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.139ns (logic 0.828ns (16.112%) route 4.311ns (83.888%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns + Data Path Delay: 6.605ns (logic 1.058ns (16.017%) route 5.547ns (83.983%)) + Logic Levels: 3 (LUT5=1 LUT6=2) + Clock Path Skew: -0.034ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.486ns = ( 41.486 - 40.000 ) + Source Clock Delay (SCD): 1.659ns + Clock Pessimism Removal (CPR): 0.139ns Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns @@ -844,48 +819,44 @@ Slack (MET) : 33.981ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.656 1.659 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 0.863 4.538 U1/comptY - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/R + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.456 2.115 r SYNC/comptY_reg[9]/Q + net (fo=10, routed) 2.756 4.871 SYNC/comptY_reg__0[9] + SLICE_X28Y23 LUT5 (Prop_lut5_I0_O) 0.150 5.021 r SYNC/comptY[9]_i_9/O + net (fo=1, routed) 0.989 6.010 SYNC/comptY[9]_i_9_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I0_O) 0.328 6.338 r SYNC/comptY[9]_i_5/O + net (fo=1, routed) 0.845 7.183 SYNC/comptY[9]_i_5_n_0 + SLICE_X29Y25 LUT6 (Prop_lut6_I5_O) 0.124 7.307 r SYNC/comptY[9]_i_1/O + net (fo=10, routed) 0.958 8.264 SYNC/comptY + SLICE_X19Y25 FDRE r SYNC/comptY_reg[2]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X38Y51 FDRE (Setup_fdre_C_R) -0.524 38.520 U1/comptY_reg[0] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.483 41.486 SYNC/clk_out1 + SLICE_X19Y25 FDRE r SYNC/comptY_reg[2]/C + clock pessimism 0.139 41.625 + clock uncertainty -0.160 41.465 + SLICE_X19Y25 FDRE (Setup_fdre_C_R) -0.429 41.036 SYNC/comptY_reg[2] ------------------------------------------------------------------- - required time 38.520 - arrival time -4.538 + required time 41.036 + arrival time -8.264 ------------------------------------------------------------------- - slack 33.981 + slack 32.771 @@ -893,575 +864,542 @@ Slack (MET) : 33.981ns (required time - arrival time) Min Delay Paths -------------------------------------------------------------------------------------- -Slack (MET) : 0.261ns (arrival time - required time) - Source: U1/comptY_reg[1]/C +Slack (MET) : 0.218ns (arrival time - required time) + Source: SYNC/comptX_reg[4]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[2]/D + Destination: SYNC/comptX_reg[5]/D (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.368ns (logic 0.183ns (49.756%) route 0.185ns (50.244%)) - Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - ------------------------------------------------------------------- ------------------- - SLICE_X36Y51 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptY_reg[1]/Q - net (fo=29, routed) 0.185 -0.148 U1/comptY_reg__0[1] - SLICE_X36Y51 LUT3 (Prop_lut3_I0_O) 0.042 -0.106 r U1/comptY[2]_i_1/O - net (fo=1, routed) 0.000 -0.106 U1/comptY[2]_i_1_n_0 - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/C - clock pessimism 0.232 -0.474 - SLICE_X36Y51 FDRE (Hold_fdre_C_D) 0.107 -0.367 U1/comptY_reg[2] - ------------------------------------------------------------------- - required time 0.367 - arrival time -0.106 - ------------------------------------------------------------------- - slack 0.261 - -Slack (MET) : 0.261ns (arrival time - required time) - Source: U1/comptX_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[5]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.353ns (logic 0.186ns (52.682%) route 0.167ns (47.318%)) + Data Path Delay: 0.310ns (logic 0.227ns (73.156%) route 0.083ns (26.844%)) Logic Levels: 1 (LUT6=1) Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.473ns - Clock Pessimism Removal (CPR): -0.232ns + Destination Clock Delay (DCD): 0.821ns + Source Clock Delay (SCD): 0.555ns + Clock Pessimism Removal (CPR): 0.266ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.588 -0.473 U1/CLK - SLICE_X43Y54 FDRE r U1/comptX_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.553 0.555 SYNC/clk_out1 + SLICE_X29Y21 FDRE r SYNC/comptX_reg[4]/C ------------------------------------------------------------------- ------------------- - SLICE_X43Y54 FDRE (Prop_fdre_C_Q) 0.141 -0.332 r U1/comptX_reg[5]/Q - net (fo=25, routed) 0.167 -0.165 U1/comptX_reg__0[5] - SLICE_X43Y54 LUT6 (Prop_lut6_I5_O) 0.045 -0.120 r U1/comptX[5]_i_1/O - net (fo=1, routed) 0.000 -0.120 U1/plusOp[5] - SLICE_X43Y54 FDRE r U1/comptX_reg[5]/D + SLICE_X29Y21 FDRE (Prop_fdre_C_Q) 0.128 0.683 r SYNC/comptX_reg[4]/Q + net (fo=10, routed) 0.083 0.766 SYNC/comptX_reg__0[4] + SLICE_X29Y21 LUT6 (Prop_lut6_I0_O) 0.099 0.865 r SYNC/comptX[5]_i_1/O + net (fo=1, routed) 0.000 0.865 SYNC/p_0_in[5] + SLICE_X29Y21 FDRE r SYNC/comptX_reg[5]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X43Y54 FDRE r U1/comptX_reg[5]/C - clock pessimism 0.232 -0.473 - SLICE_X43Y54 FDRE (Hold_fdre_C_D) 0.092 -0.381 U1/comptX_reg[5] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.819 0.821 SYNC/clk_out1 + SLICE_X29Y21 FDRE r SYNC/comptX_reg[5]/C + clock pessimism -0.266 0.555 + SLICE_X29Y21 FDRE (Hold_fdre_C_D) 0.092 0.647 SYNC/comptX_reg[5] ------------------------------------------------------------------- - required time 0.381 - arrival time -0.120 + required time -0.647 + arrival time 0.865 ------------------------------------------------------------------- - slack 0.261 + slack 0.218 -Slack (MET) : 0.280ns (arrival time - required time) - Source: U1/comptX_reg[7]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[8]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 0.265ns (arrival time - required time) + Source: SNAKE/startUpdate_reg/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: SNAKE/startUpdate_reg/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.387ns (logic 0.183ns (47.319%) route 0.204ns (52.681%)) - Logic Levels: 1 (LUT5=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C - ------------------------------------------------------------------- ------------------- - SLICE_X41Y57 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptX_reg[7]/Q - net (fo=20, routed) 0.204 -0.129 U1/comptX_reg__0[7] - SLICE_X41Y57 LUT5 (Prop_lut5_I0_O) 0.042 -0.087 r U1/comptX[8]_i_1/O - net (fo=1, routed) 0.000 -0.087 U1/plusOp[8] - SLICE_X41Y57 FDRE r U1/comptX_reg[8]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[8]/C - clock pessimism 0.232 -0.474 - SLICE_X41Y57 FDRE (Hold_fdre_C_D) 0.107 -0.367 U1/comptX_reg[8] - ------------------------------------------------------------------- - required time 0.367 - arrival time -0.087 - ------------------------------------------------------------------- - slack 0.280 - -Slack (MET) : 0.280ns (arrival time - required time) - Source: U1/comptY_reg[1]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[1]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.371ns (logic 0.186ns (50.162%) route 0.185ns (49.838%)) + Data Path Delay: 0.356ns (logic 0.186ns (52.178%) route 0.170ns (47.822%)) Logic Levels: 1 (LUT2=1) Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns + Destination Clock Delay (DCD): 0.830ns + Source Clock Delay (SCD): 0.562ns + Clock Pessimism Removal (CPR): 0.268ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.560 0.562 SNAKE/clk_out1 + SLICE_X23Y44 FDCE r SNAKE/startUpdate_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X36Y51 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptY_reg[1]/Q - net (fo=29, routed) 0.185 -0.148 U1/comptY_reg__0[1] - SLICE_X36Y51 LUT2 (Prop_lut2_I1_O) 0.045 -0.103 r U1/comptY[1]_i_1/O - net (fo=1, routed) 0.000 -0.103 U1/comptY[1]_i_1_n_0 - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/D + SLICE_X23Y44 FDCE (Prop_fdce_C_Q) 0.141 0.703 r SNAKE/startUpdate_reg/Q + net (fo=4, routed) 0.170 0.873 SNAKE/startUpdate + SLICE_X23Y44 LUT2 (Prop_lut2_I1_O) 0.045 0.918 r SNAKE/startUpdate_i_1/O + net (fo=1, routed) 0.000 0.918 SNAKE/startUpdate_i_1_n_0 + SLICE_X23Y44 FDCE r SNAKE/startUpdate_reg/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - clock pessimism 0.232 -0.474 - SLICE_X36Y51 FDRE (Hold_fdre_C_D) 0.091 -0.383 U1/comptY_reg[1] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.828 0.830 SNAKE/clk_out1 + SLICE_X23Y44 FDCE r SNAKE/startUpdate_reg/C + clock pessimism -0.268 0.562 + SLICE_X23Y44 FDCE (Hold_fdce_C_D) 0.091 0.653 SNAKE/startUpdate_reg ------------------------------------------------------------------- - required time 0.383 - arrival time -0.103 + required time -0.653 + arrival time 0.918 ------------------------------------------------------------------- - slack 0.280 + slack 0.265 + +Slack (MET) : 0.281ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[22]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[22]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: clk_out1_clk_wiz_1 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 0.386ns (logic 0.252ns (65.354%) route 0.134ns (34.646%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.849ns + Source Clock Delay (SCD): 0.582ns + Clock Pessimism Removal (CPR): 0.267ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.580 0.582 UPD_CLK_DIV/clk_out1 + SLICE_X40Y72 FDCE r UPD_CLK_DIV/temp_reg[22]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y72 FDCE (Prop_fdce_C_Q) 0.141 0.723 r UPD_CLK_DIV/temp_reg[22]/Q + net (fo=4, routed) 0.134 0.856 UPD_CLK_DIV/temp_reg[22] + SLICE_X40Y72 CARRY4 (Prop_carry4_S[2]_O[2]) + 0.111 0.967 r UPD_CLK_DIV/temp_reg[20]_i_1/O[2] + net (fo=1, routed) 0.000 0.967 UPD_CLK_DIV/temp_reg[20]_i_1_n_5 + SLICE_X40Y72 FDCE r UPD_CLK_DIV/temp_reg[22]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.847 0.849 UPD_CLK_DIV/clk_out1 + SLICE_X40Y72 FDCE r UPD_CLK_DIV/temp_reg[22]/C + clock pessimism -0.267 0.582 + SLICE_X40Y72 FDCE (Hold_fdce_C_D) 0.105 0.687 UPD_CLK_DIV/temp_reg[22] + ------------------------------------------------------------------- + required time -0.687 + arrival time 0.967 + ------------------------------------------------------------------- + slack 0.281 Slack (MET) : 0.288ns (arrival time - required time) - Source: U1/comptX_reg[0]/C + Source: SYNC/comptY_reg[0]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[1]/D + Destination: SYNC/comptY_reg[0]/D (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.419ns (logic 0.207ns (49.431%) route 0.212ns (50.569%)) - Logic Levels: 1 (LUT2=1) + Data Path Delay: 0.379ns (logic 0.186ns (49.124%) route 0.193ns (50.876%)) + Logic Levels: 1 (LUT1=1) Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.473ns - Clock Pessimism Removal (CPR): -0.232ns + Destination Clock Delay (DCD): 0.818ns + Source Clock Delay (SCD): 0.553ns + Clock Pessimism Removal (CPR): 0.265ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.588 -0.473 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.551 0.553 SYNC/clk_out1 + SLICE_X17Y26 FDRE r SYNC/comptY_reg[0]/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y55 FDRE (Prop_fdre_C_Q) 0.164 -0.309 r U1/comptX_reg[0]/Q - net (fo=25, routed) 0.212 -0.097 U1/comptX_reg__0[0] - SLICE_X42Y55 LUT2 (Prop_lut2_I0_O) 0.043 -0.054 r U1/comptX[1]_i_1/O - net (fo=1, routed) 0.000 -0.054 U1/plusOp[1] - SLICE_X42Y55 FDRE r U1/comptX_reg[1]/D + SLICE_X17Y26 FDRE (Prop_fdre_C_Q) 0.141 0.694 f SYNC/comptY_reg[0]/Q + net (fo=58, routed) 0.193 0.886 SYNC/comptY_reg__0[0] + SLICE_X17Y26 LUT1 (Prop_lut1_I0_O) 0.045 0.931 r SYNC/comptY[0]_i_1/O + net (fo=1, routed) 0.000 0.931 SYNC/comptY[0]_i_1_n_0 + SLICE_X17Y26 FDRE r SYNC/comptY_reg[0]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[1]/C - clock pessimism 0.232 -0.473 - SLICE_X42Y55 FDRE (Hold_fdre_C_D) 0.131 -0.342 U1/comptX_reg[1] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.816 0.818 SYNC/clk_out1 + SLICE_X17Y26 FDRE r SYNC/comptY_reg[0]/C + clock pessimism -0.265 0.553 + SLICE_X17Y26 FDRE (Hold_fdre_C_D) 0.091 0.644 SYNC/comptY_reg[0] ------------------------------------------------------------------- - required time 0.342 - arrival time -0.054 + required time -0.644 + arrival time 0.931 ------------------------------------------------------------------- slack 0.288 -Slack (MET) : 0.289ns (arrival time - required time) - Source: U1/comptX_reg[7]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[9]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 0.292ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[14]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[14]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.398ns (logic 0.186ns (46.766%) route 0.212ns (53.234%)) - Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.017ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.248ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C - ------------------------------------------------------------------- ------------------- - SLICE_X41Y57 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptX_reg[7]/Q - net (fo=20, routed) 0.212 -0.121 U1/comptX_reg__0[7] - SLICE_X41Y56 LUT6 (Prop_lut6_I3_O) 0.045 -0.076 r U1/comptX[9]_i_1/O - net (fo=1, routed) 0.000 -0.076 U1/plusOp[9] - SLICE_X41Y56 FDRE r U1/comptX_reg[9]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X41Y56 FDRE r U1/comptX_reg[9]/C - clock pessimism 0.248 -0.457 - SLICE_X41Y56 FDRE (Hold_fdre_C_D) 0.092 -0.365 U1/comptX_reg[9] - ------------------------------------------------------------------- - required time 0.365 - arrival time -0.076 - ------------------------------------------------------------------- - slack 0.289 - -Slack (MET) : 0.297ns (arrival time - required time) - Source: U1/comptY_reg[6]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[6]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.402ns (logic 0.183ns (45.514%) route 0.219ns (54.486%)) - Logic Levels: 1 (LUT3=1) + Data Path Delay: 0.397ns (logic 0.252ns (63.525%) route 0.145ns (36.475%)) + Logic Levels: 1 (CARRY4=1) Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns + Destination Clock Delay (DCD): 0.851ns + Source Clock Delay (SCD): 0.583ns + Clock Pessimism Removal (CPR): 0.268ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.581 0.583 UPD_CLK_DIV/clk_out1 + SLICE_X40Y70 FDCE r UPD_CLK_DIV/temp_reg[14]/C ------------------------------------------------------------------- ------------------- - SLICE_X36Y50 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptY_reg[6]/Q - net (fo=21, routed) 0.219 -0.114 U1/comptY_reg__0[6] - SLICE_X36Y50 LUT3 (Prop_lut3_I2_O) 0.042 -0.072 r U1/comptY[6]_i_1/O - net (fo=1, routed) 0.000 -0.072 U1/plusOp__0[6] - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/D + SLICE_X40Y70 FDCE (Prop_fdce_C_Q) 0.141 0.724 r UPD_CLK_DIV/temp_reg[14]/Q + net (fo=4, routed) 0.145 0.868 UPD_CLK_DIV/temp_reg[14] + SLICE_X40Y70 CARRY4 (Prop_carry4_S[2]_O[2]) + 0.111 0.979 r UPD_CLK_DIV/temp_reg[12]_i_1/O[2] + net (fo=1, routed) 0.000 0.979 UPD_CLK_DIV/temp_reg[12]_i_1_n_5 + SLICE_X40Y70 FDCE r UPD_CLK_DIV/temp_reg[14]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/C - clock pessimism 0.232 -0.474 - SLICE_X36Y50 FDRE (Hold_fdre_C_D) 0.105 -0.369 U1/comptY_reg[6] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.849 0.851 UPD_CLK_DIV/clk_out1 + SLICE_X40Y70 FDCE r UPD_CLK_DIV/temp_reg[14]/C + clock pessimism -0.268 0.583 + SLICE_X40Y70 FDCE (Hold_fdce_C_D) 0.105 0.688 UPD_CLK_DIV/temp_reg[14] ------------------------------------------------------------------- - required time 0.369 - arrival time -0.072 + required time -0.688 + arrival time 0.979 ------------------------------------------------------------------- - slack 0.297 + slack 0.292 -Slack (MET) : 0.299ns (arrival time - required time) - Source: U1/comptX_reg[7]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[7]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 0.292ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[18]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[18]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.390ns (logic 0.186ns (47.724%) route 0.204ns (52.276%)) - Logic Levels: 1 (LUT4=1) + Data Path Delay: 0.397ns (logic 0.252ns (63.515%) route 0.145ns (36.485%)) + Logic Levels: 1 (CARRY4=1) Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns + Destination Clock Delay (DCD): 0.850ns + Source Clock Delay (SCD): 0.582ns + Clock Pessimism Removal (CPR): 0.268ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.580 0.582 UPD_CLK_DIV/clk_out1 + SLICE_X40Y71 FDCE r UPD_CLK_DIV/temp_reg[18]/C ------------------------------------------------------------------- ------------------- - SLICE_X41Y57 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptX_reg[7]/Q - net (fo=20, routed) 0.204 -0.129 U1/comptX_reg__0[7] - SLICE_X41Y57 LUT4 (Prop_lut4_I3_O) 0.045 -0.084 r U1/comptX[7]_i_1/O - net (fo=1, routed) 0.000 -0.084 U1/plusOp[7] - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/D + SLICE_X40Y71 FDCE (Prop_fdce_C_Q) 0.141 0.723 r UPD_CLK_DIV/temp_reg[18]/Q + net (fo=3, routed) 0.145 0.867 UPD_CLK_DIV/temp_reg[18] + SLICE_X40Y71 CARRY4 (Prop_carry4_S[2]_O[2]) + 0.111 0.978 r UPD_CLK_DIV/temp_reg[16]_i_1/O[2] + net (fo=1, routed) 0.000 0.978 UPD_CLK_DIV/temp_reg[16]_i_1_n_5 + SLICE_X40Y71 FDCE r UPD_CLK_DIV/temp_reg[18]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C - clock pessimism 0.232 -0.474 - SLICE_X41Y57 FDRE (Hold_fdre_C_D) 0.091 -0.383 U1/comptX_reg[7] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.848 0.850 UPD_CLK_DIV/clk_out1 + SLICE_X40Y71 FDCE r UPD_CLK_DIV/temp_reg[18]/C + clock pessimism -0.268 0.582 + SLICE_X40Y71 FDCE (Hold_fdce_C_D) 0.105 0.687 UPD_CLK_DIV/temp_reg[18] ------------------------------------------------------------------- - required time 0.383 - arrival time -0.084 + required time -0.687 + arrival time 0.978 ------------------------------------------------------------------- - slack 0.299 + slack 0.292 -Slack (MET) : 0.301ns (arrival time - required time) - Source: U1/comptY_reg[0]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[0]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 0.293ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[10]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[10]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.421ns (logic 0.209ns (49.671%) route 0.212ns (50.329%)) - Logic Levels: 1 (LUT1=1) + Data Path Delay: 0.398ns (logic 0.252ns (63.360%) route 0.146ns (36.640%)) + Logic Levels: 1 (CARRY4=1) Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns + Destination Clock Delay (DCD): 0.852ns + Source Clock Delay (SCD): 0.584ns + Clock Pessimism Removal (CPR): 0.268ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.582 0.584 UPD_CLK_DIV/clk_out1 + SLICE_X40Y69 FDCE r UPD_CLK_DIV/temp_reg[10]/C ------------------------------------------------------------------- ------------------- - SLICE_X38Y51 FDRE (Prop_fdre_C_Q) 0.164 -0.310 f U1/comptY_reg[0]/Q - net (fo=29, routed) 0.212 -0.098 U1/comptY_reg__0[0] - SLICE_X38Y51 LUT1 (Prop_lut1_I0_O) 0.045 -0.053 r U1/comptY[0]_i_1/O - net (fo=1, routed) 0.000 -0.053 U1/comptY[0]_i_1_n_0 - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/D + SLICE_X40Y69 FDCE (Prop_fdce_C_Q) 0.141 0.725 r UPD_CLK_DIV/temp_reg[10]/Q + net (fo=3, routed) 0.146 0.870 UPD_CLK_DIV/temp_reg[10] + SLICE_X40Y69 CARRY4 (Prop_carry4_S[2]_O[2]) + 0.111 0.981 r UPD_CLK_DIV/temp_reg[8]_i_1/O[2] + net (fo=1, routed) 0.000 0.981 UPD_CLK_DIV/temp_reg[8]_i_1_n_5 + SLICE_X40Y69 FDCE r UPD_CLK_DIV/temp_reg[10]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/C - clock pessimism 0.232 -0.474 - SLICE_X38Y51 FDRE (Hold_fdre_C_D) 0.120 -0.354 U1/comptY_reg[0] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.850 0.852 UPD_CLK_DIV/clk_out1 + SLICE_X40Y69 FDCE r UPD_CLK_DIV/temp_reg[10]/C + clock pessimism -0.268 0.584 + SLICE_X40Y69 FDCE (Hold_fdce_C_D) 0.105 0.689 UPD_CLK_DIV/temp_reg[10] ------------------------------------------------------------------- - required time 0.354 - arrival time -0.053 + required time -0.689 + arrival time 0.981 ------------------------------------------------------------------- - slack 0.301 + slack 0.293 -Slack (MET) : 0.301ns (arrival time - required time) - Source: U1/comptX_reg[0]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[0]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 0.314ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[22]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[23]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.421ns (logic 0.209ns (49.671%) route 0.212ns (50.329%)) - Logic Levels: 1 (LUT1=1) + Data Path Delay: 0.419ns (logic 0.285ns (68.085%) route 0.134ns (31.915%)) + Logic Levels: 1 (CARRY4=1) Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.473ns - Clock Pessimism Removal (CPR): -0.232ns + Destination Clock Delay (DCD): 0.849ns + Source Clock Delay (SCD): 0.582ns + Clock Pessimism Removal (CPR): 0.267ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.588 -0.473 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.580 0.582 UPD_CLK_DIV/clk_out1 + SLICE_X40Y72 FDCE r UPD_CLK_DIV/temp_reg[22]/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y55 FDRE (Prop_fdre_C_Q) 0.164 -0.309 f U1/comptX_reg[0]/Q - net (fo=25, routed) 0.212 -0.097 U1/comptX_reg__0[0] - SLICE_X42Y55 LUT1 (Prop_lut1_I0_O) 0.045 -0.052 r U1/comptX[0]_i_1/O - net (fo=1, routed) 0.000 -0.052 U1/plusOp[0] - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/D + SLICE_X40Y72 FDCE (Prop_fdce_C_Q) 0.141 0.723 r UPD_CLK_DIV/temp_reg[22]/Q + net (fo=4, routed) 0.134 0.856 UPD_CLK_DIV/temp_reg[22] + SLICE_X40Y72 CARRY4 (Prop_carry4_S[2]_O[3]) + 0.144 1.000 r UPD_CLK_DIV/temp_reg[20]_i_1/O[3] + net (fo=1, routed) 0.000 1.000 UPD_CLK_DIV/temp_reg[20]_i_1_n_4 + SLICE_X40Y72 FDCE r UPD_CLK_DIV/temp_reg[23]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/C - clock pessimism 0.232 -0.473 - SLICE_X42Y55 FDRE (Hold_fdre_C_D) 0.120 -0.353 U1/comptX_reg[0] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.847 0.849 UPD_CLK_DIV/clk_out1 + SLICE_X40Y72 FDCE r UPD_CLK_DIV/temp_reg[23]/C + clock pessimism -0.267 0.582 + SLICE_X40Y72 FDCE (Hold_fdce_C_D) 0.105 0.687 UPD_CLK_DIV/temp_reg[23] ------------------------------------------------------------------- - required time 0.353 - arrival time -0.052 + required time -0.687 + arrival time 1.000 ------------------------------------------------------------------- - slack 0.301 + slack 0.314 + +Slack (MET) : 0.325ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[14]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[15]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: clk_out1_clk_wiz_1 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 0.430ns (logic 0.285ns (66.326%) route 0.145ns (33.674%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.851ns + Source Clock Delay (SCD): 0.583ns + Clock Pessimism Removal (CPR): 0.268ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.581 0.583 UPD_CLK_DIV/clk_out1 + SLICE_X40Y70 FDCE r UPD_CLK_DIV/temp_reg[14]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y70 FDCE (Prop_fdce_C_Q) 0.141 0.724 r UPD_CLK_DIV/temp_reg[14]/Q + net (fo=4, routed) 0.145 0.868 UPD_CLK_DIV/temp_reg[14] + SLICE_X40Y70 CARRY4 (Prop_carry4_S[2]_O[3]) + 0.144 1.012 r UPD_CLK_DIV/temp_reg[12]_i_1/O[3] + net (fo=1, routed) 0.000 1.012 UPD_CLK_DIV/temp_reg[12]_i_1_n_4 + SLICE_X40Y70 FDCE r UPD_CLK_DIV/temp_reg[15]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.849 0.851 UPD_CLK_DIV/clk_out1 + SLICE_X40Y70 FDCE r UPD_CLK_DIV/temp_reg[15]/C + clock pessimism -0.268 0.583 + SLICE_X40Y70 FDCE (Hold_fdce_C_D) 0.105 0.688 UPD_CLK_DIV/temp_reg[15] + ------------------------------------------------------------------- + required time -0.688 + arrival time 1.012 + ------------------------------------------------------------------- + slack 0.325 + +Slack (MET) : 0.325ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[18]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[19]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: clk_out1_clk_wiz_1 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 0.430ns (logic 0.285ns (66.316%) route 0.145ns (33.684%)) + Logic Levels: 1 (CARRY4=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.850ns + Source Clock Delay (SCD): 0.582ns + Clock Pessimism Removal (CPR): 0.268ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.580 0.582 UPD_CLK_DIV/clk_out1 + SLICE_X40Y71 FDCE r UPD_CLK_DIV/temp_reg[18]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y71 FDCE (Prop_fdce_C_Q) 0.141 0.723 r UPD_CLK_DIV/temp_reg[18]/Q + net (fo=3, routed) 0.145 0.867 UPD_CLK_DIV/temp_reg[18] + SLICE_X40Y71 CARRY4 (Prop_carry4_S[2]_O[3]) + 0.144 1.011 r UPD_CLK_DIV/temp_reg[16]_i_1/O[3] + net (fo=1, routed) 0.000 1.011 UPD_CLK_DIV/temp_reg[16]_i_1_n_4 + SLICE_X40Y71 FDCE r UPD_CLK_DIV/temp_reg[19]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.848 0.850 UPD_CLK_DIV/clk_out1 + SLICE_X40Y71 FDCE r UPD_CLK_DIV/temp_reg[19]/C + clock pessimism -0.268 0.582 + SLICE_X40Y71 FDCE (Hold_fdce_C_D) 0.105 0.687 UPD_CLK_DIV/temp_reg[19] + ------------------------------------------------------------------- + required time -0.687 + arrival time 1.011 + ------------------------------------------------------------------- + slack 0.325 @@ -1475,37 +1413,37 @@ Period(ns): 40.000 Sources: { U0/inst/mmcm_adv_inst/CLKOUT0 } Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin -Min Period n/a BUFG/I n/a 2.155 40.000 37.845 BUFGCTRL_X0Y16 U0/inst/clkout1_buf/I -Min Period n/a MMCME2_ADV/CLKOUT0 n/a 1.249 40.000 38.751 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKOUT0 -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X42Y55 U1/comptX_reg[0]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X41Y56 U1/comptX_reg[10]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X42Y55 U1/comptX_reg[1]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X43Y54 U1/comptX_reg[2]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X43Y54 U1/comptX_reg[3]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X41Y56 U1/comptX_reg[4]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X38Y51 U1/comptY_reg[0]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X36Y51 U1/comptY_reg[1]/C -Max Period n/a MMCME2_ADV/CLKOUT0 n/a 213.360 40.000 173.360 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKOUT0 -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X42Y55 U1/comptX_reg[0]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X41Y56 U1/comptX_reg[10]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X42Y55 U1/comptX_reg[1]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X43Y54 U1/comptX_reg[2]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X43Y54 U1/comptX_reg[3]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X41Y56 U1/comptX_reg[4]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X38Y51 U1/comptY_reg[0]/C -Low Pulse Width Fast FDRE/C n/a 0.500 20.000 19.500 SLICE_X38Y51 U1/comptY_reg[0]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X36Y51 U1/comptY_reg[1]/C -Low Pulse Width Fast FDRE/C n/a 0.500 20.000 19.500 SLICE_X36Y51 U1/comptY_reg[1]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X42Y55 U1/comptX_reg[0]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X41Y56 U1/comptX_reg[10]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X42Y55 U1/comptX_reg[1]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X43Y54 U1/comptX_reg[2]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X43Y54 U1/comptX_reg[3]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X41Y56 U1/comptX_reg[4]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X38Y51 U1/comptY_reg[0]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X36Y51 U1/comptY_reg[1]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X36Y51 U1/comptY_reg[2]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X40Y49 U1/comptY_reg[3]/C +Min Period n/a BUFG/I n/a 2.155 40.000 37.845 BUFGCTRL_X0Y0 U0/inst/clkout1_buf/I +Min Period n/a MMCME2_ADV/CLKOUT0 n/a 1.249 40.000 38.751 MMCME2_ADV_X0Y0 U0/inst/mmcm_adv_inst/CLKOUT0 +Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X27Y24 SYNC/comptX_reg[0]/C +Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X27Y20 SYNC/comptX_reg[10]/C +Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X27Y24 SYNC/comptX_reg[1]/C +Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X29Y21 SYNC/comptX_reg[2]/C +Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X28Y23 SYNC/comptX_reg[3]/C +Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X29Y21 SYNC/comptX_reg[4]/C +Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X29Y21 SYNC/comptX_reg[5]/C +Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X29Y21 SYNC/comptX_reg[6]/C +Max Period n/a MMCME2_ADV/CLKOUT0 n/a 213.360 40.000 173.360 MMCME2_ADV_X0Y0 U0/inst/mmcm_adv_inst/CLKOUT0 +Low Pulse Width Fast FDCE/C n/a 0.500 20.000 19.500 SLICE_X40Y70 UPD_CLK_DIV/temp_reg[12]/C +Low Pulse Width Fast FDCE/C n/a 0.500 20.000 19.500 SLICE_X40Y70 UPD_CLK_DIV/temp_reg[13]/C +Low Pulse Width Fast FDCE/C n/a 0.500 20.000 19.500 SLICE_X40Y70 UPD_CLK_DIV/temp_reg[14]/C +Low Pulse Width Fast FDCE/C n/a 0.500 20.000 19.500 SLICE_X40Y70 UPD_CLK_DIV/temp_reg[15]/C +Low Pulse Width Fast FDCE/C n/a 0.500 20.000 19.500 SLICE_X40Y71 UPD_CLK_DIV/temp_reg[16]/C +Low Pulse Width Fast FDCE/C n/a 0.500 20.000 19.500 SLICE_X40Y71 UPD_CLK_DIV/temp_reg[17]/C +Low Pulse Width Fast FDCE/C n/a 0.500 20.000 19.500 SLICE_X40Y71 UPD_CLK_DIV/temp_reg[18]/C +Low Pulse Width Fast FDCE/C n/a 0.500 20.000 19.500 SLICE_X40Y71 UPD_CLK_DIV/temp_reg[19]/C +Low Pulse Width Fast FDCE/C n/a 0.500 20.000 19.500 SLICE_X40Y68 UPD_CLK_DIV/temp_reg[4]/C +Low Pulse Width Fast FDCE/C n/a 0.500 20.000 19.500 SLICE_X40Y68 UPD_CLK_DIV/temp_reg[5]/C +High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X27Y24 SYNC/comptX_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X27Y20 SYNC/comptX_reg[10]/C +High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X27Y24 SYNC/comptX_reg[1]/C +High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X29Y21 SYNC/comptX_reg[2]/C +High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X28Y23 SYNC/comptX_reg[3]/C +High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X29Y21 SYNC/comptX_reg[4]/C +High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X29Y21 SYNC/comptX_reg[5]/C +High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X29Y21 SYNC/comptX_reg[6]/C +High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X27Y21 SYNC/comptX_reg[7]/C +High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X26Y21 SYNC/comptX_reg[8]/C @@ -1527,11 +1465,11 @@ Period(ns): 40.000 Sources: { U0/inst/mmcm_adv_inst/CLKFBOUT } Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin -Min Period n/a BUFG/I n/a 2.155 40.000 37.845 BUFGCTRL_X0Y17 U0/inst/clkf_buf/I -Min Period n/a MMCME2_ADV/CLKFBOUT n/a 1.249 40.000 38.751 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKFBOUT -Min Period n/a MMCME2_ADV/CLKFBIN n/a 1.249 40.000 38.751 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKFBIN -Max Period n/a MMCME2_ADV/CLKFBIN n/a 100.000 40.000 60.000 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKFBIN -Max Period n/a MMCME2_ADV/CLKFBOUT n/a 213.360 40.000 173.360 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKFBOUT +Min Period n/a BUFG/I n/a 2.155 40.000 37.845 BUFGCTRL_X0Y1 U0/inst/clkf_buf/I +Min Period n/a MMCME2_ADV/CLKFBOUT n/a 1.249 40.000 38.751 MMCME2_ADV_X0Y0 U0/inst/mmcm_adv_inst/CLKFBOUT +Min Period n/a MMCME2_ADV/CLKFBIN n/a 1.249 40.000 38.751 MMCME2_ADV_X0Y0 U0/inst/mmcm_adv_inst/CLKFBIN +Max Period n/a MMCME2_ADV/CLKFBIN n/a 100.000 40.000 60.000 MMCME2_ADV_X0Y0 U0/inst/mmcm_adv_inst/CLKFBIN +Max Period n/a MMCME2_ADV/CLKFBOUT n/a 213.360 40.000 173.360 MMCME2_ADV_X0Y0 U0/inst/mmcm_adv_inst/CLKFBOUT @@ -1539,12 +1477,1362 @@ Max Period n/a MMCME2_ADV/CLKFBOUT n/a 213.360 40.000 From Clock: sys_clk_pin To Clock: sys_clk_pin -Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA -Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA -PW : 0 Failing Endpoints, Worst Slack 2.000ns, Total Violation 0.000ns +Setup : 22 Failing Endpoints, Worst Slack -5.611ns, Total Violation -86.314ns +Hold : 0 Failing Endpoints, Worst Slack 0.078ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 3.500ns, Total Violation 0.000ns --------------------------------------------------------------------------------------------------- +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (VIOLATED) : -5.611ns (required time - arrival time) + Source: RAMCTRL/SNAKE_RAM/mem_reg_5_0/CLKBWRCLK + (rising edge-triggered cell RAMB36E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/ROMAddress_reg[9]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 13.483ns (logic 5.745ns (42.610%) route 7.738ns (57.390%)) + Logic Levels: 12 (CARRY4=4 LUT2=2 LUT4=1 LUT6=5) + Clock Path Skew: -0.202ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 5.376ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.707 5.376 RAMCTRL/SNAKE_RAM/H125MHz + RAMB36_X1Y6 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_5_0/CLKBWRCLK + ------------------------------------------------------------------- ------------------- + RAMB36_X1Y6 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[15]) + 2.454 7.830 r RAMCTRL/SNAKE_RAM/mem_reg_5_0/DOBDO[15] + net (fo=4, routed) 1.087 8.916 RAMCTRL/SNAKE_RAM/output_reg[4][4] + SLICE_X23Y28 LUT2 (Prop_lut2_I0_O) 0.124 9.040 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_307/O + net (fo=1, routed) 0.000 9.040 SYNC/ROMAddress[7]_i_108_0[1] + SLICE_X23Y28 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 9.620 r SYNC/ROMAddress_reg[7]_i_252/O[2] + net (fo=5, routed) 1.352 10.972 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40_1[1] + SLICE_X22Y28 LUT4 (Prop_lut4_I3_O) 0.302 11.274 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_108/O + net (fo=1, routed) 0.000 11.274 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_108_n_0 + SLICE_X22Y28 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 11.914 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40/O[3] + net (fo=2, routed) 1.031 12.945 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40_n_4 + SLICE_X15Y29 LUT6 (Prop_lut6_I0_O) 0.306 13.251 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_214/O + net (fo=6, routed) 0.774 14.024 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_214_n_0 + SLICE_X10Y29 LUT2 (Prop_lut2_I1_O) 0.124 14.148 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_263/O + net (fo=1, routed) 0.793 14.942 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_263_n_0 + SLICE_X17Y28 LUT6 (Prop_lut6_I2_O) 0.124 15.066 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_128/O + net (fo=1, routed) 0.658 15.724 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_128_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 15.848 f RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_46/O + net (fo=1, routed) 0.559 16.407 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_46_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 16.531 f RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_15/O + net (fo=3, routed) 0.533 17.064 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_15_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 17.188 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_2/O + net (fo=1, routed) 0.951 18.139 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_2_n_0 + SLICE_X20Y29 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 18.535 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 18.535 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1_n_0 + SLICE_X20Y30 CARRY4 (Prop_carry4_CI_O[1]) + 0.323 18.858 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_2/O[1] + net (fo=1, routed) 0.000 18.858 SNAKE/D[9] + SLICE_X20Y30 FDRE r SNAKE/ROMAddress_reg[9]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y30 FDRE r SNAKE/ROMAddress_reg[9]/C + clock pessimism 0.291 13.174 + clock uncertainty -0.035 13.138 + SLICE_X20Y30 FDRE (Setup_fdre_C_D) 0.109 13.247 SNAKE/ROMAddress_reg[9] + ------------------------------------------------------------------- + required time 13.247 + arrival time -18.858 + ------------------------------------------------------------------- + slack -5.611 + +Slack (VIOLATED) : -5.507ns (required time - arrival time) + Source: RAMCTRL/SNAKE_RAM/mem_reg_5_0/CLKBWRCLK + (rising edge-triggered cell RAMB36E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/ROMAddress_reg[8]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 13.379ns (logic 5.641ns (42.164%) route 7.738ns (57.836%)) + Logic Levels: 12 (CARRY4=4 LUT2=2 LUT4=1 LUT6=5) + Clock Path Skew: -0.202ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 5.376ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.707 5.376 RAMCTRL/SNAKE_RAM/H125MHz + RAMB36_X1Y6 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_5_0/CLKBWRCLK + ------------------------------------------------------------------- ------------------- + RAMB36_X1Y6 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[15]) + 2.454 7.830 r RAMCTRL/SNAKE_RAM/mem_reg_5_0/DOBDO[15] + net (fo=4, routed) 1.087 8.916 RAMCTRL/SNAKE_RAM/output_reg[4][4] + SLICE_X23Y28 LUT2 (Prop_lut2_I0_O) 0.124 9.040 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_307/O + net (fo=1, routed) 0.000 9.040 SYNC/ROMAddress[7]_i_108_0[1] + SLICE_X23Y28 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 9.620 r SYNC/ROMAddress_reg[7]_i_252/O[2] + net (fo=5, routed) 1.352 10.972 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40_1[1] + SLICE_X22Y28 LUT4 (Prop_lut4_I3_O) 0.302 11.274 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_108/O + net (fo=1, routed) 0.000 11.274 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_108_n_0 + SLICE_X22Y28 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 11.914 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40/O[3] + net (fo=2, routed) 1.031 12.945 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40_n_4 + SLICE_X15Y29 LUT6 (Prop_lut6_I0_O) 0.306 13.251 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_214/O + net (fo=6, routed) 0.774 14.024 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_214_n_0 + SLICE_X10Y29 LUT2 (Prop_lut2_I1_O) 0.124 14.148 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_263/O + net (fo=1, routed) 0.793 14.942 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_263_n_0 + SLICE_X17Y28 LUT6 (Prop_lut6_I2_O) 0.124 15.066 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_128/O + net (fo=1, routed) 0.658 15.724 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_128_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 15.848 f RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_46/O + net (fo=1, routed) 0.559 16.407 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_46_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 16.531 f RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_15/O + net (fo=3, routed) 0.533 17.064 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_15_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 17.188 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_2/O + net (fo=1, routed) 0.951 18.139 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_2_n_0 + SLICE_X20Y29 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 18.535 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 18.535 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1_n_0 + SLICE_X20Y30 CARRY4 (Prop_carry4_CI_O[0]) + 0.219 18.754 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_2/O[0] + net (fo=1, routed) 0.000 18.754 SNAKE/D[8] + SLICE_X20Y30 FDRE r SNAKE/ROMAddress_reg[8]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y30 FDRE r SNAKE/ROMAddress_reg[8]/C + clock pessimism 0.291 13.174 + clock uncertainty -0.035 13.138 + SLICE_X20Y30 FDRE (Setup_fdre_C_D) 0.109 13.247 SNAKE/ROMAddress_reg[8] + ------------------------------------------------------------------- + required time 13.247 + arrival time -18.754 + ------------------------------------------------------------------- + slack -5.507 + +Slack (VIOLATED) : -4.950ns (required time - arrival time) + Source: RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK + (rising edge-triggered cell RAMB36E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/ROMAddress_reg[5]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 12.824ns (logic 5.086ns (39.661%) route 7.738ns (60.339%)) + Logic Levels: 12 (CARRY4=4 LUT3=1 LUT5=2 LUT6=5) + Clock Path Skew: -0.200ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 5.374ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.705 5.374 RAMCTRL/SNAKE_RAM/H125MHz + RAMB36_X2Y4 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK + ------------------------------------------------------------------- ------------------- + RAMB36_X2Y4 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[8]) + 2.454 7.828 f RAMCTRL/SNAKE_RAM/mem_reg_7_0/DOBDO[8] + net (fo=17, routed) 1.255 9.083 RAMCTRL/SNAKE_RAM/output_reg[6][2] + SLICE_X31Y24 LUT3 (Prop_lut3_I1_O) 0.124 9.207 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_630/O + net (fo=4, routed) 1.037 10.243 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_630_n_0 + SLICE_X25Y25 LUT5 (Prop_lut5_I3_O) 0.124 10.367 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_626/O + net (fo=1, routed) 0.000 10.367 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_626_n_0 + SLICE_X25Y25 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 10.768 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_330/CO[3] + net (fo=1, routed) 0.000 10.768 RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_330_n_0 + SLICE_X25Y26 CARRY4 (Prop_carry4_CI_CO[0]) + 0.271 11.039 f RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_114/CO[0] + net (fo=1, routed) 0.820 11.859 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere36_in + SLICE_X25Y27 LUT5 (Prop_lut5_I2_O) 0.373 12.232 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_35/O + net (fo=13, routed) 0.982 13.214 RAMCTRL/SNAKE_RAM/mem_reg_7_0_4 + SLICE_X25Y27 LUT6 (Prop_lut6_I5_O) 0.124 13.338 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_10/O + net (fo=10, routed) 0.705 14.043 RAMCTRL/SNAKE_RAM/mem_reg_8_0_4 + SLICE_X25Y30 LUT6 (Prop_lut6_I2_O) 0.124 14.167 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_72/O + net (fo=1, routed) 0.593 14.760 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_72_n_0 + SLICE_X24Y29 LUT6 (Prop_lut6_I4_O) 0.124 14.884 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_27/O + net (fo=2, routed) 0.761 15.645 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_27_n_0 + SLICE_X19Y27 LUT6 (Prop_lut6_I3_O) 0.124 15.769 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_10/O + net (fo=1, routed) 0.714 16.483 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_10_n_0 + SLICE_X19Y28 LUT6 (Prop_lut6_I0_O) 0.124 16.607 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_2/O + net (fo=1, routed) 0.871 17.479 RAMCTRL/SNAKE_RAM/SNAKE/p_11_out[3] + SLICE_X20Y28 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 17.875 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1/CO[3] + net (fo=1, routed) 0.000 17.875 RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1_n_0 + SLICE_X20Y29 CARRY4 (Prop_carry4_CI_O[1]) + 0.323 18.198 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1/O[1] + net (fo=1, routed) 0.000 18.198 SNAKE/D[5] + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[5]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[5]/C + clock pessimism 0.291 13.174 + clock uncertainty -0.035 13.138 + SLICE_X20Y29 FDRE (Setup_fdre_C_D) 0.109 13.247 SNAKE/ROMAddress_reg[5] + ------------------------------------------------------------------- + required time 13.247 + arrival time -18.198 + ------------------------------------------------------------------- + slack -4.950 + +Slack (VIOLATED) : -4.942ns (required time - arrival time) + Source: RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK + (rising edge-triggered cell RAMB36E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/ROMAddress_reg[7]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 12.816ns (logic 5.078ns (39.623%) route 7.738ns (60.377%)) + Logic Levels: 12 (CARRY4=4 LUT3=1 LUT5=2 LUT6=5) + Clock Path Skew: -0.200ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 5.374ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.705 5.374 RAMCTRL/SNAKE_RAM/H125MHz + RAMB36_X2Y4 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK + ------------------------------------------------------------------- ------------------- + RAMB36_X2Y4 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[8]) + 2.454 7.828 f RAMCTRL/SNAKE_RAM/mem_reg_7_0/DOBDO[8] + net (fo=17, routed) 1.255 9.083 RAMCTRL/SNAKE_RAM/output_reg[6][2] + SLICE_X31Y24 LUT3 (Prop_lut3_I1_O) 0.124 9.207 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_630/O + net (fo=4, routed) 1.037 10.243 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_630_n_0 + SLICE_X25Y25 LUT5 (Prop_lut5_I3_O) 0.124 10.367 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_626/O + net (fo=1, routed) 0.000 10.367 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_626_n_0 + SLICE_X25Y25 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 10.768 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_330/CO[3] + net (fo=1, routed) 0.000 10.768 RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_330_n_0 + SLICE_X25Y26 CARRY4 (Prop_carry4_CI_CO[0]) + 0.271 11.039 f RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_114/CO[0] + net (fo=1, routed) 0.820 11.859 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere36_in + SLICE_X25Y27 LUT5 (Prop_lut5_I2_O) 0.373 12.232 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_35/O + net (fo=13, routed) 0.982 13.214 RAMCTRL/SNAKE_RAM/mem_reg_7_0_4 + SLICE_X25Y27 LUT6 (Prop_lut6_I5_O) 0.124 13.338 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_10/O + net (fo=10, routed) 0.705 14.043 RAMCTRL/SNAKE_RAM/mem_reg_8_0_4 + SLICE_X25Y30 LUT6 (Prop_lut6_I2_O) 0.124 14.167 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_72/O + net (fo=1, routed) 0.593 14.760 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_72_n_0 + SLICE_X24Y29 LUT6 (Prop_lut6_I4_O) 0.124 14.884 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_27/O + net (fo=2, routed) 0.761 15.645 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_27_n_0 + SLICE_X19Y27 LUT6 (Prop_lut6_I3_O) 0.124 15.769 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_10/O + net (fo=1, routed) 0.714 16.483 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_10_n_0 + SLICE_X19Y28 LUT6 (Prop_lut6_I0_O) 0.124 16.607 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_2/O + net (fo=1, routed) 0.871 17.479 RAMCTRL/SNAKE_RAM/SNAKE/p_11_out[3] + SLICE_X20Y28 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 17.875 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1/CO[3] + net (fo=1, routed) 0.000 17.875 RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1_n_0 + SLICE_X20Y29 CARRY4 (Prop_carry4_CI_O[3]) + 0.315 18.190 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1/O[3] + net (fo=1, routed) 0.000 18.190 SNAKE/D[7] + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[7]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[7]/C + clock pessimism 0.291 13.174 + clock uncertainty -0.035 13.138 + SLICE_X20Y29 FDRE (Setup_fdre_C_D) 0.109 13.247 SNAKE/ROMAddress_reg[7] + ------------------------------------------------------------------- + required time 13.247 + arrival time -18.190 + ------------------------------------------------------------------- + slack -4.942 + +Slack (VIOLATED) : -4.866ns (required time - arrival time) + Source: RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK + (rising edge-triggered cell RAMB36E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/ROMAddress_reg[6]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 12.740ns (logic 5.002ns (39.263%) route 7.738ns (60.737%)) + Logic Levels: 12 (CARRY4=4 LUT3=1 LUT5=2 LUT6=5) + Clock Path Skew: -0.200ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 5.374ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.705 5.374 RAMCTRL/SNAKE_RAM/H125MHz + RAMB36_X2Y4 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK + ------------------------------------------------------------------- ------------------- + RAMB36_X2Y4 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[8]) + 2.454 7.828 f RAMCTRL/SNAKE_RAM/mem_reg_7_0/DOBDO[8] + net (fo=17, routed) 1.255 9.083 RAMCTRL/SNAKE_RAM/output_reg[6][2] + SLICE_X31Y24 LUT3 (Prop_lut3_I1_O) 0.124 9.207 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_630/O + net (fo=4, routed) 1.037 10.243 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_630_n_0 + SLICE_X25Y25 LUT5 (Prop_lut5_I3_O) 0.124 10.367 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_626/O + net (fo=1, routed) 0.000 10.367 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_626_n_0 + SLICE_X25Y25 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 10.768 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_330/CO[3] + net (fo=1, routed) 0.000 10.768 RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_330_n_0 + SLICE_X25Y26 CARRY4 (Prop_carry4_CI_CO[0]) + 0.271 11.039 f RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_114/CO[0] + net (fo=1, routed) 0.820 11.859 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere36_in + SLICE_X25Y27 LUT5 (Prop_lut5_I2_O) 0.373 12.232 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_35/O + net (fo=13, routed) 0.982 13.214 RAMCTRL/SNAKE_RAM/mem_reg_7_0_4 + SLICE_X25Y27 LUT6 (Prop_lut6_I5_O) 0.124 13.338 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_10/O + net (fo=10, routed) 0.705 14.043 RAMCTRL/SNAKE_RAM/mem_reg_8_0_4 + SLICE_X25Y30 LUT6 (Prop_lut6_I2_O) 0.124 14.167 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_72/O + net (fo=1, routed) 0.593 14.760 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_72_n_0 + SLICE_X24Y29 LUT6 (Prop_lut6_I4_O) 0.124 14.884 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_27/O + net (fo=2, routed) 0.761 15.645 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_27_n_0 + SLICE_X19Y27 LUT6 (Prop_lut6_I3_O) 0.124 15.769 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_10/O + net (fo=1, routed) 0.714 16.483 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_10_n_0 + SLICE_X19Y28 LUT6 (Prop_lut6_I0_O) 0.124 16.607 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_2/O + net (fo=1, routed) 0.871 17.479 RAMCTRL/SNAKE_RAM/SNAKE/p_11_out[3] + SLICE_X20Y28 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 17.875 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1/CO[3] + net (fo=1, routed) 0.000 17.875 RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1_n_0 + SLICE_X20Y29 CARRY4 (Prop_carry4_CI_O[2]) + 0.239 18.114 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1/O[2] + net (fo=1, routed) 0.000 18.114 SNAKE/D[6] + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[6]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[6]/C + clock pessimism 0.291 13.174 + clock uncertainty -0.035 13.138 + SLICE_X20Y29 FDRE (Setup_fdre_C_D) 0.109 13.247 SNAKE/ROMAddress_reg[6] + ------------------------------------------------------------------- + required time 13.247 + arrival time -18.114 + ------------------------------------------------------------------- + slack -4.866 + +Slack (VIOLATED) : -4.846ns (required time - arrival time) + Source: RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK + (rising edge-triggered cell RAMB36E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/ROMAddress_reg[4]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 12.720ns (logic 4.982ns (39.167%) route 7.738ns (60.833%)) + Logic Levels: 12 (CARRY4=4 LUT3=1 LUT5=2 LUT6=5) + Clock Path Skew: -0.200ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 5.374ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.705 5.374 RAMCTRL/SNAKE_RAM/H125MHz + RAMB36_X2Y4 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK + ------------------------------------------------------------------- ------------------- + RAMB36_X2Y4 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[8]) + 2.454 7.828 f RAMCTRL/SNAKE_RAM/mem_reg_7_0/DOBDO[8] + net (fo=17, routed) 1.255 9.083 RAMCTRL/SNAKE_RAM/output_reg[6][2] + SLICE_X31Y24 LUT3 (Prop_lut3_I1_O) 0.124 9.207 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_630/O + net (fo=4, routed) 1.037 10.243 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_630_n_0 + SLICE_X25Y25 LUT5 (Prop_lut5_I3_O) 0.124 10.367 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_626/O + net (fo=1, routed) 0.000 10.367 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_626_n_0 + SLICE_X25Y25 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 10.768 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_330/CO[3] + net (fo=1, routed) 0.000 10.768 RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_330_n_0 + SLICE_X25Y26 CARRY4 (Prop_carry4_CI_CO[0]) + 0.271 11.039 f RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_114/CO[0] + net (fo=1, routed) 0.820 11.859 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere36_in + SLICE_X25Y27 LUT5 (Prop_lut5_I2_O) 0.373 12.232 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_35/O + net (fo=13, routed) 0.982 13.214 RAMCTRL/SNAKE_RAM/mem_reg_7_0_4 + SLICE_X25Y27 LUT6 (Prop_lut6_I5_O) 0.124 13.338 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_10/O + net (fo=10, routed) 0.705 14.043 RAMCTRL/SNAKE_RAM/mem_reg_8_0_4 + SLICE_X25Y30 LUT6 (Prop_lut6_I2_O) 0.124 14.167 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_72/O + net (fo=1, routed) 0.593 14.760 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_72_n_0 + SLICE_X24Y29 LUT6 (Prop_lut6_I4_O) 0.124 14.884 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_27/O + net (fo=2, routed) 0.761 15.645 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_27_n_0 + SLICE_X19Y27 LUT6 (Prop_lut6_I3_O) 0.124 15.769 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_10/O + net (fo=1, routed) 0.714 16.483 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_10_n_0 + SLICE_X19Y28 LUT6 (Prop_lut6_I0_O) 0.124 16.607 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_2/O + net (fo=1, routed) 0.871 17.479 RAMCTRL/SNAKE_RAM/SNAKE/p_11_out[3] + SLICE_X20Y28 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 17.875 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1/CO[3] + net (fo=1, routed) 0.000 17.875 RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1_n_0 + SLICE_X20Y29 CARRY4 (Prop_carry4_CI_O[0]) + 0.219 18.094 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1/O[0] + net (fo=1, routed) 0.000 18.094 SNAKE/D[4] + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[4]/C + clock pessimism 0.291 13.174 + clock uncertainty -0.035 13.138 + SLICE_X20Y29 FDRE (Setup_fdre_C_D) 0.109 13.247 SNAKE/ROMAddress_reg[4] + ------------------------------------------------------------------- + required time 13.247 + arrival time -18.094 + ------------------------------------------------------------------- + slack -4.846 + +Slack (VIOLATED) : -4.073ns (required time - arrival time) + Source: RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK + (rising edge-triggered cell RAMB36E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/ROMAddress_reg[3]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 11.945ns (logic 5.010ns (41.941%) route 6.935ns (58.059%)) + Logic Levels: 11 (CARRY4=3 LUT3=1 LUT5=2 LUT6=5) + Clock Path Skew: -0.201ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.882ns = ( 12.882 - 8.000 ) + Source Clock Delay (SCD): 5.374ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.705 5.374 RAMCTRL/SNAKE_RAM/H125MHz + RAMB36_X2Y4 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK + ------------------------------------------------------------------- ------------------- + RAMB36_X2Y4 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[8]) + 2.454 7.828 f RAMCTRL/SNAKE_RAM/mem_reg_7_0/DOBDO[8] + net (fo=17, routed) 1.255 9.083 RAMCTRL/SNAKE_RAM/output_reg[6][2] + SLICE_X31Y24 LUT3 (Prop_lut3_I1_O) 0.124 9.207 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_630/O + net (fo=4, routed) 1.037 10.243 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_630_n_0 + SLICE_X25Y25 LUT5 (Prop_lut5_I3_O) 0.124 10.367 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_626/O + net (fo=1, routed) 0.000 10.367 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_626_n_0 + SLICE_X25Y25 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 10.768 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_330/CO[3] + net (fo=1, routed) 0.000 10.768 RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_330_n_0 + SLICE_X25Y26 CARRY4 (Prop_carry4_CI_CO[0]) + 0.271 11.039 f RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_114/CO[0] + net (fo=1, routed) 0.820 11.859 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere36_in + SLICE_X25Y27 LUT5 (Prop_lut5_I2_O) 0.373 12.232 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_35/O + net (fo=13, routed) 0.982 13.214 RAMCTRL/SNAKE_RAM/mem_reg_7_0_4 + SLICE_X25Y27 LUT6 (Prop_lut6_I5_O) 0.124 13.338 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_10/O + net (fo=10, routed) 0.533 13.871 RAMCTRL/SNAKE_RAM/mem_reg_8_0_4 + SLICE_X18Y27 LUT6 (Prop_lut6_I5_O) 0.124 13.995 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_63/O + net (fo=1, routed) 0.708 14.703 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_63_n_0 + SLICE_X18Y27 LUT6 (Prop_lut6_I3_O) 0.124 14.827 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_19/O + net (fo=1, routed) 0.736 15.564 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_19_n_0 + SLICE_X20Y27 LUT6 (Prop_lut6_I0_O) 0.124 15.688 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_4/O + net (fo=2, routed) 0.865 16.552 RAMCTRL/SNAKE_RAM/SNAKE/p_11_out[1] + SLICE_X20Y28 LUT6 (Prop_lut6_I0_O) 0.124 16.676 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_8/O + net (fo=1, routed) 0.000 16.676 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_8_n_0 + SLICE_X20Y28 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.643 17.319 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1/O[3] + net (fo=1, routed) 0.000 17.319 SNAKE/D[3] + SLICE_X20Y28 FDRE r SNAKE/ROMAddress_reg[3]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 12.882 SNAKE/H125MHz + SLICE_X20Y28 FDRE r SNAKE/ROMAddress_reg[3]/C + clock pessimism 0.291 13.173 + clock uncertainty -0.035 13.137 + SLICE_X20Y28 FDRE (Setup_fdre_C_D) 0.109 13.246 SNAKE/ROMAddress_reg[3] + ------------------------------------------------------------------- + required time 13.246 + arrival time -17.319 + ------------------------------------------------------------------- + slack -4.073 + +Slack (VIOLATED) : -4.008ns (required time - arrival time) + Source: RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK + (rising edge-triggered cell RAMB36E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/ROMAddress_reg[2]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 11.880ns (logic 4.945ns (41.623%) route 6.935ns (58.377%)) + Logic Levels: 11 (CARRY4=3 LUT3=1 LUT5=2 LUT6=5) + Clock Path Skew: -0.201ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.882ns = ( 12.882 - 8.000 ) + Source Clock Delay (SCD): 5.374ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.705 5.374 RAMCTRL/SNAKE_RAM/H125MHz + RAMB36_X2Y4 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_7_0/CLKBWRCLK + ------------------------------------------------------------------- ------------------- + RAMB36_X2Y4 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[8]) + 2.454 7.828 f RAMCTRL/SNAKE_RAM/mem_reg_7_0/DOBDO[8] + net (fo=17, routed) 1.255 9.083 RAMCTRL/SNAKE_RAM/output_reg[6][2] + SLICE_X31Y24 LUT3 (Prop_lut3_I1_O) 0.124 9.207 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_630/O + net (fo=4, routed) 1.037 10.243 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_630_n_0 + SLICE_X25Y25 LUT5 (Prop_lut5_I3_O) 0.124 10.367 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_626/O + net (fo=1, routed) 0.000 10.367 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_626_n_0 + SLICE_X25Y25 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 10.768 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_330/CO[3] + net (fo=1, routed) 0.000 10.768 RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_330_n_0 + SLICE_X25Y26 CARRY4 (Prop_carry4_CI_CO[0]) + 0.271 11.039 f RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_114/CO[0] + net (fo=1, routed) 0.820 11.859 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere36_in + SLICE_X25Y27 LUT5 (Prop_lut5_I2_O) 0.373 12.232 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_35/O + net (fo=13, routed) 0.982 13.214 RAMCTRL/SNAKE_RAM/mem_reg_7_0_4 + SLICE_X25Y27 LUT6 (Prop_lut6_I5_O) 0.124 13.338 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_10/O + net (fo=10, routed) 0.533 13.871 RAMCTRL/SNAKE_RAM/mem_reg_8_0_4 + SLICE_X18Y27 LUT6 (Prop_lut6_I5_O) 0.124 13.995 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_63/O + net (fo=1, routed) 0.708 14.703 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_63_n_0 + SLICE_X18Y27 LUT6 (Prop_lut6_I3_O) 0.124 14.827 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_19/O + net (fo=1, routed) 0.736 15.564 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_19_n_0 + SLICE_X20Y27 LUT6 (Prop_lut6_I0_O) 0.124 15.688 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_4/O + net (fo=2, routed) 0.865 16.552 RAMCTRL/SNAKE_RAM/SNAKE/p_11_out[1] + SLICE_X20Y28 LUT6 (Prop_lut6_I0_O) 0.124 16.676 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_8/O + net (fo=1, routed) 0.000 16.676 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_8_n_0 + SLICE_X20Y28 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.578 17.254 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1/O[2] + net (fo=1, routed) 0.000 17.254 SNAKE/D[2] + SLICE_X20Y28 FDRE r SNAKE/ROMAddress_reg[2]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 12.882 SNAKE/H125MHz + SLICE_X20Y28 FDRE r SNAKE/ROMAddress_reg[2]/C + clock pessimism 0.291 13.173 + clock uncertainty -0.035 13.137 + SLICE_X20Y28 FDRE (Setup_fdre_C_D) 0.109 13.246 SNAKE/ROMAddress_reg[2] + ------------------------------------------------------------------- + required time 13.246 + arrival time -17.254 + ------------------------------------------------------------------- + slack -4.008 + +Slack (VIOLATED) : -3.881ns (required time - arrival time) + Source: RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK + (rising edge-triggered cell RAMB18E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/ROMAddress_reg[4]/CE + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 11.467ns (logic 4.091ns (35.675%) route 7.376ns (64.325%)) + Logic Levels: 8 (CARRY4=2 LUT3=2 LUT4=1 LUT5=3) + Clock Path Skew: -0.209ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 5.383ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.714 5.383 RAMCTRL/SNAKE_RAM/H125MHz + RAMB18_X2Y14 RAMB18E1 r RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK + ------------------------------------------------------------------- ------------------- + RAMB18_X2Y14 RAMB18E1 (Prop_ramb18e1_CLKBWRCLK_DOBDO[0]) + 2.454 7.837 f RAMCTRL/SNAKE_RAM/mem_reg_4_1/DOBDO[0] + net (fo=12, routed) 0.816 8.653 RAMCTRL/SNAKE_RAM/output_reg[3][6] + SLICE_X35Y35 LUT3 (Prop_lut3_I0_O) 0.124 8.777 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_363/O + net (fo=1, routed) 0.782 9.560 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_363_n_0 + SLICE_X35Y32 LUT5 (Prop_lut5_I1_O) 0.124 9.684 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_250/O + net (fo=1, routed) 0.629 10.312 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_250_n_0 + SLICE_X30Y32 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 10.708 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_114/CO[3] + net (fo=1, routed) 0.000 10.708 RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_114_n_0 + SLICE_X30Y33 CARRY4 (Prop_carry4_CI_CO[0]) + 0.254 10.962 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_45/CO[0] + net (fo=3, routed) 1.015 11.977 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere534_in + SLICE_X23Y32 LUT5 (Prop_lut5_I2_O) 0.367 12.344 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_21/O + net (fo=19, routed) 1.243 13.587 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_21_n_0 + SLICE_X15Y32 LUT4 (Prop_lut4_I0_O) 0.124 13.711 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_7/O + net (fo=10, routed) 0.963 14.674 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_7_n_0 + SLICE_X18Y34 LUT5 (Prop_lut5_I0_O) 0.124 14.798 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_3/O + net (fo=2, routed) 0.898 15.696 RAMCTRL/SNAKE_RAM/mem_reg_9_0_4 + SLICE_X18Y34 LUT3 (Prop_lut3_I2_O) 0.124 15.820 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_1/O + net (fo=10, routed) 1.030 16.850 SNAKE/E[0] + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[4]/CE + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[4]/C + clock pessimism 0.291 13.174 + clock uncertainty -0.035 13.138 + SLICE_X20Y29 FDRE (Setup_fdre_C_CE) -0.169 12.969 SNAKE/ROMAddress_reg[4] + ------------------------------------------------------------------- + required time 12.969 + arrival time -16.850 + ------------------------------------------------------------------- + slack -3.881 + +Slack (VIOLATED) : -3.881ns (required time - arrival time) + Source: RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK + (rising edge-triggered cell RAMB18E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/ROMAddress_reg[5]/CE + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Setup (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 11.467ns (logic 4.091ns (35.675%) route 7.376ns (64.325%)) + Logic Levels: 8 (CARRY4=2 LUT3=2 LUT4=1 LUT5=3) + Clock Path Skew: -0.209ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 5.383ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.714 5.383 RAMCTRL/SNAKE_RAM/H125MHz + RAMB18_X2Y14 RAMB18E1 r RAMCTRL/SNAKE_RAM/mem_reg_4_1/CLKBWRCLK + ------------------------------------------------------------------- ------------------- + RAMB18_X2Y14 RAMB18E1 (Prop_ramb18e1_CLKBWRCLK_DOBDO[0]) + 2.454 7.837 f RAMCTRL/SNAKE_RAM/mem_reg_4_1/DOBDO[0] + net (fo=12, routed) 0.816 8.653 RAMCTRL/SNAKE_RAM/output_reg[3][6] + SLICE_X35Y35 LUT3 (Prop_lut3_I0_O) 0.124 8.777 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_363/O + net (fo=1, routed) 0.782 9.560 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_363_n_0 + SLICE_X35Y32 LUT5 (Prop_lut5_I1_O) 0.124 9.684 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_250/O + net (fo=1, routed) 0.629 10.312 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_250_n_0 + SLICE_X30Y32 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 10.708 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_114/CO[3] + net (fo=1, routed) 0.000 10.708 RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_114_n_0 + SLICE_X30Y33 CARRY4 (Prop_carry4_CI_CO[0]) + 0.254 10.962 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_45/CO[0] + net (fo=3, routed) 1.015 11.977 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere534_in + SLICE_X23Y32 LUT5 (Prop_lut5_I2_O) 0.367 12.344 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_21/O + net (fo=19, routed) 1.243 13.587 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_21_n_0 + SLICE_X15Y32 LUT4 (Prop_lut4_I0_O) 0.124 13.711 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_7/O + net (fo=10, routed) 0.963 14.674 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_7_n_0 + SLICE_X18Y34 LUT5 (Prop_lut5_I0_O) 0.124 14.798 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_3/O + net (fo=2, routed) 0.898 15.696 RAMCTRL/SNAKE_RAM/mem_reg_9_0_4 + SLICE_X18Y34 LUT3 (Prop_lut3_I2_O) 0.124 15.820 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_1/O + net (fo=10, routed) 1.030 16.850 SNAKE/E[0] + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[5]/CE + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[5]/C + clock pessimism 0.291 13.174 + clock uncertainty -0.035 13.138 + SLICE_X20Y29 FDRE (Setup_fdre_C_CE) -0.169 12.969 SNAKE/ROMAddress_reg[5] + ------------------------------------------------------------------- + required time 12.969 + arrival time -16.850 + ------------------------------------------------------------------- + slack -3.881 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.078ns (arrival time - required time) + Source: UPD/dataOut_reg[13]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: RAMCTRL/SNAKE_RAM/mem_reg_6_0/DIADI[13] + (rising edge-triggered cell RAMB36E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.429ns (logic 0.141ns (32.829%) route 0.288ns (67.171%)) + Logic Levels: 0 + Clock Path Skew: 0.055ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.032ns + Source Clock Delay (SCD): 1.478ns + Clock Pessimism Removal (CPR): 0.499ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.566 1.478 UPD/H125MHz + SLICE_X26Y47 FDCE r UPD/dataOut_reg[13]/C + ------------------------------------------------------------------- ------------------- + SLICE_X26Y47 FDCE (Prop_fdce_C_Q) 0.141 1.619 r UPD/dataOut_reg[13]/Q + net (fo=9, routed) 0.288 1.907 RAMCTRL/SNAKE_RAM/updateRAMDataOut[13] + RAMB36_X1Y7 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_6_0/DIADI[13] + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.873 2.032 RAMCTRL/SNAKE_RAM/H125MHz + RAMB36_X1Y7 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_6_0/CLKARDCLK + clock pessimism -0.499 1.533 + RAMB36_X1Y7 RAMB36E1 (Hold_ramb36e1_CLKARDCLK_DIADI[13]) + 0.296 1.829 RAMCTRL/SNAKE_RAM/mem_reg_6_0 + ------------------------------------------------------------------- + required time -1.829 + arrival time 1.907 + ------------------------------------------------------------------- + slack 0.078 + +Slack (MET) : 0.088ns (arrival time - required time) + Source: UPD/dataOut_reg[1]_P/C + (rising edge-triggered cell FDPE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: RAMCTRL/SNAKE_RAM/mem_reg_2_0/DIADI[1] + (rising edge-triggered cell RAMB36E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.443ns (logic 0.186ns (41.977%) route 0.257ns (58.023%)) + Logic Levels: 1 (LUT3=1) + Clock Path Skew: 0.059ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.025ns + Source Clock Delay (SCD): 1.467ns + Clock Pessimism Removal (CPR): 0.499ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.555 1.467 UPD/H125MHz + SLICE_X7Y25 FDPE r UPD/dataOut_reg[1]_P/C + ------------------------------------------------------------------- ------------------- + SLICE_X7Y25 FDPE (Prop_fdpe_C_Q) 0.141 1.608 r UPD/dataOut_reg[1]_P/Q + net (fo=1, routed) 0.087 1.695 UPD/dataOut_reg[1]_P_n_0 + SLICE_X6Y25 LUT3 (Prop_lut3_I0_O) 0.045 1.740 r UPD/mem_reg_1_0_i_3/O + net (fo=9, routed) 0.170 1.910 RAMCTRL/SNAKE_RAM/updateRAMDataOut[1] + RAMB36_X0Y5 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_2_0/DIADI[1] + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.866 2.025 RAMCTRL/SNAKE_RAM/H125MHz + RAMB36_X0Y5 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_2_0/CLKARDCLK + clock pessimism -0.499 1.526 + RAMB36_X0Y5 RAMB36E1 (Hold_ramb36e1_CLKARDCLK_DIADI[1]) + 0.296 1.822 RAMCTRL/SNAKE_RAM/mem_reg_2_0 + ------------------------------------------------------------------- + required time -1.822 + arrival time 1.910 + ------------------------------------------------------------------- + slack 0.088 + +Slack (MET) : 0.095ns (arrival time - required time) + Source: RAMCTRL/clkCount_reg[22]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: RAMCTRL/clkCount_reg[24]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.488ns (logic 0.373ns (76.423%) route 0.115ns (23.577%)) + Logic Levels: 2 (CARRY4=2) + Clock Path Skew: 0.263ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.985ns + Source Clock Delay (SCD): 1.475ns + Clock Pessimism Removal (CPR): 0.247ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.563 1.475 RAMCTRL/H125MHz + SLICE_X24Y49 FDRE r RAMCTRL/clkCount_reg[22]/C + ------------------------------------------------------------------- ------------------- + SLICE_X24Y49 FDRE (Prop_fdre_C_Q) 0.164 1.639 r RAMCTRL/clkCount_reg[22]/Q + net (fo=1, routed) 0.114 1.753 RAMCTRL/clkCount_reg_n_0_[22] + SLICE_X24Y49 CARRY4 (Prop_carry4_S[2]_CO[3]) + 0.156 1.909 r RAMCTRL/clkCount_reg[23]_i_1/CO[3] + net (fo=1, routed) 0.001 1.910 RAMCTRL/clkCount_reg[23]_i_1_n_0 + SLICE_X24Y50 CARRY4 (Prop_carry4_CI_O[0]) + 0.053 1.963 r RAMCTRL/clkCount_reg[27]_i_1/O[0] + net (fo=2, routed) 0.000 1.963 RAMCTRL/sel0[24] + SLICE_X24Y50 FDRE r RAMCTRL/clkCount_reg[24]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.826 1.985 RAMCTRL/H125MHz + SLICE_X24Y50 FDRE r RAMCTRL/clkCount_reg[24]/C + clock pessimism -0.247 1.738 + SLICE_X24Y50 FDRE (Hold_fdre_C_D) 0.130 1.868 RAMCTRL/clkCount_reg[24] + ------------------------------------------------------------------- + required time -1.868 + arrival time 1.963 + ------------------------------------------------------------------- + slack 0.095 + +Slack (MET) : 0.108ns (arrival time - required time) + Source: RAMCTRL/clkCount_reg[22]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: RAMCTRL/clkCount_reg[26]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.501ns (logic 0.386ns (77.035%) route 0.115ns (22.965%)) + Logic Levels: 2 (CARRY4=2) + Clock Path Skew: 0.263ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.985ns + Source Clock Delay (SCD): 1.475ns + Clock Pessimism Removal (CPR): 0.247ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.563 1.475 RAMCTRL/H125MHz + SLICE_X24Y49 FDRE r RAMCTRL/clkCount_reg[22]/C + ------------------------------------------------------------------- ------------------- + SLICE_X24Y49 FDRE (Prop_fdre_C_Q) 0.164 1.639 r RAMCTRL/clkCount_reg[22]/Q + net (fo=1, routed) 0.114 1.753 RAMCTRL/clkCount_reg_n_0_[22] + SLICE_X24Y49 CARRY4 (Prop_carry4_S[2]_CO[3]) + 0.156 1.909 r RAMCTRL/clkCount_reg[23]_i_1/CO[3] + net (fo=1, routed) 0.001 1.910 RAMCTRL/clkCount_reg[23]_i_1_n_0 + SLICE_X24Y50 CARRY4 (Prop_carry4_CI_O[2]) + 0.066 1.976 r RAMCTRL/clkCount_reg[27]_i_1/O[2] + net (fo=2, routed) 0.000 1.976 RAMCTRL/sel0[26] + SLICE_X24Y50 FDRE r RAMCTRL/clkCount_reg[26]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.826 1.985 RAMCTRL/H125MHz + SLICE_X24Y50 FDRE r RAMCTRL/clkCount_reg[26]/C + clock pessimism -0.247 1.738 + SLICE_X24Y50 FDRE (Hold_fdre_C_D) 0.130 1.868 RAMCTRL/clkCount_reg[26] + ------------------------------------------------------------------- + required time -1.868 + arrival time 1.976 + ------------------------------------------------------------------- + slack 0.108 + +Slack (MET) : 0.111ns (arrival time - required time) + Source: UPD/dataOut_reg[16]/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: RAMCTRL/SNAKE_RAM/mem_reg_3_0/DIPADIP[0] + (rising edge-triggered cell RAMB36E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.491ns (logic 0.164ns (33.370%) route 0.327ns (66.630%)) + Logic Levels: 0 + Clock Path Skew: 0.084ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.035ns + Source Clock Delay (SCD): 1.471ns + Clock Pessimism Removal (CPR): 0.480ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.559 1.471 UPD/H125MHz + SLICE_X8Y29 FDCE r UPD/dataOut_reg[16]/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y29 FDCE (Prop_fdce_C_Q) 0.164 1.635 r UPD/dataOut_reg[16]/Q + net (fo=9, routed) 0.327 1.962 RAMCTRL/SNAKE_RAM/updateRAMDataOut[16] + RAMB36_X0Y7 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_3_0/DIPADIP[0] + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.876 2.035 RAMCTRL/SNAKE_RAM/H125MHz + RAMB36_X0Y7 RAMB36E1 r RAMCTRL/SNAKE_RAM/mem_reg_3_0/CLKARDCLK + clock pessimism -0.480 1.555 + RAMB36_X0Y7 RAMB36E1 (Hold_ramb36e1_CLKARDCLK_DIPADIP[0]) + 0.296 1.851 RAMCTRL/SNAKE_RAM/mem_reg_3_0 + ------------------------------------------------------------------- + required time -1.851 + arrival time 1.962 + ------------------------------------------------------------------- + slack 0.111 + +Slack (MET) : 0.116ns (arrival time - required time) + Source: UPD/dataOut_reg[19]_P/C + (rising edge-triggered cell FDPE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: RAMCTRL/SNAKE_RAM/mem_reg_2_1/DIADI[1] + (rising edge-triggered cell RAMB18E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.470ns (logic 0.186ns (39.584%) route 0.284ns (60.416%)) + Logic Levels: 1 (LUT3=1) + Clock Path Skew: 0.057ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.030ns + Source Clock Delay (SCD): 1.474ns + Clock Pessimism Removal (CPR): 0.499ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.562 1.474 UPD/H125MHz + SLICE_X7Y32 FDPE r UPD/dataOut_reg[19]_P/C + ------------------------------------------------------------------- ------------------- + SLICE_X7Y32 FDPE (Prop_fdpe_C_Q) 0.141 1.615 r UPD/dataOut_reg[19]_P/Q + net (fo=1, routed) 0.156 1.771 UPD/dataOut_reg[19]_P_n_0 + SLICE_X7Y32 LUT3 (Prop_lut3_I0_O) 0.045 1.816 r UPD/mem_reg_1_1_i_3/O + net (fo=9, routed) 0.128 1.944 RAMCTRL/SNAKE_RAM/updateRAMDataOut[19] + RAMB18_X0Y13 RAMB18E1 r RAMCTRL/SNAKE_RAM/mem_reg_2_1/DIADI[1] + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.871 2.030 RAMCTRL/SNAKE_RAM/H125MHz + RAMB18_X0Y13 RAMB18E1 r RAMCTRL/SNAKE_RAM/mem_reg_2_1/CLKARDCLK + clock pessimism -0.499 1.531 + RAMB18_X0Y13 RAMB18E1 (Hold_ramb18e1_CLKARDCLK_DIADI[1]) + 0.296 1.827 RAMCTRL/SNAKE_RAM/mem_reg_2_1 + ------------------------------------------------------------------- + required time -1.827 + arrival time 1.944 + ------------------------------------------------------------------- + slack 0.116 + +Slack (MET) : 0.127ns (arrival time - required time) + Source: UPD/index_reg[8]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: RAMCTRL/SNAKE_RAM/mem_reg_1_1/ADDRARDADDR[11] + (rising edge-triggered cell RAMB18E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.387ns (logic 0.141ns (36.448%) route 0.246ns (63.552%)) + Logic Levels: 0 + Clock Path Skew: 0.076ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.030ns + Source Clock Delay (SCD): 1.474ns + Clock Pessimism Removal (CPR): 0.480ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.562 1.474 UPD/H125MHz + SLICE_X9Y32 FDRE r UPD/index_reg[8]/C + ------------------------------------------------------------------- ------------------- + SLICE_X9Y32 FDRE (Prop_fdre_C_Q) 0.141 1.615 r UPD/index_reg[8]/Q + net (fo=24, routed) 0.246 1.861 RAMCTRL/SNAKE_RAM/Q[8] + RAMB18_X0Y12 RAMB18E1 r RAMCTRL/SNAKE_RAM/mem_reg_1_1/ADDRARDADDR[11] + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.871 2.030 RAMCTRL/SNAKE_RAM/H125MHz + RAMB18_X0Y12 RAMB18E1 r RAMCTRL/SNAKE_RAM/mem_reg_1_1/CLKARDCLK + clock pessimism -0.480 1.550 + RAMB18_X0Y12 RAMB18E1 (Hold_ramb18e1_CLKARDCLK_ADDRARDADDR[11]) + 0.183 1.733 RAMCTRL/SNAKE_RAM/mem_reg_1_1 + ------------------------------------------------------------------- + required time -1.733 + arrival time 1.861 + ------------------------------------------------------------------- + slack 0.127 + +Slack (MET) : 0.127ns (arrival time - required time) + Source: UPD/index_reg[8]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: RAMCTRL/SNAKE_RAM/mem_reg_2_1/ADDRARDADDR[11] + (rising edge-triggered cell RAMB18E1 clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.387ns (logic 0.141ns (36.448%) route 0.246ns (63.552%)) + Logic Levels: 0 + Clock Path Skew: 0.076ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 2.030ns + Source Clock Delay (SCD): 1.474ns + Clock Pessimism Removal (CPR): 0.480ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.562 1.474 UPD/H125MHz + SLICE_X9Y32 FDRE r UPD/index_reg[8]/C + ------------------------------------------------------------------- ------------------- + SLICE_X9Y32 FDRE (Prop_fdre_C_Q) 0.141 1.615 r UPD/index_reg[8]/Q + net (fo=24, routed) 0.246 1.861 RAMCTRL/SNAKE_RAM/Q[8] + RAMB18_X0Y13 RAMB18E1 r RAMCTRL/SNAKE_RAM/mem_reg_2_1/ADDRARDADDR[11] + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.871 2.030 RAMCTRL/SNAKE_RAM/H125MHz + RAMB18_X0Y13 RAMB18E1 r RAMCTRL/SNAKE_RAM/mem_reg_2_1/CLKARDCLK + clock pessimism -0.480 1.550 + RAMB18_X0Y13 RAMB18E1 (Hold_ramb18e1_CLKARDCLK_ADDRARDADDR[11]) + 0.183 1.733 RAMCTRL/SNAKE_RAM/mem_reg_2_1 + ------------------------------------------------------------------- + required time -1.733 + arrival time 1.861 + ------------------------------------------------------------------- + slack 0.127 + +Slack (MET) : 0.131ns (arrival time - required time) + Source: RAMCTRL/clkCount_reg[22]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: RAMCTRL/clkCount_reg[25]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.524ns (logic 0.409ns (78.043%) route 0.115ns (21.957%)) + Logic Levels: 2 (CARRY4=2) + Clock Path Skew: 0.263ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.985ns + Source Clock Delay (SCD): 1.475ns + Clock Pessimism Removal (CPR): 0.247ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.563 1.475 RAMCTRL/H125MHz + SLICE_X24Y49 FDRE r RAMCTRL/clkCount_reg[22]/C + ------------------------------------------------------------------- ------------------- + SLICE_X24Y49 FDRE (Prop_fdre_C_Q) 0.164 1.639 r RAMCTRL/clkCount_reg[22]/Q + net (fo=1, routed) 0.114 1.753 RAMCTRL/clkCount_reg_n_0_[22] + SLICE_X24Y49 CARRY4 (Prop_carry4_S[2]_CO[3]) + 0.156 1.909 r RAMCTRL/clkCount_reg[23]_i_1/CO[3] + net (fo=1, routed) 0.001 1.910 RAMCTRL/clkCount_reg[23]_i_1_n_0 + SLICE_X24Y50 CARRY4 (Prop_carry4_CI_O[1]) + 0.089 1.999 r RAMCTRL/clkCount_reg[27]_i_1/O[1] + net (fo=2, routed) 0.000 1.999 RAMCTRL/sel0[25] + SLICE_X24Y50 FDRE r RAMCTRL/clkCount_reg[25]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.826 1.985 RAMCTRL/H125MHz + SLICE_X24Y50 FDRE r RAMCTRL/clkCount_reg[25]/C + clock pessimism -0.247 1.738 + SLICE_X24Y50 FDRE (Hold_fdre_C_D) 0.130 1.868 RAMCTRL/clkCount_reg[25] + ------------------------------------------------------------------- + required time -1.868 + arrival time 1.999 + ------------------------------------------------------------------- + slack 0.131 + +Slack (MET) : 0.133ns (arrival time - required time) + Source: RAMCTRL/clkCount_reg[22]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: RAMCTRL/clkCount_reg[27]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.526ns (logic 0.411ns (78.126%) route 0.115ns (21.874%)) + Logic Levels: 2 (CARRY4=2) + Clock Path Skew: 0.263ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.985ns + Source Clock Delay (SCD): 1.475ns + Clock Pessimism Removal (CPR): 0.247ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.563 1.475 RAMCTRL/H125MHz + SLICE_X24Y49 FDRE r RAMCTRL/clkCount_reg[22]/C + ------------------------------------------------------------------- ------------------- + SLICE_X24Y49 FDRE (Prop_fdre_C_Q) 0.164 1.639 r RAMCTRL/clkCount_reg[22]/Q + net (fo=1, routed) 0.114 1.753 RAMCTRL/clkCount_reg_n_0_[22] + SLICE_X24Y49 CARRY4 (Prop_carry4_S[2]_CO[3]) + 0.156 1.909 r RAMCTRL/clkCount_reg[23]_i_1/CO[3] + net (fo=1, routed) 0.001 1.910 RAMCTRL/clkCount_reg[23]_i_1_n_0 + SLICE_X24Y50 CARRY4 (Prop_carry4_CI_O[3]) + 0.091 2.001 r RAMCTRL/clkCount_reg[27]_i_1/O[3] + net (fo=2, routed) 0.000 2.001 RAMCTRL/sel0[27] + SLICE_X24Y50 FDRE r RAMCTRL/clkCount_reg[27]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.826 1.985 RAMCTRL/H125MHz + SLICE_X24Y50 FDRE r RAMCTRL/clkCount_reg[27]/C + clock pessimism -0.247 1.738 + SLICE_X24Y50 FDRE (Hold_fdre_C_D) 0.130 1.868 RAMCTRL/clkCount_reg[27] + ------------------------------------------------------------------- + required time -1.868 + arrival time 2.001 + ------------------------------------------------------------------- + slack 0.133 + + + + + Pulse Width Checks -------------------------------------------------------------------------------------- Clock Name: sys_clk_pin @@ -1552,2016 +2840,630 @@ Waveform(ns): { 0.000 4.000 } Period(ns): 8.000 Sources: { H125MHz } -Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin -Min Period n/a MMCME2_ADV/CLKIN1 n/a 1.249 8.000 6.751 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKIN1 -Max Period n/a MMCME2_ADV/CLKIN1 n/a 100.000 8.000 92.000 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKIN1 -Low Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 4.000 2.000 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKIN1 -Low Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 4.000 2.000 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKIN1 -High Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 4.000 2.000 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKIN1 -High Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 4.000 2.000 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKIN1 +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a RAMB18E1/CLKARDCLK n/a 2.576 8.000 5.424 RAMB18_X0Y12 RAMCTRL/SNAKE_RAM/mem_reg_1_1/CLKARDCLK +Min Period n/a RAMB18E1/CLKBWRCLK n/a 2.576 8.000 5.424 RAMB18_X0Y12 RAMCTRL/SNAKE_RAM/mem_reg_1_1/CLKBWRCLK +Min Period n/a RAMB18E1/CLKARDCLK n/a 2.576 8.000 5.424 RAMB18_X1Y16 RAMCTRL/SNAKE_RAM/mem_reg_3_1/CLKARDCLK +Min Period n/a RAMB18E1/CLKBWRCLK n/a 2.576 8.000 5.424 RAMB18_X1Y16 RAMCTRL/SNAKE_RAM/mem_reg_3_1/CLKBWRCLK +Min Period n/a RAMB18E1/CLKARDCLK n/a 2.576 8.000 5.424 RAMB18_X1Y11 RAMCTRL/SNAKE_RAM/mem_reg_5_1/CLKARDCLK +Min Period n/a RAMB18E1/CLKBWRCLK n/a 2.576 8.000 5.424 RAMB18_X1Y11 RAMCTRL/SNAKE_RAM/mem_reg_5_1/CLKBWRCLK +Min Period n/a RAMB18E1/CLKARDCLK n/a 2.576 8.000 5.424 RAMB18_X2Y11 RAMCTRL/SNAKE_RAM/mem_reg_7_1/CLKARDCLK +Min Period n/a RAMB18E1/CLKBWRCLK n/a 2.576 8.000 5.424 RAMB18_X2Y11 RAMCTRL/SNAKE_RAM/mem_reg_7_1/CLKBWRCLK +Min Period n/a RAMB18E1/CLKARDCLK n/a 2.576 8.000 5.424 RAMB18_X2Y10 RAMCTRL/SNAKE_RAM/mem_reg_9_1/CLKARDCLK +Min Period n/a RAMB18E1/CLKBWRCLK n/a 2.576 8.000 5.424 RAMB18_X2Y10 RAMCTRL/SNAKE_RAM/mem_reg_9_1/CLKBWRCLK +Low Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X25Y44 RAMCTRL/clkCount_reg[0]/C +Low Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X24Y46 RAMCTRL/clkCount_reg[10]/C +Low Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X24Y46 RAMCTRL/clkCount_reg[11]/C +Low Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X24Y47 RAMCTRL/clkCount_reg[12]/C +Low Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X24Y47 RAMCTRL/clkCount_reg[13]/C +Low Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X24Y47 RAMCTRL/clkCount_reg[14]/C +Low Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X24Y47 RAMCTRL/clkCount_reg[15]/C +Low Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X24Y48 RAMCTRL/clkCount_reg[16]/C +Low Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X24Y48 RAMCTRL/clkCount_reg[17]/C +Low Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X24Y48 RAMCTRL/clkCount_reg[18]/C +High Pulse Width Slow FDCE/C n/a 0.500 4.000 3.500 SLICE_X28Y18 RAMCTRL/MAT_RAM/RAMCTRL/MAT_RAM/mem_reg_1_cooolgate_en_gate_1_cooolDelFlop/C +High Pulse Width Fast FDCE/C n/a 0.500 4.000 3.500 SLICE_X28Y18 RAMCTRL/MAT_RAM/RAMCTRL/MAT_RAM/mem_reg_1_cooolgate_en_gate_1_cooolDelFlop/C +High Pulse Width Slow FDCE/C n/a 0.500 4.000 3.500 SLICE_X32Y18 RAMCTRL/MAT_RAM/RAMCTRL/MAT_RAM/mem_reg_1_cooolgate_en_gate_2_cooolDelFlop/C +High Pulse Width Fast FDCE/C n/a 0.500 4.000 3.500 SLICE_X32Y18 RAMCTRL/MAT_RAM/RAMCTRL/MAT_RAM/mem_reg_1_cooolgate_en_gate_2_cooolDelFlop/C +High Pulse Width Fast FDRE/C n/a 0.500 4.000 3.500 SLICE_X36Y36 ROM/data_reg[14]/C +High Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X39Y38 ROM/data_reg[15]/C +High Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X35Y38 ROM/data_reg[17]/C +High Pulse Width Slow FDRE/C n/a 0.500 4.000 3.500 SLICE_X38Y38 ROM/data_reg[20]/C +High Pulse Width Fast FDRE/C n/a 0.500 4.000 3.500 SLICE_X38Y36 ROM/data_reg[21]/C +High Pulse Width Fast FDRE/C n/a 0.500 4.000 3.500 SLICE_X39Y36 ROM/data_reg[22]/C --------------------------------------------------------------------------------------------------- -From Clock: clk_out1_clk_wiz_1_1 - To Clock: clk_out1_clk_wiz_1_1 - -Setup : 0 Failing Endpoints, Worst Slack 33.281ns, Total Violation 0.000ns -Hold : 0 Failing Endpoints, Worst Slack 0.261ns, Total Violation 0.000ns -PW : 0 Failing Endpoints, Worst Slack 19.500ns, Total Violation 0.000ns ---------------------------------------------------------------------------------------------------- - - -Max Delay Paths --------------------------------------------------------------------------------------- -Slack (MET) : 33.281ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[3]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 6.142ns (logic 0.828ns (13.480%) route 5.314ns (86.520%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.252ns = ( 38.748 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.651ns - Clock Uncertainty: 0.147ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.286ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.866 5.542 U1/comptY - SLICE_X40Y49 FDRE r U1/comptY_reg[3]/R - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.580 38.748 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[3]/C - clock pessimism 0.651 39.399 - clock uncertainty -0.147 39.252 - SLICE_X40Y49 FDRE (Setup_fdre_C_R) -0.429 38.823 U1/comptY_reg[3] - ------------------------------------------------------------------- - required time 38.823 - arrival time -5.542 - ------------------------------------------------------------------- - slack 33.281 - -Slack (MET) : 33.281ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[5]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 6.142ns (logic 0.828ns (13.480%) route 5.314ns (86.520%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.252ns = ( 38.748 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.651ns - Clock Uncertainty: 0.147ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.286ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.866 5.542 U1/comptY - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/R - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.580 38.748 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - clock pessimism 0.651 39.399 - clock uncertainty -0.147 39.252 - SLICE_X40Y49 FDRE (Setup_fdre_C_R) -0.429 38.823 U1/comptY_reg[5] - ------------------------------------------------------------------- - required time 38.823 - arrival time -5.542 - ------------------------------------------------------------------- - slack 33.281 - -Slack (MET) : 33.427ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[4]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.838ns (logic 0.828ns (14.184%) route 5.010ns (85.816%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.064ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.253ns = ( 38.747 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.588ns - Clock Uncertainty: 0.147ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.286ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.561 5.237 U1/comptY - SLICE_X38Y49 FDRE r U1/comptY_reg[4]/R - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.579 38.747 U1/CLK - SLICE_X38Y49 FDRE r U1/comptY_reg[4]/C - clock pessimism 0.588 39.335 - clock uncertainty -0.147 39.188 - SLICE_X38Y49 FDRE (Setup_fdre_C_R) -0.524 38.664 U1/comptY_reg[4] - ------------------------------------------------------------------- - required time 38.664 - arrival time -5.237 - ------------------------------------------------------------------- - slack 33.427 - -Slack (MET) : 33.613ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[1]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.147ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.286ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/R - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.147 39.057 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.628 U1/comptY_reg[1] - ------------------------------------------------------------------- - required time 38.628 - arrival time -5.015 - ------------------------------------------------------------------- - slack 33.613 - -Slack (MET) : 33.613ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[2]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.147ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.286ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/R - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.147 39.057 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.628 U1/comptY_reg[2] - ------------------------------------------------------------------- - required time 38.628 - arrival time -5.015 - ------------------------------------------------------------------- - slack 33.613 - -Slack (MET) : 33.613ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[7]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.147ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.286ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[7]/R - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[7]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.147 39.057 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.628 U1/comptY_reg[7] - ------------------------------------------------------------------- - required time 38.628 - arrival time -5.015 - ------------------------------------------------------------------- - slack 33.613 - -Slack (MET) : 33.613ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[8]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.147ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.286ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[8]/R - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[8]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.147 39.057 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.628 U1/comptY_reg[8] - ------------------------------------------------------------------- - required time 38.628 - arrival time -5.015 - ------------------------------------------------------------------- - slack 33.613 - -Slack (MET) : 33.613ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[9]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.147ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.286ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[9]/R - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[9]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.147 39.057 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.628 U1/comptY_reg[9] - ------------------------------------------------------------------- - required time 38.628 - arrival time -5.015 - ------------------------------------------------------------------- - slack 33.613 - -Slack (MET) : 33.756ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[6]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.472ns (logic 0.828ns (15.132%) route 4.644ns (84.868%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.147ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.286ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.196 4.871 U1/comptY - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/R - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.147 39.057 - SLICE_X36Y50 FDRE (Setup_fdre_C_R) -0.429 38.628 U1/comptY_reg[6] - ------------------------------------------------------------------- - required time 38.628 - arrival time -4.871 - ------------------------------------------------------------------- - slack 33.756 - -Slack (MET) : 33.994ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[0]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.139ns (logic 0.828ns (16.112%) route 4.311ns (83.888%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.147ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.286ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 0.863 4.538 U1/comptY - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/R - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.147 39.057 - SLICE_X38Y51 FDRE (Setup_fdre_C_R) -0.524 38.533 U1/comptY_reg[0] - ------------------------------------------------------------------- - required time 38.533 - arrival time -4.538 - ------------------------------------------------------------------- - slack 33.994 - - - - - -Min Delay Paths --------------------------------------------------------------------------------------- -Slack (MET) : 0.261ns (arrival time - required time) - Source: U1/comptY_reg[1]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[2]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.368ns (logic 0.183ns (49.756%) route 0.185ns (50.244%)) - Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - ------------------------------------------------------------------- ------------------- - SLICE_X36Y51 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptY_reg[1]/Q - net (fo=29, routed) 0.185 -0.148 U1/comptY_reg__0[1] - SLICE_X36Y51 LUT3 (Prop_lut3_I0_O) 0.042 -0.106 r U1/comptY[2]_i_1/O - net (fo=1, routed) 0.000 -0.106 U1/comptY[2]_i_1_n_0 - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/C - clock pessimism 0.232 -0.474 - SLICE_X36Y51 FDRE (Hold_fdre_C_D) 0.107 -0.367 U1/comptY_reg[2] - ------------------------------------------------------------------- - required time 0.367 - arrival time -0.106 - ------------------------------------------------------------------- - slack 0.261 - -Slack (MET) : 0.261ns (arrival time - required time) - Source: U1/comptX_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[5]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.353ns (logic 0.186ns (52.682%) route 0.167ns (47.318%)) - Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.473ns - Clock Pessimism Removal (CPR): -0.232ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.588 -0.473 U1/CLK - SLICE_X43Y54 FDRE r U1/comptX_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X43Y54 FDRE (Prop_fdre_C_Q) 0.141 -0.332 r U1/comptX_reg[5]/Q - net (fo=25, routed) 0.167 -0.165 U1/comptX_reg__0[5] - SLICE_X43Y54 LUT6 (Prop_lut6_I5_O) 0.045 -0.120 r U1/comptX[5]_i_1/O - net (fo=1, routed) 0.000 -0.120 U1/plusOp[5] - SLICE_X43Y54 FDRE r U1/comptX_reg[5]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X43Y54 FDRE r U1/comptX_reg[5]/C - clock pessimism 0.232 -0.473 - SLICE_X43Y54 FDRE (Hold_fdre_C_D) 0.092 -0.381 U1/comptX_reg[5] - ------------------------------------------------------------------- - required time 0.381 - arrival time -0.120 - ------------------------------------------------------------------- - slack 0.261 - -Slack (MET) : 0.280ns (arrival time - required time) - Source: U1/comptX_reg[7]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[8]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.387ns (logic 0.183ns (47.319%) route 0.204ns (52.681%)) - Logic Levels: 1 (LUT5=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C - ------------------------------------------------------------------- ------------------- - SLICE_X41Y57 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptX_reg[7]/Q - net (fo=20, routed) 0.204 -0.129 U1/comptX_reg__0[7] - SLICE_X41Y57 LUT5 (Prop_lut5_I0_O) 0.042 -0.087 r U1/comptX[8]_i_1/O - net (fo=1, routed) 0.000 -0.087 U1/plusOp[8] - SLICE_X41Y57 FDRE r U1/comptX_reg[8]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[8]/C - clock pessimism 0.232 -0.474 - SLICE_X41Y57 FDRE (Hold_fdre_C_D) 0.107 -0.367 U1/comptX_reg[8] - ------------------------------------------------------------------- - required time 0.367 - arrival time -0.087 - ------------------------------------------------------------------- - slack 0.280 - -Slack (MET) : 0.280ns (arrival time - required time) - Source: U1/comptY_reg[1]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[1]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.371ns (logic 0.186ns (50.162%) route 0.185ns (49.838%)) - Logic Levels: 1 (LUT2=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - ------------------------------------------------------------------- ------------------- - SLICE_X36Y51 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptY_reg[1]/Q - net (fo=29, routed) 0.185 -0.148 U1/comptY_reg__0[1] - SLICE_X36Y51 LUT2 (Prop_lut2_I1_O) 0.045 -0.103 r U1/comptY[1]_i_1/O - net (fo=1, routed) 0.000 -0.103 U1/comptY[1]_i_1_n_0 - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - clock pessimism 0.232 -0.474 - SLICE_X36Y51 FDRE (Hold_fdre_C_D) 0.091 -0.383 U1/comptY_reg[1] - ------------------------------------------------------------------- - required time 0.383 - arrival time -0.103 - ------------------------------------------------------------------- - slack 0.280 - -Slack (MET) : 0.288ns (arrival time - required time) - Source: U1/comptX_reg[0]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[1]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.419ns (logic 0.207ns (49.431%) route 0.212ns (50.569%)) - Logic Levels: 1 (LUT2=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.473ns - Clock Pessimism Removal (CPR): -0.232ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.588 -0.473 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/C - ------------------------------------------------------------------- ------------------- - SLICE_X42Y55 FDRE (Prop_fdre_C_Q) 0.164 -0.309 r U1/comptX_reg[0]/Q - net (fo=25, routed) 0.212 -0.097 U1/comptX_reg__0[0] - SLICE_X42Y55 LUT2 (Prop_lut2_I0_O) 0.043 -0.054 r U1/comptX[1]_i_1/O - net (fo=1, routed) 0.000 -0.054 U1/plusOp[1] - SLICE_X42Y55 FDRE r U1/comptX_reg[1]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[1]/C - clock pessimism 0.232 -0.473 - SLICE_X42Y55 FDRE (Hold_fdre_C_D) 0.131 -0.342 U1/comptX_reg[1] - ------------------------------------------------------------------- - required time 0.342 - arrival time -0.054 - ------------------------------------------------------------------- - slack 0.288 - -Slack (MET) : 0.289ns (arrival time - required time) - Source: U1/comptX_reg[7]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[9]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.398ns (logic 0.186ns (46.766%) route 0.212ns (53.234%)) - Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.017ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.248ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C - ------------------------------------------------------------------- ------------------- - SLICE_X41Y57 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptX_reg[7]/Q - net (fo=20, routed) 0.212 -0.121 U1/comptX_reg__0[7] - SLICE_X41Y56 LUT6 (Prop_lut6_I3_O) 0.045 -0.076 r U1/comptX[9]_i_1/O - net (fo=1, routed) 0.000 -0.076 U1/plusOp[9] - SLICE_X41Y56 FDRE r U1/comptX_reg[9]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X41Y56 FDRE r U1/comptX_reg[9]/C - clock pessimism 0.248 -0.457 - SLICE_X41Y56 FDRE (Hold_fdre_C_D) 0.092 -0.365 U1/comptX_reg[9] - ------------------------------------------------------------------- - required time 0.365 - arrival time -0.076 - ------------------------------------------------------------------- - slack 0.289 - -Slack (MET) : 0.297ns (arrival time - required time) - Source: U1/comptY_reg[6]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[6]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.402ns (logic 0.183ns (45.514%) route 0.219ns (54.486%)) - Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/C - ------------------------------------------------------------------- ------------------- - SLICE_X36Y50 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptY_reg[6]/Q - net (fo=21, routed) 0.219 -0.114 U1/comptY_reg__0[6] - SLICE_X36Y50 LUT3 (Prop_lut3_I2_O) 0.042 -0.072 r U1/comptY[6]_i_1/O - net (fo=1, routed) 0.000 -0.072 U1/plusOp__0[6] - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/C - clock pessimism 0.232 -0.474 - SLICE_X36Y50 FDRE (Hold_fdre_C_D) 0.105 -0.369 U1/comptY_reg[6] - ------------------------------------------------------------------- - required time 0.369 - arrival time -0.072 - ------------------------------------------------------------------- - slack 0.297 - -Slack (MET) : 0.299ns (arrival time - required time) - Source: U1/comptX_reg[7]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[7]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.390ns (logic 0.186ns (47.724%) route 0.204ns (52.276%)) - Logic Levels: 1 (LUT4=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C - ------------------------------------------------------------------- ------------------- - SLICE_X41Y57 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptX_reg[7]/Q - net (fo=20, routed) 0.204 -0.129 U1/comptX_reg__0[7] - SLICE_X41Y57 LUT4 (Prop_lut4_I3_O) 0.045 -0.084 r U1/comptX[7]_i_1/O - net (fo=1, routed) 0.000 -0.084 U1/plusOp[7] - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C - clock pessimism 0.232 -0.474 - SLICE_X41Y57 FDRE (Hold_fdre_C_D) 0.091 -0.383 U1/comptX_reg[7] - ------------------------------------------------------------------- - required time 0.383 - arrival time -0.084 - ------------------------------------------------------------------- - slack 0.299 - -Slack (MET) : 0.301ns (arrival time - required time) - Source: U1/comptY_reg[0]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[0]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.421ns (logic 0.209ns (49.671%) route 0.212ns (50.329%)) - Logic Levels: 1 (LUT1=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/C - ------------------------------------------------------------------- ------------------- - SLICE_X38Y51 FDRE (Prop_fdre_C_Q) 0.164 -0.310 f U1/comptY_reg[0]/Q - net (fo=29, routed) 0.212 -0.098 U1/comptY_reg__0[0] - SLICE_X38Y51 LUT1 (Prop_lut1_I0_O) 0.045 -0.053 r U1/comptY[0]_i_1/O - net (fo=1, routed) 0.000 -0.053 U1/comptY[0]_i_1_n_0 - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/C - clock pessimism 0.232 -0.474 - SLICE_X38Y51 FDRE (Hold_fdre_C_D) 0.120 -0.354 U1/comptY_reg[0] - ------------------------------------------------------------------- - required time 0.354 - arrival time -0.053 - ------------------------------------------------------------------- - slack 0.301 - -Slack (MET) : 0.301ns (arrival time - required time) - Source: U1/comptX_reg[0]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[0]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.421ns (logic 0.209ns (49.671%) route 0.212ns (50.329%)) - Logic Levels: 1 (LUT1=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.473ns - Clock Pessimism Removal (CPR): -0.232ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.588 -0.473 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/C - ------------------------------------------------------------------- ------------------- - SLICE_X42Y55 FDRE (Prop_fdre_C_Q) 0.164 -0.309 f U1/comptX_reg[0]/Q - net (fo=25, routed) 0.212 -0.097 U1/comptX_reg__0[0] - SLICE_X42Y55 LUT1 (Prop_lut1_I0_O) 0.045 -0.052 r U1/comptX[0]_i_1/O - net (fo=1, routed) 0.000 -0.052 U1/plusOp[0] - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/C - clock pessimism 0.232 -0.473 - SLICE_X42Y55 FDRE (Hold_fdre_C_D) 0.120 -0.353 U1/comptX_reg[0] - ------------------------------------------------------------------- - required time 0.353 - arrival time -0.052 - ------------------------------------------------------------------- - slack 0.301 - - - - - -Pulse Width Checks --------------------------------------------------------------------------------------- -Clock Name: clk_out1_clk_wiz_1_1 -Waveform(ns): { 0.000 20.000 } -Period(ns): 40.000 -Sources: { U0/inst/mmcm_adv_inst/CLKOUT0 } - -Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin -Min Period n/a BUFG/I n/a 2.155 40.000 37.845 BUFGCTRL_X0Y16 U0/inst/clkout1_buf/I -Min Period n/a MMCME2_ADV/CLKOUT0 n/a 1.249 40.000 38.751 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKOUT0 -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X42Y55 U1/comptX_reg[0]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X41Y56 U1/comptX_reg[10]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X42Y55 U1/comptX_reg[1]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X43Y54 U1/comptX_reg[2]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X43Y54 U1/comptX_reg[3]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X41Y56 U1/comptX_reg[4]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X38Y51 U1/comptY_reg[0]/C -Min Period n/a FDRE/C n/a 1.000 40.000 39.000 SLICE_X36Y51 U1/comptY_reg[1]/C -Max Period n/a MMCME2_ADV/CLKOUT0 n/a 213.360 40.000 173.360 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKOUT0 -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X42Y55 U1/comptX_reg[0]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X41Y56 U1/comptX_reg[10]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X42Y55 U1/comptX_reg[1]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X43Y54 U1/comptX_reg[2]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X43Y54 U1/comptX_reg[3]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X41Y56 U1/comptX_reg[4]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X38Y51 U1/comptY_reg[0]/C -Low Pulse Width Fast FDRE/C n/a 0.500 20.000 19.500 SLICE_X38Y51 U1/comptY_reg[0]/C -Low Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X36Y51 U1/comptY_reg[1]/C -Low Pulse Width Fast FDRE/C n/a 0.500 20.000 19.500 SLICE_X36Y51 U1/comptY_reg[1]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X42Y55 U1/comptX_reg[0]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X41Y56 U1/comptX_reg[10]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X42Y55 U1/comptX_reg[1]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X43Y54 U1/comptX_reg[2]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X43Y54 U1/comptX_reg[3]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X41Y56 U1/comptX_reg[4]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X38Y51 U1/comptY_reg[0]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X36Y51 U1/comptY_reg[1]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X36Y51 U1/comptY_reg[2]/C -High Pulse Width Slow FDRE/C n/a 0.500 20.000 19.500 SLICE_X40Y49 U1/comptY_reg[3]/C - - - ---------------------------------------------------------------------------------------------------- -From Clock: clkfbout_clk_wiz_1_1 - To Clock: clkfbout_clk_wiz_1_1 - -Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA -Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA -PW : 0 Failing Endpoints, Worst Slack 37.845ns, Total Violation 0.000ns ---------------------------------------------------------------------------------------------------- - - -Pulse Width Checks --------------------------------------------------------------------------------------- -Clock Name: clkfbout_clk_wiz_1_1 -Waveform(ns): { 0.000 20.000 } -Period(ns): 40.000 -Sources: { U0/inst/mmcm_adv_inst/CLKFBOUT } - -Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin -Min Period n/a BUFG/I n/a 2.155 40.000 37.845 BUFGCTRL_X0Y17 U0/inst/clkf_buf/I -Min Period n/a MMCME2_ADV/CLKFBOUT n/a 1.249 40.000 38.751 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKFBOUT -Min Period n/a MMCME2_ADV/CLKFBIN n/a 1.249 40.000 38.751 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKFBIN -Max Period n/a MMCME2_ADV/CLKFBIN n/a 100.000 40.000 60.000 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKFBIN -Max Period n/a MMCME2_ADV/CLKFBOUT n/a 213.360 40.000 173.360 MMCME2_ADV_X0Y1 U0/inst/mmcm_adv_inst/CLKFBOUT - - - ---------------------------------------------------------------------------------------------------- -From Clock: clk_out1_clk_wiz_1_1 +From Clock: sys_clk_pin To Clock: clk_out1_clk_wiz_1 -Setup : 0 Failing Endpoints, Worst Slack 33.269ns, Total Violation 0.000ns -Hold : 0 Failing Endpoints, Worst Slack 0.101ns, Total Violation 0.000ns +Setup : 0 Failing Endpoints, Worst Slack 1.397ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.418ns, Total Violation 0.000ns --------------------------------------------------------------------------------------------------- Max Delay Paths -------------------------------------------------------------------------------------- -Slack (MET) : 33.269ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[3]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 1.397ns (required time - arrival time) + Source: SNAKE/snakeHere_reg/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[G][2]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 6.142ns (logic 0.828ns (13.480%) route 5.314ns (86.520%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.252ns = ( 38.748 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.651ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (clk_out1_clk_wiz_1 rise@40.000ns - sys_clk_pin rise@32.000ns) + Data Path Delay: 2.462ns (logic 0.580ns (23.555%) route 1.882ns (76.445%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -3.764ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.576ns = ( 41.576 - 40.000 ) + Source Clock Delay (SCD): 5.340ns = ( 37.340 - 32.000 ) + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + (clock sys_clk_pin rise edge) + 32.000 32.000 r + L16 0.000 32.000 r H125MHz (IN) + net (fo=0) 0.000 32.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 33.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 35.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 35.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.672 37.340 SNAKE/H125MHz + SLICE_X18Y36 FDCE r SNAKE/snakeHere_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.866 5.542 U1/comptY - SLICE_X40Y49 FDRE r U1/comptY_reg[3]/R + SLICE_X18Y36 FDCE (Prop_fdce_C_Q) 0.456 37.796 r SNAKE/snakeHere_reg/Q + net (fo=14, routed) 1.882 39.679 SNAKE/snakeHere + SLICE_X40Y38 LUT2 (Prop_lut2_I0_O) 0.124 39.803 r SNAKE/snakeColor[G][2]_i_1/O + net (fo=1, routed) 0.000 39.803 SNAKE/snakeColor[G][2]_i_1_n_0 + SLICE_X40Y38 FDCE r SNAKE/snakeColor_reg[G][2]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.580 38.748 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[3]/C - clock pessimism 0.651 39.399 - clock uncertainty -0.160 39.239 - SLICE_X40Y49 FDRE (Setup_fdre_C_R) -0.429 38.810 U1/comptY_reg[3] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.573 41.576 SNAKE/clk_out1 + SLICE_X40Y38 FDCE r SNAKE/snakeColor_reg[G][2]/C + clock pessimism 0.000 41.576 + clock uncertainty -0.406 41.170 + SLICE_X40Y38 FDCE (Setup_fdce_C_D) 0.029 41.199 SNAKE/snakeColor_reg[G][2] ------------------------------------------------------------------- - required time 38.810 - arrival time -5.542 + required time 41.199 + arrival time -39.803 ------------------------------------------------------------------- - slack 33.269 + slack 1.397 -Slack (MET) : 33.269ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[5]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 1.415ns (required time - arrival time) + Source: SNAKE/snakeHere_reg/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[R][1]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 6.142ns (logic 0.828ns (13.480%) route 5.314ns (86.520%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.252ns = ( 38.748 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.651ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (clk_out1_clk_wiz_1 rise@40.000ns - sys_clk_pin rise@32.000ns) + Data Path Delay: 2.490ns (logic 0.608ns (24.414%) route 1.882ns (75.586%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -3.764ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.576ns = ( 41.576 - 40.000 ) + Source Clock Delay (SCD): 5.340ns = ( 37.340 - 32.000 ) + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + (clock sys_clk_pin rise edge) + 32.000 32.000 r + L16 0.000 32.000 r H125MHz (IN) + net (fo=0) 0.000 32.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 33.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 35.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 35.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.672 37.340 SNAKE/H125MHz + SLICE_X18Y36 FDCE r SNAKE/snakeHere_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.866 5.542 U1/comptY - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/R + SLICE_X18Y36 FDCE (Prop_fdce_C_Q) 0.456 37.796 r SNAKE/snakeHere_reg/Q + net (fo=14, routed) 1.882 39.679 SNAKE/snakeHere + SLICE_X40Y38 LUT2 (Prop_lut2_I0_O) 0.152 39.831 r SNAKE/snakeColor[R][1]_i_1/O + net (fo=1, routed) 0.000 39.831 SNAKE/snakeColor[R][1]_i_1_n_0 + SLICE_X40Y38 FDCE r SNAKE/snakeColor_reg[R][1]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.580 38.748 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - clock pessimism 0.651 39.399 - clock uncertainty -0.160 39.239 - SLICE_X40Y49 FDRE (Setup_fdre_C_R) -0.429 38.810 U1/comptY_reg[5] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.573 41.576 SNAKE/clk_out1 + SLICE_X40Y38 FDCE r SNAKE/snakeColor_reg[R][1]/C + clock pessimism 0.000 41.576 + clock uncertainty -0.406 41.170 + SLICE_X40Y38 FDCE (Setup_fdce_C_D) 0.075 41.245 SNAKE/snakeColor_reg[R][1] ------------------------------------------------------------------- - required time 38.810 - arrival time -5.542 + required time 41.245 + arrival time -39.831 ------------------------------------------------------------------- - slack 33.269 + slack 1.415 -Slack (MET) : 33.414ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[4]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 1.545ns (required time - arrival time) + Source: SNAKE/snakeHere_reg/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[G][5]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.838ns (logic 0.828ns (14.184%) route 5.010ns (85.816%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.064ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.253ns = ( 38.747 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.588ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (clk_out1_clk_wiz_1 rise@40.000ns - sys_clk_pin rise@32.000ns) + Data Path Delay: 2.314ns (logic 0.580ns (25.068%) route 1.734ns (74.932%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -3.766ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.574ns = ( 41.574 - 40.000 ) + Source Clock Delay (SCD): 5.340ns = ( 37.340 - 32.000 ) + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + (clock sys_clk_pin rise edge) + 32.000 32.000 r + L16 0.000 32.000 r H125MHz (IN) + net (fo=0) 0.000 32.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 33.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 35.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 35.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.672 37.340 SNAKE/H125MHz + SLICE_X18Y36 FDCE r SNAKE/snakeHere_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.561 5.237 U1/comptY - SLICE_X38Y49 FDRE r U1/comptY_reg[4]/R + SLICE_X18Y36 FDCE (Prop_fdce_C_Q) 0.456 37.796 r SNAKE/snakeHere_reg/Q + net (fo=14, routed) 1.734 39.530 SNAKE/snakeHere + SLICE_X40Y36 LUT2 (Prop_lut2_I0_O) 0.124 39.654 r SNAKE/snakeColor[G][5]_i_1/O + net (fo=1, routed) 0.000 39.654 SNAKE/snakeColor[G][5]_i_1_n_0 + SLICE_X40Y36 FDCE r SNAKE/snakeColor_reg[G][5]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.579 38.747 U1/CLK - SLICE_X38Y49 FDRE r U1/comptY_reg[4]/C - clock pessimism 0.588 39.335 - clock uncertainty -0.160 39.175 - SLICE_X38Y49 FDRE (Setup_fdre_C_R) -0.524 38.651 U1/comptY_reg[4] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.571 41.574 SNAKE/clk_out1 + SLICE_X40Y36 FDCE r SNAKE/snakeColor_reg[G][5]/C + clock pessimism 0.000 41.574 + clock uncertainty -0.406 41.168 + SLICE_X40Y36 FDCE (Setup_fdce_C_D) 0.031 41.199 SNAKE/snakeColor_reg[G][5] ------------------------------------------------------------------- - required time 38.651 - arrival time -5.237 + required time 41.199 + arrival time -39.654 ------------------------------------------------------------------- - slack 33.414 + slack 1.545 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[1]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 1.561ns (required time - arrival time) + Source: SNAKE/snakeHere_reg/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[R][3]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (clk_out1_clk_wiz_1 rise@40.000ns - sys_clk_pin rise@32.000ns) + Data Path Delay: 2.342ns (logic 0.608ns (25.964%) route 1.734ns (74.036%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -3.766ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.574ns = ( 41.574 - 40.000 ) + Source Clock Delay (SCD): 5.340ns = ( 37.340 - 32.000 ) + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + (clock sys_clk_pin rise edge) + 32.000 32.000 r + L16 0.000 32.000 r H125MHz (IN) + net (fo=0) 0.000 32.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 33.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 35.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 35.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.672 37.340 SNAKE/H125MHz + SLICE_X18Y36 FDCE r SNAKE/snakeHere_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/R + SLICE_X18Y36 FDCE (Prop_fdce_C_Q) 0.456 37.796 r SNAKE/snakeHere_reg/Q + net (fo=14, routed) 1.734 39.530 SNAKE/snakeHere + SLICE_X40Y36 LUT2 (Prop_lut2_I0_O) 0.152 39.682 r SNAKE/snakeColor[R][3]_i_1/O + net (fo=1, routed) 0.000 39.682 SNAKE/snakeColor[R][3]_i_1_n_0 + SLICE_X40Y36 FDCE r SNAKE/snakeColor_reg[R][3]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[1] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.571 41.574 SNAKE/clk_out1 + SLICE_X40Y36 FDCE r SNAKE/snakeColor_reg[R][3]/C + clock pessimism 0.000 41.574 + clock uncertainty -0.406 41.168 + SLICE_X40Y36 FDCE (Setup_fdce_C_D) 0.075 41.243 SNAKE/snakeColor_reg[R][3] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 41.243 + arrival time -39.682 ------------------------------------------------------------------- - slack 33.600 + slack 1.561 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[2]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 1.639ns (required time - arrival time) + Source: SNAKE/snakeHere_reg/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[G][4]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (clk_out1_clk_wiz_1 rise@40.000ns - sys_clk_pin rise@32.000ns) + Data Path Delay: 2.220ns (logic 0.580ns (26.131%) route 1.640ns (73.869%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -3.765ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.575ns = ( 41.575 - 40.000 ) + Source Clock Delay (SCD): 5.340ns = ( 37.340 - 32.000 ) + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + (clock sys_clk_pin rise edge) + 32.000 32.000 r + L16 0.000 32.000 r H125MHz (IN) + net (fo=0) 0.000 32.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 33.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 35.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 35.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.672 37.340 SNAKE/H125MHz + SLICE_X18Y36 FDCE r SNAKE/snakeHere_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/R + SLICE_X18Y36 FDCE (Prop_fdce_C_Q) 0.456 37.796 r SNAKE/snakeHere_reg/Q + net (fo=14, routed) 1.640 39.436 SNAKE/snakeHere + SLICE_X37Y38 LUT2 (Prop_lut2_I0_O) 0.124 39.560 r SNAKE/snakeColor[G][4]_i_1/O + net (fo=1, routed) 0.000 39.560 SNAKE/snakeColor[G][4]_i_1_n_0 + SLICE_X37Y38 FDCE r SNAKE/snakeColor_reg[G][4]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[2] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.572 41.575 SNAKE/clk_out1 + SLICE_X37Y38 FDCE r SNAKE/snakeColor_reg[G][4]/C + clock pessimism 0.000 41.575 + clock uncertainty -0.406 41.169 + SLICE_X37Y38 FDCE (Setup_fdce_C_D) 0.029 41.198 SNAKE/snakeColor_reg[G][4] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 41.198 + arrival time -39.560 ------------------------------------------------------------------- - slack 33.600 + slack 1.639 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[7]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 1.691ns (required time - arrival time) + Source: SNAKE/snakeHere_reg/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[R][2]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (clk_out1_clk_wiz_1 rise@40.000ns - sys_clk_pin rise@32.000ns) + Data Path Delay: 2.214ns (logic 0.574ns (25.931%) route 1.640ns (74.069%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -3.765ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.575ns = ( 41.575 - 40.000 ) + Source Clock Delay (SCD): 5.340ns = ( 37.340 - 32.000 ) + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + (clock sys_clk_pin rise edge) + 32.000 32.000 r + L16 0.000 32.000 r H125MHz (IN) + net (fo=0) 0.000 32.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 33.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 35.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 35.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.672 37.340 SNAKE/H125MHz + SLICE_X18Y36 FDCE r SNAKE/snakeHere_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[7]/R + SLICE_X18Y36 FDCE (Prop_fdce_C_Q) 0.456 37.796 r SNAKE/snakeHere_reg/Q + net (fo=14, routed) 1.640 39.436 SNAKE/snakeHere + SLICE_X37Y38 LUT2 (Prop_lut2_I0_O) 0.118 39.554 r SNAKE/snakeColor[R][2]_i_1/O + net (fo=1, routed) 0.000 39.554 SNAKE/snakeColor[R][2]_i_1_n_0 + SLICE_X37Y38 FDCE r SNAKE/snakeColor_reg[R][2]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[7]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[7] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.572 41.575 SNAKE/clk_out1 + SLICE_X37Y38 FDCE r SNAKE/snakeColor_reg[R][2]/C + clock pessimism 0.000 41.575 + clock uncertainty -0.406 41.169 + SLICE_X37Y38 FDCE (Setup_fdce_C_D) 0.075 41.244 SNAKE/snakeColor_reg[R][2] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 41.244 + arrival time -39.554 ------------------------------------------------------------------- - slack 33.600 + slack 1.691 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[8]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 1.722ns (required time - arrival time) + Source: SNAKE/snakeHere_reg/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[B][1]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (clk_out1_clk_wiz_1 rise@40.000ns - sys_clk_pin rise@32.000ns) + Data Path Delay: 2.134ns (logic 0.580ns (27.176%) route 1.554ns (72.824%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -3.767ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.573ns = ( 41.573 - 40.000 ) + Source Clock Delay (SCD): 5.340ns = ( 37.340 - 32.000 ) + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + (clock sys_clk_pin rise edge) + 32.000 32.000 r + L16 0.000 32.000 r H125MHz (IN) + net (fo=0) 0.000 32.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 33.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 35.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 35.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.672 37.340 SNAKE/H125MHz + SLICE_X18Y36 FDCE r SNAKE/snakeHere_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[8]/R + SLICE_X18Y36 FDCE (Prop_fdce_C_Q) 0.456 37.796 r SNAKE/snakeHere_reg/Q + net (fo=14, routed) 1.554 39.351 SNAKE/snakeHere + SLICE_X37Y36 LUT2 (Prop_lut2_I0_O) 0.124 39.475 r SNAKE/snakeColor[B][1]_i_1/O + net (fo=1, routed) 0.000 39.475 SNAKE/snakeColor[B][1]_i_1_n_0 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[B][1]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[8]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[8] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.570 41.573 SNAKE/clk_out1 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[B][1]/C + clock pessimism 0.000 41.573 + clock uncertainty -0.406 41.167 + SLICE_X37Y36 FDCE (Setup_fdce_C_D) 0.029 41.196 SNAKE/snakeColor_reg[B][1] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 41.196 + arrival time -39.475 ------------------------------------------------------------------- - slack 33.600 + slack 1.722 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[9]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 1.725ns (required time - arrival time) + Source: SNAKE/snakeHere_reg/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[G][1]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (clk_out1_clk_wiz_1 rise@40.000ns - sys_clk_pin rise@32.000ns) + Data Path Delay: 2.133ns (logic 0.580ns (27.189%) route 1.553ns (72.811%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -3.767ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.573ns = ( 41.573 - 40.000 ) + Source Clock Delay (SCD): 5.340ns = ( 37.340 - 32.000 ) + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + (clock sys_clk_pin rise edge) + 32.000 32.000 r + L16 0.000 32.000 r H125MHz (IN) + net (fo=0) 0.000 32.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 33.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 35.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 35.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.672 37.340 SNAKE/H125MHz + SLICE_X18Y36 FDCE r SNAKE/snakeHere_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[9]/R + SLICE_X18Y36 FDCE (Prop_fdce_C_Q) 0.456 37.796 r SNAKE/snakeHere_reg/Q + net (fo=14, routed) 1.553 39.350 SNAKE/snakeHere + SLICE_X37Y36 LUT2 (Prop_lut2_I0_O) 0.124 39.474 r SNAKE/snakeColor[G][1]_i_1/O + net (fo=1, routed) 0.000 39.474 SNAKE/snakeColor[G][1]_i_1_n_0 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[G][1]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[9]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[9] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.570 41.573 SNAKE/clk_out1 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[G][1]/C + clock pessimism 0.000 41.573 + clock uncertainty -0.406 41.167 + SLICE_X37Y36 FDCE (Setup_fdce_C_D) 0.031 41.198 SNAKE/snakeColor_reg[G][1] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 41.198 + arrival time -39.474 ------------------------------------------------------------------- - slack 33.600 + slack 1.725 -Slack (MET) : 33.744ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[6]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 1.740ns (required time - arrival time) + Source: SNAKE/snakeHere_reg/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[G][0]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.472ns (logic 0.828ns (15.132%) route 4.644ns (84.868%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (clk_out1_clk_wiz_1 rise@40.000ns - sys_clk_pin rise@32.000ns) + Data Path Delay: 2.162ns (logic 0.608ns (28.119%) route 1.554ns (71.881%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -3.767ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.573ns = ( 41.573 - 40.000 ) + Source Clock Delay (SCD): 5.340ns = ( 37.340 - 32.000 ) + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + (clock sys_clk_pin rise edge) + 32.000 32.000 r + L16 0.000 32.000 r H125MHz (IN) + net (fo=0) 0.000 32.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 33.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 35.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 35.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.672 37.340 SNAKE/H125MHz + SLICE_X18Y36 FDCE r SNAKE/snakeHere_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.196 4.871 U1/comptY - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/R + SLICE_X18Y36 FDCE (Prop_fdce_C_Q) 0.456 37.796 r SNAKE/snakeHere_reg/Q + net (fo=14, routed) 1.554 39.351 SNAKE/snakeHere + SLICE_X37Y36 LUT2 (Prop_lut2_I0_O) 0.152 39.503 r SNAKE/snakeColor[G][0]_i_1/O + net (fo=1, routed) 0.000 39.503 SNAKE/snakeColor[G][0]_i_1_n_0 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[G][0]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y50 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[6] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.570 41.573 SNAKE/clk_out1 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[G][0]/C + clock pessimism 0.000 41.573 + clock uncertainty -0.406 41.167 + SLICE_X37Y36 FDCE (Setup_fdce_C_D) 0.075 41.242 SNAKE/snakeColor_reg[G][0] ------------------------------------------------------------------- - required time 38.615 - arrival time -4.871 + required time 41.242 + arrival time -39.503 ------------------------------------------------------------------- - slack 33.744 + slack 1.740 -Slack (MET) : 33.981ns (required time - arrival time) - Source: U1/comptY_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[0]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 1.741ns (required time - arrival time) + Source: SNAKE/snakeHere_reg/C + (rising edge-triggered cell FDCE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[R][4]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 5.139ns (logic 0.828ns (16.112%) route 4.311ns (83.888%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (clk_out1_clk_wiz_1 rise@40.000ns - sys_clk_pin rise@32.000ns) + Data Path Delay: 2.161ns (logic 0.608ns (28.132%) route 1.553ns (71.868%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -3.767ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.573ns = ( 41.573 - 40.000 ) + Source Clock Delay (SCD): 5.340ns = ( 37.340 - 32.000 ) + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + (clock sys_clk_pin rise edge) + 32.000 32.000 r + L16 0.000 32.000 r H125MHz (IN) + net (fo=0) 0.000 32.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 33.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 35.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 35.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.672 37.340 SNAKE/H125MHz + SLICE_X18Y36 FDCE r SNAKE/snakeHere_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 0.863 4.538 U1/comptY - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/R + SLICE_X18Y36 FDCE (Prop_fdce_C_Q) 0.456 37.796 r SNAKE/snakeHere_reg/Q + net (fo=14, routed) 1.553 39.350 SNAKE/snakeHere + SLICE_X37Y36 LUT2 (Prop_lut2_I0_O) 0.152 39.502 r SNAKE/snakeColor[R][4]_i_1/O + net (fo=1, routed) 0.000 39.502 SNAKE/snakeColor[R][4]_i_1_n_0 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[R][4]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X38Y51 FDRE (Setup_fdre_C_R) -0.524 38.520 U1/comptY_reg[0] + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.570 41.573 SNAKE/clk_out1 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[R][4]/C + clock pessimism 0.000 41.573 + clock uncertainty -0.406 41.167 + SLICE_X37Y36 FDCE (Setup_fdce_C_D) 0.075 41.242 SNAKE/snakeColor_reg[R][4] ------------------------------------------------------------------- - required time 38.520 - arrival time -4.538 + required time 41.242 + arrival time -39.502 ------------------------------------------------------------------- - slack 33.981 + slack 1.741 @@ -3569,635 +3471,585 @@ Slack (MET) : 33.981ns (required time - arrival time) Min Delay Paths -------------------------------------------------------------------------------------- -Slack (MET) : 0.101ns (arrival time - required time) - Source: U1/comptY_reg[1]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[2]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 0.418ns (arrival time - required time) + Source: ROM/data_reg[14]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[G][1]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.368ns (logic 0.183ns (49.756%) route 0.185ns (50.244%)) - Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns - Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - ------------------------------------------------------------------- ------------------- - SLICE_X36Y51 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptY_reg[1]/Q - net (fo=29, routed) 0.185 -0.148 U1/comptY_reg__0[1] - SLICE_X36Y51 LUT3 (Prop_lut3_I0_O) 0.042 -0.106 r U1/comptY[2]_i_1/O - net (fo=1, routed) 0.000 -0.106 U1/comptY[2]_i_1_n_0 - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/C - clock pessimism 0.232 -0.474 - clock uncertainty 0.160 -0.313 - SLICE_X36Y51 FDRE (Hold_fdre_C_D) 0.107 -0.206 U1/comptY_reg[2] - ------------------------------------------------------------------- - required time 0.206 - arrival time -0.106 - ------------------------------------------------------------------- - slack 0.101 - -Slack (MET) : 0.101ns (arrival time - required time) - Source: U1/comptX_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[5]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.353ns (logic 0.186ns (52.682%) route 0.167ns (47.318%)) - Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.473ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns - Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.588 -0.473 U1/CLK - SLICE_X43Y54 FDRE r U1/comptX_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X43Y54 FDRE (Prop_fdre_C_Q) 0.141 -0.332 r U1/comptX_reg[5]/Q - net (fo=25, routed) 0.167 -0.165 U1/comptX_reg__0[5] - SLICE_X43Y54 LUT6 (Prop_lut6_I5_O) 0.045 -0.120 r U1/comptX[5]_i_1/O - net (fo=1, routed) 0.000 -0.120 U1/plusOp[5] - SLICE_X43Y54 FDRE r U1/comptX_reg[5]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X43Y54 FDRE r U1/comptX_reg[5]/C - clock pessimism 0.232 -0.473 - clock uncertainty 0.160 -0.312 - SLICE_X43Y54 FDRE (Hold_fdre_C_D) 0.092 -0.220 U1/comptX_reg[5] - ------------------------------------------------------------------- - required time 0.220 - arrival time -0.120 - ------------------------------------------------------------------- - slack 0.101 - -Slack (MET) : 0.119ns (arrival time - required time) - Source: U1/comptX_reg[7]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[8]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.387ns (logic 0.183ns (47.319%) route 0.204ns (52.681%)) - Logic Levels: 1 (LUT5=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns - Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C - ------------------------------------------------------------------- ------------------- - SLICE_X41Y57 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptX_reg[7]/Q - net (fo=20, routed) 0.204 -0.129 U1/comptX_reg__0[7] - SLICE_X41Y57 LUT5 (Prop_lut5_I0_O) 0.042 -0.087 r U1/comptX[8]_i_1/O - net (fo=1, routed) 0.000 -0.087 U1/plusOp[8] - SLICE_X41Y57 FDRE r U1/comptX_reg[8]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[8]/C - clock pessimism 0.232 -0.474 - clock uncertainty 0.160 -0.313 - SLICE_X41Y57 FDRE (Hold_fdre_C_D) 0.107 -0.206 U1/comptX_reg[8] - ------------------------------------------------------------------- - required time 0.206 - arrival time -0.087 - ------------------------------------------------------------------- - slack 0.119 - -Slack (MET) : 0.120ns (arrival time - required time) - Source: U1/comptY_reg[1]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[1]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.371ns (logic 0.186ns (50.162%) route 0.185ns (49.838%)) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.273ns (logic 0.186ns (68.212%) route 0.087ns (31.788%)) Logic Levels: 1 (LUT2=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Clock Path Skew: -0.644ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.856ns + Source Clock Delay (SCD): 1.500ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.588 1.500 ROM/H125MHz + SLICE_X36Y36 FDRE r ROM/data_reg[14]/C ------------------------------------------------------------------- ------------------- - SLICE_X36Y51 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptY_reg[1]/Q - net (fo=29, routed) 0.185 -0.148 U1/comptY_reg__0[1] - SLICE_X36Y51 LUT2 (Prop_lut2_I1_O) 0.045 -0.103 r U1/comptY[1]_i_1/O - net (fo=1, routed) 0.000 -0.103 U1/comptY[1]_i_1_n_0 - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/D + SLICE_X36Y36 FDRE (Prop_fdre_C_Q) 0.141 1.641 r ROM/data_reg[14]/Q + net (fo=1, routed) 0.087 1.727 SNAKE/spritesROMData[4] + SLICE_X37Y36 LUT2 (Prop_lut2_I1_O) 0.045 1.772 r SNAKE/snakeColor[G][1]_i_1/O + net (fo=1, routed) 0.000 1.772 SNAKE/snakeColor[G][1]_i_1_n_0 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[G][1]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - clock pessimism 0.232 -0.474 - clock uncertainty 0.160 -0.313 - SLICE_X36Y51 FDRE (Hold_fdre_C_D) 0.091 -0.222 U1/comptY_reg[1] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.854 0.856 SNAKE/clk_out1 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[G][1]/C + clock pessimism 0.000 0.856 + clock uncertainty 0.406 1.262 + SLICE_X37Y36 FDCE (Hold_fdce_C_D) 0.092 1.354 SNAKE/snakeColor_reg[G][1] ------------------------------------------------------------------- - required time 0.222 - arrival time -0.103 + required time -1.354 + arrival time 1.772 ------------------------------------------------------------------- - slack 0.120 + slack 0.418 -Slack (MET) : 0.127ns (arrival time - required time) - Source: U1/comptX_reg[0]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[1]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 0.470ns (arrival time - required time) + Source: ROM/data_reg[9]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[B][1]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.419ns (logic 0.207ns (49.431%) route 0.212ns (50.569%)) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.322ns (logic 0.186ns (57.733%) route 0.136ns (42.267%)) Logic Levels: 1 (LUT2=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.473ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Clock Path Skew: -0.645ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.856ns + Source Clock Delay (SCD): 1.501ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.588 -0.473 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/C + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.589 1.501 ROM/H125MHz + SLICE_X37Y37 FDRE r ROM/data_reg[9]/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y55 FDRE (Prop_fdre_C_Q) 0.164 -0.309 r U1/comptX_reg[0]/Q - net (fo=25, routed) 0.212 -0.097 U1/comptX_reg__0[0] - SLICE_X42Y55 LUT2 (Prop_lut2_I0_O) 0.043 -0.054 r U1/comptX[1]_i_1/O - net (fo=1, routed) 0.000 -0.054 U1/plusOp[1] - SLICE_X42Y55 FDRE r U1/comptX_reg[1]/D + SLICE_X37Y37 FDRE (Prop_fdre_C_Q) 0.141 1.642 r ROM/data_reg[9]/Q + net (fo=1, routed) 0.136 1.778 SNAKE/spritesROMData[1] + SLICE_X37Y36 LUT2 (Prop_lut2_I1_O) 0.045 1.823 r SNAKE/snakeColor[B][1]_i_1/O + net (fo=1, routed) 0.000 1.823 SNAKE/snakeColor[B][1]_i_1_n_0 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[B][1]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[1]/C - clock pessimism 0.232 -0.473 - clock uncertainty 0.160 -0.312 - SLICE_X42Y55 FDRE (Hold_fdre_C_D) 0.131 -0.181 U1/comptX_reg[1] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.854 0.856 SNAKE/clk_out1 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[B][1]/C + clock pessimism 0.000 0.856 + clock uncertainty 0.406 1.262 + SLICE_X37Y36 FDCE (Hold_fdce_C_D) 0.091 1.353 SNAKE/snakeColor_reg[B][1] ------------------------------------------------------------------- - required time 0.181 - arrival time -0.054 + required time -1.353 + arrival time 1.823 ------------------------------------------------------------------- - slack 0.127 + slack 0.470 -Slack (MET) : 0.128ns (arrival time - required time) - Source: U1/comptX_reg[7]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[9]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 0.485ns (arrival time - required time) + Source: ROM/data_reg[7]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[A][7]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.398ns (logic 0.186ns (46.766%) route 0.212ns (53.234%)) - Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.017ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.248ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.340ns (logic 0.186ns (54.731%) route 0.154ns (45.269%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -0.642ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.858ns + Source Clock Delay (SCD): 1.500ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.588 1.500 ROM/H125MHz + SLICE_X39Y36 FDRE r ROM/data_reg[7]/C ------------------------------------------------------------------- ------------------- - SLICE_X41Y57 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptX_reg[7]/Q - net (fo=20, routed) 0.212 -0.121 U1/comptX_reg__0[7] - SLICE_X41Y56 LUT6 (Prop_lut6_I3_O) 0.045 -0.076 r U1/comptX[9]_i_1/O - net (fo=1, routed) 0.000 -0.076 U1/plusOp[9] - SLICE_X41Y56 FDRE r U1/comptX_reg[9]/D + SLICE_X39Y36 FDRE (Prop_fdre_C_Q) 0.141 1.641 r ROM/data_reg[7]/Q + net (fo=1, routed) 0.154 1.795 SNAKE/spritesROMData[0] + SLICE_X40Y36 LUT2 (Prop_lut2_I1_O) 0.045 1.840 r SNAKE/snakeColor[A][7]_i_1/O + net (fo=1, routed) 0.000 1.840 SNAKE/snakeColor[A][7]_i_1_n_0 + SLICE_X40Y36 FDCE r SNAKE/snakeColor_reg[A][7]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X41Y56 FDRE r U1/comptX_reg[9]/C - clock pessimism 0.248 -0.457 - clock uncertainty 0.160 -0.296 - SLICE_X41Y56 FDRE (Hold_fdre_C_D) 0.092 -0.204 U1/comptX_reg[9] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.856 0.858 SNAKE/clk_out1 + SLICE_X40Y36 FDCE r SNAKE/snakeColor_reg[A][7]/C + clock pessimism 0.000 0.858 + clock uncertainty 0.406 1.264 + SLICE_X40Y36 FDCE (Hold_fdce_C_D) 0.091 1.355 SNAKE/snakeColor_reg[A][7] ------------------------------------------------------------------- - required time 0.204 - arrival time -0.076 + required time -1.355 + arrival time 1.840 ------------------------------------------------------------------- - slack 0.128 + slack 0.485 -Slack (MET) : 0.137ns (arrival time - required time) - Source: U1/comptY_reg[6]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[6]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 0.537ns (arrival time - required time) + Source: ROM/data_reg[15]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[G][2]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.402ns (logic 0.183ns (45.514%) route 0.219ns (54.486%)) - Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.393ns (logic 0.186ns (47.328%) route 0.207ns (52.672%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -0.641ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.861ns + Source Clock Delay (SCD): 1.502ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/C + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.590 1.502 ROM/H125MHz + SLICE_X39Y38 FDRE r ROM/data_reg[15]/C ------------------------------------------------------------------- ------------------- - SLICE_X36Y50 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptY_reg[6]/Q - net (fo=21, routed) 0.219 -0.114 U1/comptY_reg__0[6] - SLICE_X36Y50 LUT3 (Prop_lut3_I2_O) 0.042 -0.072 r U1/comptY[6]_i_1/O - net (fo=1, routed) 0.000 -0.072 U1/plusOp__0[6] - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/D + SLICE_X39Y38 FDRE (Prop_fdre_C_Q) 0.141 1.643 r ROM/data_reg[15]/Q + net (fo=1, routed) 0.207 1.850 SNAKE/spritesROMData[5] + SLICE_X40Y38 LUT2 (Prop_lut2_I1_O) 0.045 1.895 r SNAKE/snakeColor[G][2]_i_1/O + net (fo=1, routed) 0.000 1.895 SNAKE/snakeColor[G][2]_i_1_n_0 + SLICE_X40Y38 FDCE r SNAKE/snakeColor_reg[G][2]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/C - clock pessimism 0.232 -0.474 - clock uncertainty 0.160 -0.313 - SLICE_X36Y50 FDRE (Hold_fdre_C_D) 0.105 -0.208 U1/comptY_reg[6] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.859 0.861 SNAKE/clk_out1 + SLICE_X40Y38 FDCE r SNAKE/snakeColor_reg[G][2]/C + clock pessimism 0.000 0.861 + clock uncertainty 0.406 1.267 + SLICE_X40Y38 FDCE (Hold_fdce_C_D) 0.091 1.358 SNAKE/snakeColor_reg[G][2] ------------------------------------------------------------------- - required time 0.208 - arrival time -0.072 + required time -1.358 + arrival time 1.895 ------------------------------------------------------------------- - slack 0.137 + slack 0.537 -Slack (MET) : 0.138ns (arrival time - required time) - Source: U1/comptX_reg[7]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[7]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 0.570ns (arrival time - required time) + Source: ROM/data_reg[21]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[R][2]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.390ns (logic 0.186ns (47.724%) route 0.204ns (52.276%)) - Logic Levels: 1 (LUT4=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.442ns (logic 0.210ns (47.481%) route 0.232ns (52.519%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -0.641ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.859ns + Source Clock Delay (SCD): 1.500ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.588 1.500 ROM/H125MHz + SLICE_X38Y36 FDRE r ROM/data_reg[21]/C ------------------------------------------------------------------- ------------------- - SLICE_X41Y57 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptX_reg[7]/Q - net (fo=20, routed) 0.204 -0.129 U1/comptX_reg__0[7] - SLICE_X41Y57 LUT4 (Prop_lut4_I3_O) 0.045 -0.084 r U1/comptX[7]_i_1/O - net (fo=1, routed) 0.000 -0.084 U1/plusOp[7] - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/D + SLICE_X38Y36 FDRE (Prop_fdre_C_Q) 0.164 1.664 r ROM/data_reg[21]/Q + net (fo=1, routed) 0.232 1.896 SNAKE/spritesROMData[10] + SLICE_X37Y38 LUT2 (Prop_lut2_I1_O) 0.046 1.942 r SNAKE/snakeColor[R][2]_i_1/O + net (fo=1, routed) 0.000 1.942 SNAKE/snakeColor[R][2]_i_1_n_0 + SLICE_X37Y38 FDCE r SNAKE/snakeColor_reg[R][2]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C - clock pessimism 0.232 -0.474 - clock uncertainty 0.160 -0.313 - SLICE_X41Y57 FDRE (Hold_fdre_C_D) 0.091 -0.222 U1/comptX_reg[7] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.857 0.859 SNAKE/clk_out1 + SLICE_X37Y38 FDCE r SNAKE/snakeColor_reg[R][2]/C + clock pessimism 0.000 0.859 + clock uncertainty 0.406 1.265 + SLICE_X37Y38 FDCE (Hold_fdce_C_D) 0.107 1.372 SNAKE/snakeColor_reg[R][2] ------------------------------------------------------------------- - required time 0.222 - arrival time -0.084 + required time -1.372 + arrival time 1.942 ------------------------------------------------------------------- - slack 0.138 + slack 0.570 -Slack (MET) : 0.140ns (arrival time - required time) - Source: U1/comptY_reg[0]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[0]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 0.594ns (arrival time - required time) + Source: ROM/data_reg[18]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[G][5]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.421ns (logic 0.209ns (49.671%) route 0.212ns (50.329%)) - Logic Levels: 1 (LUT1=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.451ns (logic 0.186ns (41.243%) route 0.265ns (58.757%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -0.641ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.858ns + Source Clock Delay (SCD): 1.499ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/C + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.587 1.499 ROM/H125MHz + SLICE_X39Y33 FDRE r ROM/data_reg[18]/C ------------------------------------------------------------------- ------------------- - SLICE_X38Y51 FDRE (Prop_fdre_C_Q) 0.164 -0.310 f U1/comptY_reg[0]/Q - net (fo=29, routed) 0.212 -0.098 U1/comptY_reg__0[0] - SLICE_X38Y51 LUT1 (Prop_lut1_I0_O) 0.045 -0.053 r U1/comptY[0]_i_1/O - net (fo=1, routed) 0.000 -0.053 U1/comptY[0]_i_1_n_0 - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/D + SLICE_X39Y33 FDRE (Prop_fdre_C_Q) 0.141 1.640 r ROM/data_reg[18]/Q + net (fo=1, routed) 0.265 1.905 SNAKE/spritesROMData[8] + SLICE_X40Y36 LUT2 (Prop_lut2_I1_O) 0.045 1.950 r SNAKE/snakeColor[G][5]_i_1/O + net (fo=1, routed) 0.000 1.950 SNAKE/snakeColor[G][5]_i_1_n_0 + SLICE_X40Y36 FDCE r SNAKE/snakeColor_reg[G][5]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/C - clock pessimism 0.232 -0.474 - clock uncertainty 0.160 -0.313 - SLICE_X38Y51 FDRE (Hold_fdre_C_D) 0.120 -0.193 U1/comptY_reg[0] + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.856 0.858 SNAKE/clk_out1 + SLICE_X40Y36 FDCE r SNAKE/snakeColor_reg[G][5]/C + clock pessimism 0.000 0.858 + clock uncertainty 0.406 1.264 + SLICE_X40Y36 FDCE (Hold_fdce_C_D) 0.092 1.356 SNAKE/snakeColor_reg[G][5] ------------------------------------------------------------------- - required time 0.193 - arrival time -0.053 + required time -1.356 + arrival time 1.950 ------------------------------------------------------------------- - slack 0.140 + slack 0.594 -Slack (MET) : 0.140ns (arrival time - required time) - Source: U1/comptX_reg[0]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[0]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) +Slack (MET) : 0.595ns (arrival time - required time) + Source: ROM/data_reg[16]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[G][3]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) Path Group: clk_out1_clk_wiz_1 Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1_1 rise@0.000ns) - Data Path Delay: 0.421ns (logic 0.209ns (49.671%) route 0.212ns (50.329%)) - Logic Levels: 1 (LUT1=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.473ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.466ns (logic 0.183ns (39.248%) route 0.283ns (60.752%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -0.642ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.858ns + Source Clock Delay (SCD): 1.500ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.588 -0.473 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/C + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.588 1.500 ROM/H125MHz + SLICE_X39Y34 FDRE r ROM/data_reg[16]/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y55 FDRE (Prop_fdre_C_Q) 0.164 -0.309 f U1/comptX_reg[0]/Q - net (fo=25, routed) 0.212 -0.097 U1/comptX_reg__0[0] - SLICE_X42Y55 LUT1 (Prop_lut1_I0_O) 0.045 -0.052 r U1/comptX[0]_i_1/O - net (fo=1, routed) 0.000 -0.052 U1/plusOp[0] - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/D + SLICE_X39Y34 FDRE (Prop_fdre_C_Q) 0.141 1.641 r ROM/data_reg[16]/Q + net (fo=1, routed) 0.283 1.924 SNAKE/spritesROMData[6] + SLICE_X40Y36 LUT2 (Prop_lut2_I1_O) 0.042 1.966 r SNAKE/snakeColor[G][3]_i_1/O + net (fo=1, routed) 0.000 1.966 SNAKE/snakeColor[G][3]_i_1_n_0 + SLICE_X40Y36 FDCE r SNAKE/snakeColor_reg[G][3]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.856 0.858 SNAKE/clk_out1 + SLICE_X40Y36 FDCE r SNAKE/snakeColor_reg[G][3]/C + clock pessimism 0.000 0.858 + clock uncertainty 0.406 1.264 + SLICE_X40Y36 FDCE (Hold_fdce_C_D) 0.107 1.371 SNAKE/snakeColor_reg[G][3] + ------------------------------------------------------------------- + required time -1.371 + arrival time 1.966 + ------------------------------------------------------------------- + slack 0.595 + +Slack (MET) : 0.607ns (arrival time - required time) + Source: ROM/data_reg[13]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[G][0]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: clk_out1_clk_wiz_1 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.502ns (logic 0.187ns (37.223%) route 0.315ns (62.777%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -0.618ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.856ns + Source Clock Delay (SCD): 1.474ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.246ns + Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/C - clock pessimism 0.232 -0.473 - clock uncertainty 0.160 -0.312 - SLICE_X42Y55 FDRE (Hold_fdre_C_D) 0.120 -0.192 U1/comptX_reg[0] + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.562 1.474 ROM/H125MHz + SLICE_X35Y37 FDRE r ROM/data_reg[13]/C + ------------------------------------------------------------------- ------------------- + SLICE_X35Y37 FDRE (Prop_fdre_C_Q) 0.141 1.615 r ROM/data_reg[13]/Q + net (fo=1, routed) 0.315 1.930 SNAKE/spritesROMData[3] + SLICE_X37Y36 LUT2 (Prop_lut2_I1_O) 0.046 1.976 r SNAKE/snakeColor[G][0]_i_1/O + net (fo=1, routed) 0.000 1.976 SNAKE/snakeColor[G][0]_i_1_n_0 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[G][0]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.854 0.856 SNAKE/clk_out1 + SLICE_X37Y36 FDCE r SNAKE/snakeColor_reg[G][0]/C + clock pessimism 0.000 0.856 + clock uncertainty 0.406 1.262 + SLICE_X37Y36 FDCE (Hold_fdce_C_D) 0.107 1.369 SNAKE/snakeColor_reg[G][0] ------------------------------------------------------------------- - required time 0.192 - arrival time -0.052 + required time -1.369 + arrival time 1.976 ------------------------------------------------------------------- - slack 0.140 + slack 0.607 + +Slack (MET) : 0.645ns (arrival time - required time) + Source: ROM/data_reg[17]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[G][4]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: clk_out1_clk_wiz_1 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.527ns (logic 0.186ns (35.324%) route 0.341ns (64.676%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -0.616ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.859ns + Source Clock Delay (SCD): 1.475ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.246ns + Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.563 1.475 ROM/H125MHz + SLICE_X35Y38 FDRE r ROM/data_reg[17]/C + ------------------------------------------------------------------- ------------------- + SLICE_X35Y38 FDRE (Prop_fdre_C_Q) 0.141 1.616 r ROM/data_reg[17]/Q + net (fo=1, routed) 0.341 1.956 SNAKE/spritesROMData[7] + SLICE_X37Y38 LUT2 (Prop_lut2_I1_O) 0.045 2.001 r SNAKE/snakeColor[G][4]_i_1/O + net (fo=1, routed) 0.000 2.001 SNAKE/snakeColor[G][4]_i_1_n_0 + SLICE_X37Y38 FDCE r SNAKE/snakeColor_reg[G][4]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.857 0.859 SNAKE/clk_out1 + SLICE_X37Y38 FDCE r SNAKE/snakeColor_reg[G][4]/C + clock pessimism 0.000 0.859 + clock uncertainty 0.406 1.265 + SLICE_X37Y38 FDCE (Hold_fdce_C_D) 0.091 1.356 SNAKE/snakeColor_reg[G][4] + ------------------------------------------------------------------- + required time -1.356 + arrival time 2.001 + ------------------------------------------------------------------- + slack 0.645 + +Slack (MET) : 0.648ns (arrival time - required time) + Source: ROM/data_reg[22]/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/snakeColor_reg[R][3]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: clk_out1_clk_wiz_1 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.519ns (logic 0.186ns (35.838%) route 0.333ns (64.162%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -0.642ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.858ns + Source Clock Delay (SCD): 1.500ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.246ns + Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.588 1.500 ROM/H125MHz + SLICE_X39Y36 FDRE r ROM/data_reg[22]/C + ------------------------------------------------------------------- ------------------- + SLICE_X39Y36 FDRE (Prop_fdre_C_Q) 0.141 1.641 r ROM/data_reg[22]/Q + net (fo=1, routed) 0.333 1.974 SNAKE/spritesROMData[11] + SLICE_X40Y36 LUT2 (Prop_lut2_I1_O) 0.045 2.019 r SNAKE/snakeColor[R][3]_i_1/O + net (fo=1, routed) 0.000 2.019 SNAKE/snakeColor[R][3]_i_1_n_0 + SLICE_X40Y36 FDCE r SNAKE/snakeColor_reg[R][3]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.856 0.858 SNAKE/clk_out1 + SLICE_X40Y36 FDCE r SNAKE/snakeColor_reg[R][3]/C + clock pessimism 0.000 0.858 + clock uncertainty 0.406 1.264 + SLICE_X40Y36 FDCE (Hold_fdce_C_D) 0.107 1.371 SNAKE/snakeColor_reg[R][3] + ------------------------------------------------------------------- + required time -1.371 + arrival time 2.019 + ------------------------------------------------------------------- + slack 0.648 @@ -4205,684 +4057,850 @@ Slack (MET) : 0.140ns (arrival time - required time) --------------------------------------------------------------------------------------------------- From Clock: clk_out1_clk_wiz_1 - To Clock: clk_out1_clk_wiz_1_1 + To Clock: sys_clk_pin -Setup : 0 Failing Endpoints, Worst Slack 33.269ns, Total Violation 0.000ns -Hold : 0 Failing Endpoints, Worst Slack 0.101ns, Total Violation 0.000ns +Setup : 21 Failing Endpoints, Worst Slack -3.437ns, Total Violation -39.544ns +Hold : 1 Failing Endpoint , Worst Slack -0.025ns, Total Violation -0.025ns --------------------------------------------------------------------------------------------------- Max Delay Paths -------------------------------------------------------------------------------------- -Slack (MET) : 33.269ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (VIOLATED) : -3.437ns (required time - arrival time) + Source: SYNC/comptX_reg[4]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[3]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 + Destination: SNAKE/ROMAddress_reg[9]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 6.142ns (logic 0.828ns (13.480%) route 5.314ns (86.520%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.252ns = ( 38.748 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.651ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 14.360ns (logic 3.985ns (27.750%) route 10.375ns (72.250%)) + Logic Levels: 13 (CARRY4=4 LUT2=1 LUT3=1 LUT4=1 LUT6=6) + Clock Path Skew: 3.220ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 1.663ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.660 1.663 SYNC/clk_out1 + SLICE_X29Y21 FDRE r SYNC/comptX_reg[4]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.866 5.542 U1/comptY - SLICE_X40Y49 FDRE r U1/comptY_reg[3]/R + SLICE_X29Y21 FDRE (Prop_fdre_C_Q) 0.419 2.082 r SYNC/comptX_reg[4]/Q + net (fo=10, routed) 1.606 3.688 SYNC/comptX_reg__0[4] + SLICE_X28Y20 LUT3 (Prop_lut3_I1_O) 0.299 3.987 r SYNC/comptY[9]_i_4/O + net (fo=10, routed) 1.071 5.058 SYNC/comptY[9]_i_4_n_0 + SLICE_X27Y24 LUT6 (Prop_lut6_I4_O) 0.124 5.182 r SYNC/ROMAddress[7]_i_113/O + net (fo=54, routed) 1.047 6.229 SYNC/Xi[0] + SLICE_X23Y28 CARRY4 (Prop_carry4_DI[0]_O[2]) + 0.556 6.785 r SYNC/ROMAddress_reg[7]_i_252/O[2] + net (fo=5, routed) 1.352 8.137 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40_1[1] + SLICE_X22Y28 LUT4 (Prop_lut4_I3_O) 0.302 8.439 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_108/O + net (fo=1, routed) 0.000 8.439 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_108_n_0 + SLICE_X22Y28 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 9.079 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40/O[3] + net (fo=2, routed) 1.031 10.110 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40_n_4 + SLICE_X15Y29 LUT6 (Prop_lut6_I0_O) 0.306 10.416 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_214/O + net (fo=6, routed) 0.774 11.189 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_214_n_0 + SLICE_X10Y29 LUT2 (Prop_lut2_I1_O) 0.124 11.313 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_263/O + net (fo=1, routed) 0.793 12.107 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_263_n_0 + SLICE_X17Y28 LUT6 (Prop_lut6_I2_O) 0.124 12.231 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_128/O + net (fo=1, routed) 0.658 12.889 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_128_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 13.013 f RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_46/O + net (fo=1, routed) 0.559 13.572 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_46_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 13.696 f RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_15/O + net (fo=3, routed) 0.533 14.229 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_15_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 14.353 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_2/O + net (fo=1, routed) 0.951 15.304 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_2_n_0 + SLICE_X20Y29 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 15.700 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 15.700 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1_n_0 + SLICE_X20Y30 CARRY4 (Prop_carry4_CI_O[1]) + 0.323 16.023 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_2/O[1] + net (fo=1, routed) 0.000 16.023 SNAKE/D[9] + SLICE_X20Y30 FDRE r SNAKE/ROMAddress_reg[9]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.580 38.748 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[3]/C - clock pessimism 0.651 39.399 - clock uncertainty -0.160 39.239 - SLICE_X40Y49 FDRE (Setup_fdre_C_R) -0.429 38.810 U1/comptY_reg[3] + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y30 FDRE r SNAKE/ROMAddress_reg[9]/C + clock pessimism 0.000 12.883 + clock uncertainty -0.406 12.477 + SLICE_X20Y30 FDRE (Setup_fdre_C_D) 0.109 12.586 SNAKE/ROMAddress_reg[9] ------------------------------------------------------------------- - required time 38.810 - arrival time -5.542 + required time 12.586 + arrival time -16.023 ------------------------------------------------------------------- - slack 33.269 + slack -3.437 -Slack (MET) : 33.269ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (VIOLATED) : -3.333ns (required time - arrival time) + Source: SYNC/comptX_reg[4]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[5]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 + Destination: SNAKE/ROMAddress_reg[8]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 6.142ns (logic 0.828ns (13.480%) route 5.314ns (86.520%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.252ns = ( 38.748 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.651ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 14.256ns (logic 3.881ns (27.223%) route 10.375ns (72.777%)) + Logic Levels: 13 (CARRY4=4 LUT2=1 LUT3=1 LUT4=1 LUT6=6) + Clock Path Skew: 3.220ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 1.663ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.660 1.663 SYNC/clk_out1 + SLICE_X29Y21 FDRE r SYNC/comptX_reg[4]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.866 5.542 U1/comptY - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/R + SLICE_X29Y21 FDRE (Prop_fdre_C_Q) 0.419 2.082 r SYNC/comptX_reg[4]/Q + net (fo=10, routed) 1.606 3.688 SYNC/comptX_reg__0[4] + SLICE_X28Y20 LUT3 (Prop_lut3_I1_O) 0.299 3.987 r SYNC/comptY[9]_i_4/O + net (fo=10, routed) 1.071 5.058 SYNC/comptY[9]_i_4_n_0 + SLICE_X27Y24 LUT6 (Prop_lut6_I4_O) 0.124 5.182 r SYNC/ROMAddress[7]_i_113/O + net (fo=54, routed) 1.047 6.229 SYNC/Xi[0] + SLICE_X23Y28 CARRY4 (Prop_carry4_DI[0]_O[2]) + 0.556 6.785 r SYNC/ROMAddress_reg[7]_i_252/O[2] + net (fo=5, routed) 1.352 8.137 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40_1[1] + SLICE_X22Y28 LUT4 (Prop_lut4_I3_O) 0.302 8.439 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_108/O + net (fo=1, routed) 0.000 8.439 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_108_n_0 + SLICE_X22Y28 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 9.079 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40/O[3] + net (fo=2, routed) 1.031 10.110 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40_n_4 + SLICE_X15Y29 LUT6 (Prop_lut6_I0_O) 0.306 10.416 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_214/O + net (fo=6, routed) 0.774 11.189 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_214_n_0 + SLICE_X10Y29 LUT2 (Prop_lut2_I1_O) 0.124 11.313 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_263/O + net (fo=1, routed) 0.793 12.107 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_263_n_0 + SLICE_X17Y28 LUT6 (Prop_lut6_I2_O) 0.124 12.231 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_128/O + net (fo=1, routed) 0.658 12.889 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_128_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 13.013 f RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_46/O + net (fo=1, routed) 0.559 13.572 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_46_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 13.696 f RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_15/O + net (fo=3, routed) 0.533 14.229 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_15_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 14.353 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_2/O + net (fo=1, routed) 0.951 15.304 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_2_n_0 + SLICE_X20Y29 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 15.700 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1/CO[3] + net (fo=1, routed) 0.000 15.700 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1_n_0 + SLICE_X20Y30 CARRY4 (Prop_carry4_CI_O[0]) + 0.219 15.919 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_2/O[0] + net (fo=1, routed) 0.000 15.919 SNAKE/D[8] + SLICE_X20Y30 FDRE r SNAKE/ROMAddress_reg[8]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.580 38.748 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C - clock pessimism 0.651 39.399 - clock uncertainty -0.160 39.239 - SLICE_X40Y49 FDRE (Setup_fdre_C_R) -0.429 38.810 U1/comptY_reg[5] + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y30 FDRE r SNAKE/ROMAddress_reg[8]/C + clock pessimism 0.000 12.883 + clock uncertainty -0.406 12.477 + SLICE_X20Y30 FDRE (Setup_fdre_C_D) 0.109 12.586 SNAKE/ROMAddress_reg[8] ------------------------------------------------------------------- - required time 38.810 - arrival time -5.542 + required time 12.586 + arrival time -15.919 ------------------------------------------------------------------- - slack 33.269 + slack -3.333 -Slack (MET) : 33.414ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (VIOLATED) : -2.495ns (required time - arrival time) + Source: SYNC/comptX_reg[4]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[4]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 + Destination: SNAKE/ROMAddress_reg[7]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.838ns (logic 0.828ns (14.184%) route 5.010ns (85.816%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.064ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.253ns = ( 38.747 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.588ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 13.418ns (logic 3.618ns (26.963%) route 9.800ns (73.037%)) + Logic Levels: 12 (CARRY4=3 LUT2=1 LUT3=1 LUT4=1 LUT5=1 LUT6=5) + Clock Path Skew: 3.220ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 1.663ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.660 1.663 SYNC/clk_out1 + SLICE_X29Y21 FDRE r SYNC/comptX_reg[4]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.561 5.237 U1/comptY - SLICE_X38Y49 FDRE r U1/comptY_reg[4]/R + SLICE_X29Y21 FDRE (Prop_fdre_C_Q) 0.419 2.082 r SYNC/comptX_reg[4]/Q + net (fo=10, routed) 1.606 3.688 SYNC/comptX_reg__0[4] + SLICE_X28Y20 LUT3 (Prop_lut3_I1_O) 0.299 3.987 r SYNC/comptY[9]_i_4/O + net (fo=10, routed) 1.071 5.058 SYNC/comptY[9]_i_4_n_0 + SLICE_X27Y24 LUT6 (Prop_lut6_I4_O) 0.124 5.182 r SYNC/ROMAddress[7]_i_113/O + net (fo=54, routed) 1.047 6.229 SYNC/Xi[0] + SLICE_X23Y28 CARRY4 (Prop_carry4_DI[0]_O[2]) + 0.556 6.785 r SYNC/ROMAddress_reg[7]_i_252/O[2] + net (fo=5, routed) 1.352 8.137 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40_1[1] + SLICE_X22Y28 LUT4 (Prop_lut4_I3_O) 0.302 8.439 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_108/O + net (fo=1, routed) 0.000 8.439 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_108_n_0 + SLICE_X22Y28 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 9.079 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40/O[3] + net (fo=2, routed) 1.031 10.110 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40_n_4 + SLICE_X15Y29 LUT6 (Prop_lut6_I0_O) 0.306 10.416 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_214/O + net (fo=6, routed) 0.774 11.189 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_214_n_0 + SLICE_X10Y29 LUT2 (Prop_lut2_I1_O) 0.124 11.313 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_263/O + net (fo=1, routed) 0.793 12.107 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_263_n_0 + SLICE_X17Y28 LUT6 (Prop_lut6_I2_O) 0.124 12.231 f RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_128/O + net (fo=1, routed) 0.658 12.889 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_128_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 13.013 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_46/O + net (fo=1, routed) 0.559 13.572 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_46_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 13.696 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_15/O + net (fo=3, routed) 0.909 14.605 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_15_n_0 + SLICE_X20Y29 LUT5 (Prop_lut5_I3_O) 0.124 14.729 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_7/O + net (fo=1, routed) 0.000 14.729 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_7_n_0 + SLICE_X20Y29 CARRY4 (Prop_carry4_S[2]_O[3]) + 0.352 15.081 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1/O[3] + net (fo=1, routed) 0.000 15.081 SNAKE/D[7] + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[7]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.579 38.747 U1/CLK - SLICE_X38Y49 FDRE r U1/comptY_reg[4]/C - clock pessimism 0.588 39.335 - clock uncertainty -0.160 39.175 - SLICE_X38Y49 FDRE (Setup_fdre_C_R) -0.524 38.651 U1/comptY_reg[4] + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[7]/C + clock pessimism 0.000 12.883 + clock uncertainty -0.406 12.477 + SLICE_X20Y29 FDRE (Setup_fdre_C_D) 0.109 12.586 SNAKE/ROMAddress_reg[7] ------------------------------------------------------------------- - required time 38.651 - arrival time -5.237 + required time 12.586 + arrival time -15.081 ------------------------------------------------------------------- - slack 33.414 + slack -2.495 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (VIOLATED) : -2.393ns (required time - arrival time) + Source: SYNC/comptX_reg[4]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[1]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 + Destination: SNAKE/ROMAddress_reg[6]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 13.316ns (logic 3.516ns (26.403%) route 9.800ns (73.597%)) + Logic Levels: 12 (CARRY4=3 LUT2=1 LUT3=1 LUT4=1 LUT5=1 LUT6=5) + Clock Path Skew: 3.220ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 1.663ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.660 1.663 SYNC/clk_out1 + SLICE_X29Y21 FDRE r SYNC/comptX_reg[4]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/R + SLICE_X29Y21 FDRE (Prop_fdre_C_Q) 0.419 2.082 r SYNC/comptX_reg[4]/Q + net (fo=10, routed) 1.606 3.688 SYNC/comptX_reg__0[4] + SLICE_X28Y20 LUT3 (Prop_lut3_I1_O) 0.299 3.987 r SYNC/comptY[9]_i_4/O + net (fo=10, routed) 1.071 5.058 SYNC/comptY[9]_i_4_n_0 + SLICE_X27Y24 LUT6 (Prop_lut6_I4_O) 0.124 5.182 r SYNC/ROMAddress[7]_i_113/O + net (fo=54, routed) 1.047 6.229 SYNC/Xi[0] + SLICE_X23Y28 CARRY4 (Prop_carry4_DI[0]_O[2]) + 0.556 6.785 r SYNC/ROMAddress_reg[7]_i_252/O[2] + net (fo=5, routed) 1.352 8.137 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40_1[1] + SLICE_X22Y28 LUT4 (Prop_lut4_I3_O) 0.302 8.439 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_108/O + net (fo=1, routed) 0.000 8.439 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_108_n_0 + SLICE_X22Y28 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 9.079 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40/O[3] + net (fo=2, routed) 1.031 10.110 RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_40_n_4 + SLICE_X15Y29 LUT6 (Prop_lut6_I0_O) 0.306 10.416 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_214/O + net (fo=6, routed) 0.774 11.189 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_214_n_0 + SLICE_X10Y29 LUT2 (Prop_lut2_I1_O) 0.124 11.313 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_263/O + net (fo=1, routed) 0.793 12.107 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_263_n_0 + SLICE_X17Y28 LUT6 (Prop_lut6_I2_O) 0.124 12.231 f RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_128/O + net (fo=1, routed) 0.658 12.889 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_128_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 13.013 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_46/O + net (fo=1, routed) 0.559 13.572 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_46_n_0 + SLICE_X16Y29 LUT6 (Prop_lut6_I5_O) 0.124 13.696 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_15/O + net (fo=3, routed) 0.909 14.605 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_15_n_0 + SLICE_X20Y29 LUT5 (Prop_lut5_I3_O) 0.124 14.729 r RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_7/O + net (fo=1, routed) 0.000 14.729 RAMCTRL/SNAKE_RAM/ROMAddress[7]_i_7_n_0 + SLICE_X20Y29 CARRY4 (Prop_carry4_S[2]_O[2]) + 0.250 14.979 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1/O[2] + net (fo=1, routed) 0.000 14.979 SNAKE/D[6] + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[6]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[1] + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[6]/C + clock pessimism 0.000 12.883 + clock uncertainty -0.406 12.477 + SLICE_X20Y29 FDRE (Setup_fdre_C_D) 0.109 12.586 SNAKE/ROMAddress_reg[6] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 12.586 + arrival time -14.979 ------------------------------------------------------------------- - slack 33.600 + slack -2.393 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (VIOLATED) : -2.382ns (required time - arrival time) + Source: SYNC/comptX_reg[10]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[2]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 + Destination: SNAKE/ROMAddress_reg[5]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 13.305ns (logic 3.333ns (25.050%) route 9.972ns (74.950%)) + Logic Levels: 14 (CARRY4=4 LUT2=1 LUT5=3 LUT6=6) + Clock Path Skew: 3.220ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 1.663ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.660 1.663 SYNC/clk_out1 + SLICE_X27Y20 FDRE r SYNC/comptX_reg[10]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/R + SLICE_X27Y20 FDRE (Prop_fdre_C_Q) 0.456 2.119 f SYNC/comptX_reg[10]/Q + net (fo=19, routed) 1.176 3.295 SYNC/comptX_reg__0[10] + SLICE_X26Y20 LUT2 (Prop_lut2_I1_O) 0.124 3.419 f SYNC/cCaseX[0]_i_3/O + net (fo=2, routed) 1.479 4.898 SYNC/cCaseX[0]_i_3_n_0 + SLICE_X26Y25 LUT6 (Prop_lut6_I1_O) 0.124 5.022 r SYNC/cCaseX[1]_i_2/O + net (fo=1, routed) 0.586 5.608 SYNC/cCaseX[1]_i_2_n_0 + SLICE_X26Y25 LUT5 (Prop_lut5_I4_O) 0.124 5.732 r SYNC/cCaseX[1]_i_1/O + net (fo=55, routed) 1.269 7.001 RAMCTRL/SNAKE_RAM/Xi[5] + SLICE_X31Y25 LUT5 (Prop_lut5_I1_O) 0.124 7.125 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_618/O + net (fo=1, routed) 0.000 7.125 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_618_n_0 + SLICE_X31Y25 CARRY4 (Prop_carry4_S[2]_CO[3]) + 0.398 7.523 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_327/CO[3] + net (fo=1, routed) 0.000 7.523 RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_327_n_0 + SLICE_X31Y26 CARRY4 (Prop_carry4_CI_CO[0]) + 0.271 7.794 f RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_113/CO[0] + net (fo=1, routed) 0.836 8.630 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere5 + SLICE_X25Y27 LUT5 (Prop_lut5_I1_O) 0.373 9.003 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_35/O + net (fo=13, routed) 0.982 9.985 RAMCTRL/SNAKE_RAM/mem_reg_7_0_4 + SLICE_X25Y27 LUT6 (Prop_lut6_I5_O) 0.124 10.109 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_10/O + net (fo=10, routed) 0.705 10.814 RAMCTRL/SNAKE_RAM/mem_reg_8_0_4 + SLICE_X25Y30 LUT6 (Prop_lut6_I2_O) 0.124 10.938 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_72/O + net (fo=1, routed) 0.593 11.531 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_72_n_0 + SLICE_X24Y29 LUT6 (Prop_lut6_I4_O) 0.124 11.655 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_27/O + net (fo=2, routed) 0.761 12.416 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_27_n_0 + SLICE_X19Y27 LUT6 (Prop_lut6_I3_O) 0.124 12.540 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_10/O + net (fo=1, routed) 0.714 13.254 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_10_n_0 + SLICE_X19Y28 LUT6 (Prop_lut6_I0_O) 0.124 13.378 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_2/O + net (fo=1, routed) 0.871 14.249 RAMCTRL/SNAKE_RAM/SNAKE/p_11_out[3] + SLICE_X20Y28 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 14.645 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1/CO[3] + net (fo=1, routed) 0.000 14.645 RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1_n_0 + SLICE_X20Y29 CARRY4 (Prop_carry4_CI_O[1]) + 0.323 14.968 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1/O[1] + net (fo=1, routed) 0.000 14.968 SNAKE/D[5] + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[5]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[2] + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[5]/C + clock pessimism 0.000 12.883 + clock uncertainty -0.406 12.477 + SLICE_X20Y29 FDRE (Setup_fdre_C_D) 0.109 12.586 SNAKE/ROMAddress_reg[5] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 12.586 + arrival time -14.968 ------------------------------------------------------------------- - slack 33.600 + slack -2.382 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (VIOLATED) : -2.278ns (required time - arrival time) + Source: SYNC/comptX_reg[10]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[7]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 + Destination: SNAKE/ROMAddress_reg[4]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 13.201ns (logic 3.229ns (24.459%) route 9.972ns (75.541%)) + Logic Levels: 14 (CARRY4=4 LUT2=1 LUT5=3 LUT6=6) + Clock Path Skew: 3.220ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 1.663ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.660 1.663 SYNC/clk_out1 + SLICE_X27Y20 FDRE r SYNC/comptX_reg[10]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[7]/R + SLICE_X27Y20 FDRE (Prop_fdre_C_Q) 0.456 2.119 f SYNC/comptX_reg[10]/Q + net (fo=19, routed) 1.176 3.295 SYNC/comptX_reg__0[10] + SLICE_X26Y20 LUT2 (Prop_lut2_I1_O) 0.124 3.419 f SYNC/cCaseX[0]_i_3/O + net (fo=2, routed) 1.479 4.898 SYNC/cCaseX[0]_i_3_n_0 + SLICE_X26Y25 LUT6 (Prop_lut6_I1_O) 0.124 5.022 r SYNC/cCaseX[1]_i_2/O + net (fo=1, routed) 0.586 5.608 SYNC/cCaseX[1]_i_2_n_0 + SLICE_X26Y25 LUT5 (Prop_lut5_I4_O) 0.124 5.732 r SYNC/cCaseX[1]_i_1/O + net (fo=55, routed) 1.269 7.001 RAMCTRL/SNAKE_RAM/Xi[5] + SLICE_X31Y25 LUT5 (Prop_lut5_I1_O) 0.124 7.125 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_618/O + net (fo=1, routed) 0.000 7.125 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_618_n_0 + SLICE_X31Y25 CARRY4 (Prop_carry4_S[2]_CO[3]) + 0.398 7.523 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_327/CO[3] + net (fo=1, routed) 0.000 7.523 RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_327_n_0 + SLICE_X31Y26 CARRY4 (Prop_carry4_CI_CO[0]) + 0.271 7.794 f RAMCTRL/SNAKE_RAM/ROMAddress_reg[9]_i_113/CO[0] + net (fo=1, routed) 0.836 8.630 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere5 + SLICE_X25Y27 LUT5 (Prop_lut5_I1_O) 0.373 9.003 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_35/O + net (fo=13, routed) 0.982 9.985 RAMCTRL/SNAKE_RAM/mem_reg_7_0_4 + SLICE_X25Y27 LUT6 (Prop_lut6_I5_O) 0.124 10.109 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_10/O + net (fo=10, routed) 0.705 10.814 RAMCTRL/SNAKE_RAM/mem_reg_8_0_4 + SLICE_X25Y30 LUT6 (Prop_lut6_I2_O) 0.124 10.938 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_72/O + net (fo=1, routed) 0.593 11.531 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_72_n_0 + SLICE_X24Y29 LUT6 (Prop_lut6_I4_O) 0.124 11.655 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_27/O + net (fo=2, routed) 0.761 12.416 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_27_n_0 + SLICE_X19Y27 LUT6 (Prop_lut6_I3_O) 0.124 12.540 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_10/O + net (fo=1, routed) 0.714 13.254 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_10_n_0 + SLICE_X19Y28 LUT6 (Prop_lut6_I0_O) 0.124 13.378 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_2/O + net (fo=1, routed) 0.871 14.249 RAMCTRL/SNAKE_RAM/SNAKE/p_11_out[3] + SLICE_X20Y28 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 14.645 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1/CO[3] + net (fo=1, routed) 0.000 14.645 RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1_n_0 + SLICE_X20Y29 CARRY4 (Prop_carry4_CI_O[0]) + 0.219 14.864 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[7]_i_1/O[0] + net (fo=1, routed) 0.000 14.864 SNAKE/D[4] + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[4]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[7]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[7] + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[4]/C + clock pessimism 0.000 12.883 + clock uncertainty -0.406 12.477 + SLICE_X20Y29 FDRE (Setup_fdre_C_D) 0.109 12.586 SNAKE/ROMAddress_reg[4] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 12.586 + arrival time -14.864 ------------------------------------------------------------------- - slack 33.600 + slack -2.278 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (VIOLATED) : -1.898ns (required time - arrival time) + Source: SYNC/comptX_reg[4]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[8]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 + Destination: SNAKE/ROMAddress_reg[3]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 12.820ns (logic 2.983ns (23.268%) route 9.837ns (76.732%)) + Logic Levels: 12 (CARRY4=3 LUT3=1 LUT4=1 LUT5=3 LUT6=4) + Clock Path Skew: 3.219ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.882ns = ( 12.882 - 8.000 ) + Source Clock Delay (SCD): 1.663ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.660 1.663 SYNC/clk_out1 + SLICE_X29Y21 FDRE r SYNC/comptX_reg[4]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[8]/R + SLICE_X29Y21 FDRE (Prop_fdre_C_Q) 0.419 2.082 r SYNC/comptX_reg[4]/Q + net (fo=10, routed) 1.606 3.688 SYNC/comptX_reg__0[4] + SLICE_X28Y20 LUT3 (Prop_lut3_I1_O) 0.299 3.987 r SYNC/comptY[9]_i_4/O + net (fo=10, routed) 1.017 5.004 SYNC/comptY[9]_i_4_n_0 + SLICE_X27Y20 LUT5 (Prop_lut5_I4_O) 0.124 5.128 r SYNC/cCaseX[3]_i_1/O + net (fo=55, routed) 1.817 6.945 RAMCTRL/SNAKE_RAM/Xi[7] + SLICE_X11Y30 LUT6 (Prop_lut6_I0_O) 0.124 7.069 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_376/O + net (fo=1, routed) 0.394 7.463 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_376_n_0 + SLICE_X13Y29 LUT6 (Prop_lut6_I0_O) 0.124 7.587 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_275/O + net (fo=1, routed) 0.000 7.587 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_275_n_0 + SLICE_X13Y29 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 7.988 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_125/CO[3] + net (fo=1, routed) 0.000 7.988 RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_125_n_0 + SLICE_X13Y30 CARRY4 (Prop_carry4_CI_CO[0]) + 0.271 8.259 f RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_49/CO[0] + net (fo=1, routed) 0.844 9.104 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere524_in + SLICE_X14Y28 LUT5 (Prop_lut5_I2_O) 0.373 9.477 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_14/O + net (fo=25, routed) 1.167 10.644 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_14_n_0 + SLICE_X18Y33 LUT6 (Prop_lut6_I0_O) 0.124 10.768 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_26/O + net (fo=11, routed) 1.228 11.996 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_26_n_0 + SLICE_X20Y26 LUT5 (Prop_lut5_I0_O) 0.124 12.120 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_16/O + net (fo=1, routed) 0.900 13.020 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_16_n_0 + SLICE_X21Y28 LUT6 (Prop_lut6_I2_O) 0.124 13.144 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_3/O + net (fo=2, routed) 0.864 14.007 RAMCTRL/SNAKE_RAM/SNAKE/p_11_out[2] + SLICE_X20Y28 LUT4 (Prop_lut4_I0_O) 0.124 14.131 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_7/O + net (fo=1, routed) 0.000 14.131 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_7_n_0 + SLICE_X20Y28 CARRY4 (Prop_carry4_S[2]_O[3]) + 0.352 14.483 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1/O[3] + net (fo=1, routed) 0.000 14.483 SNAKE/D[3] + SLICE_X20Y28 FDRE r SNAKE/ROMAddress_reg[3]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[8]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[8] + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 12.882 SNAKE/H125MHz + SLICE_X20Y28 FDRE r SNAKE/ROMAddress_reg[3]/C + clock pessimism 0.000 12.882 + clock uncertainty -0.406 12.476 + SLICE_X20Y28 FDRE (Setup_fdre_C_D) 0.109 12.585 SNAKE/ROMAddress_reg[3] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 12.585 + arrival time -14.483 ------------------------------------------------------------------- - slack 33.600 + slack -1.898 -Slack (MET) : 33.600ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (VIOLATED) : -1.833ns (required time - arrival time) + Source: SYNC/comptX_reg[4]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[9]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 + Destination: SNAKE/ROMAddress_reg[2]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.616ns (logic 0.828ns (14.744%) route 4.788ns (85.256%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 12.755ns (logic 3.209ns (25.158%) route 9.546ns (74.842%)) + Logic Levels: 12 (CARRY4=3 LUT3=1 LUT5=2 LUT6=6) + Clock Path Skew: 3.219ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.882ns = ( 12.882 - 8.000 ) + Source Clock Delay (SCD): 1.663ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.660 1.663 SYNC/clk_out1 + SLICE_X29Y21 FDRE r SYNC/comptX_reg[4]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.340 5.015 U1/comptY - SLICE_X36Y51 FDRE r U1/comptY_reg[9]/R + SLICE_X29Y21 FDRE (Prop_fdre_C_Q) 0.419 2.082 r SYNC/comptX_reg[4]/Q + net (fo=10, routed) 1.606 3.688 SYNC/comptX_reg__0[4] + SLICE_X28Y20 LUT3 (Prop_lut3_I1_O) 0.299 3.987 r SYNC/comptY[9]_i_4/O + net (fo=10, routed) 1.017 5.004 SYNC/comptY[9]_i_4_n_0 + SLICE_X27Y20 LUT5 (Prop_lut5_I4_O) 0.124 5.128 r SYNC/cCaseX[3]_i_1/O + net (fo=55, routed) 1.817 6.945 RAMCTRL/SNAKE_RAM/Xi[7] + SLICE_X11Y30 LUT6 (Prop_lut6_I0_O) 0.124 7.069 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_376/O + net (fo=1, routed) 0.394 7.463 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_376_n_0 + SLICE_X13Y29 LUT6 (Prop_lut6_I0_O) 0.124 7.587 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_275/O + net (fo=1, routed) 0.000 7.587 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_275_n_0 + SLICE_X13Y29 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 7.988 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_125/CO[3] + net (fo=1, routed) 0.000 7.988 RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_125_n_0 + SLICE_X13Y30 CARRY4 (Prop_carry4_CI_CO[0]) + 0.271 8.259 f RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_49/CO[0] + net (fo=1, routed) 0.844 9.104 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere524_in + SLICE_X14Y28 LUT5 (Prop_lut5_I2_O) 0.373 9.477 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_14/O + net (fo=25, routed) 1.167 10.644 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_14_n_0 + SLICE_X18Y33 LUT6 (Prop_lut6_I0_O) 0.124 10.768 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_26/O + net (fo=11, routed) 1.099 11.867 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_26_n_0 + SLICE_X18Y27 LUT6 (Prop_lut6_I0_O) 0.124 11.991 f RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_19/O + net (fo=1, routed) 0.736 12.728 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_19_n_0 + SLICE_X20Y27 LUT6 (Prop_lut6_I0_O) 0.124 12.852 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_4/O + net (fo=2, routed) 0.865 13.716 RAMCTRL/SNAKE_RAM/SNAKE/p_11_out[1] + SLICE_X20Y28 LUT6 (Prop_lut6_I0_O) 0.124 13.840 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_8/O + net (fo=1, routed) 0.000 13.840 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_8_n_0 + SLICE_X20Y28 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.578 14.418 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_1/O[2] + net (fo=1, routed) 0.000 14.418 SNAKE/D[2] + SLICE_X20Y28 FDRE r SNAKE/ROMAddress_reg[2]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[9]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y51 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[9] + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 12.882 SNAKE/H125MHz + SLICE_X20Y28 FDRE r SNAKE/ROMAddress_reg[2]/C + clock pessimism 0.000 12.882 + clock uncertainty -0.406 12.476 + SLICE_X20Y28 FDRE (Setup_fdre_C_D) 0.109 12.585 SNAKE/ROMAddress_reg[2] ------------------------------------------------------------------- - required time 38.615 - arrival time -5.015 + required time 12.585 + arrival time -14.418 ------------------------------------------------------------------- - slack 33.600 + slack -1.833 -Slack (MET) : 33.744ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (VIOLATED) : -1.756ns (required time - arrival time) + Source: SYNC/comptX_reg[4]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[6]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 + Destination: SNAKE/ROMAddress_reg[4]/CE + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.472ns (logic 0.828ns (15.132%) route 4.644ns (84.868%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 12.401ns (logic 2.507ns (20.216%) route 9.894ns (79.784%)) + Logic Levels: 10 (CARRY4=2 LUT3=2 LUT4=1 LUT5=3 LUT6=2) + Clock Path Skew: 3.220ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 1.663ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.660 1.663 SYNC/clk_out1 + SLICE_X29Y21 FDRE r SYNC/comptX_reg[4]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 1.196 4.871 U1/comptY - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/R + SLICE_X29Y21 FDRE (Prop_fdre_C_Q) 0.419 2.082 r SYNC/comptX_reg[4]/Q + net (fo=10, routed) 1.606 3.688 SYNC/comptX_reg__0[4] + SLICE_X28Y20 LUT3 (Prop_lut3_I1_O) 0.299 3.987 r SYNC/comptY[9]_i_4/O + net (fo=10, routed) 1.017 5.004 SYNC/comptY[9]_i_4_n_0 + SLICE_X27Y20 LUT5 (Prop_lut5_I4_O) 0.124 5.128 r SYNC/cCaseX[3]_i_1/O + net (fo=55, routed) 1.817 6.945 RAMCTRL/SNAKE_RAM/Xi[7] + SLICE_X11Y30 LUT6 (Prop_lut6_I0_O) 0.124 7.069 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_376/O + net (fo=1, routed) 0.394 7.463 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_376_n_0 + SLICE_X13Y29 LUT6 (Prop_lut6_I0_O) 0.124 7.587 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_275/O + net (fo=1, routed) 0.000 7.587 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_275_n_0 + SLICE_X13Y29 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 7.988 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_125/CO[3] + net (fo=1, routed) 0.000 7.988 RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_125_n_0 + SLICE_X13Y30 CARRY4 (Prop_carry4_CI_CO[0]) + 0.271 8.259 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_49/CO[0] + net (fo=1, routed) 0.844 9.104 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere524_in + SLICE_X14Y28 LUT5 (Prop_lut5_I2_O) 0.373 9.477 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_14/O + net (fo=25, routed) 1.325 10.802 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_14_n_0 + SLICE_X15Y32 LUT4 (Prop_lut4_I3_O) 0.124 10.926 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_7/O + net (fo=10, routed) 0.963 11.889 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_7_n_0 + SLICE_X18Y34 LUT5 (Prop_lut5_I0_O) 0.124 12.013 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_3/O + net (fo=2, routed) 0.898 12.910 RAMCTRL/SNAKE_RAM/mem_reg_9_0_4 + SLICE_X18Y34 LUT3 (Prop_lut3_I2_O) 0.124 13.034 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_1/O + net (fo=10, routed) 1.030 14.064 SNAKE/E[0] + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[4]/CE ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X36Y50 FDRE (Setup_fdre_C_R) -0.429 38.615 U1/comptY_reg[6] + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[4]/C + clock pessimism 0.000 12.883 + clock uncertainty -0.406 12.477 + SLICE_X20Y29 FDRE (Setup_fdre_C_CE) -0.169 12.308 SNAKE/ROMAddress_reg[4] ------------------------------------------------------------------- - required time 38.615 - arrival time -4.871 + required time 12.308 + arrival time -14.064 ------------------------------------------------------------------- - slack 33.744 + slack -1.756 -Slack (MET) : 33.981ns (required time - arrival time) - Source: U1/comptY_reg[5]/C +Slack (VIOLATED) : -1.756ns (required time - arrival time) + Source: SYNC/comptX_reg[4]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[0]/R - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 + Destination: SNAKE/ROMAddress_reg[5]/CE + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin Path Type: Setup (Max at Slow Process Corner) - Requirement: 40.000ns (clk_out1_clk_wiz_1_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 5.139ns (logic 0.828ns (16.112%) route 4.311ns (83.888%)) - Logic Levels: 3 (LUT4=1 LUT6=2) - Clock Path Skew: -0.195ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.269ns = ( 38.731 - 40.000 ) - Source Clock Delay (SCD): -0.601ns - Clock Pessimism Removal (CPR): 0.473ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 12.401ns (logic 2.507ns (20.216%) route 9.894ns (79.784%)) + Logic Levels: 10 (CARRY4=2 LUT3=2 LUT4=1 LUT5=3 LUT6=2) + Clock Path Skew: 3.220ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.883ns = ( 12.883 - 8.000 ) + Source Clock Delay (SCD): 1.663ns + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.285 2.776 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.996 -4.220 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.760 -2.460 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 -2.359 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.758 -0.601 U1/CLK - SLICE_X40Y49 FDRE r U1/comptY_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.660 1.663 SYNC/clk_out1 + SLICE_X29Y21 FDRE r SYNC/comptX_reg[4]/C ------------------------------------------------------------------- ------------------- - SLICE_X40Y49 FDRE (Prop_fdre_C_Q) 0.456 -0.145 r U1/comptY_reg[5]/Q - net (fo=22, routed) 1.582 1.437 U1/comptY_reg__0[5] - SLICE_X36Y50 LUT4 (Prop_lut4_I1_O) 0.124 1.561 r U1/vga_vs_OBUF_inst_i_2/O - net (fo=9, routed) 0.841 2.403 U1/vga_vs_OBUF_inst_i_2_n_0 - SLICE_X40Y49 LUT6 (Prop_lut6_I5_O) 0.124 2.527 r U1/comptY[9]_i_5/O - net (fo=1, routed) 1.025 3.551 U1/comptY[9]_i_5_n_0 - SLICE_X39Y56 LUT6 (Prop_lut6_I5_O) 0.124 3.675 r U1/comptY[9]_i_1/O - net (fo=10, routed) 0.863 4.538 U1/comptY - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/R + SLICE_X29Y21 FDRE (Prop_fdre_C_Q) 0.419 2.082 r SYNC/comptX_reg[4]/Q + net (fo=10, routed) 1.606 3.688 SYNC/comptX_reg__0[4] + SLICE_X28Y20 LUT3 (Prop_lut3_I1_O) 0.299 3.987 r SYNC/comptY[9]_i_4/O + net (fo=10, routed) 1.017 5.004 SYNC/comptY[9]_i_4_n_0 + SLICE_X27Y20 LUT5 (Prop_lut5_I4_O) 0.124 5.128 r SYNC/cCaseX[3]_i_1/O + net (fo=55, routed) 1.817 6.945 RAMCTRL/SNAKE_RAM/Xi[7] + SLICE_X11Y30 LUT6 (Prop_lut6_I0_O) 0.124 7.069 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_376/O + net (fo=1, routed) 0.394 7.463 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_376_n_0 + SLICE_X13Y29 LUT6 (Prop_lut6_I0_O) 0.124 7.587 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_275/O + net (fo=1, routed) 0.000 7.587 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_275_n_0 + SLICE_X13Y29 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 7.988 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_125/CO[3] + net (fo=1, routed) 0.000 7.988 RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_125_n_0 + SLICE_X13Y30 CARRY4 (Prop_carry4_CI_CO[0]) + 0.271 8.259 r RAMCTRL/SNAKE_RAM/ROMAddress_reg[3]_i_49/CO[0] + net (fo=1, routed) 0.844 9.104 RAMCTRL/SNAKE_RAM/SNAKE/snakeHere524_in + SLICE_X14Y28 LUT5 (Prop_lut5_I2_O) 0.373 9.477 r RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_14/O + net (fo=25, routed) 1.325 10.802 RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_14_n_0 + SLICE_X15Y32 LUT4 (Prop_lut4_I3_O) 0.124 10.926 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_7/O + net (fo=10, routed) 0.963 11.889 RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_7_n_0 + SLICE_X18Y34 LUT5 (Prop_lut5_I0_O) 0.124 12.013 f RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_3/O + net (fo=2, routed) 0.898 12.910 RAMCTRL/SNAKE_RAM/mem_reg_9_0_4 + SLICE_X18Y34 LUT3 (Prop_lut3_I2_O) 0.124 13.034 r RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_1/O + net (fo=10, routed) 1.030 14.064 SNAKE/E[0] + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[5]/CE ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 40.000 40.000 r - L16 0.000 40.000 r H125MHz (IN) - net (fo=0) 0.000 40.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 1.421 41.421 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.162 42.583 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.105 35.478 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 1.599 37.077 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 37.168 r U0/inst/clkout1_buf/O - net (fo=21, routed) 1.563 38.731 U1/CLK - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/C - clock pessimism 0.473 39.204 - clock uncertainty -0.160 39.044 - SLICE_X38Y51 FDRE (Setup_fdre_C_R) -0.524 38.520 U1/comptY_reg[0] + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.491 12.883 SNAKE/H125MHz + SLICE_X20Y29 FDRE r SNAKE/ROMAddress_reg[5]/C + clock pessimism 0.000 12.883 + clock uncertainty -0.406 12.477 + SLICE_X20Y29 FDRE (Setup_fdre_C_CE) -0.169 12.308 SNAKE/ROMAddress_reg[5] ------------------------------------------------------------------- - required time 38.520 - arrival time -4.538 + required time 12.308 + arrival time -14.064 ------------------------------------------------------------------- - slack 33.981 + slack -1.756 @@ -4890,635 +4908,3097 @@ Slack (MET) : 33.981ns (required time - arrival time) Min Delay Paths -------------------------------------------------------------------------------------- -Slack (MET) : 0.101ns (arrival time - required time) - Source: U1/comptY_reg[1]/C +Slack (VIOLATED) : -0.025ns (arrival time - required time) + Source: SYNC/comptY_reg[7]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[2]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.368ns (logic 0.183ns (49.756%) route 0.185ns (50.244%)) - Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns - Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - ------------------------------------------------------------------- ------------------- - SLICE_X36Y51 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptY_reg[1]/Q - net (fo=29, routed) 0.185 -0.148 U1/comptY_reg__0[1] - SLICE_X36Y51 LUT3 (Prop_lut3_I0_O) 0.042 -0.106 r U1/comptY[2]_i_1/O - net (fo=1, routed) 0.000 -0.106 U1/comptY[2]_i_1_n_0 - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[2]/C - clock pessimism 0.232 -0.474 - clock uncertainty 0.160 -0.313 - SLICE_X36Y51 FDRE (Hold_fdre_C_D) 0.107 -0.206 U1/comptY_reg[2] - ------------------------------------------------------------------- - required time 0.206 - arrival time -0.106 - ------------------------------------------------------------------- - slack 0.101 - -Slack (MET) : 0.101ns (arrival time - required time) - Source: U1/comptX_reg[5]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[5]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.353ns (logic 0.186ns (52.682%) route 0.167ns (47.318%)) + Destination: SNAKE/cCaseY_reg[3]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.437ns (logic 0.467ns (10.525%) route 3.970ns (89.475%)) Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.473ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Clock Path Skew: 3.838ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.326ns + Source Clock Delay (SCD): 1.488ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.588 -0.473 U1/CLK - SLICE_X43Y54 FDRE r U1/comptX_reg[5]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 1.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 -1.687 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 -0.088 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.485 1.488 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[7]/C ------------------------------------------------------------------- ------------------- - SLICE_X43Y54 FDRE (Prop_fdre_C_Q) 0.141 -0.332 r U1/comptX_reg[5]/Q - net (fo=25, routed) 0.167 -0.165 U1/comptX_reg__0[5] - SLICE_X43Y54 LUT6 (Prop_lut6_I5_O) 0.045 -0.120 r U1/comptX[5]_i_1/O - net (fo=1, routed) 0.000 -0.120 U1/plusOp[5] - SLICE_X43Y54 FDRE r U1/comptX_reg[5]/D + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.367 1.855 r SYNC/comptY_reg[7]/Q + net (fo=11, routed) 1.151 3.007 SYNC/comptY_reg__0[7] + SLICE_X27Y27 LUT6 (Prop_lut6_I4_O) 0.100 3.107 r SYNC/cCaseY[3]_i_1/O + net (fo=55, routed) 2.819 5.925 SNAKE/Yi[3] + SLICE_X20Y23 FDRE r SNAKE/cCaseY_reg[3]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X43Y54 FDRE r U1/comptX_reg[5]/C - clock pessimism 0.232 -0.473 - clock uncertainty 0.160 -0.312 - SLICE_X43Y54 FDRE (Hold_fdre_C_D) 0.092 -0.220 U1/comptX_reg[5] + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.658 5.326 SNAKE/H125MHz + SLICE_X20Y23 FDRE r SNAKE/cCaseY_reg[3]/C + clock pessimism 0.000 5.326 + clock uncertainty 0.406 5.732 + SLICE_X20Y23 FDRE (Hold_fdre_C_D) 0.218 5.950 SNAKE/cCaseY_reg[3] ------------------------------------------------------------------- - required time 0.220 - arrival time -0.120 + required time -5.950 + arrival time 5.925 ------------------------------------------------------------------- - slack 0.101 + slack -0.025 -Slack (MET) : 0.119ns (arrival time - required time) - Source: U1/comptX_reg[7]/C +Slack (MET) : 0.106ns (arrival time - required time) + Source: SYNC/comptX_reg[8]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[8]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.387ns (logic 0.183ns (47.319%) route 0.204ns (52.681%)) + Destination: SNAKE/cCaseX_reg[1]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.547ns (logic 0.467ns (10.271%) route 4.080ns (89.729%)) Logic Levels: 1 (LUT5=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Clock Path Skew: 3.843ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.333ns + Source Clock Delay (SCD): 1.490ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 1.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 -1.687 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 -0.088 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.487 1.490 SYNC/clk_out1 + SLICE_X26Y21 FDRE r SYNC/comptX_reg[8]/C ------------------------------------------------------------------- ------------------- - SLICE_X41Y57 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptX_reg[7]/Q - net (fo=20, routed) 0.204 -0.129 U1/comptX_reg__0[7] - SLICE_X41Y57 LUT5 (Prop_lut5_I0_O) 0.042 -0.087 r U1/comptX[8]_i_1/O - net (fo=1, routed) 0.000 -0.087 U1/plusOp[8] - SLICE_X41Y57 FDRE r U1/comptX_reg[8]/D + SLICE_X26Y21 FDRE (Prop_fdre_C_Q) 0.367 1.857 r SYNC/comptX_reg[8]/Q + net (fo=22, routed) 1.161 3.019 SYNC/comptX_reg__0[8] + SLICE_X26Y25 LUT5 (Prop_lut5_I2_O) 0.100 3.119 r SYNC/cCaseX[1]_i_1/O + net (fo=55, routed) 2.918 6.037 SNAKE/Xi[1] + SLICE_X29Y19 FDRE r SNAKE/cCaseX_reg[1]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[8]/C - clock pessimism 0.232 -0.474 - clock uncertainty 0.160 -0.313 - SLICE_X41Y57 FDRE (Hold_fdre_C_D) 0.107 -0.206 U1/comptX_reg[8] + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.665 5.333 SNAKE/H125MHz + SLICE_X29Y19 FDRE r SNAKE/cCaseX_reg[1]/C + clock pessimism 0.000 5.333 + clock uncertainty 0.406 5.739 + SLICE_X29Y19 FDRE (Hold_fdre_C_D) 0.192 5.931 SNAKE/cCaseX_reg[1] ------------------------------------------------------------------- - required time 0.206 - arrival time -0.087 + required time -5.931 + arrival time 6.037 ------------------------------------------------------------------- - slack 0.119 - -Slack (MET) : 0.120ns (arrival time - required time) - Source: U1/comptY_reg[1]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[1]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.371ns (logic 0.186ns (50.162%) route 0.185ns (49.838%)) - Logic Levels: 1 (LUT2=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns - Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - ------------------------------------------------------------------- ------------------- - SLICE_X36Y51 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptY_reg[1]/Q - net (fo=29, routed) 0.185 -0.148 U1/comptY_reg__0[1] - SLICE_X36Y51 LUT2 (Prop_lut2_I1_O) 0.045 -0.103 r U1/comptY[1]_i_1/O - net (fo=1, routed) 0.000 -0.103 U1/comptY[1]_i_1_n_0 - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X36Y51 FDRE r U1/comptY_reg[1]/C - clock pessimism 0.232 -0.474 - clock uncertainty 0.160 -0.313 - SLICE_X36Y51 FDRE (Hold_fdre_C_D) 0.091 -0.222 U1/comptY_reg[1] - ------------------------------------------------------------------- - required time 0.222 - arrival time -0.103 - ------------------------------------------------------------------- - slack 0.120 - -Slack (MET) : 0.127ns (arrival time - required time) - Source: U1/comptX_reg[0]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[1]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.419ns (logic 0.207ns (49.431%) route 0.212ns (50.569%)) - Logic Levels: 1 (LUT2=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.473ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns - Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.588 -0.473 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/C - ------------------------------------------------------------------- ------------------- - SLICE_X42Y55 FDRE (Prop_fdre_C_Q) 0.164 -0.309 r U1/comptX_reg[0]/Q - net (fo=25, routed) 0.212 -0.097 U1/comptX_reg__0[0] - SLICE_X42Y55 LUT2 (Prop_lut2_I0_O) 0.043 -0.054 r U1/comptX[1]_i_1/O - net (fo=1, routed) 0.000 -0.054 U1/plusOp[1] - SLICE_X42Y55 FDRE r U1/comptX_reg[1]/D - ------------------------------------------------------------------- ------------------- - - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[1]/C - clock pessimism 0.232 -0.473 - clock uncertainty 0.160 -0.312 - SLICE_X42Y55 FDRE (Hold_fdre_C_D) 0.131 -0.181 U1/comptX_reg[1] - ------------------------------------------------------------------- - required time 0.181 - arrival time -0.054 - ------------------------------------------------------------------- - slack 0.127 + slack 0.106 Slack (MET) : 0.128ns (arrival time - required time) - Source: U1/comptX_reg[7]/C + Source: SYNC/comptX_reg[6]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[9]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.398ns (logic 0.186ns (46.766%) route 0.212ns (53.234%)) + Destination: SNAKE/cCaseX_reg[2]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.568ns (logic 0.467ns (10.224%) route 4.101ns (89.776%)) Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.017ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.248ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Clock Path Skew: 3.842ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.332ns + Source Clock Delay (SCD): 1.490ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 1.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 -1.687 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 -0.088 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.487 1.490 SYNC/clk_out1 + SLICE_X29Y21 FDRE r SYNC/comptX_reg[6]/C ------------------------------------------------------------------- ------------------- - SLICE_X41Y57 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptX_reg[7]/Q - net (fo=20, routed) 0.212 -0.121 U1/comptX_reg__0[7] - SLICE_X41Y56 LUT6 (Prop_lut6_I3_O) 0.045 -0.076 r U1/comptX[9]_i_1/O - net (fo=1, routed) 0.000 -0.076 U1/plusOp[9] - SLICE_X41Y56 FDRE r U1/comptX_reg[9]/D + SLICE_X29Y21 FDRE (Prop_fdre_C_Q) 0.367 1.857 r SYNC/comptX_reg[6]/Q + net (fo=13, routed) 1.123 2.980 SYNC/comptX_reg__0[6] + SLICE_X27Y20 LUT6 (Prop_lut6_I4_O) 0.100 3.080 r SYNC/cCaseX[2]_i_1/O + net (fo=55, routed) 2.978 6.058 SNAKE/Xi[2] + SLICE_X18Y20 FDRE r SNAKE/cCaseX_reg[2]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X41Y56 FDRE r U1/comptX_reg[9]/C - clock pessimism 0.248 -0.457 - clock uncertainty 0.160 -0.296 - SLICE_X41Y56 FDRE (Hold_fdre_C_D) 0.092 -0.204 U1/comptX_reg[9] + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.664 5.332 SNAKE/H125MHz + SLICE_X18Y20 FDRE r SNAKE/cCaseX_reg[2]/C + clock pessimism 0.000 5.332 + clock uncertainty 0.406 5.738 + SLICE_X18Y20 FDRE (Hold_fdre_C_D) 0.192 5.930 SNAKE/cCaseX_reg[2] ------------------------------------------------------------------- - required time 0.204 - arrival time -0.076 + required time -5.930 + arrival time 6.058 ------------------------------------------------------------------- slack 0.128 -Slack (MET) : 0.137ns (arrival time - required time) - Source: U1/comptY_reg[6]/C +Slack (MET) : 0.159ns (arrival time - required time) + Source: SYNC/comptY_reg[9]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[6]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.402ns (logic 0.183ns (45.514%) route 0.219ns (54.486%)) - Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Destination: SNAKE/cCaseY_reg[2]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.598ns (logic 0.467ns (10.156%) route 4.131ns (89.844%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 3.838ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.326ns + Source Clock Delay (SCD): 1.488ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 1.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 -1.687 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 -0.088 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.485 1.488 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[9]/C ------------------------------------------------------------------- ------------------- - SLICE_X36Y50 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptY_reg[6]/Q - net (fo=21, routed) 0.219 -0.114 U1/comptY_reg__0[6] - SLICE_X36Y50 LUT3 (Prop_lut3_I2_O) 0.042 -0.072 r U1/comptY[6]_i_1/O - net (fo=1, routed) 0.000 -0.072 U1/plusOp__0[6] - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/D + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.367 1.855 f SYNC/comptY_reg[9]/Q + net (fo=10, routed) 1.330 3.185 SYNC/comptY_reg__0[9] + SLICE_X25Y27 LUT6 (Prop_lut6_I5_O) 0.100 3.285 r SYNC/cCaseY[2]_i_1/O + net (fo=55, routed) 2.801 6.087 SNAKE/Yi[2] + SLICE_X21Y23 FDRE r SNAKE/cCaseY_reg[2]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X36Y50 FDRE r U1/comptY_reg[6]/C - clock pessimism 0.232 -0.474 - clock uncertainty 0.160 -0.313 - SLICE_X36Y50 FDRE (Hold_fdre_C_D) 0.105 -0.208 U1/comptY_reg[6] + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.658 5.326 SNAKE/H125MHz + SLICE_X21Y23 FDRE r SNAKE/cCaseY_reg[2]/C + clock pessimism 0.000 5.326 + clock uncertainty 0.406 5.732 + SLICE_X21Y23 FDRE (Hold_fdre_C_D) 0.196 5.928 SNAKE/cCaseY_reg[2] ------------------------------------------------------------------- - required time 0.208 - arrival time -0.072 + required time -5.928 + arrival time 6.087 ------------------------------------------------------------------- - slack 0.137 + slack 0.159 -Slack (MET) : 0.138ns (arrival time - required time) - Source: U1/comptX_reg[7]/C +Slack (MET) : 0.219ns (arrival time - required time) + Source: SYNC/comptX_reg[8]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[7]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.390ns (logic 0.186ns (47.724%) route 0.204ns (52.276%)) - Logic Levels: 1 (LUT4=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Destination: SNAKE/cCaseX_reg[5]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.663ns (logic 0.467ns (10.015%) route 4.196ns (89.985%)) + Logic Levels: 1 (LUT5=1) + Clock Path Skew: 3.846ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.336ns + Source Clock Delay (SCD): 1.490ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.313ns - Phase Error (PE): 0.000ns + Phase Error (PE): 0.246ns Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_clk_wiz_1 rise edge) 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 1.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 -1.687 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 -0.088 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.487 1.490 SYNC/clk_out1 + SLICE_X26Y21 FDRE r SYNC/comptX_reg[8]/C ------------------------------------------------------------------- ------------------- - SLICE_X41Y57 FDRE (Prop_fdre_C_Q) 0.141 -0.333 r U1/comptX_reg[7]/Q - net (fo=20, routed) 0.204 -0.129 U1/comptX_reg__0[7] - SLICE_X41Y57 LUT4 (Prop_lut4_I3_O) 0.045 -0.084 r U1/comptX[7]_i_1/O - net (fo=1, routed) 0.000 -0.084 U1/plusOp[7] - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/D + SLICE_X26Y21 FDRE (Prop_fdre_C_Q) 0.367 1.857 r SYNC/comptX_reg[8]/Q + net (fo=22, routed) 0.935 2.792 SYNC/comptX_reg__0[8] + SLICE_X30Y21 LUT5 (Prop_lut5_I4_O) 0.100 2.892 r SYNC/cCaseX[5]_i_2/O + net (fo=46, routed) 3.261 6.153 SNAKE/Xi[5] + SLICE_X18Y17 FDRE r SNAKE/cCaseX_reg[5]/D ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X41Y57 FDRE r U1/comptX_reg[7]/C - clock pessimism 0.232 -0.474 - clock uncertainty 0.160 -0.313 - SLICE_X41Y57 FDRE (Hold_fdre_C_D) 0.091 -0.222 U1/comptX_reg[7] + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.668 5.336 SNAKE/H125MHz + SLICE_X18Y17 FDRE r SNAKE/cCaseX_reg[5]/C + clock pessimism 0.000 5.336 + clock uncertainty 0.406 5.742 + SLICE_X18Y17 FDRE (Hold_fdre_C_D) 0.192 5.934 SNAKE/cCaseX_reg[5] ------------------------------------------------------------------- - required time 0.222 - arrival time -0.084 + required time -5.934 + arrival time 6.153 ------------------------------------------------------------------- - slack 0.138 + slack 0.219 -Slack (MET) : 0.140ns (arrival time - required time) - Source: U1/comptY_reg[0]/C +Slack (MET) : 0.223ns (arrival time - required time) + Source: SYNC/comptX_reg[8]/C (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptY_reg[0]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.421ns (logic 0.209ns (49.671%) route 0.212ns (50.329%)) + Destination: SNAKE/cCaseX_reg[3]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.667ns (logic 0.467ns (10.007%) route 4.200ns (89.993%)) + Logic Levels: 1 (LUT5=1) + Clock Path Skew: 3.846ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.336ns + Source Clock Delay (SCD): 1.490ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.246ns + Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 1.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 -1.687 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 -0.088 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.487 1.490 SYNC/clk_out1 + SLICE_X26Y21 FDRE r SYNC/comptX_reg[8]/C + ------------------------------------------------------------------- ------------------- + SLICE_X26Y21 FDRE (Prop_fdre_C_Q) 0.367 1.857 r SYNC/comptX_reg[8]/Q + net (fo=22, routed) 1.126 2.983 SYNC/comptX_reg__0[8] + SLICE_X27Y20 LUT5 (Prop_lut5_I1_O) 0.100 3.083 r SYNC/cCaseX[3]_i_1/O + net (fo=55, routed) 3.074 6.157 SNAKE/Xi[3] + SLICE_X29Y17 FDRE r SNAKE/cCaseX_reg[3]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.668 5.336 SNAKE/H125MHz + SLICE_X29Y17 FDRE r SNAKE/cCaseX_reg[3]/C + clock pessimism 0.000 5.336 + clock uncertainty 0.406 5.742 + SLICE_X29Y17 FDRE (Hold_fdre_C_D) 0.192 5.934 SNAKE/cCaseX_reg[3] + ------------------------------------------------------------------- + required time -5.934 + arrival time 6.157 + ------------------------------------------------------------------- + slack 0.223 + +Slack (MET) : 0.239ns (arrival time - required time) + Source: SYNC/comptY_reg[8]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: SNAKE/cCaseY_reg[1]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.663ns (logic 0.578ns (12.397%) route 4.085ns (87.603%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 3.838ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.326ns + Source Clock Delay (SCD): 1.488ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.246ns + Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 1.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 -1.687 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 -0.088 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.485 1.488 SYNC/clk_out1 + SLICE_X19Y26 FDRE r SYNC/comptY_reg[8]/C + ------------------------------------------------------------------- ------------------- + SLICE_X19Y26 FDRE (Prop_fdre_C_Q) 0.337 1.825 r SYNC/comptY_reg[8]/Q + net (fo=10, routed) 1.069 2.895 SYNC/comptY_reg__0[8] + SLICE_X21Y26 LUT6 (Prop_lut6_I4_O) 0.241 3.136 r SYNC/cCaseY[1]_i_1/O + net (fo=55, routed) 3.015 6.151 SNAKE/Yi[1] + SLICE_X21Y23 FDRE r SNAKE/cCaseY_reg[1]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.658 5.326 SNAKE/H125MHz + SLICE_X21Y23 FDRE r SNAKE/cCaseY_reg[1]/C + clock pessimism 0.000 5.326 + clock uncertainty 0.406 5.732 + SLICE_X21Y23 FDRE (Hold_fdre_C_D) 0.180 5.912 SNAKE/cCaseY_reg[1] + ------------------------------------------------------------------- + required time -5.912 + arrival time 6.151 + ------------------------------------------------------------------- + slack 0.239 + +Slack (MET) : 0.275ns (arrival time - required time) + Source: SYNC/comptY_reg[5]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: SNAKE/cCaseY_reg[4]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.719ns (logic 0.518ns (10.976%) route 4.201ns (89.024%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 3.839ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.326ns + Source Clock Delay (SCD): 1.487ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.246ns + Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 1.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 -1.687 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 -0.088 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.484 1.487 SYNC/clk_out1 + SLICE_X20Y26 FDRE r SYNC/comptY_reg[5]/C + ------------------------------------------------------------------- ------------------- + SLICE_X20Y26 FDRE (Prop_fdre_C_Q) 0.418 1.905 r SYNC/comptY_reg[5]/Q + net (fo=13, routed) 1.194 3.099 SYNC/comptY_reg__0[5] + SLICE_X23Y31 LUT6 (Prop_lut6_I3_O) 0.100 3.199 r SYNC/cCaseY[4]_i_1/O + net (fo=55, routed) 3.007 6.207 SNAKE/Yi[4] + SLICE_X21Y23 FDRE r SNAKE/cCaseY_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.658 5.326 SNAKE/H125MHz + SLICE_X21Y23 FDRE r SNAKE/cCaseY_reg[4]/C + clock pessimism 0.000 5.326 + clock uncertainty 0.406 5.732 + SLICE_X21Y23 FDRE (Hold_fdre_C_D) 0.199 5.931 SNAKE/cCaseY_reg[4] + ------------------------------------------------------------------- + required time -5.931 + arrival time 6.207 + ------------------------------------------------------------------- + slack 0.275 + +Slack (MET) : 0.326ns (arrival time - required time) + Source: SYNC/comptY_reg[3]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: SNAKE/cCaseY_reg[0]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.794ns (logic 0.467ns (9.742%) route 4.327ns (90.258%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 3.839ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.326ns + Source Clock Delay (SCD): 1.487ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.246ns + Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 1.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 -1.687 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 -0.088 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.484 1.487 SYNC/clk_out1 + SLICE_X21Y26 FDRE r SYNC/comptY_reg[3]/C + ------------------------------------------------------------------- ------------------- + SLICE_X21Y26 FDRE (Prop_fdre_C_Q) 0.367 1.854 r SYNC/comptY_reg[3]/Q + net (fo=8, routed) 1.209 3.064 SYNC/comptY_reg__0[3] + SLICE_X20Y27 LUT6 (Prop_lut6_I4_O) 0.100 3.164 r SYNC/cCaseY[0]_i_1/O + net (fo=55, routed) 3.117 6.281 SNAKE/Yi[0] + SLICE_X20Y23 FDRE r SNAKE/cCaseY_reg[0]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.658 5.326 SNAKE/H125MHz + SLICE_X20Y23 FDRE r SNAKE/cCaseY_reg[0]/C + clock pessimism 0.000 5.326 + clock uncertainty 0.406 5.732 + SLICE_X20Y23 FDRE (Hold_fdre_C_D) 0.223 5.955 SNAKE/cCaseY_reg[0] + ------------------------------------------------------------------- + required time -5.955 + arrival time 6.281 + ------------------------------------------------------------------- + slack 0.326 + +Slack (MET) : 0.337ns (arrival time - required time) + Source: SYNC/comptX_reg[8]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: SNAKE/cCaseX_reg[4]/D + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: sys_clk_pin + Path Type: Hold (Min at Slow Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.777ns (logic 0.467ns (9.775%) route 4.310ns (90.225%)) + Logic Levels: 1 (LUT5=1) + Clock Path Skew: 3.843ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 5.333ns + Source Clock Delay (SCD): 1.490ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.246ns + Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 1.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 -1.687 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 -0.088 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.487 1.490 SYNC/clk_out1 + SLICE_X26Y21 FDRE r SYNC/comptX_reg[8]/C + ------------------------------------------------------------------- ------------------- + SLICE_X26Y21 FDRE (Prop_fdre_C_Q) 0.367 1.857 r SYNC/comptX_reg[8]/Q + net (fo=22, routed) 1.138 2.995 SYNC/comptX_reg__0[8] + SLICE_X30Y25 LUT5 (Prop_lut5_I3_O) 0.100 3.095 r SYNC/cCaseX[4]_i_1/O + net (fo=55, routed) 3.172 6.268 SNAKE/Xi[4] + SLICE_X18Y19 FDRE r SNAKE/cCaseX_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.665 5.333 SNAKE/H125MHz + SLICE_X18Y19 FDRE r SNAKE/cCaseX_reg[4]/C + clock pessimism 0.000 5.333 + clock uncertainty 0.406 5.739 + SLICE_X18Y19 FDRE (Hold_fdre_C_D) 0.192 5.931 SNAKE/cCaseX_reg[4] + ------------------------------------------------------------------- + required time -5.931 + arrival time 6.268 + ------------------------------------------------------------------- + slack 0.337 + + + + + +--------------------------------------------------------------------------------------------------- +Path Group: **async_default** +From Clock: clk_out1_clk_wiz_1 + To Clock: clk_out1_clk_wiz_1 + +Setup : 0 Failing Endpoints, Worst Slack 34.529ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 1.220ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 34.529ns (required time - arrival time) + Source: UPD_CLK_DIV/temp_reg[2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[0]/CLR + (recovery check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.896ns (logic 1.831ns (37.397%) route 3.065ns (62.603%)) + Logic Levels: 5 (CARRY4=3 LUT2=1 LUT3=1) + Clock Path Skew: -0.010ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.561ns = ( 41.561 - 40.000 ) + Source Clock Delay (SCD): 1.737ns + Clock Pessimism Removal (CPR): 0.166ns + Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.734 1.737 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y67 FDCE (Prop_fdce_C_Q) 0.456 2.193 f UPD_CLK_DIV/temp_reg[2]/Q + net (fo=4, routed) 1.200 3.393 UPD_CLK_DIV/temp_reg[2] + SLICE_X42Y69 LUT3 (Prop_lut3_I0_O) 0.124 3.517 r UPD_CLK_DIV/temp[0]_i_14/O + net (fo=1, routed) 0.000 3.517 UPD_CLK_DIV/temp[0]_i_14_n_0 + SLICE_X42Y69 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.513 4.030 r UPD_CLK_DIV/temp_reg[0]_i_6/CO[3] + net (fo=1, routed) 0.000 4.030 UPD_CLK_DIV/temp_reg[0]_i_6_n_0 + SLICE_X42Y70 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 4.147 r UPD_CLK_DIV/temp_reg[0]_i_5/CO[3] + net (fo=1, routed) 0.000 4.147 UPD_CLK_DIV/temp_reg[0]_i_5_n_0 + SLICE_X42Y71 CARRY4 (Prop_carry4_CI_CO[0]) + 0.254 4.401 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.685 5.086 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.367 5.453 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 1.180 6.633 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y67 FDCE f UPD_CLK_DIV/temp_reg[0]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 40.000 40.000 r + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.558 41.561 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[0]/C + clock pessimism 0.166 41.727 + clock uncertainty -0.160 41.567 + SLICE_X40Y67 FDCE (Recov_fdce_C_CLR) -0.405 41.162 UPD_CLK_DIV/temp_reg[0] + ------------------------------------------------------------------- + required time 41.162 + arrival time -6.633 + ------------------------------------------------------------------- + slack 34.529 + +Slack (MET) : 34.529ns (required time - arrival time) + Source: UPD_CLK_DIV/temp_reg[2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[1]/CLR + (recovery check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.896ns (logic 1.831ns (37.397%) route 3.065ns (62.603%)) + Logic Levels: 5 (CARRY4=3 LUT2=1 LUT3=1) + Clock Path Skew: -0.010ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.561ns = ( 41.561 - 40.000 ) + Source Clock Delay (SCD): 1.737ns + Clock Pessimism Removal (CPR): 0.166ns + Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.734 1.737 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y67 FDCE (Prop_fdce_C_Q) 0.456 2.193 f UPD_CLK_DIV/temp_reg[2]/Q + net (fo=4, routed) 1.200 3.393 UPD_CLK_DIV/temp_reg[2] + SLICE_X42Y69 LUT3 (Prop_lut3_I0_O) 0.124 3.517 r UPD_CLK_DIV/temp[0]_i_14/O + net (fo=1, routed) 0.000 3.517 UPD_CLK_DIV/temp[0]_i_14_n_0 + SLICE_X42Y69 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.513 4.030 r UPD_CLK_DIV/temp_reg[0]_i_6/CO[3] + net (fo=1, routed) 0.000 4.030 UPD_CLK_DIV/temp_reg[0]_i_6_n_0 + SLICE_X42Y70 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 4.147 r UPD_CLK_DIV/temp_reg[0]_i_5/CO[3] + net (fo=1, routed) 0.000 4.147 UPD_CLK_DIV/temp_reg[0]_i_5_n_0 + SLICE_X42Y71 CARRY4 (Prop_carry4_CI_CO[0]) + 0.254 4.401 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.685 5.086 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.367 5.453 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 1.180 6.633 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y67 FDCE f UPD_CLK_DIV/temp_reg[1]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 40.000 40.000 r + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.558 41.561 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[1]/C + clock pessimism 0.166 41.727 + clock uncertainty -0.160 41.567 + SLICE_X40Y67 FDCE (Recov_fdce_C_CLR) -0.405 41.162 UPD_CLK_DIV/temp_reg[1] + ------------------------------------------------------------------- + required time 41.162 + arrival time -6.633 + ------------------------------------------------------------------- + slack 34.529 + +Slack (MET) : 34.529ns (required time - arrival time) + Source: UPD_CLK_DIV/temp_reg[2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[2]/CLR + (recovery check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.896ns (logic 1.831ns (37.397%) route 3.065ns (62.603%)) + Logic Levels: 5 (CARRY4=3 LUT2=1 LUT3=1) + Clock Path Skew: -0.010ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.561ns = ( 41.561 - 40.000 ) + Source Clock Delay (SCD): 1.737ns + Clock Pessimism Removal (CPR): 0.166ns + Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.734 1.737 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y67 FDCE (Prop_fdce_C_Q) 0.456 2.193 f UPD_CLK_DIV/temp_reg[2]/Q + net (fo=4, routed) 1.200 3.393 UPD_CLK_DIV/temp_reg[2] + SLICE_X42Y69 LUT3 (Prop_lut3_I0_O) 0.124 3.517 r UPD_CLK_DIV/temp[0]_i_14/O + net (fo=1, routed) 0.000 3.517 UPD_CLK_DIV/temp[0]_i_14_n_0 + SLICE_X42Y69 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.513 4.030 r UPD_CLK_DIV/temp_reg[0]_i_6/CO[3] + net (fo=1, routed) 0.000 4.030 UPD_CLK_DIV/temp_reg[0]_i_6_n_0 + SLICE_X42Y70 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 4.147 r UPD_CLK_DIV/temp_reg[0]_i_5/CO[3] + net (fo=1, routed) 0.000 4.147 UPD_CLK_DIV/temp_reg[0]_i_5_n_0 + SLICE_X42Y71 CARRY4 (Prop_carry4_CI_CO[0]) + 0.254 4.401 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.685 5.086 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.367 5.453 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 1.180 6.633 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y67 FDCE f UPD_CLK_DIV/temp_reg[2]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 40.000 40.000 r + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.558 41.561 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[2]/C + clock pessimism 0.166 41.727 + clock uncertainty -0.160 41.567 + SLICE_X40Y67 FDCE (Recov_fdce_C_CLR) -0.405 41.162 UPD_CLK_DIV/temp_reg[2] + ------------------------------------------------------------------- + required time 41.162 + arrival time -6.633 + ------------------------------------------------------------------- + slack 34.529 + +Slack (MET) : 34.529ns (required time - arrival time) + Source: UPD_CLK_DIV/temp_reg[2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[3]/CLR + (recovery check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.896ns (logic 1.831ns (37.397%) route 3.065ns (62.603%)) + Logic Levels: 5 (CARRY4=3 LUT2=1 LUT3=1) + Clock Path Skew: -0.010ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.561ns = ( 41.561 - 40.000 ) + Source Clock Delay (SCD): 1.737ns + Clock Pessimism Removal (CPR): 0.166ns + Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.734 1.737 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y67 FDCE (Prop_fdce_C_Q) 0.456 2.193 f UPD_CLK_DIV/temp_reg[2]/Q + net (fo=4, routed) 1.200 3.393 UPD_CLK_DIV/temp_reg[2] + SLICE_X42Y69 LUT3 (Prop_lut3_I0_O) 0.124 3.517 r UPD_CLK_DIV/temp[0]_i_14/O + net (fo=1, routed) 0.000 3.517 UPD_CLK_DIV/temp[0]_i_14_n_0 + SLICE_X42Y69 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.513 4.030 r UPD_CLK_DIV/temp_reg[0]_i_6/CO[3] + net (fo=1, routed) 0.000 4.030 UPD_CLK_DIV/temp_reg[0]_i_6_n_0 + SLICE_X42Y70 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 4.147 r UPD_CLK_DIV/temp_reg[0]_i_5/CO[3] + net (fo=1, routed) 0.000 4.147 UPD_CLK_DIV/temp_reg[0]_i_5_n_0 + SLICE_X42Y71 CARRY4 (Prop_carry4_CI_CO[0]) + 0.254 4.401 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.685 5.086 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.367 5.453 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 1.180 6.633 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y67 FDCE f UPD_CLK_DIV/temp_reg[3]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 40.000 40.000 r + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.558 41.561 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[3]/C + clock pessimism 0.166 41.727 + clock uncertainty -0.160 41.567 + SLICE_X40Y67 FDCE (Recov_fdce_C_CLR) -0.405 41.162 UPD_CLK_DIV/temp_reg[3] + ------------------------------------------------------------------- + required time 41.162 + arrival time -6.633 + ------------------------------------------------------------------- + slack 34.529 + +Slack (MET) : 34.595ns (required time - arrival time) + Source: UPD_CLK_DIV/temp_reg[2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[4]/CLR + (recovery check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.804ns (logic 1.831ns (38.114%) route 2.973ns (61.886%)) + Logic Levels: 5 (CARRY4=3 LUT2=1 LUT3=1) + Clock Path Skew: -0.036ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.559ns = ( 41.559 - 40.000 ) + Source Clock Delay (SCD): 1.737ns + Clock Pessimism Removal (CPR): 0.142ns + Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.734 1.737 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y67 FDCE (Prop_fdce_C_Q) 0.456 2.193 f UPD_CLK_DIV/temp_reg[2]/Q + net (fo=4, routed) 1.200 3.393 UPD_CLK_DIV/temp_reg[2] + SLICE_X42Y69 LUT3 (Prop_lut3_I0_O) 0.124 3.517 r UPD_CLK_DIV/temp[0]_i_14/O + net (fo=1, routed) 0.000 3.517 UPD_CLK_DIV/temp[0]_i_14_n_0 + SLICE_X42Y69 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.513 4.030 r UPD_CLK_DIV/temp_reg[0]_i_6/CO[3] + net (fo=1, routed) 0.000 4.030 UPD_CLK_DIV/temp_reg[0]_i_6_n_0 + SLICE_X42Y70 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 4.147 r UPD_CLK_DIV/temp_reg[0]_i_5/CO[3] + net (fo=1, routed) 0.000 4.147 UPD_CLK_DIV/temp_reg[0]_i_5_n_0 + SLICE_X42Y71 CARRY4 (Prop_carry4_CI_CO[0]) + 0.254 4.401 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.685 5.086 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.367 5.453 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 1.088 6.541 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y68 FDCE f UPD_CLK_DIV/temp_reg[4]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 40.000 40.000 r + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.556 41.559 UPD_CLK_DIV/clk_out1 + SLICE_X40Y68 FDCE r UPD_CLK_DIV/temp_reg[4]/C + clock pessimism 0.142 41.701 + clock uncertainty -0.160 41.541 + SLICE_X40Y68 FDCE (Recov_fdce_C_CLR) -0.405 41.136 UPD_CLK_DIV/temp_reg[4] + ------------------------------------------------------------------- + required time 41.136 + arrival time -6.541 + ------------------------------------------------------------------- + slack 34.595 + +Slack (MET) : 34.595ns (required time - arrival time) + Source: UPD_CLK_DIV/temp_reg[2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[5]/CLR + (recovery check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.804ns (logic 1.831ns (38.114%) route 2.973ns (61.886%)) + Logic Levels: 5 (CARRY4=3 LUT2=1 LUT3=1) + Clock Path Skew: -0.036ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.559ns = ( 41.559 - 40.000 ) + Source Clock Delay (SCD): 1.737ns + Clock Pessimism Removal (CPR): 0.142ns + Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.734 1.737 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y67 FDCE (Prop_fdce_C_Q) 0.456 2.193 f UPD_CLK_DIV/temp_reg[2]/Q + net (fo=4, routed) 1.200 3.393 UPD_CLK_DIV/temp_reg[2] + SLICE_X42Y69 LUT3 (Prop_lut3_I0_O) 0.124 3.517 r UPD_CLK_DIV/temp[0]_i_14/O + net (fo=1, routed) 0.000 3.517 UPD_CLK_DIV/temp[0]_i_14_n_0 + SLICE_X42Y69 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.513 4.030 r UPD_CLK_DIV/temp_reg[0]_i_6/CO[3] + net (fo=1, routed) 0.000 4.030 UPD_CLK_DIV/temp_reg[0]_i_6_n_0 + SLICE_X42Y70 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 4.147 r UPD_CLK_DIV/temp_reg[0]_i_5/CO[3] + net (fo=1, routed) 0.000 4.147 UPD_CLK_DIV/temp_reg[0]_i_5_n_0 + SLICE_X42Y71 CARRY4 (Prop_carry4_CI_CO[0]) + 0.254 4.401 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.685 5.086 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.367 5.453 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 1.088 6.541 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y68 FDCE f UPD_CLK_DIV/temp_reg[5]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 40.000 40.000 r + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.556 41.559 UPD_CLK_DIV/clk_out1 + SLICE_X40Y68 FDCE r UPD_CLK_DIV/temp_reg[5]/C + clock pessimism 0.142 41.701 + clock uncertainty -0.160 41.541 + SLICE_X40Y68 FDCE (Recov_fdce_C_CLR) -0.405 41.136 UPD_CLK_DIV/temp_reg[5] + ------------------------------------------------------------------- + required time 41.136 + arrival time -6.541 + ------------------------------------------------------------------- + slack 34.595 + +Slack (MET) : 34.595ns (required time - arrival time) + Source: UPD_CLK_DIV/temp_reg[2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[6]/CLR + (recovery check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.804ns (logic 1.831ns (38.114%) route 2.973ns (61.886%)) + Logic Levels: 5 (CARRY4=3 LUT2=1 LUT3=1) + Clock Path Skew: -0.036ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.559ns = ( 41.559 - 40.000 ) + Source Clock Delay (SCD): 1.737ns + Clock Pessimism Removal (CPR): 0.142ns + Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.734 1.737 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y67 FDCE (Prop_fdce_C_Q) 0.456 2.193 f UPD_CLK_DIV/temp_reg[2]/Q + net (fo=4, routed) 1.200 3.393 UPD_CLK_DIV/temp_reg[2] + SLICE_X42Y69 LUT3 (Prop_lut3_I0_O) 0.124 3.517 r UPD_CLK_DIV/temp[0]_i_14/O + net (fo=1, routed) 0.000 3.517 UPD_CLK_DIV/temp[0]_i_14_n_0 + SLICE_X42Y69 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.513 4.030 r UPD_CLK_DIV/temp_reg[0]_i_6/CO[3] + net (fo=1, routed) 0.000 4.030 UPD_CLK_DIV/temp_reg[0]_i_6_n_0 + SLICE_X42Y70 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 4.147 r UPD_CLK_DIV/temp_reg[0]_i_5/CO[3] + net (fo=1, routed) 0.000 4.147 UPD_CLK_DIV/temp_reg[0]_i_5_n_0 + SLICE_X42Y71 CARRY4 (Prop_carry4_CI_CO[0]) + 0.254 4.401 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.685 5.086 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.367 5.453 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 1.088 6.541 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y68 FDCE f UPD_CLK_DIV/temp_reg[6]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 40.000 40.000 r + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.556 41.559 UPD_CLK_DIV/clk_out1 + SLICE_X40Y68 FDCE r UPD_CLK_DIV/temp_reg[6]/C + clock pessimism 0.142 41.701 + clock uncertainty -0.160 41.541 + SLICE_X40Y68 FDCE (Recov_fdce_C_CLR) -0.405 41.136 UPD_CLK_DIV/temp_reg[6] + ------------------------------------------------------------------- + required time 41.136 + arrival time -6.541 + ------------------------------------------------------------------- + slack 34.595 + +Slack (MET) : 34.595ns (required time - arrival time) + Source: UPD_CLK_DIV/temp_reg[2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[7]/CLR + (recovery check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.804ns (logic 1.831ns (38.114%) route 2.973ns (61.886%)) + Logic Levels: 5 (CARRY4=3 LUT2=1 LUT3=1) + Clock Path Skew: -0.036ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.559ns = ( 41.559 - 40.000 ) + Source Clock Delay (SCD): 1.737ns + Clock Pessimism Removal (CPR): 0.142ns + Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.734 1.737 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y67 FDCE (Prop_fdce_C_Q) 0.456 2.193 f UPD_CLK_DIV/temp_reg[2]/Q + net (fo=4, routed) 1.200 3.393 UPD_CLK_DIV/temp_reg[2] + SLICE_X42Y69 LUT3 (Prop_lut3_I0_O) 0.124 3.517 r UPD_CLK_DIV/temp[0]_i_14/O + net (fo=1, routed) 0.000 3.517 UPD_CLK_DIV/temp[0]_i_14_n_0 + SLICE_X42Y69 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.513 4.030 r UPD_CLK_DIV/temp_reg[0]_i_6/CO[3] + net (fo=1, routed) 0.000 4.030 UPD_CLK_DIV/temp_reg[0]_i_6_n_0 + SLICE_X42Y70 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 4.147 r UPD_CLK_DIV/temp_reg[0]_i_5/CO[3] + net (fo=1, routed) 0.000 4.147 UPD_CLK_DIV/temp_reg[0]_i_5_n_0 + SLICE_X42Y71 CARRY4 (Prop_carry4_CI_CO[0]) + 0.254 4.401 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.685 5.086 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.367 5.453 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 1.088 6.541 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y68 FDCE f UPD_CLK_DIV/temp_reg[7]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 40.000 40.000 r + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.556 41.559 UPD_CLK_DIV/clk_out1 + SLICE_X40Y68 FDCE r UPD_CLK_DIV/temp_reg[7]/C + clock pessimism 0.142 41.701 + clock uncertainty -0.160 41.541 + SLICE_X40Y68 FDCE (Recov_fdce_C_CLR) -0.405 41.136 UPD_CLK_DIV/temp_reg[7] + ------------------------------------------------------------------- + required time 41.136 + arrival time -6.541 + ------------------------------------------------------------------- + slack 34.595 + +Slack (MET) : 34.643ns (required time - arrival time) + Source: UPD_CLK_DIV/temp_reg[2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[10]/CLR + (recovery check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.755ns (logic 1.831ns (38.506%) route 2.924ns (61.493%)) + Logic Levels: 5 (CARRY4=3 LUT2=1 LUT3=1) + Clock Path Skew: -0.037ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.558ns = ( 41.558 - 40.000 ) + Source Clock Delay (SCD): 1.737ns + Clock Pessimism Removal (CPR): 0.142ns + Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.734 1.737 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y67 FDCE (Prop_fdce_C_Q) 0.456 2.193 f UPD_CLK_DIV/temp_reg[2]/Q + net (fo=4, routed) 1.200 3.393 UPD_CLK_DIV/temp_reg[2] + SLICE_X42Y69 LUT3 (Prop_lut3_I0_O) 0.124 3.517 r UPD_CLK_DIV/temp[0]_i_14/O + net (fo=1, routed) 0.000 3.517 UPD_CLK_DIV/temp[0]_i_14_n_0 + SLICE_X42Y69 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.513 4.030 r UPD_CLK_DIV/temp_reg[0]_i_6/CO[3] + net (fo=1, routed) 0.000 4.030 UPD_CLK_DIV/temp_reg[0]_i_6_n_0 + SLICE_X42Y70 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 4.147 r UPD_CLK_DIV/temp_reg[0]_i_5/CO[3] + net (fo=1, routed) 0.000 4.147 UPD_CLK_DIV/temp_reg[0]_i_5_n_0 + SLICE_X42Y71 CARRY4 (Prop_carry4_CI_CO[0]) + 0.254 4.401 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.685 5.086 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.367 5.453 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 1.039 6.492 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y69 FDCE f UPD_CLK_DIV/temp_reg[10]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 40.000 40.000 r + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.555 41.558 UPD_CLK_DIV/clk_out1 + SLICE_X40Y69 FDCE r UPD_CLK_DIV/temp_reg[10]/C + clock pessimism 0.142 41.700 + clock uncertainty -0.160 41.540 + SLICE_X40Y69 FDCE (Recov_fdce_C_CLR) -0.405 41.135 UPD_CLK_DIV/temp_reg[10] + ------------------------------------------------------------------- + required time 41.135 + arrival time -6.492 + ------------------------------------------------------------------- + slack 34.643 + +Slack (MET) : 34.643ns (required time - arrival time) + Source: UPD_CLK_DIV/temp_reg[2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[11]/CLR + (recovery check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 40.000ns (clk_out1_clk_wiz_1 rise@40.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 4.755ns (logic 1.831ns (38.506%) route 2.924ns (61.493%)) + Logic Levels: 5 (CARRY4=3 LUT2=1 LUT3=1) + Clock Path Skew: -0.037ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.558ns = ( 41.558 - 40.000 ) + Source Clock Delay (SCD): 1.737ns + Clock Pessimism Removal (CPR): 0.142ns + Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.680 1.680 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.538 -1.858 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.760 -0.098 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 0.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.734 1.737 UPD_CLK_DIV/clk_out1 + SLICE_X40Y67 FDCE r UPD_CLK_DIV/temp_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y67 FDCE (Prop_fdce_C_Q) 0.456 2.193 f UPD_CLK_DIV/temp_reg[2]/Q + net (fo=4, routed) 1.200 3.393 UPD_CLK_DIV/temp_reg[2] + SLICE_X42Y69 LUT3 (Prop_lut3_I0_O) 0.124 3.517 r UPD_CLK_DIV/temp[0]_i_14/O + net (fo=1, routed) 0.000 3.517 UPD_CLK_DIV/temp[0]_i_14_n_0 + SLICE_X42Y69 CARRY4 (Prop_carry4_S[0]_CO[3]) + 0.513 4.030 r UPD_CLK_DIV/temp_reg[0]_i_6/CO[3] + net (fo=1, routed) 0.000 4.030 UPD_CLK_DIV/temp_reg[0]_i_6_n_0 + SLICE_X42Y70 CARRY4 (Prop_carry4_CI_CO[3]) + 0.117 4.147 r UPD_CLK_DIV/temp_reg[0]_i_5/CO[3] + net (fo=1, routed) 0.000 4.147 UPD_CLK_DIV/temp_reg[0]_i_5_n_0 + SLICE_X42Y71 CARRY4 (Prop_carry4_CI_CO[0]) + 0.254 4.401 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.685 5.086 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.367 5.453 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 1.039 6.492 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y69 FDCE f UPD_CLK_DIV/temp_reg[11]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 40.000 40.000 r + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.555 41.558 UPD_CLK_DIV/clk_out1 + SLICE_X40Y69 FDCE r UPD_CLK_DIV/temp_reg[11]/C + clock pessimism 0.142 41.700 + clock uncertainty -0.160 41.540 + SLICE_X40Y69 FDCE (Recov_fdce_C_CLR) -0.405 41.135 UPD_CLK_DIV/temp_reg[11] + ------------------------------------------------------------------- + required time 41.135 + arrival time -6.492 + ------------------------------------------------------------------- + slack 34.643 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 1.220ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[24]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[16]/CLR + (removal check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 1.144ns (logic 0.407ns (35.576%) route 0.737ns (64.424%)) + Logic Levels: 2 (CARRY4=1 LUT2=1) + Clock Path Skew: 0.016ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.850ns + Source Clock Delay (SCD): 0.580ns + Clock Pessimism Removal (CPR): 0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.578 0.580 UPD_CLK_DIV/clk_out1 + SLICE_X40Y73 FDCE r UPD_CLK_DIV/temp_reg[24]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y73 FDCE (Prop_fdce_C_Q) 0.141 0.721 r UPD_CLK_DIV/temp_reg[24]/Q + net (fo=4, routed) 0.245 0.966 UPD_CLK_DIV/temp_reg[24] + SLICE_X42Y71 CARRY4 (Prop_carry4_S[0]_CO[0]) + 0.142 1.108 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.219 1.328 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.124 1.452 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 0.272 1.724 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y71 FDCE f UPD_CLK_DIV/temp_reg[16]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.848 0.850 UPD_CLK_DIV/clk_out1 + SLICE_X40Y71 FDCE r UPD_CLK_DIV/temp_reg[16]/C + clock pessimism -0.254 0.596 + SLICE_X40Y71 FDCE (Remov_fdce_C_CLR) -0.092 0.504 UPD_CLK_DIV/temp_reg[16] + ------------------------------------------------------------------- + required time -0.504 + arrival time 1.724 + ------------------------------------------------------------------- + slack 1.220 + +Slack (MET) : 1.220ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[24]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[17]/CLR + (removal check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 1.144ns (logic 0.407ns (35.576%) route 0.737ns (64.424%)) + Logic Levels: 2 (CARRY4=1 LUT2=1) + Clock Path Skew: 0.016ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.850ns + Source Clock Delay (SCD): 0.580ns + Clock Pessimism Removal (CPR): 0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.578 0.580 UPD_CLK_DIV/clk_out1 + SLICE_X40Y73 FDCE r UPD_CLK_DIV/temp_reg[24]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y73 FDCE (Prop_fdce_C_Q) 0.141 0.721 r UPD_CLK_DIV/temp_reg[24]/Q + net (fo=4, routed) 0.245 0.966 UPD_CLK_DIV/temp_reg[24] + SLICE_X42Y71 CARRY4 (Prop_carry4_S[0]_CO[0]) + 0.142 1.108 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.219 1.328 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.124 1.452 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 0.272 1.724 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y71 FDCE f UPD_CLK_DIV/temp_reg[17]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.848 0.850 UPD_CLK_DIV/clk_out1 + SLICE_X40Y71 FDCE r UPD_CLK_DIV/temp_reg[17]/C + clock pessimism -0.254 0.596 + SLICE_X40Y71 FDCE (Remov_fdce_C_CLR) -0.092 0.504 UPD_CLK_DIV/temp_reg[17] + ------------------------------------------------------------------- + required time -0.504 + arrival time 1.724 + ------------------------------------------------------------------- + slack 1.220 + +Slack (MET) : 1.220ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[24]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[18]/CLR + (removal check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 1.144ns (logic 0.407ns (35.576%) route 0.737ns (64.424%)) + Logic Levels: 2 (CARRY4=1 LUT2=1) + Clock Path Skew: 0.016ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.850ns + Source Clock Delay (SCD): 0.580ns + Clock Pessimism Removal (CPR): 0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.578 0.580 UPD_CLK_DIV/clk_out1 + SLICE_X40Y73 FDCE r UPD_CLK_DIV/temp_reg[24]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y73 FDCE (Prop_fdce_C_Q) 0.141 0.721 r UPD_CLK_DIV/temp_reg[24]/Q + net (fo=4, routed) 0.245 0.966 UPD_CLK_DIV/temp_reg[24] + SLICE_X42Y71 CARRY4 (Prop_carry4_S[0]_CO[0]) + 0.142 1.108 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.219 1.328 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.124 1.452 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 0.272 1.724 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y71 FDCE f UPD_CLK_DIV/temp_reg[18]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.848 0.850 UPD_CLK_DIV/clk_out1 + SLICE_X40Y71 FDCE r UPD_CLK_DIV/temp_reg[18]/C + clock pessimism -0.254 0.596 + SLICE_X40Y71 FDCE (Remov_fdce_C_CLR) -0.092 0.504 UPD_CLK_DIV/temp_reg[18] + ------------------------------------------------------------------- + required time -0.504 + arrival time 1.724 + ------------------------------------------------------------------- + slack 1.220 + +Slack (MET) : 1.220ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[24]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[19]/CLR + (removal check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 1.144ns (logic 0.407ns (35.576%) route 0.737ns (64.424%)) + Logic Levels: 2 (CARRY4=1 LUT2=1) + Clock Path Skew: 0.016ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.850ns + Source Clock Delay (SCD): 0.580ns + Clock Pessimism Removal (CPR): 0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.578 0.580 UPD_CLK_DIV/clk_out1 + SLICE_X40Y73 FDCE r UPD_CLK_DIV/temp_reg[24]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y73 FDCE (Prop_fdce_C_Q) 0.141 0.721 r UPD_CLK_DIV/temp_reg[24]/Q + net (fo=4, routed) 0.245 0.966 UPD_CLK_DIV/temp_reg[24] + SLICE_X42Y71 CARRY4 (Prop_carry4_S[0]_CO[0]) + 0.142 1.108 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.219 1.328 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.124 1.452 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 0.272 1.724 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y71 FDCE f UPD_CLK_DIV/temp_reg[19]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.848 0.850 UPD_CLK_DIV/clk_out1 + SLICE_X40Y71 FDCE r UPD_CLK_DIV/temp_reg[19]/C + clock pessimism -0.254 0.596 + SLICE_X40Y71 FDCE (Remov_fdce_C_CLR) -0.092 0.504 UPD_CLK_DIV/temp_reg[19] + ------------------------------------------------------------------- + required time -0.504 + arrival time 1.724 + ------------------------------------------------------------------- + slack 1.220 + +Slack (MET) : 1.228ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[24]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[20]/CLR + (removal check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 1.151ns (logic 0.407ns (35.369%) route 0.744ns (64.631%)) + Logic Levels: 2 (CARRY4=1 LUT2=1) + Clock Path Skew: 0.015ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.849ns + Source Clock Delay (SCD): 0.580ns + Clock Pessimism Removal (CPR): 0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.578 0.580 UPD_CLK_DIV/clk_out1 + SLICE_X40Y73 FDCE r UPD_CLK_DIV/temp_reg[24]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y73 FDCE (Prop_fdce_C_Q) 0.141 0.721 r UPD_CLK_DIV/temp_reg[24]/Q + net (fo=4, routed) 0.245 0.966 UPD_CLK_DIV/temp_reg[24] + SLICE_X42Y71 CARRY4 (Prop_carry4_S[0]_CO[0]) + 0.142 1.108 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.219 1.328 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.124 1.452 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 0.279 1.730 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y72 FDCE f UPD_CLK_DIV/temp_reg[20]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.847 0.849 UPD_CLK_DIV/clk_out1 + SLICE_X40Y72 FDCE r UPD_CLK_DIV/temp_reg[20]/C + clock pessimism -0.254 0.595 + SLICE_X40Y72 FDCE (Remov_fdce_C_CLR) -0.092 0.503 UPD_CLK_DIV/temp_reg[20] + ------------------------------------------------------------------- + required time -0.503 + arrival time 1.730 + ------------------------------------------------------------------- + slack 1.228 + +Slack (MET) : 1.228ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[24]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[21]/CLR + (removal check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 1.151ns (logic 0.407ns (35.369%) route 0.744ns (64.631%)) + Logic Levels: 2 (CARRY4=1 LUT2=1) + Clock Path Skew: 0.015ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.849ns + Source Clock Delay (SCD): 0.580ns + Clock Pessimism Removal (CPR): 0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.578 0.580 UPD_CLK_DIV/clk_out1 + SLICE_X40Y73 FDCE r UPD_CLK_DIV/temp_reg[24]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y73 FDCE (Prop_fdce_C_Q) 0.141 0.721 r UPD_CLK_DIV/temp_reg[24]/Q + net (fo=4, routed) 0.245 0.966 UPD_CLK_DIV/temp_reg[24] + SLICE_X42Y71 CARRY4 (Prop_carry4_S[0]_CO[0]) + 0.142 1.108 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.219 1.328 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.124 1.452 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 0.279 1.730 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y72 FDCE f UPD_CLK_DIV/temp_reg[21]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.847 0.849 UPD_CLK_DIV/clk_out1 + SLICE_X40Y72 FDCE r UPD_CLK_DIV/temp_reg[21]/C + clock pessimism -0.254 0.595 + SLICE_X40Y72 FDCE (Remov_fdce_C_CLR) -0.092 0.503 UPD_CLK_DIV/temp_reg[21] + ------------------------------------------------------------------- + required time -0.503 + arrival time 1.730 + ------------------------------------------------------------------- + slack 1.228 + +Slack (MET) : 1.228ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[24]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[22]/CLR + (removal check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 1.151ns (logic 0.407ns (35.369%) route 0.744ns (64.631%)) + Logic Levels: 2 (CARRY4=1 LUT2=1) + Clock Path Skew: 0.015ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.849ns + Source Clock Delay (SCD): 0.580ns + Clock Pessimism Removal (CPR): 0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.578 0.580 UPD_CLK_DIV/clk_out1 + SLICE_X40Y73 FDCE r UPD_CLK_DIV/temp_reg[24]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y73 FDCE (Prop_fdce_C_Q) 0.141 0.721 r UPD_CLK_DIV/temp_reg[24]/Q + net (fo=4, routed) 0.245 0.966 UPD_CLK_DIV/temp_reg[24] + SLICE_X42Y71 CARRY4 (Prop_carry4_S[0]_CO[0]) + 0.142 1.108 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.219 1.328 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.124 1.452 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 0.279 1.730 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y72 FDCE f UPD_CLK_DIV/temp_reg[22]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.847 0.849 UPD_CLK_DIV/clk_out1 + SLICE_X40Y72 FDCE r UPD_CLK_DIV/temp_reg[22]/C + clock pessimism -0.254 0.595 + SLICE_X40Y72 FDCE (Remov_fdce_C_CLR) -0.092 0.503 UPD_CLK_DIV/temp_reg[22] + ------------------------------------------------------------------- + required time -0.503 + arrival time 1.730 + ------------------------------------------------------------------- + slack 1.228 + +Slack (MET) : 1.228ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[24]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[23]/CLR + (removal check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 1.151ns (logic 0.407ns (35.369%) route 0.744ns (64.631%)) + Logic Levels: 2 (CARRY4=1 LUT2=1) + Clock Path Skew: 0.015ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.849ns + Source Clock Delay (SCD): 0.580ns + Clock Pessimism Removal (CPR): 0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.578 0.580 UPD_CLK_DIV/clk_out1 + SLICE_X40Y73 FDCE r UPD_CLK_DIV/temp_reg[24]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y73 FDCE (Prop_fdce_C_Q) 0.141 0.721 r UPD_CLK_DIV/temp_reg[24]/Q + net (fo=4, routed) 0.245 0.966 UPD_CLK_DIV/temp_reg[24] + SLICE_X42Y71 CARRY4 (Prop_carry4_S[0]_CO[0]) + 0.142 1.108 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.219 1.328 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.124 1.452 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 0.279 1.730 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y72 FDCE f UPD_CLK_DIV/temp_reg[23]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.847 0.849 UPD_CLK_DIV/clk_out1 + SLICE_X40Y72 FDCE r UPD_CLK_DIV/temp_reg[23]/C + clock pessimism -0.254 0.595 + SLICE_X40Y72 FDCE (Remov_fdce_C_CLR) -0.092 0.503 UPD_CLK_DIV/temp_reg[23] + ------------------------------------------------------------------- + required time -0.503 + arrival time 1.730 + ------------------------------------------------------------------- + slack 1.228 + +Slack (MET) : 1.228ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[24]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[12]/CLR + (removal check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 1.153ns (logic 0.407ns (35.306%) route 0.746ns (64.694%)) + Logic Levels: 2 (CARRY4=1 LUT2=1) + Clock Path Skew: 0.017ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.851ns + Source Clock Delay (SCD): 0.580ns + Clock Pessimism Removal (CPR): 0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.578 0.580 UPD_CLK_DIV/clk_out1 + SLICE_X40Y73 FDCE r UPD_CLK_DIV/temp_reg[24]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y73 FDCE (Prop_fdce_C_Q) 0.141 0.721 r UPD_CLK_DIV/temp_reg[24]/Q + net (fo=4, routed) 0.245 0.966 UPD_CLK_DIV/temp_reg[24] + SLICE_X42Y71 CARRY4 (Prop_carry4_S[0]_CO[0]) + 0.142 1.108 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.219 1.328 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.124 1.452 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 0.281 1.732 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y70 FDCE f UPD_CLK_DIV/temp_reg[12]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.849 0.851 UPD_CLK_DIV/clk_out1 + SLICE_X40Y70 FDCE r UPD_CLK_DIV/temp_reg[12]/C + clock pessimism -0.254 0.597 + SLICE_X40Y70 FDCE (Remov_fdce_C_CLR) -0.092 0.505 UPD_CLK_DIV/temp_reg[12] + ------------------------------------------------------------------- + required time -0.505 + arrival time 1.732 + ------------------------------------------------------------------- + slack 1.228 + +Slack (MET) : 1.228ns (arrival time - required time) + Source: UPD_CLK_DIV/temp_reg[24]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Destination: UPD_CLK_DIV/temp_reg[13]/CLR + (removal check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) + Data Path Delay: 1.153ns (logic 0.407ns (35.306%) route 0.746ns (64.694%)) + Logic Levels: 2 (CARRY4=1 LUT2=1) + Clock Path Skew: 0.017ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.851ns + Source Clock Delay (SCD): 0.580ns + Clock Pessimism Removal (CPR): 0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.548 0.548 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.053 -0.506 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.482 -0.024 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.578 0.580 UPD_CLK_DIV/clk_out1 + SLICE_X40Y73 FDCE r UPD_CLK_DIV/temp_reg[24]/C + ------------------------------------------------------------------- ------------------- + SLICE_X40Y73 FDCE (Prop_fdce_C_Q) 0.141 0.721 r UPD_CLK_DIV/temp_reg[24]/Q + net (fo=4, routed) 0.245 0.966 UPD_CLK_DIV/temp_reg[24] + SLICE_X42Y71 CARRY4 (Prop_carry4_S[0]_CO[0]) + 0.142 1.108 f UPD_CLK_DIV/temp_reg[0]_i_4/CO[0] + net (fo=1, routed) 0.219 1.328 UPD_CLK_DIV/temp_reg[0]_i_4_n_3 + SLICE_X43Y71 LUT2 (Prop_lut2_I0_O) 0.124 1.452 f UPD_CLK_DIV/temp[0]_i_2/O + net (fo=25, routed) 0.281 1.732 UPD_CLK_DIV/temp[0]_i_2_n_0 + SLICE_X40Y70 FDCE f UPD_CLK_DIV/temp_reg[13]/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.849 0.851 UPD_CLK_DIV/clk_out1 + SLICE_X40Y70 FDCE r UPD_CLK_DIV/temp_reg[13]/C + clock pessimism -0.254 0.597 + SLICE_X40Y70 FDCE (Remov_fdce_C_CLR) -0.092 0.505 UPD_CLK_DIV/temp_reg[13] + ------------------------------------------------------------------- + required time -0.505 + arrival time 1.732 + ------------------------------------------------------------------- + slack 1.228 + + + + + +--------------------------------------------------------------------------------------------------- +Path Group: **async_default** +From Clock: sys_clk_pin + To Clock: clk_out1_clk_wiz_1 + +Setup : 0 Failing Endpoints, Worst Slack 2.088ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.745ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 2.088ns (required time - arrival time) + Source: SNAKE/request_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/startUpdate_reg/CLR + (recovery check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 8.000ns (clk_out1_clk_wiz_1 rise@40.000ns - sys_clk_pin rise@32.000ns) + Data Path Delay: 1.259ns (logic 0.580ns (46.066%) route 0.679ns (53.934%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -3.842ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 1.498ns = ( 41.498 - 40.000 ) + Source Clock Delay (SCD): 5.340ns = ( 37.340 - 32.000 ) + Clock Pessimism Removal (CPR): 0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.246ns + Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 32.000 32.000 r + L16 0.000 32.000 r H125MHz (IN) + net (fo=0) 0.000 32.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 33.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 35.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 35.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.672 37.340 SNAKE/H125MHz + SLICE_X22Y44 FDRE r SNAKE/request_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X22Y44 FDRE (Prop_fdre_C_Q) 0.456 37.796 f SNAKE/request_reg/Q + net (fo=5, routed) 0.292 38.088 SNAKE/dataRequest + SLICE_X23Y44 LUT2 (Prop_lut2_I1_O) 0.124 38.212 f SNAKE/startUpdate_i_2/O + net (fo=1, routed) 0.387 38.599 SNAKE/startUpdate_i_2_n_0 + SLICE_X23Y44 FDCE f SNAKE/startUpdate_reg/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 40.000 40.000 r + BUFGCTRL_X0Y16 BUFG 0.000 40.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.490 41.490 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.177 38.313 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.599 39.912 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 40.003 r U0/inst/clkout1_buf/O + net (fo=60, routed) 1.495 41.498 SNAKE/clk_out1 + SLICE_X23Y44 FDCE r SNAKE/startUpdate_reg/C + clock pessimism 0.000 41.498 + clock uncertainty -0.406 41.092 + SLICE_X23Y44 FDCE (Recov_fdce_C_CLR) -0.405 40.687 SNAKE/startUpdate_reg + ------------------------------------------------------------------- + required time 40.687 + arrival time -38.599 + ------------------------------------------------------------------- + slack 2.088 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.745ns (arrival time - required time) + Source: SNAKE/request_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: SNAKE/startUpdate_reg/CLR + (removal check against rising-edge clock clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_1 rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.415ns (logic 0.186ns (44.813%) route 0.229ns (55.187%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -0.644ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 0.830ns + Source Clock Delay (SCD): 1.474ns + Clock Pessimism Removal (CPR): -0.000ns + Clock Uncertainty: 0.406ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.313ns + Phase Error (PE): 0.246ns + Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.562 1.474 SNAKE/H125MHz + SLICE_X22Y44 FDRE r SNAKE/request_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X22Y44 FDRE (Prop_fdre_C_Q) 0.141 1.615 f SNAKE/request_reg/Q + net (fo=5, routed) 0.110 1.725 SNAKE/dataRequest + SLICE_X23Y44 LUT2 (Prop_lut2_I1_O) 0.045 1.770 f SNAKE/startUpdate_i_2/O + net (fo=1, routed) 0.119 1.889 SNAKE/startUpdate_i_2_n_0 + SLICE_X23Y44 FDCE f SNAKE/startUpdate_reg/CLR + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_1 rise edge) + 0.000 0.000 r + BUFGCTRL_X0Y16 BUFG 0.000 0.000 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.814 0.814 U0/inst/clk_in1 + MMCME2_ADV_X0Y0 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -1.369 -0.555 r U0/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.528 -0.027 U0/inst/clk_out1_clk_wiz_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 0.002 r U0/inst/clkout1_buf/O + net (fo=60, routed) 0.828 0.830 SNAKE/clk_out1 + SLICE_X23Y44 FDCE r SNAKE/startUpdate_reg/C + clock pessimism 0.000 0.830 + clock uncertainty 0.406 1.236 + SLICE_X23Y44 FDCE (Remov_fdce_C_CLR) -0.092 1.144 SNAKE/startUpdate_reg + ------------------------------------------------------------------- + required time -1.144 + arrival time 1.889 + ------------------------------------------------------------------- + slack 0.745 + + + + + +--------------------------------------------------------------------------------------------------- +Path Group: **async_default** +From Clock: sys_clk_pin + To Clock: sys_clk_pin + +Setup : 0 Failing Endpoints, Worst Slack 4.029ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.841ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 4.029ns (required time - arrival time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[13]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.371ns (logic 0.773ns (22.929%) route 2.598ns (77.071%)) Logic Levels: 1 (LUT1=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.706ns - Source Clock Delay (SCD): -0.474ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Clock Path Skew: -0.160ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.895ns = ( 12.895 - 8.000 ) + Source Clock Delay (SCD): 5.345ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.313ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.587 -0.474 U1/CLK - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/C + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.677 5.345 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X38Y51 FDRE (Prop_fdre_C_Q) 0.164 -0.310 f U1/comptY_reg[0]/Q - net (fo=29, routed) 0.212 -0.098 U1/comptY_reg__0[0] - SLICE_X38Y51 LUT1 (Prop_lut1_I0_O) 0.045 -0.053 r U1/comptY[0]_i_1/O - net (fo=1, routed) 0.000 -0.053 U1/comptY[0]_i_1_n_0 - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/D + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.478 5.823 r UPD/update_reg/Q + net (fo=46, routed) 0.804 6.627 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.295 6.922 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 1.794 8.717 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X26Y47 FDCE f UPD/dataOut_reg[13]/CLR ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) - 0.000 0.000 r - L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.857 -0.706 U1/CLK - SLICE_X38Y51 FDRE r U1/comptY_reg[0]/C - clock pessimism 0.232 -0.474 - clock uncertainty 0.160 -0.313 - SLICE_X38Y51 FDRE (Hold_fdre_C_D) 0.120 -0.193 U1/comptY_reg[0] + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.503 12.895 UPD/H125MHz + SLICE_X26Y47 FDCE r UPD/dataOut_reg[13]/C + clock pessimism 0.291 13.186 + clock uncertainty -0.035 13.150 + SLICE_X26Y47 FDCE (Recov_fdce_C_CLR) -0.405 12.745 UPD/dataOut_reg[13] ------------------------------------------------------------------- - required time 0.193 - arrival time -0.053 + required time 12.745 + arrival time -8.717 ------------------------------------------------------------------- - slack 0.140 + slack 4.029 -Slack (MET) : 0.140ns (arrival time - required time) - Source: U1/comptX_reg[0]/C - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Destination: U1/comptX_reg[0]/D - (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_1_1 {rise@0.000ns fall@20.000ns period=40.000ns}) - Path Group: clk_out1_clk_wiz_1_1 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clk_out1_clk_wiz_1_1 rise@0.000ns - clk_out1_clk_wiz_1 rise@0.000ns) - Data Path Delay: 0.421ns (logic 0.209ns (49.671%) route 0.212ns (50.329%)) +Slack (MET) : 4.166ns (required time - arrival time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[10]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.233ns (logic 0.773ns (23.911%) route 2.460ns (76.089%)) Logic Levels: 1 (LUT1=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.705ns - Source Clock Delay (SCD): -0.473ns - Clock Pessimism Removal (CPR): -0.232ns - Clock Uncertainty: 0.160ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Clock Path Skew: -0.161ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.894ns = ( 12.894 - 8.000 ) + Source Clock Delay (SCD): 5.345ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.313ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Clock Domain Crossing: Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path. Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1 rise edge) + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.699 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.268 -1.568 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.482 -1.086 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 -1.060 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.588 -0.473 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/C + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.677 5.345 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y55 FDRE (Prop_fdre_C_Q) 0.164 -0.309 f U1/comptX_reg[0]/Q - net (fo=25, routed) 0.212 -0.097 U1/comptX_reg__0[0] - SLICE_X42Y55 LUT1 (Prop_lut1_I0_O) 0.045 -0.052 r U1/comptX[0]_i_1/O - net (fo=1, routed) 0.000 -0.052 U1/plusOp[0] - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/D + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.478 5.823 r UPD/update_reg/Q + net (fo=46, routed) 0.804 6.627 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.295 6.922 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 1.656 8.578 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X26Y46 FDCE f UPD/dataOut_reg[10]/CLR ------------------------------------------------------------------- ------------------- - (clock clk_out1_clk_wiz_1_1 rise edge) + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.502 12.894 UPD/H125MHz + SLICE_X26Y46 FDCE r UPD/dataOut_reg[10]/C + clock pessimism 0.291 13.185 + clock uncertainty -0.035 13.149 + SLICE_X26Y46 FDCE (Recov_fdce_C_CLR) -0.405 12.744 UPD/dataOut_reg[10] + ------------------------------------------------------------------- + required time 12.744 + arrival time -8.578 + ------------------------------------------------------------------- + slack 4.166 + +Slack (MET) : 4.166ns (required time - arrival time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[11]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.233ns (logic 0.773ns (23.911%) route 2.460ns (76.089%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: -0.161ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.894ns = ( 12.894 - 8.000 ) + Source Clock Delay (SCD): 5.345ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) 0.000 0.000 r L16 0.000 0.000 r H125MHz (IN) - net (fo=0) 0.000 0.000 U0/inst/clk_in1 - L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r U0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.480 0.927 U0/inst/clk_in1_clk_wiz_1 - MMCME2_ADV_X0Y1 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.047 -2.120 r U0/inst/mmcm_adv_inst/CLKOUT0 - net (fo=1, routed) 0.528 -1.592 U0/inst/clk_out1_clk_wiz_1 - BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 -1.563 r U0/inst/clkout1_buf/O - net (fo=21, routed) 0.858 -0.705 U1/CLK - SLICE_X42Y55 FDRE r U1/comptX_reg[0]/C - clock pessimism 0.232 -0.473 - clock uncertainty 0.160 -0.312 - SLICE_X42Y55 FDRE (Hold_fdre_C_D) 0.120 -0.192 U1/comptX_reg[0] + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.677 5.345 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.478 5.823 r UPD/update_reg/Q + net (fo=46, routed) 0.804 6.627 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.295 6.922 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 1.656 8.578 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X26Y46 FDCE f UPD/dataOut_reg[11]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.502 12.894 UPD/H125MHz + SLICE_X26Y46 FDCE r UPD/dataOut_reg[11]/C + clock pessimism 0.291 13.185 + clock uncertainty -0.035 13.149 + SLICE_X26Y46 FDCE (Recov_fdce_C_CLR) -0.405 12.744 UPD/dataOut_reg[11] ------------------------------------------------------------------- - required time 0.192 - arrival time -0.052 + required time 12.744 + arrival time -8.578 ------------------------------------------------------------------- - slack 0.140 + slack 4.166 + +Slack (MET) : 4.166ns (required time - arrival time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[12]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.233ns (logic 0.773ns (23.911%) route 2.460ns (76.089%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: -0.161ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.894ns = ( 12.894 - 8.000 ) + Source Clock Delay (SCD): 5.345ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.677 5.345 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.478 5.823 r UPD/update_reg/Q + net (fo=46, routed) 0.804 6.627 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.295 6.922 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 1.656 8.578 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X26Y46 FDCE f UPD/dataOut_reg[12]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.502 12.894 UPD/H125MHz + SLICE_X26Y46 FDCE r UPD/dataOut_reg[12]/C + clock pessimism 0.291 13.185 + clock uncertainty -0.035 13.149 + SLICE_X26Y46 FDCE (Recov_fdce_C_CLR) -0.405 12.744 UPD/dataOut_reg[12] + ------------------------------------------------------------------- + required time 12.744 + arrival time -8.578 + ------------------------------------------------------------------- + slack 4.166 + +Slack (MET) : 4.166ns (required time - arrival time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[9]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.233ns (logic 0.773ns (23.911%) route 2.460ns (76.089%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: -0.161ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.894ns = ( 12.894 - 8.000 ) + Source Clock Delay (SCD): 5.345ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.677 5.345 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.478 5.823 r UPD/update_reg/Q + net (fo=46, routed) 0.804 6.627 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.295 6.922 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 1.656 8.578 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X26Y46 FDCE f UPD/dataOut_reg[9]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.502 12.894 UPD/H125MHz + SLICE_X26Y46 FDCE r UPD/dataOut_reg[9]/C + clock pessimism 0.291 13.185 + clock uncertainty -0.035 13.149 + SLICE_X26Y46 FDCE (Recov_fdce_C_CLR) -0.405 12.744 UPD/dataOut_reg[9] + ------------------------------------------------------------------- + required time 12.744 + arrival time -8.578 + ------------------------------------------------------------------- + slack 4.166 + +Slack (MET) : 4.315ns (required time - arrival time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[5]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.085ns (logic 0.773ns (25.061%) route 2.312ns (74.939%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: -0.161ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.894ns = ( 12.894 - 8.000 ) + Source Clock Delay (SCD): 5.345ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.677 5.345 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.478 5.823 r UPD/update_reg/Q + net (fo=46, routed) 0.804 6.627 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.295 6.922 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 1.508 8.430 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X26Y45 FDCE f UPD/dataOut_reg[5]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.502 12.894 UPD/H125MHz + SLICE_X26Y45 FDCE r UPD/dataOut_reg[5]/C + clock pessimism 0.291 13.185 + clock uncertainty -0.035 13.149 + SLICE_X26Y45 FDCE (Recov_fdce_C_CLR) -0.405 12.744 UPD/dataOut_reg[5] + ------------------------------------------------------------------- + required time 12.744 + arrival time -8.430 + ------------------------------------------------------------------- + slack 4.315 + +Slack (MET) : 4.315ns (required time - arrival time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[6]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.085ns (logic 0.773ns (25.061%) route 2.312ns (74.939%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: -0.161ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.894ns = ( 12.894 - 8.000 ) + Source Clock Delay (SCD): 5.345ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.677 5.345 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.478 5.823 r UPD/update_reg/Q + net (fo=46, routed) 0.804 6.627 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.295 6.922 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 1.508 8.430 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X26Y45 FDCE f UPD/dataOut_reg[6]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.502 12.894 UPD/H125MHz + SLICE_X26Y45 FDCE r UPD/dataOut_reg[6]/C + clock pessimism 0.291 13.185 + clock uncertainty -0.035 13.149 + SLICE_X26Y45 FDCE (Recov_fdce_C_CLR) -0.405 12.744 UPD/dataOut_reg[6] + ------------------------------------------------------------------- + required time 12.744 + arrival time -8.430 + ------------------------------------------------------------------- + slack 4.315 + +Slack (MET) : 4.315ns (required time - arrival time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[7]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.085ns (logic 0.773ns (25.061%) route 2.312ns (74.939%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: -0.161ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.894ns = ( 12.894 - 8.000 ) + Source Clock Delay (SCD): 5.345ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.677 5.345 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.478 5.823 r UPD/update_reg/Q + net (fo=46, routed) 0.804 6.627 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.295 6.922 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 1.508 8.430 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X26Y45 FDCE f UPD/dataOut_reg[7]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.502 12.894 UPD/H125MHz + SLICE_X26Y45 FDCE r UPD/dataOut_reg[7]/C + clock pessimism 0.291 13.185 + clock uncertainty -0.035 13.149 + SLICE_X26Y45 FDCE (Recov_fdce_C_CLR) -0.405 12.744 UPD/dataOut_reg[7] + ------------------------------------------------------------------- + required time 12.744 + arrival time -8.430 + ------------------------------------------------------------------- + slack 4.315 + +Slack (MET) : 4.319ns (required time - arrival time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[2]/CLR + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.080ns (logic 0.773ns (25.096%) route 2.307ns (74.904%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: -0.161ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.894ns = ( 12.894 - 8.000 ) + Source Clock Delay (SCD): 5.345ns + Clock Pessimism Removal (CPR): 0.291ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.677 5.345 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.478 5.823 r UPD/update_reg/Q + net (fo=46, routed) 0.804 6.627 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.295 6.922 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 1.503 8.425 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X27Y45 FDCE f UPD/dataOut_reg[2]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.502 12.894 UPD/H125MHz + SLICE_X27Y45 FDCE r UPD/dataOut_reg[2]/C + clock pessimism 0.291 13.185 + clock uncertainty -0.035 13.149 + SLICE_X27Y45 FDCE (Recov_fdce_C_CLR) -0.405 12.744 UPD/dataOut_reg[2] + ------------------------------------------------------------------- + required time 12.744 + arrival time -8.425 + ------------------------------------------------------------------- + slack 4.319 + +Slack (MET) : 4.353ns (required time - arrival time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[20]_P/PRE + (recovery check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Recovery (Max at Slow Process Corner) + Requirement: 8.000ns (sys_clk_pin rise@8.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 3.188ns (logic 0.773ns (24.251%) route 2.415ns (75.749%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: -0.065ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): 4.889ns = ( 12.889 - 8.000 ) + Source Clock Delay (SCD): 5.345ns + Clock Pessimism Removal (CPR): 0.391ns + Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.000ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.491 1.491 r H125MHz_IBUF_inst/O + net (fo=1, routed) 2.076 3.567 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.101 3.668 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.677 5.345 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.478 5.823 r UPD/update_reg/Q + net (fo=46, routed) 1.437 7.260 UPD/update + SLICE_X6Y28 LUT2 (Prop_lut2_I1_O) 0.295 7.555 f UPD/dataOut_reg[20]_LDC_i_1/O + net (fo=2, routed) 0.977 8.533 UPD/dataOut_reg[20]_LDC_i_1_n_0 + SLICE_X7Y28 FDPE f UPD/dataOut_reg[20]_P/PRE + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 8.000 8.000 r + L16 0.000 8.000 r H125MHz (IN) + net (fo=0) 0.000 8.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 1.421 9.421 r H125MHz_IBUF_inst/O + net (fo=1, routed) 1.880 11.301 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.091 11.392 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 1.497 12.889 UPD/H125MHz + SLICE_X7Y28 FDPE r UPD/dataOut_reg[20]_P/C + clock pessimism 0.391 13.280 + clock uncertainty -0.035 13.245 + SLICE_X7Y28 FDPE (Recov_fdpe_C_PRE) -0.359 12.886 UPD/dataOut_reg[20]_P + ------------------------------------------------------------------- + required time 12.886 + arrival time -8.533 + ------------------------------------------------------------------- + slack 4.353 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.841ns (arrival time - required time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[22]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.785ns (logic 0.246ns (31.332%) route 0.539ns (68.668%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: 0.011ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.986ns + Source Clock Delay (SCD): 1.476ns + Clock Pessimism Removal (CPR): 0.499ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.564 1.476 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.148 1.624 r UPD/update_reg/Q + net (fo=46, routed) 0.357 1.981 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.098 2.079 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 0.182 2.261 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X8Y31 FDCE f UPD/dataOut_reg[22]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.827 1.986 UPD/H125MHz + SLICE_X8Y31 FDCE r UPD/dataOut_reg[22]/C + clock pessimism -0.499 1.487 + SLICE_X8Y31 FDCE (Remov_fdce_C_CLR) -0.067 1.420 UPD/dataOut_reg[22] + ------------------------------------------------------------------- + required time -1.420 + arrival time 2.261 + ------------------------------------------------------------------- + slack 0.841 + +Slack (MET) : 0.841ns (arrival time - required time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[23]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.785ns (logic 0.246ns (31.332%) route 0.539ns (68.668%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: 0.011ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.986ns + Source Clock Delay (SCD): 1.476ns + Clock Pessimism Removal (CPR): 0.499ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.564 1.476 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.148 1.624 r UPD/update_reg/Q + net (fo=46, routed) 0.357 1.981 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.098 2.079 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 0.182 2.261 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X8Y31 FDCE f UPD/dataOut_reg[23]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.827 1.986 UPD/H125MHz + SLICE_X8Y31 FDCE r UPD/dataOut_reg[23]/C + clock pessimism -0.499 1.487 + SLICE_X8Y31 FDCE (Remov_fdce_C_CLR) -0.067 1.420 UPD/dataOut_reg[23] + ------------------------------------------------------------------- + required time -1.420 + arrival time 2.261 + ------------------------------------------------------------------- + slack 0.841 + +Slack (MET) : 0.920ns (arrival time - required time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[14]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.862ns (logic 0.246ns (28.546%) route 0.616ns (71.454%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: 0.009ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.984ns + Source Clock Delay (SCD): 1.476ns + Clock Pessimism Removal (CPR): 0.499ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.564 1.476 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.148 1.624 r UPD/update_reg/Q + net (fo=46, routed) 0.357 1.981 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.098 2.079 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 0.259 2.337 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X8Y29 FDCE f UPD/dataOut_reg[14]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.825 1.984 UPD/H125MHz + SLICE_X8Y29 FDCE r UPD/dataOut_reg[14]/C + clock pessimism -0.499 1.485 + SLICE_X8Y29 FDCE (Remov_fdce_C_CLR) -0.067 1.418 UPD/dataOut_reg[14] + ------------------------------------------------------------------- + required time -1.418 + arrival time 2.337 + ------------------------------------------------------------------- + slack 0.920 + +Slack (MET) : 0.920ns (arrival time - required time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[15]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.862ns (logic 0.246ns (28.546%) route 0.616ns (71.454%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: 0.009ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.984ns + Source Clock Delay (SCD): 1.476ns + Clock Pessimism Removal (CPR): 0.499ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.564 1.476 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.148 1.624 r UPD/update_reg/Q + net (fo=46, routed) 0.357 1.981 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.098 2.079 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 0.259 2.337 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X8Y29 FDCE f UPD/dataOut_reg[15]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.825 1.984 UPD/H125MHz + SLICE_X8Y29 FDCE r UPD/dataOut_reg[15]/C + clock pessimism -0.499 1.485 + SLICE_X8Y29 FDCE (Remov_fdce_C_CLR) -0.067 1.418 UPD/dataOut_reg[15] + ------------------------------------------------------------------- + required time -1.418 + arrival time 2.337 + ------------------------------------------------------------------- + slack 0.920 + +Slack (MET) : 0.920ns (arrival time - required time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[16]/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.862ns (logic 0.246ns (28.546%) route 0.616ns (71.454%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: 0.009ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.984ns + Source Clock Delay (SCD): 1.476ns + Clock Pessimism Removal (CPR): 0.499ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.564 1.476 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.148 1.624 r UPD/update_reg/Q + net (fo=46, routed) 0.357 1.981 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.098 2.079 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 0.259 2.337 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X8Y29 FDCE f UPD/dataOut_reg[16]/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.825 1.984 UPD/H125MHz + SLICE_X8Y29 FDCE r UPD/dataOut_reg[16]/C + clock pessimism -0.499 1.485 + SLICE_X8Y29 FDCE (Remov_fdce_C_CLR) -0.067 1.418 UPD/dataOut_reg[16] + ------------------------------------------------------------------- + required time -1.418 + arrival time 2.337 + ------------------------------------------------------------------- + slack 0.920 + +Slack (MET) : 0.924ns (arrival time - required time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[17]/PRE + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.862ns (logic 0.246ns (28.546%) route 0.616ns (71.454%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: 0.009ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.984ns + Source Clock Delay (SCD): 1.476ns + Clock Pessimism Removal (CPR): 0.499ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.564 1.476 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.148 1.624 r UPD/update_reg/Q + net (fo=46, routed) 0.357 1.981 UPD/update + SLICE_X9Y33 LUT1 (Prop_lut1_I0_O) 0.098 2.079 f UPD/currentSnake_reg[dirY][0]_i_2/O + net (fo=16, routed) 0.259 2.337 UPD/currentSnake_reg[dirY][0]_i_2_n_0 + SLICE_X8Y29 FDPE f UPD/dataOut_reg[17]/PRE + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.825 1.984 UPD/H125MHz + SLICE_X8Y29 FDPE r UPD/dataOut_reg[17]/C + clock pessimism -0.499 1.485 + SLICE_X8Y29 FDPE (Remov_fdpe_C_PRE) -0.071 1.414 UPD/dataOut_reg[17] + ------------------------------------------------------------------- + required time -1.414 + arrival time 2.337 + ------------------------------------------------------------------- + slack 0.924 + +Slack (MET) : 0.974ns (arrival time - required time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[19]_P/PRE + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.910ns (logic 0.246ns (27.026%) route 0.664ns (72.974%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: 0.031ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.987ns + Source Clock Delay (SCD): 1.476ns + Clock Pessimism Removal (CPR): 0.480ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.564 1.476 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.148 1.624 r UPD/update_reg/Q + net (fo=46, routed) 0.533 2.157 UPD/update + SLICE_X7Y32 LUT2 (Prop_lut2_I1_O) 0.098 2.255 f UPD/dataOut_reg[19]_LDC_i_1/O + net (fo=2, routed) 0.131 2.386 UPD/dataOut_reg[19]_LDC_i_1_n_0 + SLICE_X7Y32 FDPE f UPD/dataOut_reg[19]_P/PRE + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.828 1.987 UPD/H125MHz + SLICE_X7Y32 FDPE r UPD/dataOut_reg[19]_P/C + clock pessimism -0.480 1.507 + SLICE_X7Y32 FDPE (Remov_fdpe_C_PRE) -0.095 1.412 UPD/dataOut_reg[19]_P + ------------------------------------------------------------------- + required time -1.412 + arrival time 2.386 + ------------------------------------------------------------------- + slack 0.974 + +Slack (MET) : 0.987ns (arrival time - required time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[19]_C/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.932ns (logic 0.246ns (26.401%) route 0.686ns (73.599%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: 0.012ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.987ns + Source Clock Delay (SCD): 1.476ns + Clock Pessimism Removal (CPR): 0.499ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.564 1.476 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.148 1.624 r UPD/update_reg/Q + net (fo=46, routed) 0.502 2.126 UPD/update + SLICE_X7Y32 LUT2 (Prop_lut2_I1_O) 0.098 2.224 f UPD/dataOut_reg[19]_LDC_i_2/O + net (fo=2, routed) 0.184 2.408 UPD/dataOut_reg[19]_LDC_i_2_n_0 + SLICE_X8Y32 FDCE f UPD/dataOut_reg[19]_C/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.828 1.987 UPD/H125MHz + SLICE_X8Y32 FDCE r UPD/dataOut_reg[19]_C/C + clock pessimism -0.499 1.488 + SLICE_X8Y32 FDCE (Remov_fdce_C_CLR) -0.067 1.421 UPD/dataOut_reg[19]_C + ------------------------------------------------------------------- + required time -1.421 + arrival time 2.408 + ------------------------------------------------------------------- + slack 0.987 + +Slack (MET) : 0.994ns (arrival time - required time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[0]_C/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.935ns (logic 0.246ns (26.298%) route 0.689ns (73.702%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: 0.008ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.983ns + Source Clock Delay (SCD): 1.476ns + Clock Pessimism Removal (CPR): 0.499ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.564 1.476 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.148 1.624 r UPD/update_reg/Q + net (fo=46, routed) 0.415 2.039 UPD/update + SLICE_X6Y27 LUT2 (Prop_lut2_I1_O) 0.098 2.137 f UPD/dataOut_reg[4]_LDC_i_2/O + net (fo=4, routed) 0.274 2.411 UPD/dataOut_reg[4]_LDC_i_2_n_0 + SLICE_X8Y28 FDCE f UPD/dataOut_reg[0]_C/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.824 1.983 UPD/H125MHz + SLICE_X8Y28 FDCE r UPD/dataOut_reg[0]_C/C + clock pessimism -0.499 1.484 + SLICE_X8Y28 FDCE (Remov_fdce_C_CLR) -0.067 1.417 UPD/dataOut_reg[0]_C + ------------------------------------------------------------------- + required time -1.417 + arrival time 2.411 + ------------------------------------------------------------------- + slack 0.994 + +Slack (MET) : 0.994ns (arrival time - required time) + Source: UPD/update_reg/C + (rising edge-triggered cell FDRE clocked by sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Destination: UPD/dataOut_reg[3]_C/CLR + (removal check against rising-edge clock sys_clk_pin {rise@0.000ns fall@4.000ns period=8.000ns}) + Path Group: **async_default** + Path Type: Removal (Min at Fast Process Corner) + Requirement: 0.000ns (sys_clk_pin rise@0.000ns - sys_clk_pin rise@0.000ns) + Data Path Delay: 0.935ns (logic 0.246ns (26.298%) route 0.689ns (73.702%)) + Logic Levels: 1 (LUT2=1) + Clock Path Skew: 0.008ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): 1.983ns + Source Clock Delay (SCD): 1.476ns + Clock Pessimism Removal (CPR): 0.499ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.259 0.259 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.627 0.886 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.026 0.912 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.564 1.476 UPD/H125MHz + SLICE_X8Y34 FDRE r UPD/update_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X8Y34 FDRE (Prop_fdre_C_Q) 0.148 1.624 r UPD/update_reg/Q + net (fo=46, routed) 0.415 2.039 UPD/update + SLICE_X6Y27 LUT2 (Prop_lut2_I1_O) 0.098 2.137 f UPD/dataOut_reg[4]_LDC_i_2/O + net (fo=4, routed) 0.274 2.411 UPD/dataOut_reg[4]_LDC_i_2_n_0 + SLICE_X8Y28 FDCE f UPD/dataOut_reg[3]_C/CLR + ------------------------------------------------------------------- ------------------- + + (clock sys_clk_pin rise edge) + 0.000 0.000 r + L16 0.000 0.000 r H125MHz (IN) + net (fo=0) 0.000 0.000 H125MHz + L16 IBUF (Prop_ibuf_I_O) 0.447 0.447 r H125MHz_IBUF_inst/O + net (fo=1, routed) 0.683 1.130 H125MHz_IBUF + BUFGCTRL_X0Y16 BUFG (Prop_bufg_I_O) 0.029 1.159 r H125MHz_IBUF_BUFG_inst/O + net (fo=184, routed) 0.824 1.983 UPD/H125MHz + SLICE_X8Y28 FDCE r UPD/dataOut_reg[3]_C/C + clock pessimism -0.499 1.484 + SLICE_X8Y28 FDCE (Remov_fdce_C_CLR) -0.067 1.417 UPD/dataOut_reg[3]_C + ------------------------------------------------------------------- + required time -1.417 + arrival time 2.411 + ------------------------------------------------------------------- + slack 0.994 diff --git a/projet-vga.runs/impl_1/VGA_top_timing_summary_routed.rpx b/projet-vga.runs/impl_1/VGA_top_timing_summary_routed.rpx index 8926339cb034a64c8a58b1c536ad0bd85b705d3e..02e78a0bb2a5e3dfbd64d3445b1a748b710e9493 100644 GIT binary patch literal 530443 zcmeEP3w#vSxj(bB2{;&mnQ?{x0O^q-8}e;E{Cx#My;qNB6Wo-6;4 zbIprX2+gxSV!3Gqw9nJgeEZs*P7`w=z<5B6YLC&vpd)7 z{0e?BJJ@-<&adzJ?{JS}{heLfF>gUh_4jtssVU9b>p%Wa} zW8r!&+-?inV?liuOTPsju%Lq$blAcjwQ$ER=!AvKuNN${oi>y&OGZ2+|KkdB9H?wW z9I2j@tLJ8Xn-``FxeY{uwh57U9O)CH zbXpiIM991PpsHQtTB7H`GeI~*Wnu^wJibDOuKrM<1#0pA-t zz24S}*-o*bzM-yWrF%tf-7?WR$LSPtC!FmlpY3!O3M+&W*L#B@kdLkQdf&$8)_}MX zu9wXz5(`R;XRq~z3!$hR#Of|zd#mUwc9fKtJH>!sT(Q1Otns#pVyWmVnpadd&ox(E z+PDH2UM7fwwWc}jwM|~p4rd~Aj z5sOeQj9%%m`~0D>y`_DF$LDvBMSnkd;>?-pl~b@vp-NBX#pDAV3XlWM8zX;{emA;O zSRmN&z0moc9{gdG?+y7NG@jGS}4a@F&52Y<_n`j zn?t08PM@FlG`!Z+xc)IhxS(adw`GIWi5og^x1kviOK!@zoLhZC5VbRFg|AxOjC8{#tUQGynb&G zMA9mq48bFxC*-sT0-gBdBw<`9Od~#cE>-K`!en8*d_mm`xH4K81#@Z3dQYSb$NBRf z9xsd~nh(nvP-UqmVUltxR_H>$av?$TLZNa^PyDL#V`VE$R?3Kzq3V`cQ3+F&TjJ#v z8Po8Skp~toQhq86NF_O8YQj~u_=NGwWt9wVy}26lv-yQvVS@Dry&^33oFa5-Y3w9- z;;}O$L#J4kp)Bx*jU!uCtf#E53A6&9!}1X+>=Qh0rHSj58h;{_3(zoaQC zajuyo%WHw{2BA?^l*4REVk@lK!>}leOqbF^Y|fZQ+q0bNO~ekowo2jZNTp=Nk|s3_ z4V95fHP4BmPH&5EoeyxI-0Z7u*9#3X&5jlk?L_J_)^>%(u8=nu8k>JnRO!tKnr6#z2Dn{w>Y3nHb)*X6zB@J zc;}3{*0vyijX#i^4@54Ib)KrfjJeu&v(ECsc9k$UrroNZBp05fH;$QWYfZAcSRyQnDKt(P z1c{NQO{_?iP9m*0$kUEllz+K^VE@D756$40F1n)tpkVblGTKG(4%i&&2yAR_mwr$rT$FGHeh~_^cj0{>+qh+3cx$0B6yMPSIV;AMLS%C^2DlOONjj9LSaIUFGQYV zVY{zweHcoCvZRX>g)y~l{s45ET6-OA(ZG%vxydOMMD}|YGzZ%^i%Xhe&AVQNj$a)J z1_PV0rY^y+2jrGRhPD~i!iAAr+yVbOUt1R}?cwp@NwLu5U(9$IzTrpmz#zSuhL1Sm z7)RbBQZ^_G<-G}~{GnX_P$hq;l|Oj#_TqZ(HZdZRG@E zDGUeo-k>kgYTK0e@J+qKSKJCpa@n_UaF zN}Yg^SUnXt9}c}$GcJZgrpkqMbhLDMnp-yl=z{$t_mahRD_1yd-LdVsCvSG(@<=-t zJ^6Kqfg&>7p8I3lvoY_ZEmuU^+7Dr0Wa1D)S6b+4Lz?R`qcqssfhe9&|C1AYfTK>cu%w|JljvKgQ<{1RA(+@1Hqmj7~1=z96Y@S8z2X7HN<+fDA7gWrs@ zq0x-;%s1n{$hdJ={J1d{#*HbO<3<(~C52XGUQcG;BUl#!uz}U_M|cd~q>Q1-SAhik zWoq=CxrK|g;P&_yP!@ohZGt%&kfq;XHb9!fFaXckOE4z^Y4RGx21wdChz*bwaS$6I zO;v-~0BMpM#0E&yQzjcA{62>u{y2*Z8w}LyeT1&~eT0cHYuMy10I>I1r`|-kR;$SP zO@tXx!Zf*rgiVBn)v%3V+aBABd%5^+1OtUg06t?)`fO~Iwj?x(zB#h6x^`J+Ta-^) z6h$b|qMP2>64~3>@zU!>IuIobEh4Wi+9G&uaiD3yUz_lQ3u7#TY)n&+Jp z07to|Zq9K!XUu}mc|}9jQum66CHBT;Rf`vR;G4a}+kp|4$LVq6ovx~e8aPU?voNN7 z=yFdd+r(Qq}?G;-hbZT>71~p^FgPyJ48^PJfOjR?GAK-;TVi%*j5dY zH(65{AH!y}N%hW!>~>X#D$ycTkFX8UdcG5TabT@%!QGs8>A^96=@fX0YVN@{vr*z{ioh9lZ(rHegPcpy=@@F2=@C z_+O#y0OyoF=2XUJ#D{KlWvzT^J-SW03!#XeS;V6f+$phvneX*q!UlDbf6)hBM(pV} zJ?NPqv8PLT+-1a^?%!v=T$N}}H(%SD&a&MidAmmK=)mpu+m=y5A1J_V5&wBU=GzI2 zaLOV)kca)3ZJLB1UWVjrUV-I9%}g{8Rio?BO(P4ItXxrA;VithD$(LOvfO$M?zcBsrcY$F0N^v6~!sSn%7}X?fVY?ZwB*nPmEatZ17RZMUKxE9$kP-Bz^6%Jo@MzZLz=+ED=S44aF3Tid*| z!$DtL8~6>iiY?yuc5z`%!ve`>8qR=YrG{9=JMlYLL=U#6?UgS1MRXFg$co~~_qz*U z8{qohv=SqScdQx;_ny|t5427`k~6lT#$5ybs*xPs^$mqRIb3fJ+MUDo<)A}3Sho)6 zpyN5{B>8-r9Jl7;^WEgQgB*9|{u>)N-z;eMchH38`FZtVZSPsv8uWVHp5-EUofW@@ z8^J654lcNb9`VHWwHBwAub-Kw{8yiK3dr|P&Syfs>*IES?%$`241ZL%7(d8ZYK;s zvgdllYd+e-)d|lpmr|F10eOC~y)O0CIy`k)09f&(1$T4z_5Y08$Ogd%0=R%QveV-L zQ&}h;T4z(9Jn_Nto1#7VwFyloAPNsrP;%TmBG0bf&Z_SY=!G^JMmYwDD{e5DU1VA#?Qx4(s)Id zWyOioyx)HQH8g217Ic@*P=~?ZbeBLU0kMU2{xs69F!AYi5&LPNa{!NE^F4eWx|y(a zse9=Hm#3=cdbNZmzVWG-Xpgc+mwuRvqn(mRFzy&YGrlP5m1`C z=6m@4jO*IJo?K3S>Lj{`_wP*V+GG4ktL-YdX|y!Cb;?dxcuXZr47~iMjU_h-6Jdh1 zsg@X#nKnOfQJ}q5UK-V?mqw)EEw{cvT^3xadVkW2pEN^?u9L7vDTiO@1--X*`S5ZW z93;KU6JW?7KLMw)04sxA&unuZYurD=V+ z!c!6yyAW0ilZdH>9imzU!z-iFFWmW*i*bO=l_5Y@b>DYoK=#U*D>MPwJ9n&509nrd z(F!1g^C<(eZ~y550A$Z?cu*6N{dD7e0Aziioil&v05bOg1F{U)H}nA6b}MLtN1$~1 zC?;!BVKR3NCR?_4jG}j1m~5pm0oEX66BG_KSV1Kk>uB8fWOddm_F8GhpHQrX$nf}J za1DJ+G67&{rvhazPpwk{WiItP`OnMCsH5*{VJb*qie^(ieh)^EiX@zgh(ZsjT$k(t z!01ATXzEu+g=ilzhz5T445CF=EW-k#HAXf?uyrMgJBMK;C><4}eONVGS+8h~KUA%Y zEFBGN-JcmuvoV+^frPUkrlq8Bzx+qz!0Fppb)gzw7}J&?c&FI{yTN2kiGvXeS|f|5 z2wIcI0)o~`);v#LFPMWu6tsr%g!~X%m@7G2dm?v(@;0>YG}lB8w1(jarD=V+)_+K7 zExETbvF*sGUyouop}<|$(6FjFL#Sv|>&K{VEo{9f^TNk^kqO{-GaxM9Hd)hS z7wS->77hG{d!el0TI;8J;WBuFi?vI4NW@xW6RE}^kP8_ou?|YipFjwa7TBiRT^;QGj?QYGI`S@s{em?g$ZQc)j^g zhVmH7i&Edi0_Cj&nwqzbS8JloNa|s|vbOQcYDa6V(o;XPaPK68dkpSHc394SxR-|F zo&NQW1FCqtba5YETGN&-t6@=ONxmr3gMRac-%ytm=5Q4S`e9HaKZh28OAh^R>)oWh z6RkVVHBkflVGKiQ8bH6#O3*LPQf!stMHp{0sa%P|Jz_KI%n;b?`0e*)u=nu~F4Y8k z!S%}(u!qe%WSdDipEB6{pPJ7B*!#pg4^Sw&G1Uu(5ZGfjlaPxflQxr|!eB4KW>V?z zQJAM?Gr5k~OzQD-2{x0YbZj%}h_RV0DNCfLaPN~~xU^BT23Qe$?#Oh zWQmk$J2_x%9EJ|^6KDae$~vh zGC-S}=g5X{no0(%3ktL%m$oVB>HvloebHsL|Lsi0VxsTjtm}xx@iw<06%2nT;dE z9J~y0(qU|z%Da+5yK@WLr9le(V0Y?l99BQZ#wG5oFdsQ%+bZWY8#e|F(`btX8V#O` z;_0(-cxg>*5si(57Aep#i6Q2Iv2hrb$j@O8`hDxa?@-=})}3jyaTvo;nr6fh(}@EG zQVcQi^{hd^oJ$qx_xU7-m|uD{3jNfEm~cL2=of0n(C;xS^y|NhLBE!B*bo!<`>aF1 zvKZ)BlsNU{UMMTLY3Ns)ap)H*abTgJRB5)MA0Fly^h*e=!=N97eg*@hq+^IlRv{+U zj~QYzicb#CaZW?O2PUQg{qXYNq~hsAKfJW2EnBG2uWaZ*KMYFb=gsf<-ukKQy-xJHUS-+<*x=At1T+ zp&bl!V06Lu4EG;W5tS{Kp*d5Ocs|4tVm~doj4j(*`Ok^MIEiCe@GO zK8E|`zIRUJzDI!q8A!#`$9-v0evYAo`!FJrC2J1%{doSJ$~)1zGi}Nb0~kuv0Qc!y zd+Nqsfkbjy!+qae{<>`KIgqheF8pjSCHBgv{`4@5y^`4oooAu7CyTw3Gz^NpuBk{n z_DWHb*em(_Rk0{sTk(eKbg4E>Th z`bp4_Ir^RB(2qI#B|<;N(QhE3pW^73Rp^I@Ig`7ejP+xzp9&>2)-Mf%&lLQP80*Ja zKgRk2>z9_JAIy81XZ_N0^eZ1a&@XjIzk4=i8Tw%iLxq0)Uq>SZu0Wa@7w}t8nsK3F zCYpz;A=&axqYIX-Tv1Zt6yZ;4g{$z^YB~LmYC|;W3wbMx9djHGhd(6F7L)xX@{6w3 zzxqq%-3W=*Te;rLFRhkx*YDtPO5~m7_&E3b`(6YWzZM(6Z!b6Xre7<8J>fiY@e`{L zalf8^>HH(y4-amyI7aSzpBzteZ;kJrf0}&WYQbf8lj9D{frc|xJ1x%l^X5NJ&OAeo zd&zO1<*vt{9v$Sl!#q05qvJd}!J|_=x5bLKSan6;E81=4_E=G$74=)u&#WBg*9h?=7q-gz@~Ssw!|Lsp4Q0^v`#*hGqs>#MdNjA zT;eRT2L2STaoSylqFux%>KDXjW|$?q>l+Gta=6|cv^$6I%Rz^7a3?sNgO2B*ljQSh za@?9rItMxKAje&~{~nP%GXrQ$)cH>Cq`V0!YZrAQf*Q zGsDrTcPsm8W&}`@5doB_Gsl;z1yHIMz*qkIUnvQo#DD<)RYK3Ll&l9h?X@^vVVXU_ z87F`ePaPy!wBorzEr91fJWb7qR4n$|C{_r+p-OYHAi^+s(MpHi=MRPL9UUzlp61q#9`X(Lgld;z zGQwbAx=Xki3Y(g=u)38i9Qo5o@=jcl*F~z5YQbjb_&RhlVd+x$(giM0Rn7I$H`&d7 z(Z9BzHX^Qp9Gko?U#>lI9)bs<*^z(a+PA5qis+lFe4K{fv$kuUz1FylRzu!t&Ne}- zl)C&pA{!{N%~LDsTlLC?*Q$ju>5X4d^(~bw0l)mmuc!~6MB}1h!m!4rlaWKLroH^- zkk$>Bzl)r%lBJ98@YI6bJXm&8N3O#oL%b;Ql7LoZiUe-Q{uimzTx4A1AlW!Atx|T? zt>EUxSyi{XZ@x^GQ&n3(hd1NV2;|<4G1-f>+iw&mLZ(HVYU+>V|I5Fi(HrjS^sH+o zOX~)_uD}3DDf!*U-=dam&ViDWWD!GB`4O}{HIv8o`QW3m->2Pau8A5+7BPaQRM3|z zqz3tc{m(MwA3f=RWXS)?uU(=E`KPsD$lp5m!8phd=TnCKzr2vE-f8(%+3OX_A^)GQ z+Q%S&2BZ2g$lu4IehwYv&|wZ8<&cd*{={UA4D#cR83y^qf^~rkEy&-t@k#1ZFv+3_ zPi1&vFRvZ$;PAE_gZvEgW9+}l2dR9adoakavAfJ5zt)zZjX{0}`7^$~_9{vV@<+|I zGYI)HJd#nR#-6w>JCGmjyY(RdkxO%5qBYDMo~A&43`ymR%t8L2PZY8X`7wf}G%aPw z55C?52l;<$(PsIx0r@B0%^?4PS`|P*CkFW$8dg@+SZV4a5FyLH^RLLw>&Mcd}vsK>G53^Lv=( zr~C51<9&e{_A|qNZ~+{zVquBD5%c9&dvY^he&)+xJ7B*2RnwkG#jqbwWhNIz%$NVH z3eU%U`MGY6`SSN_;&bN9pBRTDz0es9@@E6`r|8QMvs>mN|KRxYmkuGwpSmyq{;!{x zUBiBiV6(yU&&@jIUp-ZU{8v`#`#%5rB`;(yeBak!$B@4{d?_t_Up0gLnM~Kk z4Eq_&&scuO^2da;Fdx3}E1!Kl708dLG6wk>%g%KZE=X@-xVf z!zT})i2czVml^cs9~{VE#vs2D!~SeRelTEWke~VTZ`R7S$b9)p#-vClk>%=4B60vA zmZXm*>0?Rys3DF9jOE8u8H4-`@-xWKAU}ir4Dt^z$e%(&OnqPe!GZkc4Dt^q%KZE>36!M>cbYF_I zTjmY>2gjG+IfNiThNKkhd0QE*D9&#V27Mcw+r_Z2!+RrIkG62An|p7bHM_q27{OAS zma<{Li$Q(_|5(I+7O`J#dJnMIzC1sVvHXEf4% zKZE?k3-ZJ4He4XTi$Q)PEWbYFKMKfIKsFm-w{>d+UEydp+I)?37h|A{{}rMSPo6$= zrl`^>eQ;NnOBWETk}uWDm)4`(_jYHcx)XSkKIr-9F@=1zhc7Q{NxN*&s zstaxsPI?*~tuIWrobihP)^eyYf&TKST9+Gn2aU(el!<~`S!|M`{ukw=@j zjr;Nlc($D!`tesQdO1$>3BNpoJmSC4cq$Vgv5(V!Mzr0+_gMJYXS96VG74wK6K9L~ z&+{?gPFRFf7Gd)F2mvQO1^%C~vcAUN+8l1KgI5jy;Z07QxifzjT)Lv6YN>lg!xDSr zvZ}=kJn+rl;q4$!wPWV*x7@z>bMs#z zXOe8@a9en88_#X$Q4f!Ld9<5HdwA5xqkbM8;L$;zJIte_JUY&!6FfS_b6c!vn-y)h zq8=;iwW8ftw8zTHQ5sqc;EnnGZKAie%{x0B^tH8lgWguL#oOL4F064c0KbWV94JvO zPc7ex;yDh7!yghOX%Ne#uXh)|W?fIK23~V@QRxC_CaQvjiwm1W;diWR<$5pX+Xpe< z9?2P7P~)zFuG>hC?)rwpo*b?>2kp*5eL3h*4(<T7w!dk|5bLlz`a1;A=QdYbE_~D*2R}6DoR%;=#&@E z*GQ^`^#pLF&F>A9q;lm~CSWSu*JyRE5zHthR5nt6mC+}HVW@}WV3ggRkO*>D?q_mG zT5L<;#;FCQn6V4j()2S@UoDIpiEJYlIb9`77u^9xBQtlq;ci}iw;+qpL0SRR zhJF*Og-Os4#zmUosjXhQ(Bt!m!uHzO<`B`~2`EuCwx(od)J3-Qd~3Vbd1^g%*l7pX zpnl4V-utQVwO$wlPkFw&fzUFgr#KUPO7DZB4#9H~Jo039i5_UwwWgo@7J*if{P6JX zZSuq8YSa(^(dl1bP3zRlh0*ZvqwpjY?Ih#n*X%4SPJH^AKOaMV`b9$Wc?|?>#p7tS ziByevIu{x@>@?zexG4=nDE9NYKN$y_F|(CoxU#k9)6#y3m#!A zbhjyqlZjEyxZyDmRSY$V>c4tL-YdakMmnbb3P}UxzQ$f%T1y z)_A!^8xQUfE`~{HYN8BOE6@Ck7X{i|J;COVwMC^~r$=eAM_H1>I2_Y;p*SL zBV&a6`G3^J2oHXZ1B|e-Hv|~r<9**#Fan%U86#{8Jqs9NMG}ng(~a{1BfPomz9EDW z>d$G6z?sAd-?BniULz#$>`{==sR9Z0ss%(hrw0;lhWUrLP1aH-kKf~fafG(m`%KHX z6^+$en=Xj9URFS-$KmmLitM$xY}JaJHm9$NuA1VDQCTS$sVU2%=qjq4`}6WLYBPO8 zAqe5J#DP5#Dd_>F_s7klj^nEVlo=kNKteGyg@pX5tHRGRoRnlvWH<@KTnS@F0oNem zqy_(%r>rHk29Db3z!9_mN9K4?3jj*$agM4I3@BxO-+%$7Bs*2Mw1LtWUtLXA4PBsw zm$(Y-NMQUZZd)LV>%gp82ZVIb&+pP? zQQrLcMLe}(c$ui z=$eR)-9Vzl|GMwD6mhk zLM>BeKpr&XmGqTD&o(nfrAP}M!hA8r&|&FtK!*$e@UQHmLkxQ8=h>2xAIAGPo{76fN#=nS zUC=NOESTSEK8ZRDUd92XWM!+j%1_&{@WWefp=yS%VIf}FrDIswuS*Z%^%iZGyHn4w z5So}jBe`LryeNfjxtQsXkVgaNf`!+y@>6P2K*>!D8w;~c9^qv#rD#a)UltPB}nB6dt7MgH^WUgbWj|ct_g@EBqn$!Kw&s0GP1qKcX-J&Zi6$`1OC$ z^}%{=)x$#wCJdMlma}XK#%VC?gY_LN^mC6u>FrUVkh&ArYRS1)Q=JUh%RTo7-6~Jh z3(J`qFD$8;jCf(0fJ@~uT!;o-djAaz6)qWY!-|ekGFZYrI%*9`wVd0|Ea#ZzTt9~n z4j?y@0$9q~PX|la(MG<={x9>xVsI%jev1x9On>Vj>u_nolr#fYxWH;Z0WO)CLk7eT z%c=6ia+YZYtWf;0GTDA;}Al!AA)U{>0ec6jkmX&Qi~aT1p@5k?3b zUfucpt=`*7B)c+9q&VUH?Bqm>6FN{;O-%!YJi&jOoX#j*N|8tQagX80DJ5 z0y9Qd!yFc9C?bOe5mx{f*_s6Njs{vY5sxDM&Cx8_Rq0U$3>L_9zSb54bDbEPt`lh+ zRSh~zFc7c+COQTSU}`rWfLp^aUmq5v@0VYaQNR3Mg}H;_mp}QJIY1U9i`o`k|0?s# zXMXv5z85TNTQpJ7xg534spXgNOyZZnYr%IFLRV`Qr|Fkp5@U;&>8NdZM2DG233H>0 zW~UtlZdWez%V&-{1MR4jq6;$f%V&Q1iD52>OU|Sel_D*_e3&oH60up1+Ey|g{PO>L z_)K<5BMf@v4I~XdD^;w<4~p>Q(Oo$bjZ*lLx+K`u(vgu zQamkm2=m1dLx*#R13Ik8LzNWpW;18?sKFg#&_k&J(BbL}NyevH;D>QVqL+l6HM2yD z;8A@;16ZQwPlX>(A@SSn4RuSaT3dsV5}9}>m8+5BbUFJ|u?T5$T@^0;r?|q2|CCmg zXr+WIo8xde{2_66O!VlWFXXLsNSC@!_uWv1gML?SmzaO8M0yb0~;(mB=OvMp6Zm&2-uD(x>C&}?NIc~M!v?<-> zxWh8-Gtc(#v^@LT=jT68&OAeod&zO1<-FfKSGnKv-k1JS`3gC6h#ZfQ<1unPZb7Fk zXbaD6)@UJ<(f1Ds$$U25v8YwDVuu#0su z$^N6x>@De$NBN0t5{+M2G*Cb{cl`xZmGMD~S6TOFFtD9o; z18F!qeR0yKm0c>WdTvM-BrdA{O#~YiIp&&5(A$|zl3E3;fyidteF zq~OY*piVS}>wr{K$%2W$eaCp}OTP`g+juQE)9Aa2K2x#7BD zcvJS;BH6M=#cd{W6f@kvK0Jb483+r+!%```uHOTcnAKpi;(MVT>s8v3X%UPW9Ax|k-)fG$CLtd%6D~^2nv9!CQTw{FHpwdJo z4(BXPTXNDkSPI$P@uJn>C}>!TT~M$}axhsq6(u$`Sr}Ggs4-r0&P2%-U%WUol5@IX z_;Y1Ja=2iXg7buTU!;y8^}-nF73W71 zyLvEh1uu@4KHG7`O5HxW7LrDCw#n)eweqy+!^`v??FNe? zj@beR!NkO zBciE(RX{@87pC3qKKn_sHNV+QeW~VE=J0=il2&2&6NQxJ}L@Z|er;z;pwx3s*pmVBiPhpV+30wDai=7bDCgtXc26V zBpzoER^39~H;g0LNF;n3k&+IvNE2*jtA_)@cHwzhCfG17 zL?_r<40*84HR!<>6A3exU@MYb*GeQuw$we?R?b;!j9}aT_7d=58};|EXT*c8WBpes z1lzs+yMSPu{uuLM8{P!l1K5LYE0@fIRd)Eq>LR(P!8j$-9oPUq#HB^QgOjYC_59b` z4EV2=uErirs!UzZxryNTg)j9|%~p(T{E^M((jrHUPlBGMvk~4yDOkm?6{&p^iquOE zJqvLomi2Ot@kXW^HZKRhbAN^;=K|CE47+4W&jAIiBv+z#$tuZ?{0Kf2-0%!tbglZeX8C}ZM+a#i92@2mtbBV(Q)y5a&q z=s0mX5+~htoyBR33x*$`XD;~3sCpNEhIGA)2W$e5EMgNVbP|{t-18n>j&p(`9KQ>GbQE9fZnWfocQVL{;X8Ua3pwMjZ zTu!6eKK=xw*}(aG*!!_Dnyq1pJ-JT@quJu@>x~nXjAo0)ER1GThhK)>bVjq`Kx>R< zBi#amC*dv;6J`w<^e7R+Xto$%Xd89O zE?-7W1|-HIo&#qz8$D#C)Y;-xl+kQpJ2g9zE{r;#lUR*Xj~mo*kx~%Yf7&;$ z{TkKyC(fHKHgj5rX|@y+r!kt1(QL7hmCx&1&n{7$omnq(C&0~6*Hyfkb zRM!8@n+-``c{CGo=FJugNjv7HjAo0)ER1GjG+VB_s-a<3Bn_VA%)n?iMzh74G8oy( zXEYm!4s!@w-Wm}X*#l-YTS|NpquEjtTLK5pXf{T(Wh*NiquC&3iz49!-WZklYGHyhr!0^b~#m91Ks1Vr_?m=t9sdYuU;$h0^EmX(d; zdXmuwX=i0)-fVqw=z(Qr)38`zS=lH`s56fYtDupk!-8ge{P_0ldb442Xdr2};_TCG ztL`5ZnyqU*jb?kO{i}>-V>BD1*;vrXe)TRB^JWtZ)&(jwR&sio6d29MXf{T(t)My& zGjBGdyb+_>7|m9`1dWPm7sm-fYQe=>gBp2J^_UqS=av10XgPW9~kwxN?l`Ask&9+{=M)qbq z|8I>|-5lPq-9e5!$?ha-I%Ppycy1fd zZRfcjp6lh&Zl2r2bA3G5&!Yo8I>>W}d32OV$9Z&uN2hphixq9NqU~1HV@17IwA;$< zv7$aJ>bIhwS=Sc?eIcK}jbsg-9S-{1+92yptJvagZx`WRRu`2naEdMMX-9K>&Du(< zYGF;of=pENrq$Zm9@zAbbyR3`$kWok!PDvUzZdiEgP3m*uLd_GN%TXV_#C&wM+xGQ%r?)oe@Th-zP9_V29sP;#EvRH1mSf4CLvoV@2xp5-P z%|^1YvD|F2gN6}*BNjAL+3Gedt^|jfK@A%D-}jHCrhPI@e3TZ&q_R`u_9SspFd{Cp z2Mp!L28~P*SBA4?l@=M;b#5;%$&A>XYS7%0EWij&7Q%=K4*~&5_uMNU1NXn)G{8cM^G8mK@=wlcz z$(s$@k+B?ro~}&XWr$X$4(Zs~MBb9Y+=-tsm~>X6x9oM0XD)w&+Vcum=fS5TRd$Sh zkCfi-i7#JD?GSMx4xt!&;#WOk(QM|67%3!qW;0TVkwRLdm*%!5%OnmVw~U zZw>~18=Kq3u&=|bm?}Nj{ZMv&uP~KCXZMLB#;FZP3dQd48kZakaTqH(=6l6_uVVIQSct;}4xag5 zoyERaj1-FQ1;bV>B*tT;klGunUAPkV>kDXG=#to+j1*D`;x^$55ZWb)LX%7wJ3UUP zC(sp^=mwkL8zwd@j1;O`>Q3tBJ4{I-2*;;I3b~SyLcjdSI4VYpBZZ)t?2$rvm&N2} z9?N^h@?OOPtn@dzC%y3t>Lxb}$fvOxe6=uYB(jZ&#E=9nR*~M4fxEn4tHrCcfP5NZ zT+Zo$eCd!vFpmr?QV6E>VL%G?jLb4AgsBX9kkR0)fD~Fk(TJx|vBznTdJ0VggP(j& zPa*7(wjj|}$R)W7kw3*1PW-K;qNwoJB9%*s2yQRl%Cb2Qhr=HNw~mBM4(U?Y@y&B( zRw(?*I!#xhUsYjOq1Pv053WM{uX(z1A94NJ&%ybWU4>SyzMaAf-Tuc<0xQ%g{CP;Z z3OUP|s}S8)XrxJ3p@$|Kauve*Qd3u5Q`ZDB3PfU%7HsZV>n!s+J&GQA!^SWH&c85C#mvG zYWgfKS3>^g4c&Xh|VDy`hk=!x!pM%;%qd z?eo+XYz5prR=T;%-{K91n|=Q9X61H>3$%~6ld?v*aHPdHDaoE@{^&+;xU18%4(#+i zZjXBbhR--Yj#B>h7tDTN#e|14!_O|dT;CU;lMvJZUlJ8Q?5v3 zL)Vtv0pZxopUSQS0^T5{G_5apTxR#0OW0oXPzp=M_L}v=Qn9^eJovG_=9mau^6s{Q zjXk#4oG3ZA*DNQn?dI5CbA0@|C_#YtvDsd;hLIB6YYs_K4%uF_xjYkOXPfOc+YFN# zY_B;8u$~Ob1iAspRY0^V2Ya$zGiKJz%$m5*q!rZ(>&n!v6G1PadLcy9QTsrKFd!x&Y!>EvUuyaDyaMETtb=$WG2l6x7&*L zSUEY(!+_*oc+J{DPw@a$mMW8k#)}p2Bxd>Yt6kUJ-d^dFzjbALi=p{b<2AR5 zXf62H1J=Z&rpnY`oM6H(eSrUecH}$eP64+UAY7VWMiqa_al3!|lX*64fJ)-e|B=7zeE#z0zSP z>G5z=eSSPJ(q*}9E!`bvku=E2#ddVGbaQlC(okHRr&cn2kMAJ9hu^0v zTBBeC30xpeN%HeU|4^)KOEs))U;OG#)W_DJgCa_2cn*43*FH*56LjpO@PeAwQ#{a9 zEV9ohaiWMr3i)}90`09}A=QE{q-vxElk|MV&_Tg}iXm0$j;` zSw^hz!c)3XZRCSf8B~j>ozFq22E9JpP>ndq(`G^37iG0J1J#JqW(=xDOUo)$Q>w`_ zREvyg461R+#%Q&{3>`2Cw3-%F!z%zu8zc{510*wJ)sT&`YS@IVn>$CL+Ci}IqRq`T zs3uK8!wRaAM;bCv4TB2#<(PwNi#PpAd97M^nnRNstQy83l%@exOKt;+&4=kWkTF>f zGlSLg=l)d2YP(+3#%lN6dNW|Pk`WlIy;&Be)!=-}SnYJ%dDrOKKz9A3kzuuS2dgEw zfds|KHdrgsOPhf^qpa9wz#7;Al ztzlRpubi|nS8`&l_fsEa7p-9wLTMVHwXaJykco~tF7QXAp|u9Q?#no{wkr6JjMiFq zepAz9t>X`vS(DJ3+G7pQr;OIldw(nityKs&fXCW~H#-HA_$CvZhp% zWw;g@(@b(}46ZS_mg!I_S%7P)xU7*y03+8DQk5_}NM;8aNpY>^vewj^I$V?HpkW2q z$Rp{&wO41nMO_=1W3DK6kQi6UD<^Ys?GK+jMMG0Ib4}DB*DwsBG>uBGmC@lEKxWu_ z%d{cn%;Wzn!?no|Ym;k|A>>>_u64g24KR|9A>_aRJ&6L>qyQs7efj|g*UlZdmYiGz z#V`Wb(g`q9rbn*f&L}Ik8MH>UHe+ZlTACrWmPUY)62b^(8LdTzG=|ogC1fHeW+IE? zE%Znj2I3xM0j;&qN`YL%YXFAU7+Q&O?$0LbI`CtYvhsi(At0n z7{RbYUOAaVYhT>{F%3+mkJc~>p)`$()=G8JT2bcF+E1VVi;UKG-la{hWy5Xl<%Y== zw02YLRY0!o9QiOqYYeS5IYBY9jn+!_&>HTHvSOP=Yf|FyOofAtlx7I6IY(Ye-9Jml zt-)|FRg-R%%L^@iGR|-RQhNQqYz5dsAvrqE;Lg}=vI!*qqQG=8C??z z-aj59%O(%r|IQ`Tz;SKWf4q>9c#uolFQlNg_wTv}(As&MzsArSLu*Y=P>gJ&wIV&V zhC8FI*e21MBcqOMk&L}Ik*4m4bYOOe!P&*i{Fo7g}ido z!d%J2j7*z=DrtA7jn*&17M+l)D`MN7*n zTvMvaGF*#{X$-D0xHkOYS}LZHF1!d}iu$eSXV%sNkc`jYCVE@jytBhWUt1fzm{zgH+uknX{JmmJd!S_loLRd$ zMxa_5tBWI|@AL+Jf!2yL>FeEvuUR|HRHN}~wQ6(8Z#q(){O0d2eA8-eY!7UD$7)L~ z>OJcyRloQ^>n9K8Of4u_(Rke&mpDtTfj@<7oOV~CXczH``UQ{Vj4h~f*FXWI6TFq`YTDboS{OAF*+wjKx^NWu*!Lt2hqSxha5t~MTkuD=f*nzFF5KB^ zg$#PewF&RONbTYE!WekU^CL1Mk@7)Mw5SJ;BUWX))zX=3so_BeG|tGRH-16Ylty7J zJba#{kkM{3UVhPr{y@NXi$xRS!FQU45lA z0!lj?dWkg9`|$f$(`qnBU{PX2 zVqhUxq=AfV$vL(^mrVZw?M`z|)QAmv7tO@sqi=hZPFlg7JxRQvl#!OUgFJ0j7AA6YPPy;_mJcI7hAmTWDRR^~MZ+BmL<)9!RO2HK?kjD)OqSa%s{ z^E9_^^thKSu3hHDf9h7QaKQRlSi01`bb-rLRdaoGXzS(}Xd7~%O`3>?6=)-mqzl>} zee_Z4W|cWaL;-CWSWu7=1+?`vWEr$!971VYnxGB#1Cqq_PY<;1A2(hGZONFnziq`# z+ZU|2#W8JgK4qruE5F=N0c{e~HsQS^jA={XI+8JM2RU?@Lr1{^Ej6YMcSKpUwOE5> zhLJ>PGX~nCr5OTkX)tX=IHErTZ49(!I{zAD+LFOl#DxlC+q%Xrg+w%r`+<6;3Z18oenC3K3RXdamkXp<(Q z;l{K>QN8HU`=l(>5fSwp2kI(b-HgZBm2~yCJ6SUr+vxYOzl7X&aD0B#{A) zF>Q=#8|1i=474%O#z5Oy9f%|y&?Zep!wR&KN74mt1IDyrU_n7f6wo$nZk9nC#vzoZ zr3u=qvlNIV{Kb(nXq*01ZO{gxd4OsAcznE18xGm?3Pg!aC3@ru?{6ufO=8+M{q4t$ zX=6;=;4^KwBg&d>hG`=@n=#N9EzJ;UOVy`MsV2*TNFoCo18oenG0+wpMB1cIu;`J< z#-c|`Aq&sZ=#js*q|UTS6Vb2&ZRC-3LEC^aZ5UX{>nC$e+lgaSe?Ys_T&xt0=#dzQ zP%20hv;pIpmFSTZ9#BAAGV{o6fVT1j`k?LEgABAm5{I~uzbty>fJcwS9Z}Y7GoX#= zY{o!aw6v^(Hl>;@gSNIwAjI zWj(Aw8<|^mLEC@@B1zpm^1a_@8MI*>A}{SU@MQX+&5@0e|3zyBnQ5DMYT%f*??3xH z3ezS9B4JD$Gmjh+=8>r~Z7_B^R%a5lMM^US+ENWfqBJ`DpbZab474$(jWKPEX=8cX zNO)tGr!A#CZRs#=WWpPEpv^Ia0+C=~K|w|o^T@|6SvHTvIE2!)G(j7fsb(b*$)V+g z0^08RwLWNLOdA7jLjts=%Cr%k%@}BlmX=k}rc{$<&=wic7-(alje#}>+8AhKpp8gi zz(Jcd5e+M*jXaVr(>7o}ZK;E{FK}4~Z5W5pK^qSaU;?t)5>qDUj|y!LkqpY6KL5oy zmpcAeh(0`d`plW4N~iR}U0E(&K&T4+{osi+XGT}nN*|_IdZ+xesee6p8)}*Q+<)wM z_uq|wx`mstjhnrlLp!;5zwz@IdO7Y%XoI!mw_T6Ev==@h2COM^{QVYg!Y3+UA!iPe;}LQ^MvliV=#+)q!gJerZadHQ z@LVsCcJpWt&-L+KKaURZ=pfG>=Fw3e9p}*r9-ZR3EmpM6ind!(j}`S=x!qQ@$IA6t zQNI=a%-T@^Z_DRz6TPi%-r3=xudNMy$y&u0Z+pAAu%=-F$THBf0nTJ1<@amWRx|af z->{Z-XmR9)cY1@qKx;*r^!4t-H?3AFNu64|-m_}x-v?IpPaevdT2Qc}@wzoGah6yE ze+t()?XE)6F5(mQ3m(ZCTTtV!fezM4j_&$~!k!$iHwW#`;repWp&Z;V4(FibIp`$$ ze3~4$=Hm0+`A9lSnwV7|KS`#Ii65^&~UxTdjWnm{L^uwA52u?5TsiwbmsoSCmEz?&e;3`DJR% zm3m<`2w;>nqO9^k3)PQZQj+-C3tEdS<*{7ru@^-ids)@u1@^cxN`j&4S419NJ9G1{ z+`r_GwAdQqrb`QmiX|Jgh-Q)(a|ajP!g11IMQh~Q6R>@;AVM#?C~3q#$Xjf@GY#+X ze-VX~MFlgQk|nFwxQwzK0kA^!?1BM` zw&FP|Iwnvx?x9?0+!DBHYDDAI^9xzK;7QPws$DIN8i{Np7D-viW8Z_mqPpR3UVXP9 z56O<`NQ|crnzmmfOadJm7iof&2%Y4XQ@RBV=(It&DOmw>_BG$yu63STX$Y=`r0P*| zcXI=uGo(hi2%dLx%=6+*?;cYRJw#*A-dO%?sxnaKAGb+u_$@!uYP$+<8ZAvfo!(H$ z*Wn9w;MYsm0D3*I0&X5F-Q4AG@dm@qK7V+#aywof(>m^r(C;j^aT@FW{Jceh_Eyij zRckuJTYzHs|q1$qtUef&2&>MwAq)@RFVTl!b)Wo#vXT;gpB51f^+x zxpTGQ){O*im1)5(=~ALIUP-!eYwSG_%W!MXEBiFzR_9X~ZWVlh;Z|UO6mG%!l;PIH zzdT%_2e;a~Kgr-$1m3Bq!*c^}Daw!)xJ63GaH}i^ceq@Mi=Y>u_&jyoPk>uDg43)7 zw_LHS4%$qg0JoGA2};v+;8tmJxRsb?AZ>Q*c;O>5+&cXuZMb#KE)2JR{B}Itg7YcEt%CR>?rAwgkqYh%q501 zTE>L>aO=y9i%i0;=on>k@yFOL#%`&sch6aND@9{MykIb?aSU!TxHZJ#R;tE?!vk)~ zbEzKOD*w(R1!`#R;+eD5qZkuna6rK{6n1OsPkx{*B(#8lUB^%?63E_Oo>{hqu7G1dY+=5RrxOL9L zEkzmVa7*&xF%7p!>Bfu+->eOogIhN?m0(`VWlxjcij<;)TVPBW_1#L#n6M-UWfqqv zl6wE>t5-%zt${q5F$W%_OfLQy++uKx!L4pCMRp5&ADGlQ2Dcd88sczk#J#B*6TcFv2sx0*2M*_ zx^U}s3xiv7Xb$GPCA*7eCRBzAxK%!NyIHs;hsrP!-9W>4D^f}<+;S@HR$6dNKUBue z|6QYul4jr*9-~Yy{utb1aErk$2DezKjMyMejw4p;5In3yWuywXVE!34aI0uIz%6dX zex(;@1#V$1L4{jMLS;w{qGqTJ=~7~-jI@2XN{bY@^(m(|yOj-N!b?_$DRAqT{}^}8 zeoMu7Sg4G17j7xaV1(UrfBI=fTX5MJ8P6i3Yha8jf*@`fjCaOc>2TZ8%Qn5M;OHxm3@X z@XyQ3sPn!#OFfD)AqEE&OhaL}9KX&oyM?g?rD;ix2_+e0HZ;MQP`Z?uyew_F^-1d& zWn;oClSC$z;MQ07;7}RUAb3(H0>K~Rx+!q$i7#6LZk>M{W4F$AxCP2!1a3{SzOHDT z7B)x@w_>g8v_fSB4)vIYTg8#cghjBhOg#{Mq?A~=Riv<6Y58sy#~2f8h018&lp5T^ zV-$m13~n*FWjYZ2tB4*>B?;V0!F-At6Eb7MA#O~VD%^tkXBeR_S(x5eVCp- z@FlZo%Mn3a>5*HJQex3o)O9N@wB^u6Ta)j*Rv9F<0BkaH3lC8YZ85aP(AGeqtrV=M z7}{cJYnY-fn16;1+H&aH5>C4*YDcFvOJ@viVQfHwG!(Qo>)!{Ig+x}+7KRd(rX?k} zl;8k~XiK`3NN%O=x>fLYkBqhkGVRvNl~3!Utxx>*L58-@0kox^1%ySa1Pbt~1hTf>9glIK!Aa_cNeyLI0qS%zB}OVHugyo25sXuvQuB(K5D)(E?pv$XXA-_LFjz`Gx7&#ud zpi>sKh3B^M+;*Pp;kjNO?dH)Qp6lbeejXj*(LtU&%%h_`I?kgLJUYd5TdZiC6>Ybo z9xLj#a=WeE9xLjzqJAs-nYE(;1mp9!iQd*W@9c2U*Vg6@dRxU7Z+p9lbA5v>1G4p> zhFG=I6T2c}7CXH`U!b+3IP(4O!q=>=X6jSFVXcaaBQHEsPvrgIUHGQe+Snf0^p16u zs)YBfw#4>-5c89Va;6p(tZ2M$jZ2&**1(^_HBP&$P_&EqME!zCa>f?axND$;HNtV0 z=&o-l?8)JJbI|UbyuKWCCI){uSeZ47Yq$Q#t0pitV+j#?pu> zMFq%;JhjnS8b#_bQ%9Cssxq`mqV*(#I>4?=tK&x5|_QER;tLL`Ds|V42ry%T4WvMB*>pw9}IMQ zS|BOP=3)=2#DmX^+;zFq^r7x2*6S~zKFDo?6C^Xcp=zmnMZ*$1nWH@L%^rQP(WfeQ zc$`v3ZG}HBNt9jQnWw2$-XL5F4_ZL0GSZbCW%gPV2E3iZEGYO2V+E`FRk3>8qgXAy zm%jQXs+M&Kvq5@=hH8u`o+3F7FE>0?$}0`6ZhSMmEYA4LvNuXE%U}n*$7JbsP2l=J zLERZ~eb5)d!a%bx3ctC8+A%r>2T1cunlxkjqThq<4HSWDq_p4j;eFH^jdVpaw!54# zy5n)vpe&7aMWtX>SA-$Xp>1A1^6AIY?uv4a@m*0PkZm|;VcL?DM#55<4@}I9MkJ>c ztdg8dJyp8YN~||I7*=9eX;Hl7G?cjb;>DSfoYMuvpDVL{PMV^jV3p*c&$+bwT*f2^ zk77V_M}q}a$z5-Bd@nAwtCRNNXxFr)Jpo}R46B#Z#vN%wf^uW!mXO9r%44J_w|1BT zc_TAmaj5~ZJy||FGh%a=#E4BV*O6hdLAe7fHfKq^*fjF*_TDv;Dz=qIdj*6nH<2OA zFBC#&yG*~cx%7l41##EwYa2jmcq5A$L#(ajWPXo&yett^pV6cY; zjVmea;gYqtGxjistyIsPj6GBaB?%IBbBsM?>>>)UJC$fiNimAySK3Ek^PpM@J7snowlnu0P>vY&dyvqg~ zdvV(cj6G!RAtup`q%UCXVUi65#valweg`*w0b>u7ZF~(ldzeB(k0H$-maQHR?BTq< zpU5tIh>0&cd-y?q%D#tl)$HMH+4rz0sqY~KgTdEID@xLHL7esN>j#5Gd|>Z%3W>O^ zyA4RhL%+C)xgeeuF=1j|5YIR1g7_MCI%6(~aW0s$kD%J}n7JUTrNLYfnF}J#mBg_3 zk`sIzRZbC%L}VmlQU_c{B5DRNWhA0luuhZH85S;x#$4$PJ8urI3u4B6>GWL?jk$%L z9WID^9!wc@LCmn&47niw?&bAVTYAGTh;vQFNigh!I9I(yNgf-E~w+p^1gVWuW)tXJtEv0k@~SveT2 zSJRv+6xQpK+-AmlowcrWjP)X+Nm$@b7I@R!CK+$Dz?;ceT81~wF*(HoZ>nsaO~th; zcCf&kEbyi}g04c~#qV#jz?+7m=bl4>HyP^%k?vH%r;P^Q%rJd3sDU>b>jlPnm(wC1 zO1*-yUW%XI&|Y~#o>iJ`PKEe)l0OVhF?+pzW0=To zYj!4CFXA0S)(crLtQ3irA|n-ojIu|O^+MLGJ0v#K;-s|nVJej(K?hw|tk>-8g7v!T zJDW1gdJ(3E&3et8NOQetjbN#WZ=`XSoAiNaG@2_>(_WM1WG{82xso!P`jv8rxsSfN zav+GVV9z)P(e>M!RX}vj`r>3v?;3>ZUBeSh?|Nb?AjohrZM})YZZRkMV9q}BM9D4>n1iVZ5JIV{?JkY&ku`1WKQbdf!{x-KYxnuP#QUL_81$Ia_R=wmDsE8s*wc)n zNXZ#rcXa`G*JOSZ>fL)%{Elcgpv?IcodZYAg3$RWN$Vp z+-tTlq>ccj;iCnhV;y~X+pS3nz@1QcJ)JjzUZFcGve&CL0MfL5H;U|C3-zI`j z8fdatqL~CGI*sDQ_Weu|nBl7^0X*9ce3k08Fmj-o$%dqP5`qt}2^$Q!M$Pz4=XK-95Cm81pzQzv#Y zMcM*gEv=5*V;`$j0KTikzfoKQJ#tCABUZ7cKm2_ab7Gq*#V0*B=t!lS*G9{|nRF0& zT!U#X3GCM&9%Blu**+BnHaS*c?P5EbV8R^1MVk~xi~*faRR4M3*7S(W?MkQ>m1%Lo zxGHghzKrR6W{!R_6xZAsap`m#EVa)@r{46u{J21t4k6PQmRKpb?bR=8mN@cjO98d6|tsl3t07b=C_HKaidEq)RaI z3K|*7W;^SJGX)c|<;>Q`3=f^z&UzGxvEDr+L9sG4h+8p1zVy$z&{L=$el#uI=Syxy zrb;Y}+wO~#J*0X?L08x(04y1&?q(&B9%(|LqbpQYL#{KrWt!2wud?3)6MTfKBO44x zOj35ED9jR6Jxn%OxM6E!zHZQ2Z;8|lP|tsvC_RL&^>izUtg(-U_2L%IdLb~TO_^l)XqUHju|hbuiuMff)Yw}Mr3ty%#!PZF#Y+*GfbEg#!of}bh`c>$(@r5 zVe0KS!nCloejo_bPyS*QgE0N`HiQqOx1l74aJ3osajm7nZG$66N?)XrjckNAh3un ziG-=DD1hm=4GGgo=T{_5g(iP6*y9!Yt(o9;NtkA`nV>h538uuYh=eI7m|}t{@an@P zaud2JLXZq$)s;BO**bxLNSNLfrYb>F_6{*b>;JnVNry(k4A@O@kt!af3ZzJ57$ISk z6~Oo)JE|m16$4Y;DpSSLK_(^Zo-LD!D!sUsekV*p30+r&srR}dOi!I|&uoS%Vf^Ix zP@gcpl6*J8Vl`j-Q8~esrj!;-jRU>XKT4jdH?=l`cRH8JwCR0ot15bVvi$9#+kJF< zfZt#G+w z`{rHc3!mINZ-<49+)KB6ELDGh_xL{g`2gKMMYo6P_NWDt27xwxO`M2%rh_ogG|_^p zzri+w9OT(%ZyUiM-tj0itXe0}HXP!dNQ#3-0#YJucobETIV2B85*iXp9%N-%(iR|! z)vT9gdD9m!F-2e|%aYzr@toP3Sp0NkStFVh zInNx(V^d`@q)l>U;Qo~yoY92jh`y8!4LyU`EZr*2B2NkArxp{ZjLDQE)A^~&_`3P2 zaFp(M@=J4l6?Jv1z3TYS3pFn?-ww0+sq!_XL97qhiy)qLdTcgI#F0-KUV2JzX+r6# zpD>wHtc;!R?HMSu=@`4oK1nd>l^3r7Z zLeJyKOQun+MlV@hRS?m0(xMi_SL;koBU{C643ne|60D?QwxM8n@Ju%pq(+wVf+Zm= zR;nOz5P@2@Evl?r7%`-%=aui%%g@=L`zK5lblhWif>Ad?&OmH$Z)z9(&6}m1Mql+k zRm)WsGK`SL$Lv#Ju!(U8t68Y%L76fDjn;){?lveQS0U2@C?!QTwAPl3(;HfJc%E)vy2 zi{)PUVQXfIFT&X{E)6mT|CBuO-;bW=?g7Nyp{fbshKbK{WlLdJ_-SXHVLHvO@)OvmX1Q1`&<7!y_ZKoDGD&%hF*_@~@DAwmUT zd6B6bG<**!p1fM>&2;^=5Jbj{N5)H&@kf0JK;D@k7?AObl^il&ayevVycP;Tq^P=+ zY1uSnybki*k*LgCWW2h<$x|6(ZnBi|;tqYDX^EL_ zQb~qkM~WB;i{))3o}mQ2jmUU$$aqCEdT!X_n8{j!4OdW{$po$Cer zlg{<}+RraAn6E=YCoo^vmQO7eE9(mJQa>#rk^R=?Bx`^6cJ{=swcQ7;Lr1P0ELS{U=IXyyyj3pHxkq-Wb-h?J&Dfu+7CZooe zrQuGFN-~KKcQf_{F+H+^65nag?xM2NG)V@dHj>??F=~ytn;JFau#hxoH3(w+^SMtk z<+2RYD45V3IR~sShgmk5WfSRSZ^UBy>_b6ZSY9rKoI^M#!~Of@vuu*cJA1=A#OAmQ z6xWERwoOeNot@B)AkZG@YFCm(j9%d~`0*&|$K4%GVldFyPR=pkY$-NEt?~@9qqfCuk6a1n4iD0e(5@obGow<{wY}JF7gyO zi=1Rf?ZU@%M&(!fDxEW(^{}1p^wriC?9Ji(a=3#zNIFnth3PgaccqdmLgXp53l^BG zxF2&}b_gg4^m za4rWep#|%tLW=8DSiGSV9a143h!RRr2W2(sP||>;LrgURQtC3NtIglEQ6YAWNr!3B z(ZxQhu+ZPt)6pa}i!J`{woqZAL&wvbr?YPgVV=l;1>AdAu3o!VT<(@W_{vMA1JFlC zK2#+i600ZL7p&(WlE1Nye1P9j2dX`GoN=@Z33` zdtEtpiRUi!+=t5FpQPPp$gg%U2w)aA1qz)_kM;N1Gm>Po=qo-}WwdT(9rXKRvPf z_*4AX9iO`UFh93-w9@wn_Rpt%f4DPLmgnhK+xLe^^(x)3?fXL>*gne>#g87->-)33 z@zlJNmWF@7d;W{`*_@%<^K^TWZZBE54=nt4E5Fmq@3wM#tz4g#J80z&S-B%t?u3aisrnL7#7CEoDg+X`N>ZO9Lb?VCvX zzNYmekhK0*;8?ptVTcaa{?UZ`MAL)1*>^M~vofdQwm1_a+Hv}?VUriX-^-;#5X<{EIO zsOB0{&}MM`DCTX1;}02UgbyakalsscDko7_PWO#9Ii*t_X;8nfu4vX&p98(}Q;ZoF$wO_KprjlmHL?Aez()eDD3?UzsmpxO}5rz8q8<=^HCR@6945 z#aqhRU^Vn?fC7`eV~pM?W{MiWfA#d;a+Hgn5LQEupw%&2Jr8Bw3$sHhU#ZvO_M^XJ z7cU7%{;G%88fV(+r%*2Orr(uZxYBy~(X@Oia!S6?DBFt~7_=&doDoB;B$g0XF!S+i z$aO|{Ni`aBmHigD+7YV91~u>rAQ&yT!gbHp(TK|%Ynjt;iTV2aV7{~7tIQVH7w>vH zqjS$P{U)ZID&o%?W$qaWD8-2v_|iL>ns|@hx|(_OtcgcaPl6NgfMK{J0UE3cM!FJP z;+TnWMR_CZh^Y=m5~w8#s7!5(!gK27w{LjvDC-Pqi&!KmO+Ha#5sMAq_>J;d^nRJx zCF(d22&iLR+L7Gxse|G<2#OIDlbB{5<%*zKiZVb@+~XAx6jN8@^#qEgwO?x-ASedo z13|G0VzJ#+2#OID@8$I&yaPe80xk4>F{yT(Lr~1~ao;^AC?=XutM?4OQ5gosa}X5k z)aM@{P&^kwF@j1PEUo=o;{ZW1f?`7D5+A7)^M#;TrZtf% zUbSek6bU1Fi;*d2wqZx6I9r)wqWQFXZ=gZ(Tm;401By!#6eB1`P>i5hO1B8dVyqP2^RxNjve`*jElT3(K zp-QMB!M@S)_1OxFiRRPly@3YBr3i|%1{8Z-{Q-)i4$K{0oNSJjpo98B@Z|NH_orIVFY9A5ji#sPw2 z1jPu75fsy6S^@z%kOqvPxKn6HP#hwzBBdmNnPmP9g5s;E?^kk5^zE6|yV)NM21vD{P@r8@>V?&Q{Jip5GLlnFKpo@K(vef_aU&>3 zP>i4$K{0~j8x9mpYrobwKv0aJ7(p?DVg$udfR-}Bd6Q_pNd(2<8o6FTG0}Wly*JRH z*o~mr98=sMpxA?;7(p?DVg$tqif=ejEUo=o;{ZW1f?@>42#OIDBPixMNA^~qPKhZd znoq0u1{xH55EN$*C@w}&jG!1nF@j$Hi>O*&PA2Jh0re;AS&!>>`A?K@GG_r`9abV9Ma*N z){D@M*x%|Wbu*mORm>z;A)PVxlf7oME%JAT-mr}-EcAEvbTkRgVvE1KEmT}QDTlj4KVPNW z9l2zGFWv5;+x@w(t&~79GR2$9+;hD%oI$7_BQ_T()k3gOab%eW+tDHSByz`!3i}je zpW?W^VzE!L0xk4#8}=z)Z67zp>KLuI&SXOo)*WWq_mn_!)-lC^!w?kn+!0>OOz89} zHU`C~9UKQXzivlO$?k_ZfxOA^mD|)WUPx@0i6QWZ)fIAgatpYn+ymqCeHC?etG#8# z&gxYwJ!Nk4PjQ*MV8a6WT~%pI09InT*EP%Ka&>e8jEVP^@He`zeCNsXZ5-!Zu!H~F zfoThRd4gm1(Ct3DJ;2*e{sj2qp}(5?++p5&?|;ufO81{6`zc@STyTazJ$c%^^ZfIt zc9&hGN8h2_EBvoV_szRXKku+mghaP{EY%b33-(!dEiIgPfbMyUZV%J#QA^3Xx8|R; zyj)mW{vzFThHlT(?M1r1WZ^!raNDi?PAk9L%I~%EeOB(El{;kRk68H=R_+BWcgo72 zvvL=#+$Ae_*~)!j<+t0ooi=W_joWME`fS`m8+XXY9kKBzY+V0CKA0g8;Pq z0UOu1ZF)F0Y`iOHVt)S0`lahU&gsrd_@`iN~o>2T_dir&#U3ZeN zp)Ho&-l8fOoPd*hOe$m`*T?IP)uiu7P{yjs8&3TQZQY?_p~@vSV;~EKx`pL4?_DjE z9eR2vdC=|zX-tTghI|sCLm*eoGRMgrXPu$OJ`EnMGx`klky5eDE~8 zWd8^ZIE6JJw6X&2b}JQ66I5sH@e1S|0^JWiD{`gvRV}Cw>aENt+hm^#f||@YYZ_#= z%ziuE+NAg=DJk+*nU_@1NK!BymDFgpqtpsQFxbSngOTn_$=BTaYDePjUErn*u^I)QaP#SG)IufD`w!c&0AKX@-fQ0kc*)olCDr=5L@B@l1+y`Kx~MUu16 zxq$T|WY6qtKY5J#N-Z%z`?m97sd0PxIEGbbr(XWrdonupYM%Z%Q%)5ork;U-Qk-`s z>z>Wnyleak^X6Iejx@eXaNfOX9b$9b1wR@gDZNgyt1HkR=xQfg6NK2y6P(YQUuE#) zQPPjQJDS8`2uR{kkMeVHE*xY1TmTSfi38%K0`h>f<*;L-)uB(FtkvoD6|8-kd4d^m zeH5qBZz~ul&de!=Zx0KK4|N9!v#u!vPh2eWog^0Qv3bF1Wy0wFGOcNy9m@WRcwsMdBSEm>ZY-%o(-J9DA zAm#)8T6(4x`nA+e%h0bChMDNs62cxp^lPaj(WvVc{aR@Wy2@_9R#JT&(67Zg(66Q7 zG4yMpUyIlG!a0%*xkA5|41n1DD*Cl}K5n=l%deG07l*9&Ye_vI(640}ua$YfR#aUN z^lPDC3*1@g*V5)X8KpX5yw*}1#%l$H+2JT8jMu_=E#MEO02vlhZ5Y>veytn8ua#6Q z7W8YOUrUvCiGD5gYoT9jnQz%bk5Ey0uN3qu#iwGtR+h$VCDDi_tNmJ1aUS$*Wr1HS zsx%M!wa~AHeyx}qKIqpXiOW(}4&*dq9%5{rr*L8;<{{F;Muz?x%tJIMC-iIG0Di5c zYGk2b3;kN?*HYruFb^?)%nZhBDQQ)Dc@(Lp0AsvXlJQzeRLII|zm`;A3;kMI;Manx zyZu*R>-e)z$n~}UXP16`t)5Sj`dVi~w;a{h*Mj{?*VlUQK~i7qwr55$>T5MFnuqnZ z($~ zME%?%tgm$e`T}4cqT<)VcrA?A!gwu=*Qy}}offQGv{)Unmce+f8B>z4uSN8pR_hto zwKMi>5u7jAZqh?x8LY46rFG-8#jizNEV3ZVl zU#kTDTC{FF`nA&8Qw{xEdMhgWwa~AHel7HCai@6hoYb(-Fs=>bwFXJNRw{li$q3V0 z_~_Sy8o*dLUe%?EUE~M-TIkn8zZUwn29sZl=sm608{B@a67*{wG}R9=^M0+_=+{EO zR_jc$xfT6d&@X7bZbLZ81IK=dn1|@Veu#2MO~w9*)27AHUxV>l7_Wu#TH&VDk`bo0 z@X@b@ey#418~s}0vKTNslzybMU9tU0F%J>*5bev*uQizbT14+@wVq;E#*0$U>Ugc$ z=-0{?zt$Y|YoT8Y{aU=k&+Y?_el7HCpP!CgfT7FYJyEz!>5}hO$qCj2kh~u0}bs0TB%L@Ahu)fAEaeGRZE!iSe zfg4L8t}FpPTft48LVJ5tyXX=8&6`R0o~q?;@=wjGl`g0(g%Mj-LVrhWt>@SkwwCFG ziHQ3_tM&|CTfegou{rL7AB~XaU8mU96=)B1wJWs-GhAZpL71Nw$GB*8B;Qcp$T~4w zYHSfNi|p~%tCSVL#@0*6URIpJdcRD$#ujnPFfI*hY^Chma-(mH25q5l>&8t+MBf&# zZDWDHtv+7Q>cnJ3Oh&Zd4!~oQu3wj?V| zYviME3w>MY+d|(K`nJNptGr3I=-YA?Q4c4CRFOzVp|QIKT9gl?f`QMi!XWc)5yhuf zdy2&rFC8(veOvCVirX60zO9Ko$Js{}7W%t-I+}!LvBlrr7Ah=s4DIUaqB;7VfsQQf_3Xll=3n=C8{Z{sRmD*B-Aovs^A$N0)P^Qzd)Nq3*Xnd3qj|*$%#{Hd>sKaE@CxHJ5<^Doak&p&@^ciBaH^c}jr!vA`7-@L1Q;gehE z?Vz&krQ1Cg@>urK&j;xCDY`vOw?{4iwRzsWla}GV8|J-8_ne{I^K^TWZZBClkmPnN zzthU^w(@(ee4mv&Xyp!B`6E{Tgq3^2%AK3eDc>x za}KnaqeBci^IJBRmBiM{7(Vg!GTD`{=UQ4U5t^~HH6VD3sz}O$$w-g~?YDsl#_A7L zlQ*223T@pXk5J{7crY^i)e?An#a!m4Hb8o-)iGZ83_^$CQcJ?EBZ;f;F^8{~vS(w5 zr;A#3oz`41M9E*s1tO{%el#)6K}WA(dgL=Z2jXt~&>@`TrZD*&c{Idyk=8xdllH-{ zt?FOf<=4hVDR9s^#)B-7UU3coO%1~Yd{5zN5<7-$PzJ!FlnqLPLevC(S>LCb)1=lu z67KS5_235YMc-$g%;2E#3{j7$qWlxMZ^BJ7Vh2oE)9+tBeK+e7*V{+I%@31a;qV2+ z-H(Pp8KW3i-Z_~t8@kSz17s(@6@vf(jv);5+^NlRiZ(_=+ z!ag4EZj5|)YS^jFI0FGS#2-RlXjsTK@TGSQ=9&ZM+Hh&E$@VKeJ7(pom;YAJyzQm& zlql6f(tPuU%?88y_PSv(2C-vUPuZZ~8L^pJKPOMX;uxc=QAs2QSdl_Ya}zO%ppm>} z6iIhSb&mCnApIDefDM)V^!6^Y^6Ndurq7suraD#jzy?BcFkr=0+|BLcG^?sSy zB@$~Zr>o81v{AV<0y7wwb|g3F^XUd-cgrtx1ldZ6%gaDx#U2SDbRWw9m-*WOJ5}u9 z|9JfN1$uDo@t++BIQHY$yH6bEfAZ$Pl~Xvj;3N#-$04@h5CtzrnGM0LLJtNeuS%3m%K##w^WvY`Sw`@z~i%{>WV1lEh=e<~__| zvj~qp^WIe^U`i2>?VkN(rX;cgk11hrde|B9ST8^3&0~s!(vwl*c+7R)RxYbNy%Dnr zkBxoxCFTrC3XhGqon=ZiEASXb$x{bB7s<=aEFQ~Wdyf+Gt2Zf9#bY&3|D5^K4Llw* z>O);`PXdqm0>_!RJ?QY*G1kgygvYWaGM)ej`9AbeReU^lT+I6s>z66xF@iG~CjdNl zD}WzE_E2prPa!Cr{KupX!;E5;%qWU^Z*SM94V{!WJht+_xU`|O|7k<}2NwI$n<;HL z8XP+#A&#-ATSW`zQSyN7CDcYuH>DESc%T~#cm}kLp_?#<#nIk$g}q`>h0p%)lKEk( z@b&+DSeGi?Sn|JsO)E;hcOT~8{9S}9g#8LtNS@VsN)KwOLbCrV-LItz$)nh3S=o2( zm>yNw_J^%N6`r@*+1ON}3c0;jPNoXEBUa8FRmcqpRp>WO6{;U+B6Q?yHk(<7P(A0; zN&Oup)ZhJfz1Nz}`$@D-ZSPnn463mY2S1}dGGWv{M(^xcfBq+q{(w1Py*(c;cp4e7 zsXG`HJ3{px{*9ELU(*~2u5OS@NdWst7&Vje#BKIFV9=??gQ}Q7w@~F$m_WCh2`v5o z3rxFugS`M`@)5(cg;_zxCF|A^S7`5@OmT%x0CFy!r3oYi6o~ASH6#T;i=<#2Is-{T z-Gt&akb*1hBVe)&B`>g9N^sngkxaALr@PuE$_MTwd|)-qpzDeupKr*J8}L>s&4jb( zf5%+S5_iXpd36@^U8rQT+GfeR)VXmZzAlMru=odTwr)w7VboI%TE$?<)%6*2b;N#@ zk%yiKfV?&q$1OT~$^_L`J?FFiL$wjRTfU3Lpq=x(BU#AHkutO+W;)~2j^xft0>Bg_ zfZ;f8tRn&#bvz{k7-tYN3dH6}3>pF$1%qW0z(`AzR!<>-QL6f2RAVIUk`;%Ry5(jR z)tH3kh5!cMW0=~>g!m$WQJ3_(Aps0g4O+!8fB>eLX0{o`3L=2%Ey5 zl^K}(9?@rDF1&XY7??kF-4eyX!2YBen1$UN4H=l4{S%Rafkq{e+~`CGhF3*)AOpj5 z(a|pHWMG_+u~T~DFfiezNvo$2z#xDjJ%$vs&A87W0vKdqv}Nd!fr+hbY=Vf)00ZMK zPKtq{szIw51`fc$#bgr$L+ow_&Ebe2T(|9sF_~pxh?&l~w4@jqcL@R*WMEhoEs%jh z1}1(KoEZitSpXv~O`%wPvFL^Ws?!vF%95(F^jdctM| zz|2Mfg8&8r4CcUSos`+Yz(`AzR!<>-K>&jQ1_2Dz2G*2J)W%a{4oobko;nekMGOp4 z4O+!8fBuJD~VqfLRNX@`?^W|nFt}KVbmd;XD`Go zn8BF^^VKY?L$>6RA<9(LD_F8j>1eDetVL#9b)`=T2yRXPA!YTAQ$VUE+z%tF7>jy1 zRqe6(U5Jcok2Tq+LfN9pdW%H(vZH$ud17m{on4&EEYlONeXVyAx)LE`;W-EPB8qC! zs@Q3m^a6cz^j$Igb9Z6cF}>v&W5%*2X6%%?CPDhjAyLe-->~o)F&Jf&TJOaPSC!Vi zoJitJT-37Wi$Cfg)Iv;c`92aWJ@&}=#$`6~g;?f{OG_HH_+qOkCy!bhcXi09<-lwD zx%(1o;l5UxM%41#fBu*u@v?Jw5uz4EEjk=$!o-XBGn_IN^;SDW)S~U0nlftfK$~?F zy;D<0Eglo7rMBSiWKj!UiL~(m$g?2Nf;@{^o&`}0X1Z|acr6DE0S)|YKDP>E97bruEcr;-0*;My4w6r8%fhGn$2SjSEdf*I6$a+jmLd?x51II z(Ke4efp(O0!}jbfem6+8I%-oB@zmnT?Y58dvg+XMWR ze|_@AQ~dIdsmBiU$8P?1`BA$6B-vm7BKcf#hJXIl$g=aW-CcH(9({*yuh8vPy4_(R zBlptn9!uWa+spS^zW2&k<{hAWo}$~sbbHjY>dl4oPg<&~K3e`F-E)R+&(rNix}|7^ z+ivA|TKU~pey^49vvLQm{2?oU#LAzraxYl9Q&#?*mAhc&E?K$DR_+5Uzum^|v~jy_ z++G{kXX6gqxI;GXh>bsC<9=#u&IiE+I$E7#bE`Nr6b!Vsib1j2*(A2LITuwzvQ|^u zrlyUsr?E#P&qO+{ykcw24~k8jHjBX?XH({K@$1_*J^Y4kRAHgNtEZz$Xck-i-EE=5 z!nbTgRja0P(!i@t5>abOXne2p_iX$|0+`^>CD*w{kJbN#TBpV3wEvK%2{gSVCc6{$E;Wg+m6yMHmMKr4U02sj#$BCRq?26D zN-2q~`h63%Pau>w9mrs;f>dfyq5B(3;FB7{&9hgMG0OJI}>LdY^T;@wIn}A7; zzh5rHq}ML#!=yidjliUy(6lI+1pAYQNheqAFoa28XrG8MsVTW2G=xbIii|KR)|rz| znAG|HFPXY99!!!}Fs(L3LTF$JA%sahA1@u>fiOt|s|b@on;=XA?_dN)kM0md&is~5 zW$w8;vCZFDo*YafszIw54Edm}g-I@27cE<060zX9W#V~kbk{e-?PHiY1HYp=8$%8NnVN#1h2Tz1a2$QlFCP^!pRvRKrLYRaw$!wqz z2Hoi{ZYfnmz@WQ0HO~7JCK1)3RSbg{CV3Dhr3)raX5}X7*3~FQl!Peh`antF#MC6t zF?dsxjAHKM6b6zOFs&{`kc1!!L6TXJ^jK0Q!em{t`cL_&y!5D6jDz?G>(9;qLBq&N_XXa=ob z7_<cm#39mbgh^$@a11KlR+nGHz=+F`*4rJ5LaNvc|~YLNi{FBSp_kyNYO zZk~%*mVAFM<|1Li2D#DNgUnt_HF?8ogPS^q#_pC@p-SqkM`oK^jb;yvltjITY|TZw zUdlC`cqzkyb_5Bq1MSAK$~8=coVM}NiC`XAgHT&kTeq-~yOUc04J#iQk`MhPJ!S5K z4aKT9p-$Q{)%PXXQ2-;?{9|lR6q`yzvaa7w(7WOCICF#xT&p|p; z@5&b#&17pI%fob}>l!6}HOneC{N^vuFx&ntv)>Mra+2PptgiG40l{5VMao;6Y$MxZ zp8~^8WDTckAnOsT+)4vkkEG@Z|6<%gw#hyfIz3KioJZ&oNc&rd-1)*Y!x`Mv9TJ-h zlxB20OSdp(Q*CE2SdxRVHf#tYafHMXq9U`XXcty!(5o_mW$d;HQC@}tLPanB+i|9H zh|@1mvS!2Fk*pdFxue$&i!>0sQntMHUYr!W$2LGGTuW>xTzWT=It`t~y9<`O?W-6JISg{Z;Z5O^)hu$9#2ybgko}Z7r|qZ)(OIOYYw(jX8mg+0-2jiXEZ)4*y0` z2#T$1?r&J*Z75o7^c_g_GyD!jx*C25sNTg>rR$;gWX$z62ZC@(P{rTyYpWa9Ku@&A zhEF%{7vyIePv){D;|-uZ>9}8zM~HU@`KjI}Nn|W}Lhb%gMRRjd?CPS=huot2=TV2< zB!*kh(p>~fnthQK#8q9`D#?N6kunxm)U>%0pz&Z@Gfpr*W1M4t(br@Gp-WtYXm<_DM zFdHf(N^T^1(wyUFSYyP{VzEOE`rGJH2hkRG>1b}7*bK|C`Y%tz&C;z>% literal 357323 zcmeIb3wRV&nm2x`tGmgRT$|evMN8Ik5)sqgNq`^;AqhxOE)wE!+0YY`PH4!@?hYsp zv&^{sml-F|pr1Tn)ZuR)p7EQ^MaNP3Z*&A%M@H8bMZZDt!VZk%E;}>s@~Jp5vwZyD zbE>OS-Ccb;RjE!w^7=d$o$9Vrr%s*op7-~AZ#NS{vV?56-|H*5C4a`4)uHB4OJh}g zb8~I@-Wd~CmIYSDo!Sh)m*}tkw-h`i<|^OGwJo(x_eMeyxgp%zELS$SH3gf4Ezw}T z+!Bm7g(A^gj*R}y<0{)b1}-P3J>=<$1-qs_`{B{zk5v11wNMUy^)?d zJ(E0r^VRd`$LTeoGC)F$kB{nN_-vrB;E-Ma;GkKo=f z{6q)`+giiXK$Ja9Afh~tJPWQ2wADs8MP#2>p4AX)3d(ogwlENFZAg z7L{kUZA|kk_`$4j+dXN1MSn4CTWz={(ApB%8ftB-jfPrVx&`vIKzamnSRk(nDf_B$Qt46WD{v4_mG_e*)5Pg0_hY;mq5A&f!$tEZ!gSvMwG6T+^g6K zdM3wSaBM=z%4unWXKSy3xwVF0QSCS=XMQt?b`SR-apz^CZvd=%SAb(!L9QcLbC;fkdG^)H6 z=6*}P=SrGIH~m1A=1HTL`22;-mTaFuzBqR7^5yGF+1etdfzs>glA{0R5HFcGUil11 z_PcSV(jv)I*R&-7linAgAGU?I2YeIAXXh_nzFZz_h7{?V5xfpvGwgTyYBLQRp`E@p z<1lQdM7k<+Z-lkb7HZ)=jcE8Zw@H(dpN9WDPQ#8U4JAAezaf`VL;l}7q_K6If^}Pz z<+Q5p9uJu@TFPo^g{N$-+p>hr@W3xxTO!fg7CLsyxyjOmw)Un-5Z*_9bdz@a21#yj zVLuMnhFXI4fe?t=Fo@tlu%*7O75<7S4MOvwmNs}9^}(jvd*Pf<8VBd#^=K!vvsZ$o zjL6$U(M@totE_w{vkP(@ON1FE(%9zqrf3L6y3&E{oK?X_5b42i-W|2kx=k{?quBN8 z4`ucM5qA9~X?$a_B^ZW+3Y_lEm?f%^mt=?180gw4bU2q#HSDdeXQ0;e)k7Cn9UCcMLsC42I8> zFXe$m4TghqE&T75!|ID?qpy4MFmc!`zh!)$XSMDT<44_7Bo+3pz4+^H8DHoL@Lri` zp;Vl{;Y}f!tSzwp$hvnfv(Xq|?0Ho%@#2$i$ksq~Q*D%uR7-1A)~!#up*1Xn?h$Hk zZ&p^;EpWrHNmX%uHra$+51UvN#yuu9)E7|Yc}mc|f%tjpxmB7IcT+ZRWU4IniU8#t zlK^t0Em#+72!SdzevaoZX_cvqH?~LR_DC=+Z>o*RwQ@r(h%%Y#U%i79y=D9=&m)f1 z>%~(d&C}h~H#ecPdU>Vmt(>!@^0p>K7 zMU}S%lc0eLZmO|_qB6{mws31(Z6j!eu*RdCK>KXm1mBEr^wj9yWxT-flu0GJe)xT< zm#2DROfKJ|j!ubZlayNQcy5<&)7`);YjP>p4)ipB!nXwLsLl_wvNrahk=FKbUGSFi zw|N#NO0gvAQrFtNG1S7e;@T!f(#fF~xJeMkiz=H`x_q54{5+-7{J1_RP4Jk+gqC3s zR92&I-i)8``P(!CG-(a#R*%|vidhM3s6K`Ky+c|O*YAYEU>hwH{_#ViO%_EWvxgeL zB>P5*048v>L}rMf59WZHR5pa7sha(^2_U_FUNkgG8l;f_KGwEU}$dLTHB=j zAYZyB=^OY(q_wG?Vj<7Oo&S#nH~h==3*jlMwxVV z?2_`@5;adCyh#cAxs8Nd2%- z{ZOfX2vWSgNw{16dk6i%&|d+dzkLD)-(7<6V?q7F0rrU@(E>oC$LXJ?07lPfzc@=_ z>D3ptzrW>Buifn+dmJk8ybQ>-_j8x-bGPpEIS0AmAajLM_Y%iSvW9$}tb2Wp^evZ$ zVnEJH%V7Pl42DCk^`4qBO<(-GZ*rjROaAFge*9DK3>l{GHPzlc&_1Ji&CPYqf!g}5 zphCi5<#*g(x!iY?*pgT4|BBY&Wa#a}>9osm*jYN`sx0DBAyYQJS?xW&H~Y?mNAi`M z?S1Lpe0y&;BPfY?z=GQ_rnc=%zR6#2`;KoqJeRKzcVR2FkI&Sf+tB6T$J^Z0AUT)7 zYJ$h14*`RgnaAnZeM~%$^v~BBp<&N1A#Ofy8}r6@XXH=b_QuTo=}%=(^39kA^Kr5| z9|3>D9^eaXY;VY`R3_qLqgG)~%4O=D>{>YEdT3<2+DOu*UQxZ;>*a)p}#B zA8cKuZ^GXGd^^5B(J`Oz{A#Q}FcrKrrobb4s(pE|qqRlX1OP*<_txZ<+uMoz<2%uw z*ompoiHY%@@Y&xB3q#?veJCt+!ao!`kv|kVQ82ijSev2cVQNk&ov?jkW;4OnyT|_B znBzChD^LXJXH$a(eUN>&%8VJ`P{mH-74;yKriOrR=>niSZdbl)G-KP>1}o`xjxN#o%9>DXIEC7 zozH&Lj+l~8H6xIA+XhzJ-So}a?YAc0eqh9%smHfp-kG|+{Q}R_?d_L%rfzS)$m`?n zGbQs(-G0!fp7QjAHuaR-+duWB-@6#}8lXq( z-rE`D7Z^1+;5}lmt+z+;+7f`wfWJ0rpDWH~!qXZ@Rn=OgPIKcL<mI2r2Msqg4uZU~a`!xW3=&rp+-mX`@vtb%5?=^0}n%$;K`@o_~m!H}{$)RhJmI zn<$O>GJ?^nX@zn6HWbNcUzh$qesHMn+;?WxzHTHD-wEAFslqe+i+%mDFdR&u8vJhb z@~eY78odnbpmw8|V;!V!=v4i|oEu6vGB8E6(`qOKF3V6&AhylL8PBIP3{L|l`>3D2NZ{+s?TrrK{yWIzW<0^YP!i1px}#2yTk3^X+cnV1BoI*X^MykpJ4aA#EO34zUIKOTZ+o*T$qXOA4ke}#+LbIQ9 zNS>863QYbQjRG_DA{OR-AxPI~QJV(8AFd&rWm6y|SPAHX7&baSiUT!7y9)P^*TLBr zoTxP~U-iTF)C-vYm#c>%mqoxtPsr;yglz!Bt z(?R0BrXkXoI!liN&ue%ZgHX+EgLArPjKydA)PPRiGseEERKH5+Ha_(qc9rnm#xGxf zY+4ar`YF@ z+3^#0JkO4o*l`#0x9?!by$<>&_BozA+FbGkJM$zv9$?3Vj&=37mK<>`ytTOGC_8hU z9Z#|2$Lt6J!ift>z&(Mw1Yb(*p6Vk)~#ey^hmtg#`lM^}ZUJES(6s9ps#b)?$Cr&9ag( zA(u7|+gOJ{XCANRGH6-nlD&4lza|h0`0^^f&@zOBsC@+Ks%9#PWl8Am-TH(lSKC;T zJ(fK=L>1)+D!qXf^wH^p?`^h2@MYax%C5owlT@E^wS$3{0PUeieRf~+qto}q zYk5&Zx0%l`6;IG^!W&{wZ~SidyWhyla(JrXq8oDRXcUyuieh4vu15A|)YqP_hw~+U zY)t$MQN$bX`EHjc!pMzNogb+947K>66-ga5Z|^)w*Of(5HkI?b#-Oc02;wRl^D5(e z#+xb|IL)kxEfm^lpi-GFm1Wh7^|Ph8>+iYKxkj1}&pb`nP5R6b*&WNJ06pbRnU8DF zaGSqfN*nFe_p$hf#0Lu09GZPCTR}7eCFo~?RZ2`%0RExh!-|rYEh}HP$RAi(ahHCi z*2@zP^Jd2e=~@`6Z2d^FNSVG4Rp&3i;0{%VlsXWn?_*Sxx)pW0&?%@+7nm)#=?CHS zOTU@V8-&{>2?oIp!Ue_?detjR4dlsRd{Y+i8Z(q9ls zzz&v4Ve;;&-*cyMKZVJIFQ4Z&zohTNB+Wo%R+5Z%lxI|uIA)IGuB(NqWk6qNN;D*K;4&P>fLi(WDr8RLpXEZiS1+DOyRJ8VgPZB-0HkX5k66YH1Q^Hsdwb zE2bc2k6F^%RIlo@6?%P^HT(9A54alL&Cn;NS+ZYiiieU0D@nO5f?tmb2k&kVQ3M0o z8-iN@Daup(FBoXebK%m_wc#)%u4;ly`xoE!AGr{7|L zoRz4|LU`Bl$XPp|fShOBgq&x-@|=pCAOGxSL*)Fx_Fm+?U~DgPhVzz@^LKwtk@J%& zkn=xpEdk{Gy$LfBId8EEsSiEm{HXzQ)@FMKkTYwWBIm+*_BCqhMZ^XmD@kvIhQMES4!hSksJ-;yRE4`vZ76S zW*+>}WU(>Sh1@Z56o>Yr5HTC#1vxI~BIb{tFol>ci;?t*nPL^5PMCn0)t;n7%sV%~$kpV`!BGxk zrsz)Xzd6LbD(8G=5i>Bb5bDYxkLYoltTS)1dc>U0 z)|`Zx=hA1k9Whg}1nru!389Ty)o|03D*aV*(Z-B6=2*(e0k<(4kKcD;8{Ma+jU{>sqCoC)vjtqUn)PUW4g*qG5f zo4TzITO0Fm^3L|f5Hoc-vFe>2YeR>a{eeoKW@Gm25py~lbCP$q(s-u4v#EG8sp%qe z?)y|h+L|qS zXIlvJNRON?d1w35BIm*lFAR*WnW8)nJoTjZ&dxpaPG*ra1%;fhalNx?wHhPu?93tO zFE+iaBImdN`jjDZ&V+aN?z{d6$JYGTpR2$-TYi5H+M0(ia!&1?4XdCpw&s2R^;K=5 z8CirA;+h!`NxieZ@wR3I?`%5r)Fo`xa*}tpikz*-HLrniYv$n{kDPnsn&Xi3E3%g> zXOnErESB4LTQef&F2jg=^v*tw-r2$W-T-*?&SoV?NiPFp80|sTACn9m+L~1cE)7uZ zFa3tz+31~}*B6%+TM@G*@9c~qW=r1L=@IjXH@LZ~rfuHY6y2#htvOqB#Z5JtMa&co za=K>8JG&^!)@)Zi^QHa&q9W#dUVedtn7>*6!2I}3VEu__{>pDCV!oL7O~XuJimloI z79!?hY->)9m6;meOWZ4ag)3hh}DV*FiHghBOlorp;~yWyiD_Ne`MW zIcCpJ3z|!$6I_Qu+sw=q;Hhe@Ineyp+Ye_1vG@p3j zRTVV9GJqk?OFyTenV&WrlYkBDkmez5W;UG!Y>o|P=2#m#&|IK5GZ&Z%X-;y?W{J;i zM9f;!9z@KDm=Q74R0h1&HS!#@F$tKBh3nAFjArJMX=b*R1k6G%!SsmPl4JIqw1|1Y zLYXPLVJNe`C8392p{c*5G&83SWo}?4noWi>vz25J%4|@g*<>j5T8NHLD$z{) z$jjcDWM+=Fp+n3*ecEiF8N{5F1Wai>Q%S(6crs}lLI-WtHk96nA04!NLtrzTx(Abh z4T&URR1GpIPtew^$`iCTqpcZj&Ea4pXl16wNP6UK$=2*mi=4mz^7(ZLeNErx)by+!gRIknea(-;zbB4${6G_0fG31=e){Mw` zamA|Pj+|55nqju{9keYW=S(I6>pyZ{qoQwHkaLo)nI%5sS;H)qXr^Mxq=t)#S=De6 zF)uffZ5|PG`uWn&N-?&k&9+pc*@CKzh*^~=*5$ zI$kN=L5N(oOW0O?byiVaM~r2a~!=F0s$M9JJ*QcHHau%;PHC=lIUgZd~vLJM$zv z9$?3Vj<^3@wcv-eF*(nOUMPZL9bc#ZkNV-Mxv?%n5 z!eNoTCX!>Ka8e|vMRHao=R|Tr6m~kvZYSB}B%Myu&LkBc04 z(MfR9Mb5g&dG`4dJMMC`$-$0$*>S)7ucKg{tdopa3m;jBnN9lLd;UXPkVXN@3F);p zn{;7(=(9h$l=DmXy}m$Q>P9VBO4mZ)vtf;IZLAG!jRaPdRWA;NS|U*}P5bjIO(2Gi z(sXEQTB@dWI2(Mrd&^YC`E>u|XP3BqW z@mXPBWudC}rH2JcHu_i_x)FfnX@y!ScwF+dbR&>tqgNVFd~|~-_zO4RZ{g8>Haxly zl9`TO8E$P0G_^;qKl*ZM47~g=Y5Nhpk%=wAsGQT#TI@3@WB&N5|KvV!LSE$FeGD4L zn%)9AtS@RbMDnap{^$_*oz{R55eD<}Yd{%?PK zm?!x+faDiJ^6N$_K|$jW)l;{f;SN=WG!3?c$-4Gg^Bdb6@+$i-OZ!Q&OnvW7?tXH+ zB*7rKL8L%3KD|8g8wk)F-TWsulqXbQHYrc~L$SzZymyY3$rkJV;WnH&z@5VV6efT3 z{KMSluawGUyViY_QAx7m=WlSwY48K!(>bV{5+mPR%2&w^#R$M_vts0ZG0W+>6=cu2 zIvPVOw*@1SP;)5KtmQDFpq3{tis9l3%Ej$1b-{47Hq;WmSGydL^da8mcS)179G>x- zz80X~75O#UW2=JE_O?JneK;5>53G`fUTq6F)ehmKCqCe|Yg+(4r4iHzFc&~SWA!hz zC1G@>xh_(W<%%@b)@{+oma-0P7RTN3#vRXnwGcdeLn0+7pW7kbgySReqs zXyQ%SNYNlg6B_}fX!=aiZ2s3*xke&GNJaNCq-caBH3Ge8P7B0?6b(`|okEI?sfO~z z0=7ag8W6xFHqm$~rTUvE2q_w*Xu!dD40G@W_rAtJrQ+HQp5(XD`KNeHcL=spG_U4b z@S*{=#C|Ut3TjnX4I>x(OnA{0q^D?3-Qa$S*DZ4{Dn%en#)N}+w})t;Ni@_P)V456 zBd9kr@`afTmyWItheKOyo8qsme)vb3^`fDy1E*`2yl8wGr)b`K_F0vpxv$-rqIq}6 zexPWc|L@xl#ZxqJ-ZDjVZG#&qnx{5@T9P`@>D_`ykfK3~1{}MS!vZnTNr{=##kydi zll5pHq-gp~(frdrKjms5hLDQxV@S~;MPqFHM~X(%Z_$eey=Z6ziL%@tF(1iff%rD!_buUS${?5AibsO7EEOi(nw^c2m21v*h0L4AI66wM#E zcV(8Mp{xU^YnCV)KT`TOpW>Mol})mn0)B7mX!~2Go+_LDBe;qDk^lHVAae1Vsboi8Gvw z2EAx7T`;B#))IHq61JEwI4-+!V$NJl7aXkbwQG*JbitOqXy`tM6b(`|NYNlg1Nn{h z0u<8)PlVjc<8%q2k)lD0hIsm$cpNF3!|{pv4eE}7S~5H+nmI_(3>HN*7bzO_qLDY= zYgCvE(*;8@n^*$#JM{_7)mT@o=p-nmtAVm|0 z9dDPAqA^n*4!vm5i^iZZoFy+BP)mjfMKc#En!%!Iijbl~iUuhf%tZqT+OU2%=AzM! z0Oq2BN{e??uQpY0+-%WpOI|c|A47@;DH^0`kfK3~1}PfRGcCbwC_qE`w}rL`XnX>C z(V!O%%_1*>f0-Lp-|{ie}TapASa5;LR7) zrweX<^kGaFjOl_gU9bQO4N^2xyr=5=BFsgTJ(iVCXljpIXQ#|kG+!C_i21yHbRR>C z1}Pe(Xwm>s%teE_XfV(TDH^0`27;miwPbiuG_!{VMRWh@$1)`8PCsOWSWf_5b0@qkZX!$#PtdY${S4bELpLwx9ex#Y9+mo8tct?4%%^ z(SC7OAm;?}qW1T<9O|{Z9gaN?N2kNl<+!Z=Cv-a;=N#mMgS-PjgJ--da&Lq^UR$Uo zTmQ%PYBRayi(}_5Umgcf#Xel8f2!D5mFia(p9=0(n*3CP@l%mK4zbfA#y^#)Jk?b& z4)9cyk_#&ZeA$L)bg-B3Wyyq{qOe;O_K2iYBwZru z7Rl2h=@H3ck-R37W1?_UB&S7kRwU;{azPY!I>~M)+2bUgPSWKh-A?kfQ&4kWx8}e* z3$--L!TQGFtY|pY*cc24>*cy&Q7V$~uT&DOez_`cJ*xHb~~ zozrmH{lWP3r^crra!tsoD6fDyR>h9xD_7-qx`Zwl>2?V{E^^#OC(KC~IqM?l+2>2_ zxXVq?cd+ALcHHm&iwG-XT>_7!u8F=BAX~n1Jhxcm8fhx{{Z7=9#?ZEDc57b^z`kB5 zV1sPVbPlRJlX0omsnGY{me>2KgOV?=(hDsUTJcp$?*t;KlWip3$z($kgBEK;7l)P~ zsPqO_(5z8(`S&*4A^5UxF6MPGDOHftcw!=J1OI3Go_H-AmI$Z9$|RxmW>%KNQwtd0VP368WNP(u2 z2yJX{Xw0jO?-_5hY~VDrB6|#T4Wo?)DwX+CSysJRKVOQw{+>IbYozJ0R4m6*d|Z2m z+x!(&Bz(O*LDPDTmVSSel6L;`3+_-=NYh}#Ox8^p(0hFWc(-QeOHJxl)agQ}pgLV( zw%n$lE}vie&3yh6F%ePm7vB`~6g5?gOiGj^Sull%zeE`dWy0S*^?U9l?x#3;@a6N| z=9fq^tdVQfHPYN%*Bq#=-wMuDktmJvsa#Hjzt}zuXpSdWzad=@Elp3^(u(TU-t23c zc%^p<`q_PyTLj=A`aSH=(z0dc%NF?q3oGu@FQd_p@{Gz7$IMaOm9;Rn6wvmbGy~L6 zK@xiK6Z-_oy889p$4#Z0m=z?Cidjz2tuTYeDVj-JFcJwhha%1N-NJZv2o%BaahfOSh}mP7v^LeN=|C&==|EYtZ_oIEtJU4Co7Fl!hB6ff3T6@fdQ3QYcYBE9 znP{jvsP&&>KDGbm%6yMZRd}-;POvD_IjAmZBQaG5C|!r8*FfC{m(f4XxnSL&{m#)D!x8iaXUQ+s4Zn^GN%=eL{=pC)Wn+KWOAZMcykhCU4*SBRlC z^=0H?=x;|%VQ5XFm{oldL-(>Qppx^TV}B_*#LxiK5ko87Esu<|6+?gYgeeSdS&*d1 z&=k4w^uh!Tt@b1xhTggPMXoky4xn-{G{t&q|IK0ORXOJ~i=inr7#h|@CNcD!czd)r z8AH=Ks4nO}Vd%O2wnwj7A8SL0p$ql)=t4cyoz5PegrVosXSN+fQy~TII;r1AZ}*rM zCm52X``u`V?$vnH6D|E!deIKuSKATVp@j~?Ry*`Xb9QJ&?XgfF6ESqJL_rLVc4*=m zW_IZH=IqcKelknOWe7t<8J3}9ho)Fh)oaaR=vU@FlUWQ+p&_ShJ?=^7eY(M^DFH+K zZN$*7_YSHU`tZeH8QP&U;nTf!A;r+Ce7Y4oH2QSg>_t9Q?a;%?r`s39(3&qcK#mFIq(JB{li(`1R*es|A4^;E>Gq|?(&^GF*k+HW zn2!TkIf4GUXWq#ymZlJq(>1P7H%$s*WRK1)mi}VXyDFA``>#(KV(Coybnm|Fe{k&4 zZ~eIne7fcL*PuOmWZR=*P4vYcz3;!isx3Ps9Fq_q&3H=c)9sD7M;rKb(>bUvXrq>s ze7aREZ9P7E4TNnozwmf0-5VbrhoxVUy<9J#BzrWA3Af!IjTl-mw!6c=j&afG(>BjEmNT%3)@Yw&c?ds)_v=+LBLqdJO&H4Q{TwX&Z*7SWne!&Do=|Ds~(dtQElgQ34!{=oeB9Ao{7i~h=QD2Be6 z_f5kbV~Rc6{}y6sTeF%CReN;mxadLm>85i~UC@2P(5wg|=is77juhk32X~( zhrG4?aT;NEXiFFxR1^C#G(|2vz0fc&x+tw3dcfkMDb`c_Zw^B*fBvz|VrU8tIb8#v z?s9|NVv5fJtsfb0ht|#~U}!t@bbs*SQ58cUeDg&^44ny|?xoqC9G`9_F1n`r>u84_ z33ll72D!zwx!)H%wADP_v*Yd12Fa@F98?#y5kg9^L$6&wJLc2vw~V2+Hgp&o@^t$( z3~ee;ca<~|a*K`Aa*I*(J9})N3S%<8nD(v^Mq_%h&Qu0@OfN>cFLdWlu|y0ilvt>! zjizWcMWZQt7@MLkrRcUGNYaN!TXN@~ofbxyNGG_Cg|?ZZDcn<4TXQh_t+yY_ER3e; zkkhpu_hj?w#lRew0HX_R42?eVz^f{Zeq{hdqnCb8VKhHQH>MXGA)(Pj*c5F#z1W-> zjP8#qI@X2`Mi=N!(FOf7MYEJ@HezTk84zOV6aWF;xzU|_M7wjNDH=`DXo@ys;rm-M zZ-kkmEu|N;kf$&`hPLF+Jtr-O9kkd78da>k?XvM?> z=Mylr&qfS=P3s92L;qMlFkq(W_W%0`#}xf#=Uo^QZGVyKq3X_^I=xuhkm!b;0wk0* z84}Ibl|e|fLCI*7A<=6g$~viJH0>j=Yv``2aV+)=$cLs- z+s3eTDtk0y=_Z*L4jS?HXqfwaw{A;VI+N+e`j4g8sCe8KES(e@%~Gn_ZjYuy%B04N z<*s@)-t_t0vD{T}Cf09A*F&B5>DAu6Pz&hH&CPYqf!g}55PYd<&?~A}d$X@y8E$P0 z(C>nN_AQpXiuwXj-8FATcKS-MwwAlX^kQg_Mtigo!HV|i+a(FY&fEZdK|X^9F|j2W zm2(BW9Afe=st3<7}) zQ-nt6*W|6zF!VTzp~0tHhJR)k`*Sxhu4~#7Xl;k>k$zw)2_Pv!I1~w%7I<&*dc7?X zc@|)?R6mOSrhQb$E2TRKk;`@o-Ak`7>kw#o9dT5!ZMX6#Q&kFqnz+3^%Re$0+%9pr+8>=cFFqOeC4 zIz^#NB;6u;S`>Oj;jl0EdQQ&js-+wJ@=Un`{>k0o%k$^Tc``k*a?wMs2{{$z6)*>@;5bt*U%4u` z( zkjr>)eZF*67V(T);`0|STe3a=Jt*2W@9JSkx@j9UnzCbcCGs; zqq1bh&)?vV)8OZHPv_QdN|1bSDPJu&6eOUUm=z@Ni&;+3t+2?))zO5|a$7JG2{nfz z&001T3UPT0z8Ef^pj_PEQWp$IYeOy3d$r2}S0CbCewQ>U%i$TX>1?dPTYgRU*s5T( zy)DpC9}Wh}1FK|VsgoLKwRS&x;sb8Gwguu-QbBzHbAk9XR{ug<5=K{=>mmhOu1HgD z-4<;OCokjrlkEK1S22EL}rwQ&Xv5{i`h)4z<=7 zs~p~rTqJE$)WAa0CRXGVNgE_>Gz9>*In5kp8)-v#HE38*082tm>YNgE*v9Uy6gq>cGdNhED-C2d~Kwcu$3s)_xcHWcEj z?i@zDekMF^3euA{r*3e+#OszhH{$jD!2E?hdg zHXIIZt!;|Gvijj4W!BS%auA%ZS@N{;4G3xT*0ay5q|ML1ZA{v{yJJ6)HqZa}ZHMAX z8#r&7v{?~x18MWr=1)sfhey3z@CcGNNZMd{lt3t)!SJXUuacDJ6vLwiB|Pe%?)fQK zA2B3t=&pvOjgk6>qz#fbad}-aJSx##YA8`G7|1a^s@HdKr$BhI$o+NPLr)v@wCPiF zD_cpM4)<%8R1^D28wzn%X259I&je}XOHbMiSa=jA71ZZ9N80>xdsk*j8_Gd&x@L*A z@gr%2qz#Rf#PBH0X@jIqZ+cK9Z8T??^^C6?q?|UENE^DVA!%czz9DIYqz#fb=CKx% zHiB_rsi#cpL#b>eZDPsv(hNjqlaiyS&ES(ZpqdO1(#DUZO_BqoL3mUqNE;}QJODXu z(9;GJ5r?)1d;uROBG#}lP3J`m=wc$`xV*`U*?2J#aj-sDa|9i6iHI$cHgs1*(gsPJ zR6r9G5o-bkJ#Em_MoIGx0#j0p-FSO<99ptLH5D646eMjtn24AF8Py?-utda`JZ(TV z86Kp~93*W9i?o@Gqz!u7pr;MN@F)zAI;>Cih@LhYyLjb!+R$AMNgE@Gg`PI2!JiOG z8zgNyQ!#oN9@Scmq>bKEg4Ls9rGsHl^{Bj_L1{}QZQ@i&LqP(n$?za;<|1h`Sfou6 zk~T=%AZdf7O)QlQ=Cr|_HpYC_l@uODcQqt!khDpK%aOD}(gsNzT^aX4sD--rqo+-4 zu>l!@qz#fb2Ghclrwynk!-KRbLegfiNSoOMLfUMa_Vd9=MEsb0RN6$ut&cv8iHI?$ z4SL$3r%jxh#F)7#gmiafSB6{L0!{7F*@4Q!zzV3a>Aa(Q^=t}*)Doos_}Qgmo=Z7a zkJ8+D04i`xet%`$Bjz(u&|M8l8zgOzvWvq4X7r= zgS44FEJ&OCPd}E~M8uSXP@#Z9j$})Th`j?s+K|W(Rnn&Z`hlw+b^Tw`lQyG1{|=Hi zNZKH2gQN|THp(0@=BozD)8?u_{;he^hVE)e+8}9zqz#fba=5iUF7@(_?la~k3ML{> zwU#ia4d%4jggI@xg(SZV!|*6jO@;?)<4sT63|Pr;N-A)e5l`xp-&fCjFtel$^uJv4+4)PCFXxx~ln>>lMamb1 zEL6X$RKMCp?pD9tK|kyi$Zl5DuS+057Syi}uuqC1<28XC7bezDEjuX)XS83O705Y3 zyr}*CEr)vTZii!!!`10`F{B++f7AZviWf`RwAdxo++9`D!CvoP zcHGB~PY9Dv*Rgt{Foij(y1lv z6ouWQutyX+MWIV1-6DBf6naGAut;7L$uUtlDU#D7IV+NLBDo+6JDp^=lk9PlPABPd z3f)ffv{M+owA0Nw@G3$rjdHNQF*qw44mCCg!%!KlF4)v0FRoa%2;LuuqLbzO^siEG zsBLO0^{X$xEf@~9))yDV{@#)MzSFt5HWK}v(_qb|OgU}v+wZ!j=j5!eTDrk6&y*|R zpWF?;Jb$j7C({!v7d_;fkW*1!0kf})9m`j)%I$OsT`tn?5_(+ZxQkAulP+@BMb5L& zm)LQao1X7r$Gz;h-~AU6R>?ZaU`@bUxifnV^P_2~4+jI~fjg-i4IMST8_n{K(5asta+adU}ZZ_vZq%@wGTiU>CMc)(e(G3+KD#VbRF`6kuBy~-3 z*WYs|bd59}mddn*IE~)j99}NZOXTC)Gu-B{peU&I@&rwJGfKYn=T6~% z3X=z4KF@9bO35}n+EJcSN#d9}io32Brj`Mf>Kq2Vm_acTdhiqb#K^k(_1wozrI?r% zBkze>PS33{K;slC(iV(FLd~H_GZm09UL68OHoOI&rmi=IQ@qQorAb*1&-ggC6%@?u zF-uyT>H{%_xI(WGvtGSD;{&crc(d-E>x32orl32S=PH68RdTOAn!_ZT{Nf=MUf}x20iZSdkp~k8V1m*H6R|KYv&UH`fS?(`pj3J zQvrJD)mlS<{=oKLfWBaCFF=R$mI3{3P3MZrC@p;Isu?-ZRh}c>JXnl-8om2 zL`EH4DUAoEa5M<{wx;&TiZ-PR77t^kQ&JQ5KkY>!FgM)b5SX97vU18IFc&%mTY>qv zBc_14CQ;04)CkPgO$QWp9^Bf%ssF6fHX-0`RNAHzcpE)!gTTCUxi0{e)QalWUI0ka zvSsDV7Wo4UEAG+{69RKvf%!*Im;&aO#YlQ!P7w`HE3_Aws}GV6nD5;DB3BhOhk7}{ zoI*(T@y!AARXOJ~3(P6v3P5|bGwgL0U9lutAdHn!d&RyM~ zbAY*GIsdn=l?cocm?v7!6=?)(C6mB>PQ2yZn+(k9>{J)J5$;U_=5zaPIbX9r)`kw4 z7wRqNh5F4uo#i|Un9rrpY&$Tg!VB6>@M&Pmp+l-Vi^#aBQS^5V2Vp4&+*1qV7}g*#at017D~Mg zO<)eCUWSUroI*%dDK-bpUzztzW`Q|HoSd%pxF?x+X$Rk}1YqvB4Vb&$JE#KlpZxDS zLyLJPT-s;;nga7wF71lN99`PcrQN_{zE%zY$N(^BZD+`(-4_Gq)Xhfc@5r-XBv{PX zf~P&Ry6b?sKTzq@T-yD5V4lumo|F_*X*^Rd?No>%KsTar5TGMKAK?HUUE0y59qO3$ zIX(tm+WVFv5?$I43*;CJSf+bSf=i#KNt)&P(gXCtaB26Y1?YtvUeLg)ktSlB<(xuE z4hrVDwCA3AC$j*ZB2P}&xGwE9FNKliJd*(Zi%svU0R4>yR^Uc z=PGb%m)~E5mUFb68(Yp{t@H(;@B6Q>YLdc8BqhY0GftHPbZ@-n+`y%s&Q5iq8?~Hd zIadL?^_cTD#sIxH<~$Cdzao1zNo3T)B+EIAv$x%Hj=(%s)-D9*2+T(~Ft_B=ZXtaa zy0ojT@J@7TSLpFH1Mv;%ddTZAUCjc}++5cjsIA`$sQ@BTnni)StY=@#a^BGIf`0Zb ze1Vqpfw!Dna%l&}#C~8t7%uJUf%%6wxVgHjZNQvDNL48|XF0F9sV1|)oFYz6*DSfT zL&??z%ej5A=9l*WiwexA2k+zn^KX_vFh4#STz_KC+jolq%rEAB(=ZvFVmbG}g}{7- zCxc5JYd+{M?R0jk3*89!CIR!JnB_cQ)sZa3n#bDES6ubdn>?Vr~hTgJNPoFsF!yrxg~1Dl|dK zAlKef7Ys*hLoLyJsly`>MI9`sHt+I;ByfsveoM}0Os~(Y5(BEqbe}}UpuM|fq5oe+7C?{4Zu8=OS=+l zUQ_*bw3v@Z`eHG+nx%bqyv5ugu`r#T>OwbyT?xQ^?fTg$rDg+k?MG*|bX zG`3>Q0|ynGs8~Xyd77Eh&pHpbhMQYT&~8DD3{7wL*=ezPiFAVNKWUrMoT5loFE)qG z-+KF@%wls2IyqhIaZfg%2M!E`3D~^Aws7+k54@^k^JfMy-2BjMV>sUIiqRbNz>WBD z^C4_BH=PG=jtxfhSQ|QQUZ6Lc7nlh*PcoXb+;=ttb1iEV8qG05duJ-2eyp6PnM^Pb zoKnFzWqhb6QOsJq5SSw{r#|T5YTn0zKwy3a0dq@v;AqxulNN*Yz$PlX08~W;Cuow_t^%_uW3D@0`nc$FCH+X`P5V6 zI7ag?JMY3Ub1ZGnD{Y=O%)DWz0Cj#$hMBYVW)Nm>P}7nU~fYmg{bP_w&&nkCCQRhLXk z6a?sf#HIZeCYY!l!_5ai+}x7o+=3WM56}lA51cnGK>z;B=Lg1ePN5_R1#cmcK+j|zxc&q5H7dxr1)wKc&ROm|+b!o*c$rkA5tt(|*Ag!2j9uyT zGi27@g}~fyV2*jA1 z=J_>wt2AIfjskOVX_w)j*~R|c%@uV`TLP`^QGNZ1a3~TiE%4sr^?F+(@+@$TPW(x% zHgIX|H|?W3UMbx{h+MWy*j9XXS%*O2zrF0Zj~$;7P84-5c#?fSAbj=JX)hgQpO4V< zFCB%?a@lc~$l#Rlt7ChLKW5*4!j9*K4<>b$TwDObQhxf^_W z{#-dvrYBY|ddM{)r=q+9W?vN?XUgR(SLJrPgf189c8NVMa@<8H!bul7>mujb=S%Fk z%grVTJMLx2{qDbxg4ME4GF~%$fF5QR^mp(14{cc*#r-BEYS%32h4EqM{^SzpFWvY0 z0(HR~wOlD(3w_UqmAFf#78&K4=&t%zlBHl+3@H-uu_a=*@Bzeqt+jNxikh| z{+F~}Nv{z3yvcL_@l*fFePF|iHFP&arp8EA43XpmlRrAdeXBJfhC~oU-aMAAnr;|o zz}1=UKjb!l1;seb|Lt!N^JIU2(|J90+ZpaqRY=odLzt|46HE_;lG0|Fy?#awPkrxA z?v7##V%_NGKe3@ip?b4PiP9ez3b~B;&gV;4Wf9M)B|d-QvL)N&_cTST=3RYzEC)>d z+JaUj6_|Q+wGbGpC-E8^3bpPxoH)Rp!u=E`fAjpq+~$`^GOUnm)D@DHXJ1GArg7oV zEa$sx-A5UfBrAUY26vnm_Cb=Q8K8cOk#uhNro_nimhzQyLoou1iCHo7zL@3o+zQKc zoRZtGEf|S}nnRIhZH-cB%Uh%A69XRNU4EA|Da+v*uW4+ox^#X`_SmXmw7o6RP#+Ek z$^)xpVX2c^dbMtS^u!0;c5TZ(K*wA)RC&`YqSeeHQ-c(RLx<1L3N~R z7E>^!Dqcv{^eNf}shYv3YAi6mLzAj0M5=})<}n%|s-|E7sG6dqFQ{HMKYBFV(5vPL z>!?@F7SH_$`*BtZ*YU{TK`bzpDRbwkv z^J=aIuNqKH?Dwjn&{lQbFxvAo;Z;+Ro~k)@gZm|3x6HY$6oEcBCLFxGJw(G#qM_!X z=DI@31ocKnzA@l*|*w@lSs+u#PO=Bdq}mZT1Vdbi*aq-v0=!2l=>fQs=+7yzZQ zDeGBy)*uBy{nI@^;8BE(RlSTKiU02GK| z5}Rne?B)G+(L=8q^s4Do4q02Nnhy7CmJ}2FsTvAxRX)II&(8!^<4aG~3|IgZB@@)= zH%Ha{aeG&0sT#^XaJpuRs_`RLgSl$zwg6$d5d)x-^E+X#nkY+qqf1wUxoWH@Y(cLY zjRyjCo*MvViK?Ny8B#SyY8z5DNYzAx^}WeRkg7qdhUS3+af!KVT8jqLDfJ_=Zxp7L9ZH2D;)4)T47z9Z(5ia z(+bCBK~BuAi)n@R$ZEu;6}Cjx(A^BF8l-A404goOLaGL-8l-A?6a-Q=NYxlHc$TOd zP)vpgRWk>vn!%!K<|0*tUNz`dgB75#0+c|g;T;2@G{=`KF93?}W=Pc_RfALwQZ;fI zN>=IFZma+`%xZOG02Bs5T_FKbpqLB~s%9=yHG@Uf6d_fER1H!!NYx-!gH%mI#ri9c zs-e3XQZ-1`AXS4@4N^4%iK`!l#k#jpH=;mYQ{TKr(5t3zSD?ou_ zGCZgnZ+fa`z>0NKGJ(T~cv2VZzIxt+nWbtd_rU2IRDc>S5F!zehZ4x~%xLzcIrOTJ|OMS|R^3o#ptA*-UmFic)&pfWOO~T#kFLuxmI|Z^^D1h30`-Epd zJX+i(2tS6-DE}Q`pI92Vg4YBBpy-VD-C2R06UasF?{7KOt9Coc9*5BBaCSK^tN#hz zy3fxzt_p`DL3x%;|9K(quX7IRf25$HwoZ{mK@{^p7oOW0WL5^Cx*JMP3BNkFr}$&`?I-MbUie^A zSIH&zc^4bQ4tCt@ps!<}~M)+2bUgPSWKR zx}D@{r=X@+Zq9)>7HVmfgY}KUSJlgcR$d3 z<@@Ly(_VH%ZBtXJUw!p$!EmUxzPKRv_m156ozBIzk?8N7hO6!mPJ;#dsqt^W>zbaE zv$|^O2ERO0u7H1XH~8}WxpJONPpn+@kZVFtMR^6xzAAPsU%4u`(0AThi2bk!e_VTp+x!(&vwFQeK~t)X7Hxk`!kxeTf;&_d z(lih(lXWI%rdMrjZ^)~RpUFJYQj@xs71?7}hFjYL&|+htQV}j?)r)V_3zyF?{boLY ziAXSA+#pxW@3Q*) zu&*)&j%oo{szfQ1vSETu(M=HQxe=nTvv-Doa?G0^{jFO4?y28%CviW;$%8MS=Qh7Y zl3@t0QHP+pxvn`-TfY@tSiqMBW+Y@(QI|@Zf%t}WJ+w4EWlJlnS9`OsW$T6BCFp1O zQEm}{f9UtHJ4?%!l`mW54=k*>t9PiDnWMNXYhh|BpvjUn1JqAJ5_<3x z`vl3l`t{t$O{JKa6(sM8Sx(QbFoVV^dP!R_5(zbjBF*&O!gzHE6x;CRWBRJq+{>$_ zNuU~yk5gMg!OR}Bq_wF&&`=)^2Fe2!R4Y`)BkR@MGd|#|gg5IJg-#7hp`8}Nug8Rg zcejTqP>P0{gWBU$FsVMixuVsh-0vOaU1_e1bd|dzO|^Afw60O?$+oPsvaQ_{Zj*ouC0m;Ky=o&K@FtBo0BYIbbhK!-AGeUfaq&reJc>X zP?dwGA-dLv4x*nt$|!DQIq4 zkfev^6w~muLVKaP`XK3``OeKRa#cZdxR(RXDTq`b-yAewm2*C`(41mUPS<+e(^5lo zS|usodajH-q~uM2=7qLF^T!>(R-t+Q09wyo-Jf%yxne#4x2}~4%@LXdb)8^6S5^hA zmP|tPIq}wWZ!$Ee^HW{wM#wh_n$PXG^?c3xSQ|QMUZ}U87g9Z6wIHXno+m-`x%8QB zhvrm#LAx4_t0N>*S-H?^-gowU1DAh3im~V|%O(9W?g`Dt($`yI&8@(^<`v9NU$~Gv(M$#TY_#w4Qev!V0vWk6`OL zI=0&yDuWOmA$rWedW1oAOOEXpB4pA-^ucg!_oapC=`tVKW<951l7oXevG}=X-pMRP zr`VIzHLhbj&D&sPJU0VU)5xVk&Qbc_MGvm)Un+gZ#_3~Y^U>6UFt?HCt1%`h;BXhd<}%e zGw1kth~67}9tY81k-eHMGU{NG^_<1q+ipEaXl@Kj(XkyJ+oQpH7T~;-hAX0DJ4*$m zbH6nVl(CTB3LV?gu^k=T(Xm|()mE(M8G+`5;nd$b%?B*z zoPtQT|K_0i^5-AREHtN>lhZYDY=6`s9o$-$4vrS4jJKL==M$j0eHq(7`0%I-&HvYq zYC~wA3CH$BlSTtHPvzLI#GKbue;uvnBORKbH%JGkt%|-_&8=o^pB-;CH%K!~=cl^V z`vA??uAd!)=6=i2Tx&xI%^_pEUxVhRGPYMq6CoYkI4vCC-+tEjllePH=rFZ8Mxx9I5KX z=Fs_DZ$Fe-bWUL>r)xd#$>uY{fr&5yofp^^c7Ec4S5?VFLc=*4&e3q5#@IFT zeA>})j)rqIoEuU5{jJG3!VKq@GQxpkV!z>hFnrqQq=n`K7H&>KBnSU;!p;AE^3O61 z%>i?Ay2i~2mmF@cn4RE!0yOv82F^DjH^!f^96rPW7# zM!2-$<_%CryhAVJ*m_=?IW+?Y=Td_)`kw6`}E1$eP*C} zQbstXgPF<*N5vRIbcE;#(Gj9UZFYU2y(Q~8RhLZ46SSVA^&G9|XgycM&NX~xR*(!$ z>$x{AMF0NF=Lg1mPQfGx2Xi3$V-wb97NS$^$?2MYBC$fQ|MA=m(Z90xYZHP$XDd;JwA`^|nOhS#t7^l%K?Y(LSoN2`3OD#(oy&qLW5Jnua500{+NCH z2|Jz_KA6;1a*2K3<)AHhu;X6GXC7DCKF4={cH@F4*qJBU@c=s>biDoNss%?JW5)iZ z^e8)XoE=ZGg_9yVEt0b$ zIVX|}qOj9Rc00))C+T#OE~n7#6rOgH9w#~MB(FQ0b71U3Esb)pzA-o}8V)r!2E)O6 zxh~k$B&UbWvV0#Kdv)j=YMYu${pw$B!EmUxzF2)RJ96J~w)XFSR;=h%O|9F0=bV&0 zCj!1dI6cXyKQ;dCcU{wSa#mL@-QbsJ$`$ZW?gn3;KUdC^>4}w#9&$~{sVJ|2*;fU} znR5BcRk@umq02?OU1E=m9Cy*FaMDH2y2yF<`4T(sa zzJ#B@d(VGp3)9GxEFo#TW<@WI4?p)Ompp&zzSkG1OWvsEO6gkYdp4}~t&O#Tt&zZr zvg*ZwP)j5V#&myPrHS%t8>Q*c)U;Gh=>R*pyZ2UEi*tAX$ImWt3Dkge9R#Cao#Gye zrga0afsxbS7i~;s3kss z;j$&$<7Z&{t8Mj59wJ=LA&Jo+X=EjsN3#*jzvo!S0FZu3`A ziop55{q14i-0H7)@>92+;SN=WG!3?c$+|be^uUen4ZTM4^ffzcQn#`qd(6skYg+(X zYz$N?(iAqY+j@m`hcNZMH@W-C?UDr3#SJ2*{EpjUZfNqvZy-Q#bn~CsP@Yh|85(v{ z<*c`7Z2K_gF!sCq=SCry@!t9nk|;xgtJ!ek0Cy7iQ=I(G^AB^IUn0q{My^rUNON;t zbD*|0dhq!qYjvAzcqGO;6d}%P2p?3-T*?p8-1mGX~y(}!1 zmMtq^w#Xk?SaDbHWbF`kt@|jWvSh{2-{6kZ!qifrQr#8P3{XD>Njm3yQ-b7sOZiH< zp&$Xp#H=8BU(9lPZiN9Fr|2bZ!AK<39Evp4cMIdyAy9D36Bp@I1RmmDewQ=}RHN~l z#>NuZ<=147tqMll+X4;s;b5RVunH!gCLdY1K6>H|+*8bxHLw{WSwrzVk~PT~1<4vDYgFDxM^zwMgJcct z&y>;EtAy!zssSNu=zeArTp?MbD$MD@_Xa5&K*balRKS)&xs(tE+`#B5=$rnfqVGz8 z-}*U%WKCZI7Lqj(ONeBRKo0Ap2av3Z6DLU403U>8O?uXM@W~nrtnbhyYYLI9A&FIb z2Z*dG7yz=S=;#ZoXU&fu%{KI``N2BsS+m7+|G{|A8aQv+v*wy^>REH-fhio%n$qQ6 z=viZHVF&cANzM*{o;4>0avGu#(6a_TYcx9zPz0JK+{m+L^S{2zH5(Zku;_klftGO0D zYd|rv-?N5-Th)ET$nicCo;3yO$(mC)xL@LR%beRv5$JPc!oj=SLo^5_8fp$|n;4}N z)EgQ3$IOLGN7shKp{=z|@mE$q{G-fz)=>6=(=|(;HNF8LYu7fbk9$@nusB|qWc+=HAvQU2}a5*k~Ny1i)0OwHA;{nh)YQgNA>m=>!t4I zD%vnwOBe=q#iXpkFeqEenhy7CmJ}2F$r=i7d22KiWQ{L9SuGtfBiE zk~K)yAXx*efS29}$r>bUkgVaa5zJW=OH`UhmW;5RHI~R4P)vpgS>s2trY~VonILPR z!gI!R)}Ut%CKwL*Fu|}E074UW(K5Z5U^p%xa$=TUOfamk-ZJ763|k^==zfM|4U#oT z)}#SgNY)@(gJcboHK!puw7<#9k*qnaPb_8V@(YT|@E~jEAXzh5WX)V8YtXX>J!>!w z%7fLQPAZ9Y)+YqSp=ZtDRD-fa*3kV7$r>bUkgP$n2Fh3I*>0=`Wovyo41>Zjs8~@V zBx}->HK3Rb53*)1k~M=x))XOGgJcboHAvPVSwoPlG3KcTsdTp`vWD(wNY)@(gJcbo zHAvPZ)RIE7W($j! z^kbP#FihD8yAuq12Y{?0ksqpLP5z{Ts|I!MPovUSgBtbucaW?>vIfZ-Bx?jDYlaqC zbJZXJ);w85_cJ7GkgP$n2FaQPgE)pkp=S+x))*D`!kjgkCu=}486ISfH$7Q1V5Pe$ zoxov4JgG}}Up?=^%#t;fec*HrszJG-++LPvJoz#iO+OJ&*4D<_z{d67n)ttc34i+& z{?1SMyCClG#)S47*T=WlxIVtU#`W>-HLg!=udqf^`s=3s-4xOHHk@#`aKhcf33m%8 z+${`u)3?4g!bWK_9i=Jsu7by-rhZ*RQ@_If^2(c6jqgK~TN!^tBPor)p>BJL->lV!$M_qgF;K2C8Uy7UqcKpvF&YEq a8>2B$zA+jDXgKc`W@zYiYZU>C*!M~@0Kr{JhKAj) zOQk^Uwek!MGaUZ{$rDaT6+rB>svz;XY77hoPEUa(14FM7h`b9V7dS072C> b` UAkyimB~Y)!Br6W5(23i$0DjjbssI20 diff --git a/projet-vga.runs/impl_1/VGA_top_utilization_placed.rpt b/projet-vga.runs/impl_1/VGA_top_utilization_placed.rpt index 69aa6e0..0d1c939 100644 --- a/projet-vga.runs/impl_1/VGA_top_utilization_placed.rpt +++ b/projet-vga.runs/impl_1/VGA_top_utilization_placed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Tue Dec 7 12:43:23 2021 -| Host : irb121-02-w running 64-bit major release (build 9200) +| Date : Tue Jan 4 12:19:29 2022 +| Host : irb121-12-w running 64-bit major release (build 9200) | Command : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb | Design : VGA_top | Device : 7z010clg400-1 @@ -31,14 +31,14 @@ Table of Contents +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ -| Slice LUTs | 168 | 0 | 17600 | 0.95 | -| LUT as Logic | 168 | 0 | 17600 | 0.95 | +| Slice LUTs | 1491 | 0 | 17600 | 8.47 | +| LUT as Logic | 1491 | 0 | 17600 | 8.47 | | LUT as Memory | 0 | 0 | 6000 | 0.00 | -| Slice Registers | 21 | 0 | 35200 | 0.06 | -| Register as Flip Flop | 21 | 0 | 35200 | 0.06 | -| Register as Latch | 0 | 0 | 35200 | 0.00 | -| F7 Muxes | 0 | 0 | 8800 | 0.00 | -| F8 Muxes | 0 | 0 | 4400 | 0.00 | +| Slice Registers | 212 | 0 | 35200 | 0.60 | +| Register as Flip Flop | 189 | 0 | 35200 | 0.54 | +| Register as Latch | 23 | 0 | 35200 | 0.07 | +| F7 Muxes | 19 | 0 | 8800 | 0.22 | +| F8 Muxes | 1 | 0 | 4400 | 0.02 | +-------------------------+------+-------+-----------+-------+ @@ -54,10 +54,10 @@ Table of Contents | 0 | _ | Set | - | | 0 | _ | Reset | - | | 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 21 | Yes | Reset | - | +| 10 | Yes | - | Set | +| 87 | Yes | - | Reset | +| 1 | Yes | Set | - | +| 114 | Yes | Reset | - | +-------+--------------+-------------+--------------+ @@ -67,22 +67,22 @@ Table of Contents +--------------------------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +--------------------------------------------+------+-------+-----------+-------+ -| Slice | 61 | 0 | 4400 | 1.39 | -| SLICEL | 45 | 0 | | | -| SLICEM | 16 | 0 | | | -| LUT as Logic | 168 | 0 | 17600 | 0.95 | +| Slice | 541 | 0 | 4400 | 12.30 | +| SLICEL | 361 | 0 | | | +| SLICEM | 180 | 0 | | | +| LUT as Logic | 1491 | 0 | 17600 | 8.47 | | using O5 output only | 0 | | | | -| using O6 output only | 123 | | | | -| using O5 and O6 | 45 | | | | +| using O6 output only | 1193 | | | | +| using O5 and O6 | 298 | | | | | LUT as Memory | 0 | 0 | 6000 | 0.00 | | LUT as Distributed RAM | 0 | 0 | | | | LUT as Shift Register | 0 | 0 | | | -| Slice Registers | 21 | 0 | 35200 | 0.06 | -| Register driven from within the Slice | 20 | | | | -| Register driven from outside the Slice | 1 | | | | -| LUT in front of the register is unused | 0 | | | | -| LUT in front of the register is used | 1 | | | | -| Unique Control Sets | 2 | | 4400 | 0.05 | +| Slice Registers | 212 | 0 | 35200 | 0.60 | +| Register driven from within the Slice | 165 | | | | +| Register driven from outside the Slice | 47 | | | | +| LUT in front of the register is unused | 21 | | | | +| LUT in front of the register is used | 26 | | | | +| Unique Control Sets | 31 | | 4400 | 0.70 | +--------------------------------------------+------+-------+-----------+-------+ * Note: Available Control Sets calculated as Slice Registers / 8, Review the Control Sets Report for more information regarding control sets. @@ -90,13 +90,15 @@ Table of Contents 3. Memory --------- -+----------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+----------------+------+-------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 60 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 60 | 0.00 | -| RAMB18 | 0 | 0 | 120 | 0.00 | -+----------------+------+-------+-----------+-------+ ++-------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------+------+-------+-----------+-------+ +| Block RAM Tile | 22.5 | 0 | 60 | 37.50 | +| RAMB36/FIFO* | 18 | 0 | 60 | 30.00 | +| RAMB36E1 only | 18 | | | | +| RAMB18 | 9 | 0 | 120 | 7.50 | +| RAMB18E1 only | 9 | | | | ++-------------------+------+-------+-----------+-------+ * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 @@ -116,9 +118,9 @@ Table of Contents +-----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 19 | 19 | 100 | 19.00 | -| IOB Master Pads | 9 | | | | -| IOB Slave Pads | 9 | | | | +| Bonded IOB | 24 | 24 | 100 | 24.00 | +| IOB Master Pads | 10 | | | | +| IOB Slave Pads | 12 | | | | | Bonded IPADs | 0 | 0 | 2 | 0.00 | | Bonded IOPADs | 0 | 0 | 130 | 0.00 | | PHY_CONTROL | 0 | 0 | 2 | 0.00 | @@ -141,7 +143,7 @@ Table of Contents +------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +------------+------+-------+-----------+-------+ -| BUFGCTRL | 2 | 0 | 32 | 6.25 | +| BUFGCTRL | 3 | 0 | 32 | 9.38 | | BUFIO | 0 | 0 | 8 | 0.00 | | MMCME2_ADV | 1 | 0 | 2 | 50.00 | | PLLE2_ADV | 0 | 0 | 2 | 0.00 | @@ -174,18 +176,27 @@ Table of Contents +------------+------+---------------------+ | Ref Name | Used | Functional Category | +------------+------+---------------------+ -| LUT4 | 64 | LUT | -| LUT6 | 63 | LUT | -| LUT5 | 47 | LUT | -| CARRY4 | 34 | CarryLogic | -| LUT2 | 28 | LUT | -| FDRE | 21 | Flop & Latch | -| OBUF | 18 | IO | -| LUT3 | 7 | LUT | -| LUT1 | 4 | LUT | -| BUFG | 2 | Clock | +| LUT6 | 447 | LUT | +| LUT4 | 365 | LUT | +| LUT5 | 358 | LUT | +| LUT3 | 332 | LUT | +| LUT2 | 275 | LUT | +| CARRY4 | 266 | CarryLogic | +| FDRE | 114 | Flop & Latch | +| FDCE | 64 | Flop & Latch | +| LDCE | 23 | Flop & Latch | +| OBUF | 21 | IO | +| MUXF7 | 19 | MuxFx | +| RAMB36E1 | 18 | Block Memory | +| LUT1 | 12 | LUT | +| FDPE | 10 | Flop & Latch | +| RAMB18E1 | 9 | Block Memory | +| BUFG | 3 | Clock | +| IBUF | 2 | IO | +| OBUFT | 1 | IO | +| MUXF8 | 1 | MuxFx | | MMCME2_ADV | 1 | Clock | -| IBUF | 1 | IO | +| FDSE | 1 | Flop & Latch | +------------+------+---------------------+ diff --git a/projet-vga.runs/impl_1/gen_run.xml b/projet-vga.runs/impl_1/gen_run.xml index 6d4e04c..4a8ab30 100644 --- a/projet-vga.runs/impl_1/gen_run.xml +++ b/projet-vga.runs/impl_1/gen_run.xml @@ -1,5 +1,5 @@ - + @@ -71,52 +71,83 @@ - + - + - + + + + + + + + - + - + - + - + + + + + + + + + + + + + + + + + + + - + + + + + + + + - @@ -129,7 +160,7 @@ - + diff --git a/projet-vga.runs/impl_1/init_design.pb b/projet-vga.runs/impl_1/init_design.pb index bc391763710d50decebcdfd39257452ec92fd947..974d02da340858ddfeb455c8b282742012e1a929 100644 GIT binary patch delta 1026 zcma)5&rcIU6lS(%7pH}-f+fKO2az8Zy3nl~3Ky$Jg9H^p0!B?;cBf^+c6QyJMIbRm ze}x8V~*n{s|frFJ5#ONs2KcO=gnGoA2d)-}l~oaqd_D{v9Aa zllUAc%DVtQ2Nu7aZ0CFNp(J*&#eA2N$06oln+H{W#Bx?jPsm1TRI@FQ_bBbWSIU42 zza?FgJ7FxJySNkUti6}sg3WyZr)P21T_v=Fd~Ao*HYr0luFPK_Mt#9BD*J8~v1N=r zlPx35rBsx|He#+gUe>{@*g>A_vk3u5FeP_Mc_R~ZJ*E%Bj``6MLIV~tA~aVbOJTX9 zD>g2hp~EH=n`adTo`K3_PB(5&ZtTQ5HuJe`-dJw(XrNd)61Y?)zz5ykB99|;cNHt_{vXZ=6ws7_r&bL&)S3`EYNxTHc| z;RPzYek5usWXSVzfc@3OAgOu|u42keMqH{zs$+&;$Rz570v*9*WMgUi_Cjf9VK(Z6 ziXar0MEwzVq?E(hzqq23PUi|)!x%m4!b=IB=Z~5*d@ZiZdjM`7GXg;8E%FS%ue5?) zeh*%22>&p?b+p9m=8qWFFY~-}D0^gR} z6S|lb!_c*HBhSC2`oZ>rxJIf^@kO~c{t>{m@bEN$nn=esA>qYjN3=s-M|Wr>a6{k1 zK`Ef-3g({^6Y);~p5+f4}rLG#Cvh^DuccrcI7uvS$(FVltjw zC?LFfJ<~Eq#+J#pY&I-Y8M*3F)$?%#OwQ)yW$c|C!0w7wK9=bp<77KFYnFZt%M>}o z8NW`h{#pGHpZA|&iirhksY$g_ZrWR(C4LOB5rMcKmO)d0{%|ULS zJYPVBu^sFrY_@t$j^p5EnZw8>Hratqbnd{!(=8M)*qH}J?!uH>W0 zB;Cyt{2|D`+T1Fj!8rN0pz!7cf*y=ahG4I9%W!cR8X>&S1@yXwg{hvYrN!h2%p#Ms Lc!VZr3-bd2VRnBv diff --git a/projet-vga.runs/impl_1/opt_design.pb b/projet-vga.runs/impl_1/opt_design.pb index de5babb0b6f268e026684bd3ade1d81cc0ffa212..54696cd7ef18a2ffd9978e9da60a1e030ef23900 100644 GIT binary patch delta 2147 zcmah~U2NM_6yA-KHo0rlj&@BO*7mZ|t$)d4J9grtfx0%^x~W}gGb#;Dsgv9^))G6l zovocFwG$HJ1+jAXh6Eb$fX2&Ecp4Hz+6xj$8$9rU;DMJ(NWAbXp-G5)-6l=i38KVy z>~p{GyWcrJ=e1XVGw$BwI4Qyt9`&{g5+9DmC6YuT;&XpW{&q)wypk7jJSXMJPi+A* z>pAOE1Qr|+WGSq~V(105tZF(sf%s^cS9tPk_W;@G4^_Kb-UO}LxGHBPm23`VT#8KB z^|eIhu&~Xs=v^XrkBpKR+q$cNdLIGq3>3+iaKy()BT8Q3WtoyLal9bzT3P*Qe>dP1 z_&mAa7WDC%STq{pW6D-^EWRt6+-!dbd`JHB-Xag%2gt|1;p&(_1;BmszJI!R6!x6q z1!?xowe-x%3#ZcbcRH=<7TIj+Y83*I8Jwv;?kl)JbXPcc z7E0vZLt{Q6B1*C-@!1{dJ>oa|e;~Gd=IlKHhleagzbUPx>C0%LQYxwD8oG`a=N3-T z``1xw`=ZkmUVjQbUwwGA^=#Fm97v$l3cv)544G|K9- zYHNlb4u@fpip`52Q4C2ug>$dEx8Racgr_uJvkEwe_5!az9ht#`I;xwZ zHi4digOlZQagB+bnNA_2OgF7vb3|Flk>v>jWwfPym8!Kby2WUyX_GJ204`93^V3sL zM{x0xI)sNp@R3HNdLW=OYXOaW#^gr-NFRL%Rkd z8!XPxq!Q`b^V12W+P0}>DmF$&o~8ff{N(JgHG+(a&F-kkHf*)H{ji`~D1)(%D&-u@ zHGPOI^$JE#7QztG5A_)M6%~jsmfb~^{q(k^;oCeQdDTo;k;TY+7q=4TF%jsEH$RK0Qnsyr;0|I zipb^Y2J?owonLes>)3Q^(V=yC1+l)-gAfSKT=R_8Arr(#vN@Qr z2vY@|y=+xV6G-5tJRcQfIofJe7o7@h3Q3VjI6}RpQ^@H_=>gi48Uh1glN{I|;8x%z zq8<*=*1O^9rbjlEw%0ZcB}oj&M6%L8NG1oD$YT#?eXDxguYjF~M>~8z7Izxn5+?|3 zel*KSzzF$p@FPZ#$x_ATyXWj!$bZ~ K5d5E*2mbTZqibGXbFS+pHPz?GzW7M@(Bep@$|#&MaJ44PANZ8fwy*j2;D z?g!qxo(l*bj?OdFyaK2DQ(;xhQFFm|)g4kJK{64!16x8AHhPC(t$Pr@MBZ?uMC|f{ zWA1Q<9^kwy1D6q}lyqAqR=F+E2nIF>6U=~){g!qg9k8gb###6@yag}g9DEcdNQhDY zPIzbIZV0IXDW<^d(3L*UQA01&GNo;4bAecYg%U&(kG7f5$^955SSq|o6`3hM3*XYI z-8t@Oie1{y%DuN^8v1AOHxDC3W@dN;3YpA*Nk~iUAx&xh7Ju53KlPZGmrw2y^Im6@ zp+CQBm9W87@I>AW+R#@hYqQNJ<++LM3H(=59M?*=={H z3PeQ{gE2}(4zEUyiHW=@ZhX)Og9a0fF}~@O7^5MYNR&jwlZkg`mlir(SmVpi+`Hd7 z-}&x2bI$$tW$L@PsSj_|g=C)Rc%;DPx|RzeE-=fC0}2gAkcf0q(UA;G%<%xvOEB87 zWd*#{pMs9MZ&uc2W6&c%2j-b+=wT+pmZk`j#A}|tBu|WgV?~}T zcXE5IbS?T7edc$H*>?y#Y;Tb@^UGO_&E*|7joJL7W1p~3V~Z?IW4VY~t6)Ek3qGKk z&F8SiM1!3Hgw$ksap6?)RL)rtMwr%_L!$@w4`l74GmSIFS?$;?e=Hj6ylbCX{lm@K zncYlF+2{m5F;~FzbK;ymCq*Nj)wYF@g)_!-))~C>qEScdL?J~2S=g``JQ+MsZHUrL z?HcF)zewfStguF;r_tN5t`Sw&=xx`kaf;y%<=Gr|ifksooU_Ppfi(-ZW1B`cW#Vl1 zPD-~Kj-AhOZpLUuTTR%}AUQcjE6q12>GV^~IB{nhIm@;T2eYmN*K>j0H#5bO#E_hl zVyIM!=dr?T=U8WfR0}TJ^JSKRph|bqxCxSE1v)mJg{xExG;RLz_yQ@y>U1;H2WzKu zi%^vGHDrpamO3__qzs$czYfdZ>Cwmk8;zjfRqI~S$%5k1ly(nA=fmN#iFS>sT9A<{# z{cU2%Ffz#0RCrOFq+~FXt(3nhobQQ8kZSO0OT=mTyk}xPWGTxgsoJ2VcYG2?nyD#< zD!`SVNfMXK?(eDfVhpZ7ayEkWjEoIk7rhA9Lr*1=u($VO1f?@+&Cq#tKQ!gQ!}Wb| zxvxFc%QTN0MF*%IV)Ri)m|8GMvl2O(CA%;rbcK1%)Op2JJ%6eftL$r^liL%(#@pap z-xRH|@O57o{E%#npp2Y0kR%(Pv9^x!?N|a%C)z-ck5ei<8)qZPG-OdwGG!5EJHfK2ixFJ}ALK5qyYaPq7{D8>muJt`fFwUSmN1#`ZU~AZrGP0`a zu8PNKgghC(85S60IQTNz`(SiwsegJQjGGPCg&idh~T9C)IL3&jBpZ;i+eIEziEkk8pm%NV{N Z`J2A?@>IGxdX8Gt4{wh4!IiP5e*tj)>P-Lu delta 1755 zcmb_dT}TvB6mDj4cjva6kUwczW0q^?%+B09zhRocrKT)y|5!QB%(gxjz#Ky@AbD$jvSVYSnl_w8y^JVgXz*`HV|)EPlz3jH|L3@{ zi~Y<*Q5ZPX#!9ASNvdU7vjMQ08Gu{+*oO^bXsT$#_jT`m~Hxt}IEXl>4!ANZIjAIM=Ii(Dd&6c5t`ooVQ*y>4i^QZ@{mLl)Fj~#J7#iuD|D2_sVuZMPV4NoMyVw zZWsy>7Gomok%+Crqd*(n%q^rAR|O9}RaGn&iEe6G#>?P7w8FtRaT6Ss@_deHnvP>i zGcHocGvzH<;t)EFZSY1cg(J9?Qehl(EVc>JbvgBQ$%@C+p&f9) zc?&B=a38UxzEuBDmsy4iTxfYpD;hLxu7-GVaq26A(bnV==Apdpj{CAbM!|%q08TXK zQVKlVH$OQ34GRCh#j}I@0#Ejb^M)yOx@lMNV8R(p1Y4l2Q(=ZqnQ)@>=S-VkID*PU QmG0fHQ`DkIQ65tM0^um?od5s; diff --git a/projet-vga.runs/impl_1/project.wdf b/projet-vga.runs/impl_1/project.wdf index 20c99f7..b3acc94 100644 --- a/projet-vga.runs/impl_1/project.wdf +++ b/projet-vga.runs/impl_1/project.wdf @@ -1,5 +1,5 @@ version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:38:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3133:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 @@ -29,4 +29,4 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:32:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:32:00:00 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3535383763343761323538363466333061393431643931396134353838663432:506172656e742050412070726f6a656374204944:00 -eof:1227587853 +eof:2824691014 diff --git a/projet-vga.runs/impl_1/route_design.pb b/projet-vga.runs/impl_1/route_design.pb index c045f4e6a3f9b3004d06d94a3578f6ad0ddabb0b..9b077424d91f0635023a37e567d6ed3c0c3b9c52 100644 GIT binary patch delta 3361 zcmb_eO>7%Q6lVSJZQ`V{n>hVRCrzTHX|mp#{Wpr#G-=bQO$bRVN?VB5_BdYE_B!4* zxS|kM0u?w=s;Pto2aw>ziOLc}LPBsV#}+ssAw&@pw^E@ZP6#o(wwtz!T#@8p$uslz zd+&YozW3%k`^VRr&q_Y;6w49IHyDNj79q-{Rr1aL9_A|1yL!APdyd@p9qpEQp5vhm zo(3zrQ3Ml!E_t5lJ$>Ya|1K%{!elbAZ?h0x!8PwZtC8!$#AYF?p{jR=MdW^HWU~;r z!nVuB3`@CynxyktDfJi^tML|GoZ-g>PJ#ls1WwH_O^i#FBn@2x&mE@=$jO52oHz>! zatabJvDaXfEObP@v#dyJJ4VA0=~zjtyz+nC_K{oRLGpQ9HI$N3M$ak+!a1MXx_6&>!5c#p8-WN+7C5Gz>1DkQhNXSPDn-(9bH zPqGSmIm&Glq^tTDWBfM3)uwlyXCl*VVj-tn7|1U9lAnvqBXCa4~IGiu4~<;gAJNM$rOxPPc(x#voeS-N#M1oaiKEMOl~{acZZeZ8W6L@x zys&I63p`59@@#nN`T41b4wuV#rEUO4IWav;|8H!gIUq<-9<^Cp_u?8zs=ASvbM-?_ zat~Vu+knv$vyg3_mSefLEF;l1-6$^?s}VD5nV0P5zJX1H8(v7vN^F?p+}jou6%i8(nmh^+|5_z8}iMOg5X5ooV5A4FiZ=>IaRn4j8x*k3v5s;fQX9%W8xW*%0X6I7%6=5uraX$k+5!v5`@iyN0_l%N zy}MY3JUbjEonjCDohLsr{p9XggnWPCO&`5liA)aAjFJ+4d_>9N5r44p25>%R_prgn z3&44Y+!>5A*U2vfpAvSs-NQrrX?F-dWKWhyIC6jR`jf3{SB9=K9_szZV2r#w;CtAs zgTmY+?~E)(-eTCwR5Eee!euLg$-NP&4d-#%E}KPTwSvj51gOm%Z1*rfkkf~h$lD(F z;uFkCb}SO!hnX|Ub6G02mOzfG|$Un`D zNh(Du3)WD$EKpSmA{JCt5l9wggIKUA8=wfO5^O4U0}^6^5DS)!$4NiJq`15Hx#yhw z?mc(rr#HDD-OpMIj;gcbnWK_ix)6g8+G^!0Wj}!%q zGTfP*gfmkozz*Hu7lo5BH}q6re2Ot0E2?r2uWMLVHJBQifFt1x{Bwc^?}dvGfyEqKO-ZNto_Gdr$c&QwU~E zzF%4I*1^yFc&t>AWGv|v?Vz>iSLX>PgdlJ8;WroU@qDvCoiH*bmQhaOpa#*oUlW7&$MW?;aq+nq?W4HR0@%@E?Jsv^*Y{7WM-Hi$O-u)vyXrh#{PmL( z*C4l!<-!9=O;2VA0=$bZh4r#&=z96#Z=q8+`UF$VRQ0~epae^*?oYC75@JFONx!wyup+=VYW;S#rY&O}zVVbqXYtydeHsL`%(n6`rq z+e90NV^&bJfm|=jZnj<1MyBHh8m@P~2QWPFd8g`Nw9>SZfy&K#t7f_;G8z?Rl*?_~ zi{1}*s+*0bWt~K=+S8`Tj_h^=*=DP0yJvfRXPtJvZrJCsFcM1hR8;dJU-_jWNiImD zdhW4SXgdV&XT`{11v5^(v**AAD!QKgic_IDv)Eam8RLSaL`7)j)80btE|SxfDLz~b zS4_)j*WA+nLOdpf>0VD4(+RkpALTB>ANi}WGdmG`Lhxpx2Ze8DZ*@K|yv^}MW?j;- zf1^|H?=Th>$Aysh4V4)Di$vHb>4n12vqkO~__(+le}fZhr%J^a9Itc5W%y2!`PVlM zh^wemC5Hms*RUn3@xM8t)jtZ3OQW&>zKeIRNH+uAZ?LVb#4iSfoxUvdvHs^JxFRJw bzp6I_@I~&`fTjSMS@>vuj_)L&S>pczVRQic diff --git a/projet-vga.runs/impl_1/rundef.js b/projet-vga.runs/impl_1/rundef.js index e6878e5..b2810cb 100644 --- a/projet-vga.runs/impl_1/rundef.js +++ b/projet-vga.runs/impl_1/rundef.js @@ -23,7 +23,7 @@ eval( EAInclude(ISEJScriptLib) ); // pre-commands: -ISETouchFile( "write_bitstream", "begin" ); +ISETouchFile( "init_design", "begin" ); ISEStep( "vivado", "-log VGA_top.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace" ); diff --git a/projet-vga.runs/impl_1/runme.log b/projet-vga.runs/impl_1/runme.log index 1d1bb5f..94fb40f 100644 --- a/projet-vga.runs/impl_1/runme.log +++ b/projet-vga.runs/impl_1/runme.log @@ -12,29 +12,32 @@ source VGA_top.tcl -notrace Command: link_design -top VGA_top -part xc7z010clg400-1 Design is defaulting to srcset: sources_1 Design is defaulting to constrset: constrs_1 -INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.dcp' for cell 'U0' -INFO: [Netlist 29-17] Analyzing 35 Unisim elements for replacement +INFO: [Project 1-454] Reading design checkpoint 'c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0.dcp' for cell 'U0' +INFO: [Netlist 29-17] Analyzing 314 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2018.3 INFO: [Device 21-403] Loading part xc7z010clg400-1 INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0_board.xdc] for cell 'U0/inst' -Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc:57] -get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1248.586 ; gain = 558.375 -Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.srcs/sources_1/ip/clk_wiz_0_1/clk_wiz_0.xdc] for cell 'U0/inst' -Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] -Finished Parsing XDC File [C:/Users/e209098F/Downloads/VGA Game 2017 V1-20211109/ZYBO_Master.xdc] +WARNING: [Opt 31-35] Removing redundant IBUF, U0/inst/clkin1_ibufg, from the path connected to top-level port: H125MHz +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Constraints 18-550] Could not create 'IBUF_LOW_PWR' constraint because net 'U0/clk_in1' is not directly connected to top level port. Synthesis is ignored for IBUF_LOW_PWR but preserved for implementation. +Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0_board.xdc] for cell 'U0/inst' +Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0_board.xdc] for cell 'U0/inst' +Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0.xdc] for cell 'U0/inst' +INFO: [Timing 38-35] Done setting XDC timing constraints. [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0.xdc:57] +INFO: [Timing 38-2] Deriving generated clocks [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0.xdc:57] +get_clocks: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1251.785 ; gain = 552.953 +Finished Parsing XDC File [c:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.srcs/sources_1/ip/clk_wiz_0_2/clk_wiz_0.xdc] for cell 'U0/inst' +Parsing XDC File [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc] +Finished Parsing XDC File [C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/sources_snake/ZYBO_Master.xdc] INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1248.586 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1251.785 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +10 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. link_design completed successfully -link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:16 . Memory (MB): peak = 1248.586 ; gain = 885.598 +link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:25 . Memory (MB): peak = 1251.785 ; gain = 888.395 Command: opt_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' @@ -45,57 +48,58 @@ INFO: [DRC 23-27] Running DRC with 2 threads INFO: [Project 1-461] DRC finished with 0 Errors INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.499 . Memory (MB): peak = 1248.586 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.501 . Memory (MB): peak = 1251.785 ; gain = 0.000 Starting Cache Timing Information Task INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks -Ending Cache Timing Information Task | Checksum: 20ae1d4cd +Ending Cache Timing Information Task | Checksum: 19f3e8d5f -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1265.152 ; gain = 16.566 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.092 . Memory (MB): peak = 1265.977 ; gain = 14.191 Starting Logic Optimization Task Phase 1 Retarget INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: ddde5939 +Phase 1 Retarget | Checksum: c8a6b5ae -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.020 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 4 cells and removed 4 cells +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.096 . Memory (MB): peak = 1346.285 ; gain = 0.000 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. Phase 2 Constant propagation INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: ddde5939 +Phase 2 Constant propagation | Checksum: 1409f9166 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.123 . Memory (MB): peak = 1346.285 ; gain = 0.000 INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells Phase 3 Sweep -Phase 3 Sweep | Checksum: fec5e707 +Phase 3 Sweep | Checksum: 1b7440179 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.028 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.178 . Memory (MB): peak = 1346.285 ; gain = 0.000 INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells +INFO: [Opt 31-1021] In phase Sweep, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. Phase 4 BUFG optimization INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG -INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets -Phase 4 BUFG optimization | Checksum: 137e6b9d1 +INFO: [Opt 31-194] Inserted BUFG H125MHz_IBUF_BUFG_inst to drive 182 load(s) on clock net H125MHz_IBUF_BUFG +INFO: [Opt 31-193] Inserted 2 BUFG(s) on clock nets +Phase 4 BUFG optimization | Checksum: cecab300 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.032 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.259 . Memory (MB): peak = 1346.285 ; gain = 0.000 +INFO: [Opt 31-662] Phase BUFG optimization created 1 cells of which 1 are BUFGs and removed 0 cells. Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 12c29fba6 +Phase 5 Shift Register Optimization | Checksum: 193828ea0 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.412 . Memory (MB): peak = 1346.285 ; gain = 0.000 INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 10c49128f +Phase 6 Post Processing Netlist | Checksum: 16ceef5f4 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.055 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.421 . Memory (MB): peak = 1346.285 ; gain = 0.000 INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells Opt_design Change Summary ========================= @@ -104,10 +108,10 @@ Opt_design Change Summary ------------------------------------------------------------------------------------------------------------------------- | Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | ------------------------------------------------------------------------------------------------------------------------- -| Retarget | 4 | 4 | 1 | +| Retarget | 0 | 0 | 1 | | Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | +| Sweep | 0 | 0 | 1 | +| BUFG optimization | 1 | 0 | 0 | | Shift Register Optimization | 0 | 0 | 0 | | Post Processing Netlist | 0 | 0 | 0 | ------------------------------------------------------------------------------------------------------------------------- @@ -116,44 +120,70 @@ Opt_design Change Summary Starting Connectivity Check Task -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: e54fefee +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.004 . Memory (MB): peak = 1346.285 ; gain = 0.000 +Ending Logic Optimization Task | Checksum: 20356351c -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.057 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.429 . Memory (MB): peak = 1346.285 ; gain = 0.000 Starting Power Optimization Task INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: e54fefee +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-4.133 | TNS=-46.099 | +Running Vector-less Activity Propagation... -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Finished Running Vector-less Activity Propagation +INFO: [Pwropt 34-9] Applying IDT optimizations ... +INFO: [Pwropt 34-10] Applying ODC optimizations ... + + +Starting PowerOpt Patch Enables Task +INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 27 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated. +INFO: [Pwropt 34-201] Structural ODC has moved 16 WE to EN ports +Number of BRAM Ports augmented: 0 newly gated: 25 Total Ports: 54 +Number of Flops added for Enable Generation: 2 + +Ending PowerOpt Patch Enables Task | Checksum: 215f1437d + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.085 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Ending Power Optimization Task | Checksum: 215f1437d + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1500.016 ; gain = 153.730 Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: e54fefee -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Starting Logic Optimization Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Opt 31-194] Inserted BUFG U0/inst/clk_out1_clk_wiz_1_BUFG_inst to drive 0 load(s) on clock net U0/inst/clk_out1_clk_wiz_1_BUFG +INFO: [Opt 31-193] Inserted 1 BUFG(s) on clock nets +Ending Logic Optimization Task | Checksum: 2182f781c + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.228 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Ending Final Cleanup Task | Checksum: 2182f781c + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.967 . Memory (MB): peak = 1500.016 ; gain = 0.000 Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: e54fefee +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Ending Netlist Obfuscation Task | Checksum: 2182f781c -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +40 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. opt_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 INFO: [Timing 38-480] Writing timing data to binary archive. Writing placer database... Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.035 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1500.016 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top_opt.dcp' has been generated. INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx Command: report_drc -file VGA_top_drc_opted.rpt -pb VGA_top_drc_opted.pb -rpx VGA_top_drc_opted.rpx INFO: [IP_Flow 19-1839] IP Catalog is up to date. INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. +INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top_drc_opted.rpt. report_drc completed successfully Command: place_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' @@ -172,48 +202,56 @@ INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of Phase 1 Placer Initialization Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 4ed236ad +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 131936915 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.004 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1a1c16c9c +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: d8624408 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.262 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.459 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 2939760d0 +Phase 1.3 Build Placer Netlist Model | Checksum: 1315496dd -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.351 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.837 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 2939760d0 +Phase 1.4 Constrain Clocks/Macros | Checksum: 1315496dd -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.353 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 2939760d0 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.840 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 1 Placer Initialization | Checksum: 1315496dd -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.353 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.843 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 2 Global Placement Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 28231f14d +Phase 2.1 Floorplanning | Checksum: 1a8bfe1e0 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.397 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.991 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 2.2 Physical Synthesis In Placer INFO: [Physopt 32-65] No nets found for high-fanout optimization. INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. -INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. +INFO: [Physopt 32-117] Net SNAKE/listRefs[8][0] could not be optimized because driver SNAKE/mem_reg_3_i_4 could not be replicated +INFO: [Physopt 32-117] Net SNAKE/listRefs[6][2] could not be optimized because driver SNAKE/mem_reg_1_i_4 could not be replicated +INFO: [Physopt 32-68] No nets found for critical-cell optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-456] No candidate cells for DSP register optimization found in the design. +INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-677] No candidate cells for Shift Register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-526] No candidate cells for BRAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell INFO: [Physopt 32-949] No candidate nets found for HD net replication INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1500.016 ; gain = 0.000 Summary of Physical Synthesis Optimizations ============================================ @@ -223,60 +261,71 @@ Summary of Physical Synthesis Optimizations | Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ---------------------------------------------------------------------------------------------------------------------------------------- | Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Critical Cell | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | HD Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 0 | 0 | 0 | 0 | 2 | 00:00:00 | +| Total | 0 | 0 | 0 | 0 | 6 | 00:00:00 | ---------------------------------------------------------------------------------------------------------------------------------------- -Phase 2.2 Physical Synthesis In Placer | Checksum: 22348ffd6 +Phase 2.2 Physical Synthesis In Placer | Checksum: aaf1c87e -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 2038a7242 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 2 Global Placement | Checksum: 17a0bd3eb -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 3 Detail Placement Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 2038a7242 +Phase 3.1 Commit Multi Column Macros | Checksum: 17a0bd3eb -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2c58c3354 +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 18c86a722 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 279aeb7b4 +Phase 3.3 Area Swap Optimization | Checksum: 19f5ea993 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 279aeb7b4 +Phase 3.4 Pipeline Register Optimization | Checksum: 1bfb8a901 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1500.016 ; gain = 0.000 -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 1e0aaeea1 +Phase 3.5 Fast Optimization +Phase 3.5 Fast Optimization | Checksum: 108c906c7 -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 1500.016 ; gain = 0.000 -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 2d338840d +Phase 3.6 Small Shape Detail Placement +Phase 3.6 Small Shape Detail Placement | Checksum: 1f5ba145a -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 1500.016 ; gain = 0.000 -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 2d338840d +Phase 3.7 Re-assign LUT pins +Phase 3.7 Re-assign LUT pins | Checksum: 1ca5326f1 -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 2d338840d +Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 1500.016 ; gain = 0.000 -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Phase 3.8 Pipeline Register Optimization +Phase 3.8 Pipeline Register Optimization | Checksum: 1aa2d2687 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 1500.016 ; gain = 0.000 + +Phase 3.9 Fast Optimization +Phase 3.9 Fast Optimization | Checksum: a4f5789a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 3 Detail Placement | Checksum: a4f5789a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 4 Post Placement Optimization and Clean-Up @@ -284,59 +333,60 @@ Phase 4.1 Post Commit Optimization INFO: [Timing 38-35] Done setting XDC timing constraints. Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 15c68dcd4 +Post Placement Optimization Initialization | Checksum: 100368e26 Phase 4.1.1.1 BUFG Insertion INFO: [Place 46-46] BUFG insertion identified 0 candidate nets, 0 success, 0 bufg driver replicated, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason -Phase 4.1.1.1 BUFG Insertion | Checksum: 15c68dcd4 +Phase 4.1.1.1 BUFG Insertion | Checksum: 100368e26 -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Place 30-746] Post Placement Timing Summary WNS=35.245. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 142e419cd +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 +INFO: [Place 30-746] Post Placement Timing Summary WNS=-3.374. For the most accurate timing information please run report_timing. +Phase 4.1.1 Post Placement Optimization | Checksum: be8bba9e -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: 142e419cd +Time (s): cpu = 00:00:18 ; elapsed = 00:00:16 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 4.1 Post Commit Optimization | Checksum: be8bba9e -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:18 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 142e419cd +Phase 4.2 Post Placement Cleanup | Checksum: be8bba9e -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:18 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 142e419cd +Phase 4.3 Placer Reporting | Checksum: be8bba9e -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:18 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 20695260e +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 4.4 Final Placement Cleanup | Checksum: 540ff3bc -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 20695260e +Time (s): cpu = 00:00:18 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 540ff3bc -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Ending Placer Task | Checksum: 1f2b3c1b8 +Time (s): cpu = 00:00:18 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Ending Placer Task | Checksum: 531de2ac -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1351.098 ; gain = 0.000 +Time (s): cpu = 00:00:18 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +75 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 +place_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:17 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 INFO: [Timing 38-480] Writing timing data to binary archive. Writing placer database... +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1500.016 ; gain = 0.000 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.050 . Memory (MB): peak = 1351.098 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1351.098 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. +Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.200 . Memory (MB): peak = 1500.016 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top_placed.dcp' has been generated. INFO: [runtcl-4] Executing : report_io -file VGA_top_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1351.098 ; gain = 0.000 +report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.040 . Memory (MB): peak = 1500.016 ; gain = 0.000 INFO: [runtcl-4] Executing : report_utilization -file VGA_top_utilization_placed.rpt -pb VGA_top_utilization_placed.pb INFO: [runtcl-4] Executing : report_control_sets -verbose -file VGA_top_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1351.098 ; gain = 0.000 +report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1500.016 ; gain = 0.000 Command: route_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' @@ -348,98 +398,150 @@ INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more in Starting Routing Task INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: f9e7c0c6 ConstDB: 0 ShapeSum: f8cc00f2 RouteDB: 0 +Checksum: PlaceDB: 3ad47cdf ConstDB: 0 ShapeSum: 184965cd RouteDB: 0 Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 9a64d846 +Phase 1 Build RT Design | Checksum: 13e412dc8 -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1417.348 ; gain = 66.250 -Post Restoration Checksum: NetGraph: 7c5b36de NumContArr: 1e09a168 Constraints: 0 Timing: 0 +Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 +Post Restoration Checksum: NetGraph: 58741a68 NumContArr: e5cd1360 Constraints: 0 Timing: 0 Phase 2 Router Initialization Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: 9a64d846 +Phase 2.1 Create Timer | Checksum: 13e412dc8 -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1449.676 ; gain = 98.578 +Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: 9a64d846 +Phase 2.2 Fix Topology Constraints | Checksum: 13e412dc8 -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1455.699 ; gain = 104.602 +Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: 9a64d846 +Phase 2.3 Pre Route Cleanup | Checksum: 13e412dc8 -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1455.699 ; gain = 104.602 +Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1500.016 ; gain = 0.000 Number of Nodes with overlaps = 0 Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 82bae049 +Phase 2.4 Update Timing | Checksum: 1195a0f5b -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1460.445 ; gain = 109.348 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=35.391 | TNS=0.000 | WHS=-0.239 | THS=-2.915 | +Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1500.016 ; gain = 0.000 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-3.513 | TNS=-50.092| WHS=-1.636 | THS=-51.724| -Phase 2 Router Initialization | Checksum: cf693307 +Phase 2 Router Initialization | Checksum: 12ae7c807 -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1460.445 ; gain = 109.348 +Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1500.016 ; gain = 0.000 Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 16fee48da +Phase 3 Initial Routing | Checksum: 1b62d99da -Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 +Time (s): cpu = 00:00:24 ; elapsed = 00:00:17 . Memory (MB): peak = 1546.250 ; gain = 46.234 Phase 4 Rip-up And Reroute Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 36 + Number of Nodes with overlaps = 954 + Number of Nodes with overlaps = 235 + Number of Nodes with overlaps = 66 + Number of Nodes with overlaps = 42 + Number of Nodes with overlaps = 19 + Number of Nodes with overlaps = 16 + Number of Nodes with overlaps = 15 + Number of Nodes with overlaps = 7 + Number of Nodes with overlaps = 1 Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=33.088 | TNS=0.000 | WHS=N/A | THS=N/A | +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-5.639 | TNS=-90.744| WHS=N/A | THS=N/A | -Phase 4.1 Global Iteration 0 | Checksum: 1c93f85f6 +Phase 4.1 Global Iteration 0 | Checksum: 1a754acba -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 -Phase 4 Rip-up And Reroute | Checksum: 1c93f85f6 +Time (s): cpu = 00:01:24 ; elapsed = 00:01:06 . Memory (MB): peak = 1596.598 ; gain = 96.582 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 +Phase 4.2 Global Iteration 1 + Number of Nodes with overlaps = 146 + Number of Nodes with overlaps = 24 + Number of Nodes with overlaps = 9 + Number of Nodes with overlaps = 8 + Number of Nodes with overlaps = 8 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 7 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 7 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 6 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-5.630 | TNS=-88.178| WHS=N/A | THS=N/A | + +Phase 4.2 Global Iteration 1 | Checksum: 13f25b21c + +Time (s): cpu = 00:02:20 ; elapsed = 00:01:46 . Memory (MB): peak = 1630.195 ; gain = 130.180 +Phase 4 Rip-up And Reroute | Checksum: 13f25b21c + +Time (s): cpu = 00:02:20 ; elapsed = 00:01:46 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 5 Delay and Skew Optimization Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 1c93f85f6 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 +Phase 5.1.1 Update Timing +Phase 5.1.1 Update Timing | Checksum: 21c9bd585 + +Time (s): cpu = 00:02:20 ; elapsed = 00:01:46 . Memory (MB): peak = 1630.195 ; gain = 130.180 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-5.617 | TNS=-86.848| WHS=N/A | THS=N/A | + + Number of Nodes with overlaps = 0 +Phase 5.1 Delay CleanUp | Checksum: e7e5e811 + +Time (s): cpu = 00:02:20 ; elapsed = 00:01:46 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 1c93f85f6 +Phase 5.2 Clock Skew Optimization | Checksum: e7e5e811 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 -Phase 5 Delay and Skew Optimization | Checksum: 1c93f85f6 +Time (s): cpu = 00:02:20 ; elapsed = 00:01:46 . Memory (MB): peak = 1630.195 ; gain = 130.180 +Phase 5 Delay and Skew Optimization | Checksum: e7e5e811 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 +Time (s): cpu = 00:02:20 ; elapsed = 00:01:46 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 6 Post Hold Fix Phase 6.1 Hold Fix Iter Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 144941f51 +Phase 6.1.1 Update Timing | Checksum: ef9abc12 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=33.241 | TNS=0.000 | WHS=0.083 | THS=0.000 | +Time (s): cpu = 00:02:21 ; elapsed = 00:01:47 . Memory (MB): peak = 1630.195 ; gain = 130.180 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-5.617 | TNS=-86.439| WHS=-0.443 | THS=-0.849 | -Phase 6.1 Hold Fix Iter | Checksum: 144941f51 +Phase 6.1 Hold Fix Iter | Checksum: 151f6c881 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 -Phase 6 Post Hold Fix | Checksum: 144941f51 +Time (s): cpu = 00:02:22 ; elapsed = 00:01:48 . Memory (MB): peak = 1630.195 ; gain = 130.180 +WARNING: [Route 35-468] The router encountered 388 pins that are both setup-critical and hold-critical and tried to fix hold violations at the expense of setup slack. Such pins are: + RAMCTRL/SNAKE_RAM/ROMAddress[3]_i_302/I0 + SYNC/ROMAddress_reg[3]_i_146/DI[3] + SYNC/ROMAddress_reg[9]_i_237/DI[3] + SYNC/ROMAddress[9]_i_588/I0 + SYNC/ROMAddress[9]_i_595/I0 + RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_589/I1 + RAMCTRL/SNAKE_RAM/ROMAddress[9]_i_624/I1 + SYNC/ROMAddress_reg[9]_i_237/DI[2] + SYNC/ROMAddress_reg[9]_i_266/DI[2] + SYNC/ROMAddress[3]_i_103/I5 + .. and 378 more pins. -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 +Phase 6 Post Hold Fix | Checksum: 197295544 + +Time (s): cpu = 00:02:22 ; elapsed = 00:01:48 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 7 Route finalize Router Utilization Summary - Global Vertical Routing Utilization = 0.0881194 % - Global Horizontal Routing Utilization = 0.100414 % + Global Vertical Routing Utilization = 2.83094 % + Global Horizontal Routing Utilization = 3.41935 % Routable Net Status* *Does not include unroutable nets such as driverless and loadless. Run report_route_status for detailed report. @@ -448,58 +550,90 @@ Router Utilization Summary Number of Partially Routed Nets = 0 Number of Node Overlaps = 0 -Phase 7 Route finalize | Checksum: 19cea99c1 +Congestion Report +North Dir 1x1 Area, Max Cong = 54.0541%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 79.2793%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 60.2941%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 75%, No Congested Regions. -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1460.445 ; gain = 109.348 +------------------------------ +Reporting congestion hotspots +------------------------------ +Direction: North +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: South +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: East +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: West +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 + +Phase 7 Route finalize | Checksum: 1f1dffd6a + +Time (s): cpu = 00:02:22 ; elapsed = 00:01:48 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 8 Verifying routed nets Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 19cea99c1 +Phase 8 Verifying routed nets | Checksum: 1f1dffd6a -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1461.477 ; gain = 110.379 +Time (s): cpu = 00:02:22 ; elapsed = 00:01:48 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 17f26a4e0 +Phase 9 Depositing Routes | Checksum: 238ddaa41 -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1461.477 ; gain = 110.379 +Time (s): cpu = 00:02:23 ; elapsed = 00:01:49 . Memory (MB): peak = 1630.195 ; gain = 130.180 Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=33.241 | TNS=0.000 | WHS=0.083 | THS=0.000 | -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 17f26a4e0 +Phase 10.1 Update Timing +Phase 10.1 Update Timing | Checksum: 1f42f7dac -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1461.477 ; gain = 110.379 +Time (s): cpu = 00:02:23 ; elapsed = 00:01:49 . Memory (MB): peak = 1630.195 ; gain = 130.180 +INFO: [Route 35-57] Estimated Timing Summary | WNS=-5.617 | TNS=-86.439| WHS=-0.027 | THS=-0.027 | + +WARNING: [Route 35-328] Router estimated timing not met. +Resolution: For a complete and accurate timing signoff, report_timing_summary must be run after route_design. Alternatively, route_design can be run with the -timing_summary option to enable a complete timing signoff at the end of route_design. +Phase 10 Post Router Timing | Checksum: 1f42f7dac + +Time (s): cpu = 00:02:23 ; elapsed = 00:01:49 . Memory (MB): peak = 1630.195 ; gain = 130.180 INFO: [Route 35-16] Router Completed Successfully -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1461.477 ; gain = 110.379 +Time (s): cpu = 00:02:23 ; elapsed = 00:01:49 . Memory (MB): peak = 1630.195 ; gain = 130.180 Routing Is Done. INFO: [Common 17-83] Releasing license: Implementation -74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +93 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. route_design completed successfully -route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:22 . Memory (MB): peak = 1461.477 ; gain = 110.379 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1461.477 ; gain = 0.000 +route_design: Time (s): cpu = 00:02:25 ; elapsed = 00:01:52 . Memory (MB): peak = 1630.195 ; gain = 130.180 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1630.195 ; gain = 0.000 INFO: [Timing 38-480] Writing timing data to binary archive. Writing placer database... +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1630.195 ; gain = 0.000 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1461.910 ; gain = 0.434 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1461.910 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.257 . Memory (MB): peak = 1630.195 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top_routed.dcp' has been generated. INFO: [runtcl-4] Executing : report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx Command: report_drc -file VGA_top_drc_routed.rpt -pb VGA_top_drc_routed.pb -rpx VGA_top_drc_routed.rpx INFO: [IP_Flow 19-1839] IP Catalog is up to date. INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. +INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top_drc_routed.rpt. report_drc completed successfully INFO: [runtcl-4] Executing : report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx Command: report_methodology -file VGA_top_methodology_drc_routed.rpt -pb VGA_top_methodology_drc_routed.pb -rpx VGA_top_methodology_drc_routed.rpx INFO: [Timing 38-35] Done setting XDC timing constraints. INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/E209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. +INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1/VGA_top_methodology_drc_routed.rpt. report_methodology completed successfully INFO: [runtcl-4] Executing : report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx Command: report_power -file VGA_top_power_routed.rpt -pb VGA_top_power_summary_routed.pb -rpx VGA_top_power_routed.rpx @@ -507,66 +641,33 @@ INFO: [Timing 38-35] Done setting XDC timing constraints. Running Vector-less Activity Propagation... Finished Running Vector-less Activity Propagation -86 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +105 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. report_power completed successfully INFO: [runtcl-4] Executing : report_route_status -file VGA_top_route_status.rpt -pb VGA_top_route_status.pb INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file VGA_top_timing_summary_routed.rpt -pb VGA_top_timing_summary_routed.pb -rpx VGA_top_timing_summary_routed.rpx -warn_on_violation INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs +CRITICAL WARNING: [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations. INFO: [runtcl-4] Executing : report_incremental_reuse -file VGA_top_incremental_reuse_routed.rpt INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. INFO: [runtcl-4] Executing : report_clock_utilization -file VGA_top_clock_utilization_routed.rpt INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file VGA_top_bus_skew_routed.rpt -pb VGA_top_bus_skew_routed.pb -rpx VGA_top_bus_skew_routed.rpx INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Tue Dec 7 12:43:48 2021... - -*** Running vivado - with args -log VGA_top.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace - - -****** Vivado v2018.3 (64-bit) - **** SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 - **** IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 - ** Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. - -source VGA_top.tcl -notrace -Command: open_checkpoint VGA_top_routed.dcp - -Starting open_checkpoint Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.024 . Memory (MB): peak = 250.652 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 35 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Timing 38-478] Restoring timing data from binary archive. -INFO: [Timing 38-479] Binary timing data restore complete. -INFO: [Project 1-856] Restoring constraints from binary archive. -INFO: [Project 1-853] Binary constraint restore complete. -Reading XDEF placement. -Reading placer database... -Reading XDEF routing. -Read XDEF File: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.095 . Memory (MB): peak = 1208.145 ; gain = 0.000 -Restored from archive | CPU: 0.000000 secs | Memory: 0.000000 MB | -Finished XDEF File Restore: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.095 . Memory (MB): peak = 1208.145 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1208.145 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -INFO: [Project 1-604] Checkpoint was created with Vivado v2018.3 (64-bit) build 2405991 -open_checkpoint: Time (s): cpu = 00:00:12 ; elapsed = 00:00:17 . Memory (MB): peak = 1208.145 ; gain = 957.492 Command: write_bitstream -force VGA_top.bit Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' Running DRC as a precondition to command write_bitstream -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2018.3/data/ip'. +INFO: [IP_Flow 19-1839] IP Catalog is up to date. INFO: [DRC 23-27] Running DRC with 2 threads +WARNING: [DRC PDRC-153] Gated clock check: Net UPD/dataOut_reg[18]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[18]_LDC_i_1/O, cell UPD/dataOut_reg[18]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net UPD/dataOut_reg[19]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[19]_LDC_i_1/O, cell UPD/dataOut_reg[19]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net UPD/dataOut_reg[1]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[1]_LDC_i_1/O, cell UPD/dataOut_reg[1]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net UPD/dataOut_reg[20]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[20]_LDC_i_1/O, cell UPD/dataOut_reg[20]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net UPD/dataOut_reg[21]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[21]_LDC_i_1/O, cell UPD/dataOut_reg[21]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net UPD/dataOut_reg[4]_LDC_i_1_n_0 is a gated clock net sourced by a combinational pin UPD/dataOut_reg[4]_LDC_i_1/O, cell UPD/dataOut_reg[4]_LDC_i_1. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 7 Warnings INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. INFO: [Designutils 20-2272] Running write_bitstream with 2 threads. Loading data files... @@ -577,9 +678,9 @@ Creating bitmap... Creating bitstream... Writing bitstream ./VGA_top.bit... INFO: [Vivado 12-1842] Bitgen Completed Successfully. -INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. +INFO: [Project 1-118] WebTalk data collection is enabled (User setting is ON. Install Setting is ON.). INFO: [Common 17-83] Releasing license: Implementation -22 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +124 Infos, 11 Warnings, 1 Critical Warnings and 0 Errors encountered. write_bitstream completed successfully -write_bitstream: Time (s): cpu = 00:00:10 ; elapsed = 00:00:28 . Memory (MB): peak = 1679.344 ; gain = 471.199 -INFO: [Common 17-206] Exiting Vivado at Tue Dec 7 12:44:53 2021... +write_bitstream: Time (s): cpu = 00:00:12 ; elapsed = 00:00:11 . Memory (MB): peak = 1942.887 ; gain = 312.691 +INFO: [Common 17-206] Exiting Vivado at Tue Jan 4 12:21:36 2022... diff --git a/projet-vga.runs/impl_1/runme.sh b/projet-vga.runs/impl_1/runme.sh index 4922688..affdd4c 100644 --- a/projet-vga.runs/impl_1/runme.sh +++ b/projet-vga.runs/impl_1/runme.sh @@ -24,7 +24,7 @@ else fi export LD_LIBRARY_PATH -HD_PWD='C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-vga/projet-vga.runs/impl_1' +HD_PWD='C:/Users/e209098F/Documents/uec-electronique/td4/projet/projet-electronique/projet-vga.runs/impl_1' cd "$HD_PWD" HD_LOG=runme.log @@ -41,7 +41,7 @@ EAStep() } # pre-commands: -/bin/touch .write_bitstream.begin.rst +/bin/touch .init_design.begin.rst EAStep vivado -log VGA_top.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source VGA_top.tcl -notrace diff --git a/projet-vga.runs/impl_1/usage_statistics_webtalk.html b/projet-vga.runs/impl_1/usage_statistics_webtalk.html index db64368..4cf1c5e 100644 --- a/projet-vga.runs/impl_1/usage_statistics_webtalk.html +++ b/projet-vga.runs/impl_1/usage_statistics_webtalk.html @@ -4,13 +4,13 @@ software_version_and_target_device betaFALSE build_version2405991 - date_generatedTue Dec 7 12:44:52 2021 + date_generatedTue Jan 4 12:21:35 2022 os_platformWIN64 product_versionVivado v2018.3 (64-bit) project_id5587c47a25864f30a941d919a4588f42 - project_iteration43 + project_iteration49 random_id5c5083d208095dd793a4532428ca92e6 - registration_id5c5083d208095dd793a4532428ca92e6 + registration_id174121763_1777493939_210660961_260 route_designTRUE target_devicexc7z010 target_familyzynq @@ -34,101 +34,122 @@ abstractcombinedpanel_add_element=9 abstractcombinedpanel_remove_selected_elements=2 abstractfileview_close=1 - basedialog_cancel=45 - basedialog_close=1 - basedialog_no=1 - basedialog_ok=396 - basedialog_yes=2 - constraintschooserpanel_add_files=1 - coretreetablepanel_core_tree_table=18 + abstractfileview_reload=2 + addsrcwizard_specify_or_create_constraint_files=1 + basedialog_cancel=59 + basedialog_close=1 + basedialog_no=3 + basedialog_ok=474 + basedialog_yes=4 + cmdmsgdialog_ok=2 + confirmsavetexteditsdialog_no=1 + constraintschooserpanel_add_files=2 + coretreetablepanel_core_tree_table=24 + createnewdiagramdialog_design_name=1 createsrcfiledialog_file_name=5 - definemodulesdialog_define_modules_and_specify_io_ports=95 - filesetpanel_file_set_panel_tree=157 - flownavigatortreepanel_flow_navigator_tree=206 + definemodulesdialog_define_modules_and_specify_io_ports=95 + filesetpanel_file_set_panel_tree=209 + flownavigatortreepanel_flow_navigator_tree=261 fpgachooser_fpga_table=1 - gettingstartedview_create_new_project=1 - hcodeeditor_blank_operations=17 - hcodeeditor_close=1 - hcodeeditor_commands_to_fold_text=2 + gettingstartedview_create_new_project=2 + gettingstartedview_open_project=1 + hcodeeditor_blank_operations=17 + hcodeeditor_close=3 + hcodeeditor_commands_to_fold_text=2 hcodeeditor_diff_with=8 - hcodeeditor_search_text_combo_box=15 + hcodeeditor_search_text_combo_box=20 hinputhandler_indent_selection=1 - hinputhandler_toggle_line_comments=37 + hinputhandler_toggle_line_comments=40 hinputhandler_unindent_selection=2 - hpopuptitle_close=1 + hpopuptitle_close=1 logmonitor_monitor=3 - msgtreepanel_manage_suppression=1 - msgtreepanel_message_view_tree=79 - msgview_clear_messages_resulting_from_user_executed=1 + msgtreepanel_manage_suppression=1 + msgtreepanel_message_view_tree=137 + msgview_clear_messages_resulting_from_user_executed=4 msgview_critical_warnings=2 - msgview_error_messages=4 + msgview_error_messages=4 msgview_information_messages=3 - msgview_warning_messages=9 - numjobschooser_number_of_jobs=2 - pacommandnames_auto_connect_target=16 - pacommandnames_auto_update_hier=11 - pacommandnames_goto_implemented_design=1 - pacommandnames_goto_netlist_design=1 + msgview_warning_messages=11 + netlisttreeview_netlist_tree=4 + numjobschooser_number_of_jobs=3 + pacommandnames_auto_connect_target=18 + pacommandnames_auto_update_hier=15 + pacommandnames_goto_implemented_design=2 + pacommandnames_goto_netlist_design=1 pacommandnames_log_window=1 + pacommandnames_message_window=2 pacommandnames_open_hardware_manager=2 pacommandnames_recustomize_core=1 - pacommandnames_run_bitgen=42 + pacommandnames_run_bitgen=45 pacommandnames_run_implementation=8 - paviews_code=5 - paviews_device=3 - paviews_ip_catalog=1 - paviews_project_summary=21 - paviews_schematic=9 - programdebugtab_refresh_device=1 - programfpgadialog_program=45 - progressdialog_background=4 + pacommandnames_src_disable=1 + paviews_code=7 + paviews_device=3 + paviews_ip_catalog=2 + paviews_project_summary=26 + paviews_schematic=10 + programdebugtab_program_device=1 + programdebugtab_refresh_device=2 + programfpgadialog_program=51 + progressdialog_background=5 progressdialog_cancel=5 - projectnamechooser_project_name=1 - projecttab_reload=6 - rdicommands_delete=4 + projectnamechooser_project_name=1 + projecttab_reload=9 + rdicommands_copy=1 + rdicommands_delete=8 + removesourcesdialog_also_delete=2 rungadget_show_warning_and_error_messages_in_messages=2 saveprojectutils_dont_save=8 - saveprojectutils_save=5 + saveprojectutils_save=6 schematicview_previous=10 - simpleoutputproductdialog_generate_output_products_immediately=3 - srcchooserpanel_add_hdl_and_netlist_files_to_your_project=1 + simpleoutputproductdialog_generate_output_products_immediately=4 + specifylibrarydialog_library_name=1 + srcchooserpanel_add_directories=2 + srcchooserpanel_add_hdl_and_netlist_files_to_your_project=3 srcchooserpanel_add_or_create_source_file=1 - srcchooserpanel_create_file=6 - srcmenu_ip_documentation=5 - srcmenu_ip_hierarchy=8 + srcchooserpanel_create_file=6 + srcfileproppanels_type=4 + srcfiletypecombobox_source_file_type=4 + srcmenu_ip_documentation=6 + srcmenu_ip_hierarchy=10 + srcmenu_set_library=1 stalerundialog_no=1 syntheticagettingstartedview_recent_projects=4 - syntheticastatemonitor_cancel=5 - taskbanner_close=16 + syntheticastatemonitor_cancel=7 + taskbanner_close=19 - - - - - - + + + + + + + - - - - - - - - + + + + + + + + + + - - - + + + + - +
    java_command_handlers
    addsources=6autoconnecttarget=16coreview=3customizecore=4
    editdelete=4editpaste=2
    addsources=11autoconnecttarget=18coreview=4createblockdesign=3
    customizecore=5editdelete=9editpaste=3 editundo=1launchprogramfpga=45
    newproject=1openhardwaremanager=67openrecenttarget=21programdevice=45
    recustomizecore=3runbitgen=45runimplementation=59
    fliptoviewtaskrtlanalysis=1launchprogramfpga=51newproject=2openhardwaremanager=74
    openproject=1openrecenttarget=24programdevice=50recustomizecore=3
    runbitgen=54runimplementation=68 runschematic=7
    runsynthesis=92savefileproxyhandler=3showview=24runsynthesis=114
    savefileproxyhandler=3setsourceenabled=1showview=35 viewtaskimplementation=8
    viewtaskrtlanalysis=3
    viewtaskrtlanalysis=7 viewtasksynthesis=2
    - +
    other_data
    guimode=5
    guimode=6
    @@ -154,7 +175,7 @@ - + @@ -168,38 +189,83 @@
    project_data
    launch_simulation_vcs=0
    launch_simulation_xsim=0 simulator_language=VHDLsrcsetcount=8srcsetcount=13 synthesisstrategy=Vivado Synthesis Defaults
    target_language=VHDL target_simulator=XSim
    - - - - - - - - - - + + + + + + + + + + + + + + - - + + + + + + +
    post_unisim_transformation
    bufg=2carry4=34fdre=21gnd=2
    ibuf=1lut1=4lut2=28lut3=7
    lut4=62lut5=47lut6=65carry4=266fdce=62fdpe=10
    fdre=114fdse=1gnd=11ibuf=2
    ldce=23lut1=12lut2=275lut3=323
    lut4=365lut5=358lut6=447 mmcme2_adv=1
    obuf=18vcc=2
    muxf7=19muxf8=1obuf=21obuft=1
    ramb18e1=9ramb36e1=18vcc=11
    - - - - - - - - - - + + + + + + + + + + + + + + - - + + + + + + + +
    pre_unisim_transformation
    bufg=2carry4=34fdre=21gnd=2
    ibuf=1lut1=4lut2=28lut3=7
    lut4=62lut5=47lut6=65carry4=266fdce=62fdpe=10
    fdre=114fdse=1gnd=11ibuf=3
    ldce=23lut1=12lut2=275lut3=323
    lut4=365lut5=358lut6=447 mmcme2_adv=1
    obuf=18vcc=2
    muxf7=19muxf8=1obuf=21obuft=1
    ramb18e1=9ramb36e1=18vcc=11
    + +
    + + + +
    power_opt_design
    + + + + + + +
    command_line_options_spo
    -cell_types=default::all-clocks=default::[not_specified]-exclude_cells=default::[not_specified]-include_cells=default::[not_specified]
    +
    + + + + + + + + + + + +
    usage
    bram_ports_augmented=0bram_ports_newly_gated=25bram_ports_total=54flow_state=default
    slice_registers_augmented=0slice_registers_newly_gated=0slice_registers_total=187srls_augmented=0
    srls_newly_gated=0srls_total=0

    @@ -257,7 +323,208 @@ - + + +
    results
    zps7-1=1
    pdrc-153=6zps7-1=1
    + +
    + + + + +
    report_methodology
    + + + + + + + + + + + + +
    command_line_options
    -append=default::[not_specified]-checks=default::[not_specified]-fail_on=default::[not_specified]-force=default::[not_specified]
    -format=default::[not_specified]-messages=default::[not_specified]-name=default::[not_specified]-return_string=default::[not_specified]
    -slack_lesser_than=default::[not_specified]-waived=default::[not_specified]
    +
    + + + + + + + + + + + +
    results
    lutar-1=14synth-6=26timing-16=21timing-18=5
    timing-20=23timing-27=1timing-4=1timing-6=2
    timing-7=2
    +

    + + + +
    report_power
    + + + + + + + + + + + + + + + + +
    command_line_options
    -advisory=default::[not_specified]-append=default::[not_specified]-file=[specified]-format=default::text
    -hier=default::power-hierarchical_depth=default::4-l=default::[not_specified]-name=default::[not_specified]
    -no_propagation=default::[not_specified]-return_string=default::[not_specified]-rpx=[specified]-verbose=default::[not_specified]
    -vid=default::[not_specified]-xpe=default::[not_specified]
    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    usage
    airflow=250 (LFM)ambient_temp=25.0 (C)bi-dir_toggle=12.500000bidir_output_enable=1.000000
    board_layers=8to11 (8 to 11 Layers)board_selection=medium (10"x10")bram=0.074844clocks=0.003860
    confidence_level_clock_activity=Mediumconfidence_level_design_state=Highconfidence_level_device_models=Highconfidence_level_internal_activity=Medium
    confidence_level_io_activity=Mediumconfidence_level_overall=Mediumcustomer=TBDcustomer_class=TBD
    devstatic=0.096510die=xc7z010clg400-1dsp_output_toggle=12.500000dynamic=0.201475
    effective_thetaja=11.5enable_probability=0.990000family=zynqff_toggle=12.500000
    flow_state=routedheatsink=nonei/o=0.001879input_toggle=12.500000
    junction_temp=28.4 (C)logic=0.002055mgtavcc_dynamic_current=0.000000mgtavcc_static_current=0.000000
    mgtavcc_total_current=0.000000mgtavcc_voltage=1.000000mgtavtt_dynamic_current=0.000000mgtavtt_static_current=0.000000
    mgtavtt_total_current=0.000000mgtavtt_voltage=1.200000mgtvccaux_dynamic_current=0.000000mgtvccaux_static_current=0.000000
    mgtvccaux_total_current=0.000000mgtvccaux_voltage=1.800000mmcm=0.115225netlist_net_matched=NA
    off-chip_power=0.000000on-chip_power=0.297985output_enable=1.000000output_load=5.000000
    output_toggle=12.500000package=clg400pct_clock_constrained=1.000000pct_inputs_defined=50
    platform=nt64process=typicalram_enable=50.000000ram_write=50.000000
    read_saif=Falseset/reset_probability=0.000000signal_rate=Falsesignals=0.003612
    simulation_file=Nonespeedgrade=-1static_prob=Falsetemp_grade=commercial
    thetajb=9.3 (C/W)thetasa=0.0 (C/W)toggle_rate=Falseuser_board_temp=25.0 (C)
    user_effective_thetaja=11.5user_junc_temp=28.4 (C)user_thetajb=9.3 (C/W)user_thetasa=0.0 (C/W)
    vccadc_dynamic_current=0.000000vccadc_static_current=0.020000vccadc_total_current=0.020000vccadc_voltage=1.800000
    vccaux_dynamic_current=0.064022vccaux_io_dynamic_current=0.000000vccaux_io_static_current=0.000000vccaux_io_total_current=0.000000
    vccaux_io_voltage=1.800000vccaux_static_current=0.005617vccaux_total_current=0.069639vccaux_voltage=1.800000
    vccbram_dynamic_current=0.006550vccbram_static_current=0.001052vccbram_total_current=0.007602vccbram_voltage=1.000000
    vccint_dynamic_current=0.078006vccint_static_current=0.004501vccint_total_current=0.082507vccint_voltage=1.000000
    vcco12_dynamic_current=0.000000vcco12_static_current=0.000000vcco12_total_current=0.000000vcco12_voltage=1.200000
    vcco135_dynamic_current=0.000000vcco135_static_current=0.000000vcco135_total_current=0.000000vcco135_voltage=1.350000
    vcco15_dynamic_current=0.000000vcco15_static_current=0.000000vcco15_total_current=0.000000vcco15_voltage=1.500000
    vcco18_dynamic_current=0.000000vcco18_static_current=0.000000vcco18_total_current=0.000000vcco18_voltage=1.800000
    vcco25_dynamic_current=0.000000vcco25_static_current=0.000000vcco25_total_current=0.000000vcco25_voltage=2.500000
    vcco33_dynamic_current=0.000509vcco33_static_current=0.001000vcco33_total_current=0.001509vcco33_voltage=3.300000
    vcco_ddr_dynamic_current=0.000000vcco_ddr_static_current=0.000000vcco_ddr_total_current=0.000000vcco_ddr_voltage=1.500000
    vcco_mio0_dynamic_current=0.000000vcco_mio0_static_current=0.000000vcco_mio0_total_current=0.000000vcco_mio0_voltage=1.800000
    vcco_mio1_dynamic_current=0.000000vcco_mio1_static_current=0.000000vcco_mio1_total_current=0.000000vcco_mio1_voltage=1.800000
    vccpaux_dynamic_current=0.000000vccpaux_static_current=0.010330vccpaux_total_current=0.010330vccpaux_voltage=1.800000
    vccpint_dynamic_current=0.000000vccpint_static_current=0.017552vccpint_total_current=0.017552vccpint_voltage=1.000000
    vccpll_dynamic_current=0.000000vccpll_static_current=0.003000vccpll_total_current=0.003000vccpll_voltage=1.800000
    version=2018.3

    @@ -268,8 +535,8 @@ clocking bufgctrl_available=32 bufgctrl_fixed=0 - bufgctrl_used=2 - bufgctrl_util_percentage=6.25 + bufgctrl_used=3 + bufgctrl_util_percentage=9.38 bufhce_available=48 bufhce_fixed=0 bufhce_used=0 @@ -352,45 +619,65 @@ memory block_ram_tile_available=60 block_ram_tile_fixed=0 - block_ram_tile_used=0 - block_ram_tile_util_percentage=0.00 + block_ram_tile_used=22.5 + block_ram_tile_util_percentage=37.50 ramb18_available=120 ramb18_fixed=0 - ramb18_used=0 - ramb18_util_percentage=0.00 - ramb36_fifo_available=60 + ramb18_used=9 + ramb18_util_percentage=7.50 + ramb18e1_only_used=9 + ramb36_fifo_available=60 ramb36_fifo_fixed=0 - ramb36_fifo_used=0 - ramb36_fifo_util_percentage=0.00 + ramb36_fifo_used=18 + ramb36_fifo_util_percentage=30.00 + ramb36e1_only_used=18 - + - + + + + + - - - + + + + + + + - + - + - + - + - + - + + + + + - + + + + + + +
    primitives
    bufg_functional_category=Clockbufg_used=2bufg_used=3 carry4_functional_category=CarryLogiccarry4_used=34carry4_used=266
    fdce_functional_category=Flop & Latchfdce_used=64fdpe_functional_category=Flop & Latchfdpe_used=10
    fdre_functional_category=Flop & Latchfdre_used=21ibuf_functional_category=IOibuf_used=1fdre_used=114fdse_functional_category=Flop & Latchfdse_used=1
    ibuf_functional_category=IOibuf_used=2ldce_functional_category=Flop & Latchldce_used=23
    lut1_functional_category=LUTlut1_used=4lut1_used=12 lut2_functional_category=LUTlut2_used=28lut2_used=275
    lut3_functional_category=LUTlut3_used=7lut3_used=332 lut4_functional_category=LUTlut4_used=64lut4_used=365
    lut5_functional_category=LUTlut5_used=47lut5_used=358 lut6_functional_category=LUTlut6_used=63lut6_used=447
    mmcme2_adv_functional_category=Clock mmcme2_adv_used=1muxf7_functional_category=MuxFxmuxf7_used=19
    muxf8_functional_category=MuxFxmuxf8_used=1 obuf_functional_category=IOobuf_used=18obuf_used=21
    obuft_functional_category=IOobuft_used=1ramb18e1_functional_category=Block Memoryramb18e1_used=9
    ramb36e1_functional_category=Block Memoryramb36e1_used=18
    @@ -398,42 +685,42 @@ slice_logic f7_muxes_available=8800 f7_muxes_fixed=0 - f7_muxes_used=0 - f7_muxes_util_percentage=0.00 + f7_muxes_used=19 + f7_muxes_util_percentage=0.22 f8_muxes_available=4400 f8_muxes_fixed=0 - f8_muxes_used=0 - f8_muxes_util_percentage=0.00 + f8_muxes_used=1 + f8_muxes_util_percentage=0.02 lut_as_logic_available=17600 lut_as_logic_fixed=0 - lut_as_logic_used=168 - lut_as_logic_util_percentage=0.95 + lut_as_logic_used=1491 + lut_as_logic_util_percentage=8.47 lut_as_memory_available=6000 lut_as_memory_fixed=0 lut_as_memory_used=0 lut_as_memory_util_percentage=0.00 register_as_flip_flop_available=35200 register_as_flip_flop_fixed=0 - register_as_flip_flop_used=21 - register_as_flip_flop_util_percentage=0.06 + register_as_flip_flop_used=189 + register_as_flip_flop_util_percentage=0.54 register_as_latch_available=35200 register_as_latch_fixed=0 - register_as_latch_used=0 - register_as_latch_util_percentage=0.00 + register_as_latch_used=23 + register_as_latch_util_percentage=0.07 slice_luts_available=17600 slice_luts_fixed=0 - slice_luts_used=168 - slice_luts_util_percentage=0.95 + slice_luts_used=1491 + slice_luts_util_percentage=8.47 slice_registers_available=35200 slice_registers_fixed=0 - slice_registers_used=21 - slice_registers_util_percentage=0.06 + slice_registers_used=212 + slice_registers_util_percentage=0.60 lut_as_distributed_ram_fixed=0 lut_as_distributed_ram_used=0 lut_as_logic_available=17600 lut_as_logic_fixed=0 - lut_as_logic_used=168 - lut_as_logic_util_percentage=0.95 + lut_as_logic_used=1491 + lut_as_logic_util_percentage=8.47 lut_as_memory_available=6000 lut_as_memory_fixed=0 lut_as_memory_used=0 @@ -441,35 +728,35 @@ lut_as_shift_register_fixed=0 lut_as_shift_register_used=0 lut_in_front_of_the_register_is_unused_fixed=0 - lut_in_front_of_the_register_is_unused_used=0 - lut_in_front_of_the_register_is_used_fixed=0 - lut_in_front_of_the_register_is_used_used=1 - register_driven_from_outside_the_slice_fixed=1 - register_driven_from_outside_the_slice_used=1 - register_driven_from_within_the_slice_fixed=1 - register_driven_from_within_the_slice_used=20 + lut_in_front_of_the_register_is_unused_used=21 + lut_in_front_of_the_register_is_used_fixed=21 + lut_in_front_of_the_register_is_used_used=26 + register_driven_from_outside_the_slice_fixed=26 + register_driven_from_outside_the_slice_used=47 + register_driven_from_within_the_slice_fixed=47 + register_driven_from_within_the_slice_used=165 slice_available=4400 slice_fixed=0 slice_registers_available=35200 slice_registers_fixed=0 - slice_registers_used=21 - slice_registers_util_percentage=0.06 - slice_used=61 - slice_util_percentage=1.39 + slice_registers_used=212 + slice_registers_util_percentage=0.60 + slice_used=541 + slice_util_percentage=12.30 slicel_fixed=0 - slicel_used=45 + slicel_used=361 slicem_fixed=0 - slicem_used=16 + slicem_used=180 unique_control_sets_available=4400 unique_control_sets_fixed=4400 - unique_control_sets_used=2 - unique_control_sets_util_percentage=0.05 - using_o5_and_o6_fixed=0.05 - using_o5_and_o6_used=45 - using_o5_output_only_fixed=45 + unique_control_sets_used=31 + unique_control_sets_util_percentage=0.70 + using_o5_and_o6_fixed=0.70 + using_o5_and_o6_used=298 + using_o5_output_only_fixed=298 using_o5_output_only_used=0 using_o6_output_only_fixed=0 - using_o6_output_only_used=123 + using_o6_output_only_used=1193 @@ -554,10 +841,10 @@ - + - - + +
    usage
    elapsed=00:01:36s
    elapsed=00:00:46s hls_ip=0memory_gain=948.426MBmemory_peak=1310.512MBmemory_gain=613.590MBmemory_peak=976.145MB

    diff --git a/projet-vga.runs/impl_1/usage_statistics_webtalk.xml b/projet-vga.runs/impl_1/usage_statistics_webtalk.xml index 2f1a4f7..e322fae 100644 --- a/projet-vga.runs/impl_1/usage_statistics_webtalk.xml +++ b/projet-vga.runs/impl_1/usage_statistics_webtalk.xml @@ -1,16 +1,16 @@ - +

    %z8K=Hzq zQwClsc~q>snqw-tomkN*b;p9|2qs1nTI z=;O^j4>&^R&2A}w z+<=>_2^EM1zI0y@`!+doLra(^bc37iNl#syY3UWVM|ob4ex3yo?)rVk`ya@Q`5GGn zX0A#)nSa83Gr@{73}Gh^XB~_17GqL`?0#|b+arWCiHB;WN?$zv35}FP`1v1>?hR(3 z#0TsTswna>%u<@lA~4rz8`FaW@+Wp|2OR&e`Zd>HH#MA*WzLibl|2upOGW5H_*Wpp z(x=2A%_Z|g6MR5ahrfLB&>SJ=1H{~Rp6ot+yaBU}a-V5ehr1r~1AMj)m-ZsWXq|aW z&6%iJ*k7h8vIVh+(w_jb*B6Pe`Y&yQw`YP3=iHjxFOK;yEBN%?BuKSfXh?Di9Q>Nd zQ)WAnD5#n9qem(n67re&9Sry%Kca9xw=S@>4zhG!o(%DP%DWk{SCrJ#GKW2kZ)wKJ znUCv5`h)J5OoT!Kw6Pvs#prl*l}?>ZLhrtN1i0CXKS#Il0nROBle>O(ILA^4k%^0( z1bnWTW||@$_DPSXJAxQ~ry)*g>Ss^w9R82%o7*F*O5G!tJ+otd*DJ(~HqN_)%-4#X z#rf1FG)}s+ZOn*md0@&j1+p(X( zk-U~?a!)wBO&KERj`;?WxULRCF#M9xk%x-E04iENZTSz8f(w)+CgLEr4dbTz!fVjS zQ2NwdwYzT0bfa5@K8&hu9`6(xzxuArjCZ&P<59G?rrLOYZ!(6TZ!Wb&k3BEF;TS$h z%ruXJuI@E$f{mkfklJ>Y##c7Z2b+so*EczbA!%J|wOO)0l%AJ_P>-&AC>{5@!Se)B zsQk481(bXy-)4b4vKR}h3JCOO{+6G*kF)8g8*W=dxmSMm84RkuXU`~d-$zz^Wpj<^ zdBb!|j>5@N=2d?NHdx8xiXk&_{qp#7!~L3Bw?_lTX{PHUo<)p=7ez+0<=@j=;5zW z^Lz$x%?^&+cJAI=pcO6$_~Tz2iqmhW$R~Elt?tJ_)>4-%3c_UoT8Gi2ZBk`ctOrV7 z*2_y(hV%#}b(fky^fe94Mky0uJw^J{300h)x9W}dxG4s7#c0(1C_wl z`nbDSaOS6$!T9D_*2-yKpaLIaTnKPIDg6OH8?@Q_{s!8Bf0qWZ(rD)E`o5u-PbJuF zzx}*_kML3Dg5gthvNC2TFgd3FQZXuTLnWa-P5u>;_Nhx`ejboOD~!qzeoEfb;;mZ% zeUh#FZ^hjeQ5kPDVjESAA?c*wppGt{h-drWkJxZQW)jidUm6a)#{#Xz#IVKOo0-zy zA?$LeilIDMYOSytjf;x!YpKcFRF1H7#DOQtIk~ zxV+1VY$=P_%Zj?eApThzL8Cby+OiS2FSd2`K(XLwIU_pm7HrR3T z;q*vFO8*RypDwc!g0FcByhEHCbt_a7)uY*$Uu`#hfy(Q(FtRu;H*X7*r~=}bL*y2H zWcDimaSet||5OVKa%Mb|O0wZLt~-x+EV}aVldBkR{jBpD(6y|+lTvWo83xPs2TAF| zfvshA9K%DfMFngKbOHB>0%KLY@9ADh*8qFYH6`7o!JD!#c6c!4f|lldjbF zVb7n-0V!3Q-5lQVyVd6g1H#v?vr>ecGF%S(2;D^&DTxb(Whb5KfInh@6Pa2XJ?Ly6 zx@au=+N!}a;DyPbFbDkTTsqwMyIr7gLGl2Z-&YHd((BoRn&bN=Y%M{!g#i`0b;E}>hO0N`rUH~|J~Hd z%v7_`zs)1fQos%H0CmK)qq>TVmY2UP%o=%(4SHm+V)EMNL(shWSlaCh zf;ic^Fw~*i$Ex{zDe@P>bcMr3HvQL{q+twmc=Ci-#!CFI=^9xExa2w#e!HX|dlTz6 zfZ-~xXrN`l{InBx1PDy0ZWSnblRLm(tO} z2ww0&6AR0)N4dkPEcaOD(P|K%S5UfW$9l?{5j#T#o>EdY((FT~I_BTU>&;pq`n+>; z2sLzFRXcbsTeVB8T2l6Viv__lmy>XdSGzt>Gn)^E$ZC~QV&ZEc z61mzZ-Q@;nKa0TwV{Zn6A)m70C>IJePak$Vfdf;VE1E?Aw5~x+Z~LoQDr{fp zK~T`@5hgk=E`Eicwsq%o#y~qfk?WO5K>BiKI})}(2LGB38z^2myuF2|Xx__VLGGG&{?A?(RPs30k*PHP~){Kt5DKoL6@d|_3 zlDEk0w3{@!aa}&7qfQ|%@Q-=e-9ay9ps2V|B8J|g6IK-Zv<@mA1D=h-O6sCzw@;Bm zZ*4Hk&{xA=#Y=XajvId#z~5g;#cmXc)fvbjf`E9 zX%j&r5tR9S0mpa4N|iWn-Aen&nkfTPw$r;E?#SFZwNwm`f{*N6smg1>h~_ zT;(fMD1yOJW8%PF6rA>00VmYxp zaM4I5q2RXb!YwU+zanIzu&+N3ZZlE6-AvfI@;$Tmqy~6G0`##9aH#+ApkXG4+Ya}ZkX*b#%qDGUGK;b4)hyyK88 zJ9bFdaQQ#IfvrW#II}a!K=1Ia2cLt`x<_b*Tcw9g*Jm8VPrx2+296BZl07|O*oqM% zIPdNk>WQk_-e_k9NSfNV);OjPfNpa?Oy8E%ZJqGulj{y%au=CIKIZhlJ-qU}!gr+{ zN>1ijI9k}mlS2*>TE)N>vjqBp|G#atKRc!l454wH<7a4C*^>oH^UPg!hFbr$cl)iQ zo3>%_$83Ydl&kfO-BdG7LDNb6@RO^zLQ^+s!mPWF@e!kC`{VzS2u8AiC8<{VdgUBqkfzL#XNqnLN z`tgZLL!O^Db|7KR#X7D=&Qb>5C_`{S5`HD!FavV?lccBbHt=M5mh*iH-cY3ot;#Fh z|3eT=atjoTQpRhK$Y^wDCQCcIdA&n(8VA>fBTnvR(?eVZxXoppQTX{XdxlVHsxE|80 z)S=IU5t7^$l<~#2!llb)J{hDI3INcs6&4eW6wK^}>g%M-48gS_7+#G^8Av8<^sIER z;JA6?mYeX?A>y~$8uu>&OKCC1*!YClbL`2uR$-se12-*~NJATlz|QoRf~hPsdGO@H z*(o@gh)W79jlA9?7Q!LC?yw?UBVI7yF?lrVk@>8hJ<$-OFih}A9VF{=hWj|-uy^Kn zUglHZDG6`i^omfdfFKWs&^iwnK!?}qH&8HMUBz2ru01_r^J`SgN&b`GxEAcJXM)j~ zQpgaKPR7d}DVcg`at$Jsg=AUDue>;Ka2dwq4h;u*Wz1b?pbCy(82~gBc+I*;&di#3 z^bs37#^1P43t8wu*k2+1Ryr%U=AdVvY8EapoLBbW$vmC2A^oPQ-mGGpQD1KC0Z0ln z!rx2czyp0yn3lB6-HL?u)E)$=`N4ds`(aVj76IXwZOViHr@rF?EoXo8YCF`}O&*e_ z3X6(KJn4^9i+Lm~B$GnYVV05|HquIY`WlQ zb`_sbVAnhGlv~>}L4)OHWD$kV+mEB9@9febe==c2)ja_ zy5eNUzM=3)+3Bc;XMgil1;Vl%MZ)j*A%L^AWWTul++PY^fpAmnVKY5iXadCZNB33?0vw*!-%NWu3v_v92%b~{mt9E(`hpvqvFAb zZ$n>JSrrPnevWc)wCXYfy`-7%I$|5%fZGBPR0)&EMqw?x>fMZa*cemRFi>;M(mED_C_iCAu+jQZaiH}^u@3_gd!dqs|Y`lkUgFZ*YZC~ z^xBJ=Q$I-q#NlM8@chhZIoWq#hZGfL47Nwk+&wOBT~-z;7nW83yZ3R4O;Jse3D=YC zQDyA9&6^y!{~T*#I?^N*!j9DSzVO$QwV zQuZLfrNwh`s=8ioYzeEvCqk?MJ{4&Z7#a@4Lis^y zJLt`$=A+VzN^N&r49vgR3tLT#t04GxGoCk@S_D7yX>u{OX`4BYbBo?WJdvT0jq_(< z&WW-#r=};;aGod92^57K{#nXz3O@aDO1aNA<9NJUdXlAgoGnkO1D()|AdwWASMjCM zSzqfG94=+S9>pX;UUPE1j57#R;09{Nkg?Y4Wfj%P=(2)y+^hF>HNA3Zw-@y_$m8B} z+N?;tB_SU*c4{nZDC+9UzZ5He3|&{8i1+Ny3w_pRr)}tTHS|S5$^sI=Rn{z==wdl~ zUvMFz15_c5^8Sl+78;1p8oN@`?`2y)O`{`DaqYH}qe}JkB@qYW?ry_AS-_w~qNaPtV zmR<%ZM&_waIA9y@lOfQjeZbLK5>!Q*t__4d4yjyC*X>3MPhxk0JlAUtxKfAla|z{$hM70EHxuvuSGO zQJ+|0*MD{(nD6vUQ6p4RRc=z&ocvGl-x#xceBe){$2pLAaG;n$0Z50aw@UR=^8mpr zwy~t5>@p<~)QP;8zQ!4Y0FCN7ZPz!V)(VWqN~B^`=u0H?N}`bt7=9d6Jsl?Y2#dCu zp*}|FN@4ZSxY**8!MMklYofh@&GfjBy3HtHad=(OYDq=)1fIL>o~o3tcZzQGg0B_t zFpC)Yj=#$fGTgpVm07g~kU2oYZ!n_svfR;{+%G5iHkLL_4|2_564p1WT;Xq^%d_Ja z7%@>?O;Nh0g|%qM=TD$1l&5y^riwsaubmfsf=JKjEPUT$o91IgqG(Q;9IvE$nNlM{=GpuHSDP}atz!BPFVlSou!tfD8Sk*9A{Lb3ziW*o|Z$ZgFQ ze`lm=w`}vL37?z6U*W&dlpqM*{$2o?q9lqX40$WhE!*xz&rCyW!4Vcxk=DtaRp_GbJG;ka0a?8x^aZ5?*ywd zRUi_sm1O>2k>FVl8;@~4cWm98KX<2HveD;fUAnCU%!)n=$t9VO_T#ajK4^lU-*?k# z$)e?^N&~6Y5D)1eP_0Ibz$0kfY-G&VR}d9> z>T|mizG7UzG3e?6>L$}|AQIZmW5bi~2VJNPwtX|1dR8@HR?Z~saVcZaeA5G+W=_t3 z;6e*{W>_-vu+r~3&`5~-mS4LPk7Ttqtj@1iJ2SE_`n4M*!euQ`k`cC$5i$NyV~~d=O)+z#tB} zPwZUPU&U~G9%N3=d+pOmPW(W32QP0IX8G#8QhyMYDroAs9ZXD+VI0dk>pxgbudG7N zwxEh;>2&EP@T~6=6SvedVqsk`x!v(q1rr8I**R+v#xr3584;R{#_wr@^F+I(Py3(@w1tvFTHY*gYMN;dfUvqQ zHeD2ArWaXNG?us<3LIG4w1cYE>AO6>6?JKQ-MI8$P#PHC8JW~1)DJUgJaNXc$8b!)c|KjR zAG0{4&A!Bns)lM8Rrp zPJRSP`k!3-#!WEO0EgOCBl%gXQYfDpd?EnEdS^_oYkf#7EG}5)cg$1-X1saf5$xO> z&KZ6e|C43NHj?8y8(H!xgNU;Mo?7eGiW?{HGlSHrq!Df|`Kr`^^O#~*oK&}F2mscP z)3inp#I%nlQ0W|ffSMx!-5Zr*e;N>C`;1nlxUAsdBdBB9RKk42so;X`kC+JLR@I4< z3#Eb$$0?CF%~}S;f>R=wxA~x=CYjSEYpD|GDPUCn#gV{2fBxk)f+V11fH+p8@lFZp zO9r6QU88oY94l{dhhOWm(kN2sRZhmz5FNXt%(b4#qi&EFThr&R$wi%-n-3z1AV-a; zcuA^n@MfacyWN8aSIswH+Q9UwUe3 zDwhNQF78EIKO*Dqllyh{X}`UfOON1emoPqkdy@XN84U;KPEA7q#%;|FfeCO5)jfN{ zooe{YB{Hv~=e}7Qa}j`jF#qBrS*>^BEVFLqtE8fF#?_HWl~yfnxJ@z zao`UgvI7JE;t`M^Md(5&B?{++OD}d|6&u_R}QGNi-+>3&G%)CE@ z?Qf$Qhm)UW@Yc10Pc1cvm}f@|1x56zIxw%nmk1HZ_^GTsEeo)yy<{KWz6JtMenkuS zRNB98PxP-0`hlJSX1LBdOFQO{{+&e3*v};-L;VLMBsU}hwYQirkvwTyh|9jtMh=4k zRU$Ih{P=}*I3!U|vEa*!Y4j|g;k_|w>=frPjXJXMndfDFiSL?=n?a%PTsyz)4Uy)+ zx|I%aS|~MXp21Z`vhdmJY=xDX6UQ^YEUL8ny!4=0BHmRuTY<5yEM~Yi}2)*WTEDjQMf~|D> zMpbSMoDHxz7U1i)Uvbf0DYQPCKD&e;_7*kTa*JNqDGxK2aF)e#SM9P^8ThZ{ zQ>W*TLN(?#8+DUkkT>gD46Gp6?%Gyj6qRhyfR&MonEw>n@s!58- z)X2m<+ogFDSkn-a@B4J~0sBxb6Um_RL&_?;LS|h>Z(6Q6#xHub=Tz0&{EoiH7UAE# zmuso|?^q_;l=1u)Br&oe%!I-_B7j3Xk)@mnbZtEc>?ogLW25-8m-#DN{%^Z>eewv4 zuah(JM}&)5;@bPsSL$*D@pGL`tp_akiv|6xb0vJ~?ne?=#ma%f64WyT#Wx z)xzv0p?QGrEM57Wz;jc4HyQXjDcJ$va*e!dd8Sd5V{=SIf z7n&8my=J?^ewcosIJIS<6@?)vn2FU8tl2mwh^^MBlV-@194wyt`5L##cRy1Io_ws? zbi(959)2=LWzlIAAPLw2a|F9W;p>ct8uPxgC&dFGp)#E}U(tMQ!0%LT)lot&3R`HyfR=f>!?ZN!nRo?|T2YR`p^yq#aE$0?a6X=y?v7rlH_Wc~ci=WC~PF;_8trnMjm=PXs zbTw;6b&59IenR<3fNvB$vx-&NGkc&PXgOlP3({26Cf&Y04wb6_e|R)raLWnI5{BLyX1ID zA1O_`+~RYg%&675&|f%l8!e{pQw`EP0qJ@TxxT!aQ^MqhZM*99#6 zikQdH7irA&!xoPb{ZfH4?%%Kii$WYuwI1tRkv>Tdzi()t>|Z=`PgmsT$C=(_Z!ML2y5SItmM_B{g#m?v90w=ld zjypw%gN$x*dG;X{p^|^y12^%YoS+f1nVdOqIO}1@ni0BI2b|MJ_dV_=a3dPHNT~4P zFrU`!KQ-{~pW2Uc@V9CG8K2jQ;y3?+G&if`9v`bD~$f`VJ5hJYkGH`#*azmZ@RVnMxO4Y6`-1D;MQoBH& z*e>~T<;TBsW>k($<$npJ=||Iu*O%p$`V^FeZ4p6L0Ct^RFB<$rqPjI=oVRH&-3Tz^ic4r#^#xKu%K*_2gX4L%dPYQ7HhIs(XfsQpdxnoZNFtvPCWj>f5fvJHh@HW| zb_X?6oG?MPl11+=DNYa|(YPx&VeJ=dzz2nLY7MMXAxgD{JaonI6I9bn7giEc{&I}zm*>NVHW^HHNbv|{oP`bS=E>_BySAZ58 zyI<}{)wa6jgy8J7fHtK=_Mnhx+^LrkBW?0QDs}za^=8RDFRHRdzq~=9&9rz*fPt9) z*?I4m2akVW=c$1TA^_78CL#|7$G42^*hs7vod>VSpU3y0=+3yfR)B(W(q_qKpQ_v^ z)0$c(Ms-5I$Md}4{7%q4ds*C|Ecrc+A&QCRku8dOInnHRpkkCpjnp%nAh892Qr&;S zkeg|g8ymW9C@*wNSd{5+R}ozJ1*g&{VfJKKXD4qz71G1XHGL@TlYyu8uc9kMXtJ62 z0T5MqJL)||9nvUlCC5xdvre5&b%WZ3D+|z@$+ThnW-VWlmpZK5z<^#`MPL=u%Y9Z? z8dT8*+;cFks1C{ql`NS{LAm2!qB++a#9#&ygKw(bT-xCqvEU8ilYi3ApSiJ6y9<$f zhQV#KJ2fMP2hOkL^p3F(#P-d2=uA+;-Y^}pWZlw4MdfymWN$;WZJJ3NlcK8*Ax*4Q ze#;^yMy_FaxRmpAmzdDEx>(R;6iAbuf3zRqiZGi#&H zdn@_{*cRsp)1)|=KJdvPjLctT{X3i%=z|`2TLDlUv~E^o=`;KhE?yTWtxKKmRektq+$4_fs4=UcjaNn68MNcpr-Ph(r6rB()4#scrOCibwnG| zhZs#SB=ixQ)_aX$rfz7TX_L?yuy_qBQqVe3F}pQd0wx!o3JM^KRLT@D!gVHFk{ZB< zlB;RAF;@*b2@`eyQ&k{MsxP2+t@b4!;H-Tj+Cp{{$C9@Law~OO0lzTmg%8bC@p8o) zHi~h&&WlNTKYAZ2iKiV**SY!1M-a}TrGI7wd|Uf(!zEBz8L($9MkwL&)trRQT*e0|c0oZtgT)wNzk1le zIKwjPY4|JdR97B}LxY;d*BTnQtlk{o4A5y_+L>hZ)O+r(F~-RB1qa&y%PI&UPle{W zc;Nae-1_40V;tS?a5@@@UX6TDUBHmxy+ylH3z2V>Eu7F9REGZPvAkDgiXq{cS%WhE zMrEI70_idR(8t(j&In-YJVPM<@}NID8|DwL9O%VRZ>Iz#t5_->t?{YSXSVH{h1!l$ zE<{gz2+k|A=xvmNw=@YSPHMKdz<#djNDG#!S_^W<#W(-94Z|M%ps_ZU_&;U>-$jU~UlIqVx(=Cq6!E zgKMz0xCg?wF)ki!5Ie}!=IPW4R5a=fq4)otCB zKknR`fS5|o;(CT!Xh3q%NP*P4HD8O%)G?`lHi}~WaSh}BDH7~EPSYm6?;bBB)3d=k zi1Vy^^aPnwCF$IByOZrcv>NA1Jk~7@9;Is#FmJBGTFNQ503GW?0QvHlGUKv881c5> z8c_|v);vVtACU}`pcxhaD@?q$jI+9o!%oF8RjEX=AaoL^JWSc5R;riDs_a_r?#@3x*wmDs?gJEc@m)Y!h$G|*d;nxi z+}(ZP^}K0OF(AR1U5Z{P^m(V`BtQ(IJ?9eD^|Re?DQO-f9pz3K$ueCFV*)! zv@+({9w=x)afq_C;Q38Pw_bLZEQ+6YHM1#Bw$jE?R$=_7pD`lxDy9h1$9U{B$2)3V zutA_4x8*nNq&lFmVt6>z64gKdQL9Kz2O`07wiVMN-D~j|l5U}?4f8WwF%5*yWC$*Z z!E6;SkF=1QVFuDOcI9{}vrN=bQvkI?Q5TFrM)+js%2*P`oclgBlg391wALX}n`(e= zLTmy*KEn&RZzJlmE?;lkMv+|g>RFp)yZ)G*75j-}j6BwxYbb_wNlmL~|CZ0r+=yM? z4=<1&f{tL7cKxV9MwW0azNJQ!Vc&n(HueMD-EyYgg17{MBJoPjG+p3%KsGodO;*Sd z!o}1PVoRPp@kho*YHw&y9+lo6#Y-)8OY)|WT)8J^qZcnRVb>LZo{9Q+TfbgftX84o z{<`j`ZsT^$f#Wu)r$a(yVo{VjV08fvp%X4s(M`-j$AYj&{JfYn7#enIY3`EfwY&(k z;xoOefp?_4WmkvUakG!hqjaL8RqzwfUE6I$A|E1f-@?nT`1QVq4R*Zy3Sf!GrBP+W zo!_Xz@F#M3#^oUH!yZ!+ig9m$$cuvfQ9UqXFWe;=THqvXOi*E|j_P5S;Sj{kiUuBV zh+LTY6fG#kbJGt;iceH#IvG7;2r>vY%E%N9K0r{G&LZu{Llc{xOE*09cJB zaOd|1W8sqfC&^Od9_RX)?vBFi$F%sV2@#UtBEIvG^?uF9!e_syDvLFOj6#ZxKeSpt z>A@8Sk_&=bhI&|$t;&MvEWCY|$D|i2q0CmYJ>RR~wDz{NA^6}`bk%$ zzDjStYi!3N5`+1KJv!CQY%Lrr@Bn9kw6K3?t7>uSiM?tAoi^dK;LrFU906LJMKD3v z4dNv7$m-SiU;r|S23Xd4Xv5p{696>&BA9vB8^Mj)&NfJq^D=<7NfyYcW~mig=_uQ? z2;0Qb9|msT-o(58qFCU z8BkE$$ZX72LbYC0(h7nl*Ou$n~z>RPb&6!4JZE{uPcKsYwXGeduQg-*d)Z!qDAp9I#X+uWO?Z^hflKdOd&>;ht zj`q5=Ex|l>Li3xt4q6evf2?R+R=l4KZKm0Q+<^;EFa2wk$k6Y7O;m+Zn%Z1kMH>RB z6`zDYi>VlSr$X0Xpe&-1!t<@CTdFht2x6iET6DQ6~D4=E(5Oa;vLPKZoPcmGrpdkronOoqN zaa-7PMxuH45Dj2J;3}<}2brEt;({0;;-!Xlp2QAI>vJk;UMgWdMS_)|e_>+*WTGKh zK#2A?TM8fpRX`B3B*<~=_s)x1SlO%7Yr!1E2L8>s=RG&l1JAD4r>%0}@B|iOcFcPY z($6mx?#ULdoRRZQQxvVn;hBVPH}>dmC&R%dUn%M@m=M52(u+#WkWz(<>O$y=ztZ4Ha1oD81u_+`K^~ z>80*Pr+|~11dC?qhu^`*h+&zgWz0;9-8J3zp26Q08lQsYy0h!|HF4CD~}tbdi&^m4O4Wu;>Bn#kM&do2w|yG})R&YU!PXL(69e{y`5Q zKSPwn!$~}?DN5M}I)%F#1c1}Pjb7Atv$M-q)~tDP@+r$*24{IxI;BCrF(;Lwj?-Kx zlQ=$p$XJ_FUsu3h`Op%L3H8c1Q-Qa~mjlU-?jUe(2dG=TTL>N5Dxx)cLq3@+<)CX~ zXS$!pFW4P-)jd+R_`Dp!=8&wXiwRObet6F_9M&`VuNiWh&F4^JHzEM#NlDC1+ z2ZV z%1XqO!OEfTDO0Rqdzo`d;|pf?~GRMBqEu*}3_&?-fj#z4c(|Cl4hq4P;_Lw?(wfN*JHpKCAN zYaT_uG74GsJRi1NkyX08wl`2($LC74-ct%VZOrshy3T*z>;llkvI1ytQnHg+Hc>%k zOM`vAa}9$W(!8UwcT-Nn=1hCM@?pB4Kg}W0RaZ^4;2cARyw~-H=IxKkU&-ude>wpP z2H1bNU9gN0>5UGUZ6(e4b{z6_OcvTWnnSrPAIiW}N@NJ(a?4J|WRpn7B*4DQsIfkU zz9uKW&-d*}qhOkUQANKJC~~hcK;ud1?~Xh+Mwu28*M5fskPHMG)EWbQNfmYf!=C;X5j>`H$FrafIKU;%H zW8ME(d+(KyEOT_$B?5)|t`8zoL+m-r?2{dOi)9*1vRUTw4X{>&`7dB+hb2Yd-+Whmr77MaQjCLyZ%Qe+B<*!zRQMz$W!p zM?3zL$$&4i5>e+TP}7)W;hotzNLcpOjd8r3B&gmdFb zB`$yQfJ&Hs&ROLF@+o^UcjIQvROY9nfwYYd!iiW43_Fs`oCB&C;L(ZWAlFc|Vq^NW z5=>zrO!GymiVSHLPGFqFp2$~#Chnze-3b&c^*$s1a%ATf0B88ROPB|MLOn&0^e5p?UC2n^GGk@wzK*U5 z<*`}t91Z9d2`XG;ldDOHxsLvb&C=?Jue5ne5O6j7jwz0L*%0?`vsgACw5-NUqbuF~ zl5!J+OGkFa0^sno0CB~aQi!XqvSEaY$0=ja!+8uLrF9|d3VuU#hX17D6Nx~3`n7@Y zSi+s`^iDR-n$sA0HkS?QzZ+xF+FEUqQk&d#BVlkfY`u(2CM5*V%7_Bz#Fx$+kWZI< z@?7wp0$1qD)82V8MG|iEPP22qVsBOLis_tkTzz_Z972MVv@cC0ttU>rtZHU#I>rhU zY_x;!T|nL*e_F}f1?!yZi)7?7rBHe_v^mK?G7Atz*S%tL$+hyL+PF~ndA-LtPTFyB zvok#f8{0FY(qKV4C^PvksVk&4^bZIi|=o5p$u0e9Ej!*vQbLSG$3(qnxsR#^6WXlxN0-T;beDE zMzNJfTS-l3)hP{aB7!HxK$@wm4iuK^?*hNqL>+0m zStw~;;H`Bn4nl2TVdX{Gba za_UbvGIKFvS`n@e7&!`HZ+oYNnPkHyblWb^I*O*I{v10=Z0dVJc*fAx|B;suAtSUl z6^FH4PkQYlZ;YYU?FOeO>q+|-f@p4~xO`AUhF}9r{1cvNe|+>8sq-9TdBXg4uM^?4 zH^4=`jg)ny8lC=!d`wKC_@rI4Z_FUmljc~txgJLaH`t^=pq+S(j1r+Nfe6w zeh_SzPj>3JK4LTa`sLd(8O5*0<}jrmpMC-$lZrUjC{^?stbKn<$euu<4Dz(+F*H;& z!C(N&D@OI#ANXNS#>Y<1l`;~k^N4Qkr|`v|j4|bbMe;Hn4TZM^Ygu?;^#X_Cx+u8UBwab#sOuUgrGxTp0hgjUV3z-gBu1GuT%qG5E8hg$=`SviQJW?zf+aEf z`Ps1u34fT?*}K4+cA{4g=6yfyRfHH)6G7Poo-uhpJu8}*n(~tX zA8fjMe<0$S?Y)_y^olS1ba7p=!GbPbjK42U?Q)~2RLNDd`yjRk(azHEXFMw;ny+^{#w6Nr|1*7<`!UVkBx6TNE89d+EiQj4~-= zx(KvwzcbmO9otYr-;`_Q6m7aG(8{ieKbwI zr3_B=`3GM61I`ZFSk5XWl_z|Dt`Hi0XS0WK-V*Y*2DIlWSG{OLsBXtcTxLkIv8D=}k(Jmz`c(_4%TE-rJ>R+$YV0K!hm~T&`?uXN`?fU!@ogTYqzugmU zI`xiu#75mgI5&FzqTi0*OydoUR7Y6yyr-IsmY$V{1#IGt-d)0im!S61Mj4yrKmnz{ z`p3?59L3Gte$TpP%8Ny&>zB-IS0=N0ik$!Bgnb#f_$ln>SP1*0S*hJ#k~50QfeMxM zG`vw<26Oa)5zrTijEUM<|GxuB{CME26pHs`chs0-h!@Grl-68{L$u`{9YMw{sHo`= z89W;KGZxJA$K2d9M04TL?`ZuZy>w|mR)8OQD3nR!kI~K3ZU3PCNc=cID=awj*>*Vc zWe>RWzLRMZlWHHa9rg8aPIFqiu_&EY0Hh1)y4XcBv2} z?bCktj;=rIb$kP4lHK~*Jn6==wm0~3JlD6;%84=X#@Df=^7IjeeNr#+U-0&HoaU%x zbPk{vIslEfLGAn#*e@J=a+AnNgivq(u6#}nqgbABwYg4Ox)eaXge}tR+Qg7VMcG|{ zj7`iuIBsi-u1tux^U|^;7%ZuMK1za+p1&RC0?S*nomf?b7|TLD#_mX!prukXFPY)~ z#u5>=R(Ouxa-j_%*gK4t{3ujQVDQP=EhhAb7KYosX&xU=Z116!Fo2f_f0e|-j8%U4 zgGBfy2ir*TuB;A20+!4)w|(ZphnKl+9jT`EkSiU*vZS|&xEggG$PlTU&K42>k5#*V zMmcuuChLz&qkmMMt~J8M#Giz`-7X&B9i{ttf_%7A83Q=m5S0k;RyVA(g`lgD#dR8;% zFVKA2cCyrphj0}FSdUKtOE0LF^Gu#d!Wlueq>ys%Vo=`l&W30T-XGKJW02=8fLnec zRfF+KTvg)?)WH1w9iOFRDOHJ0FGEndlMHmU_G}ktEG0c2PH7$ z9e2`OkzZwfxj$n|aY-cd$y#&1ejLCG@u<<Jk19SJ@Bj~58uO0!u6zu}RJhHhs`d4@P z`_6RQYVzEUS|!j}+Y2e8mb^0~MJl<4hIGxo6mJ*N|Irr}t?U|P4*u$$c2_Lk_d;5& zVnHZ-M@pZk+ER8T2R%n)^_wND0J_r%mQR7;%@AG)?)YJw@dmWm!C}YJ$qryZfq&z@ z6g`r~FBGzI>fgg6f$2`NCkB{T1Bgw0_nL5{<`0DU)vm2s4<(2Y7-SZc2%F-_JXcq~ z??Oqz#z6)w2v?}d*a{l0Gy*x^sts-xdHx|)kJx^O#Zy0{{BdKT&t_}!61o8%b7*li z$kx0~Bov7mvKxLq+wjoPXdtl~DyERy6J-oK^y=_9#=9hQ`W1&e+S^zEN`Fgjx>fJfur?Ov|MfIttSf++0 zQc&KrZ7$Pum0Md*C=u5yg91MIju%xVY1Vs(QR<)I3l^?~S!p-9{OmwydXt0R9)A+U) zhu&P&W~7}d{9nI_TN{MUl`dLjOiD>f9`!L@btl(lTDvoyhlnkTxytO50^ho0~NZd}u+5U!`>e&65b zmaxEd&-76w5bh>6JpYo~*IIV(=3Ktq?a_Mz`B|;>|3kksK|L%;aau*3W#h=l4djFW zagEHL@;>f!8JqEB^n?_8-XRDLtQsbVT_Z(3>!bZxTJ8EMj$*Ic2>SYV5aZU*Wt7Y9 z6to<^VAXbz>ASITZ0M1oprf0p^r{i%!4G=)!*$&0~DSsiI&1eiIJ|;Xh;okQdd0mEh@l9Ch5L#6P+dqik_u zP^51%m0wi5jmV^Jxi!!w-$PuJRZMz$Od#t|ubH|vgD#93(??yz1|tm|@0EV92tdgO z?|x0XSFgm&e@28>y??HMhUjvvBHAS1#B=sp-cJZ@#yU!P{Vsrjm@_T)w|vKwW^2MB z>T9W-%zk8QvlLE~Lesz+ikH{Di0SBZI6M^IbdB`RPsOZaEQPI75dAA{QGflF8pSQy zurc&G4op<;+_v+?6?@QDW9Wm~zfyDvl*m9bYg;pd!UM-yBHuJ}#%_*J8XLv1nD?() z;zEYlLO45-)DelH#2qOEn^lODS;`6O+5EpIt~1^aP?g2zW$CQ)wY zg$`bo$MN}~MZt|5Ov6OYd)T1+nu(GB_w5Yp>jEFT%)r^i*2BrMF@gPQi*gAP(IME8 zbxXS5tw>c*0xs+Pk5{_`WQ3-QhqOy>q+Wh-^rl5&mBfqcsaM?jkQ}h0^$osH&P)tv z9d{AX)DWFw&0!7jnhKNCI-F8y`OM3^ID{iZ)8TyrSP5(TOOwn5!RDm(<;*m zwj62@pBV1F_!rDzM5;p9RtH+h4{;fqky^%!ZL<@_uH0`@i9aykwk228i#qx{U0cl* z0ggc2J!;GeG)e4$yP01GcA*@(U_LN<-Hs8B$@8KeM~^4VT^n*8IvS!GN6K&Fu6)z? zx$&Ozk>$>_a|_n1H4Wi5b;K-vj<}|%Ke~Q>X-w##WgzzK1WRh;$}n?{j^wxeXRQQ;~D_NlkFug!hBw95prOz^`9 zOE%9&*9SZCUf>4$v+k8H@9NG|axPE(uoylGksNX(Hi-WLhHG|dHn!PduwKDao2k4z zWRfZ)^W{{1PS+FZ26p)fVE`npIQkwlbVvh)gc@D_H-Gp6mQcn4>}EB_m7_6fenadVdxlrb z3TN1MFfGRJY>>D1Hh#<$?e=We30=zW65GgrGp;B<4EEepT8F!ZUC4@0GO-2XVoImCD_*b*ZBc*%)zgXwG_Grx~MV9Z`gZiZAhW$ z@L{%pe(&)ATX_QAaM!=#iRAru#h1LQh{#>_1ZDm$OM-z_Su)26pcXKx3V&^f@Mgjm z%#HU{m4XOAnLOm=g0;nl$vfv@uO#4XNuoUWX>zX>fP7jv3S*#E zvDmZaeW+(?-37Js?dc`Ln?1cOYvM8mOqlr|qYjF$9O$=g zQ*2MKuc3d>bJDE0#`uYjmU|Viy;<;Ejv-Ggh*4Mxf8bdd@l#iD~J?+_6=s7~8E5I?FFH%&qqp4xI}n65^x&MMvlV-ei)to7 z3QK)Efc-1)ILYv6S6El^xozJHhx5=L)FY3J{Yt+VrC+r7u=sed{3D&hrv>E*r7C0( z0uTJ`_Rhz^_l>R*b%1kEv8(;HfnozyYHmK10;3`9i}}C=lKNFhP-iVu<(61%cvdku zMM5ATARuO8Ffbq>ARsg`F)$EvA8pALGpQu?w9EBVJI7%%iAIU%Ar>bs z7OGTa*$t!s@`g8F|$G2%H>XkcX$1q-|~b~wJsg9=|bC<>nh#XX21^}+>Mcvd3Z%_M^< zUPwB|hgsm>lTlUmG?1Xne1w!#W|5032*vDk%9qx(n~oVlR?}JOxK$ly@@q|+ByJBW z{P9^hH*|-gJEfdTWJ}75>|ad$26wv>ykqjMwYESU(z3}9fq@LfMW)DjHb+`Tgh92~ zk!>le+uF~s`7+q@OW`yR-7MT3^h-Z!-Ei(#3?$!H1cQc4ILIsmrvrpHQ>otWR%uDi z4(bNx%0Tvsl@OQ4pk1tQ^G~<1R-SaSTM@fV4|+9DTS1=UvJSW{t~`V-tDdgzfR~Ul z1k;XbqTd^=-i&y=aw^hHa@>3$dh$!mMZr0yv~zRmGoHfmfz}|<-!Fi)b~NzDI|mMq ze`H}t&Ghi}3q5EsQX|`A8x=V2;$Asayxdx*^u@mC=myJvarg7HhS47DggioDrvrma ze$-~vz+6aTljbXIhB4tYad62mK}pc|=rIh$QB}g+_qzeV*m%4DyKWtC!;w1TfO!<& zg$PaY&+G%Gn=>Cid=9CO?UhbUKaZ;qa;)7+8J#?24g!bn7yW&>MWij2QeM&+X2HyA zkI`w_*Vub*0cH5IN)kw40}B^7yd;Q#`W0EhC#WwXHD-9htbWORXm&*Dc{%UUXvMQ- zXzIO1!jwk1FTUF8AW_u*D+rOit+xvw_%1q9Q-Wwi!nKc75qHruen=`-`bkXZ&58G~WUjHa%!Z~&h+`)p2a0(^XX5)KD!7v(yK3e1fC__Oc$GaX zrQfYa5tYeY79Dme3+?Ekzz=zvxQ|phyn8#|>Ivfs0bcb`sM9bsx;^(Ah+Ae9r2;Pf ztxgKt4_?i}gT`*oCN{M%(<~V=K=~R#N!Tz1eR>_B2_Y0y!WgS|#vC5WvasdGo;ro~ zbp|aTlFOy-DsDd25;VIy57;z(yl&m)*mcG0y3z(k@ypyU&m_se_Iyt8raNsp4*g(k zrafc4;~ZVXvD~H7nf7qyd$a#t{%h9zjb-E&T)>K$S7BJOe^+Gw&ebdk5_6x+I80-i zFCV?|j+Hb3rMoYQ%C}G&_3?MC1%arJf6sA3kOD^h7XTsx9LtHdUj*GAVeiPn7IUUC zEG@E11?XHe?9Jdr?EdRWo$Zl&?_+az!M`s_%d*!cD67DE1(ktS#r@?h9Sa8@wNYuQ zJ(dj;0x)L*A{`~6fM5BDOAkNeao12{UNBIuB{Eo% z4;dehkaon(ZTufOM3exPvXPPt zN|9DT50+fXl=}gE!!%i15uiFq)6qRtLx}awkVbcloT8rt&HRk3KkF0$I{Td(SuIKw z1lNIl>c_mi362= zh@Jc!Id7@WRWqi?g zhm5S-E2I1&2Ps=d>ixds;m1mnTd<*v_idB2i~&UxV8foKty9=6i2rmls}I_E$-HZI z{oJl&AJjvB-J|P1-5dJNXD2ry`NhXHA>$GMR@pikdJrKLaVXcpB$^?89NGr}`@ZV| zj;_*5imi7-cl_mK3hkfcdfOkJRLZ~pC1 z8d!W!5uyoneYol+^qxF@EKNNQGXE+1G=jX%WzMlG$`=T8=%-kiWv~e+Nh(crFrzg~ zOx+FeGv`5c;@gDG@DnZ=T16`p&$0jBQ%Y3hOukW(AUZCnhx-k!%bte z-+EAut6y?(;Td}2l!h_jX9Br5HkzPJ!Z9d4Wb~0b?8Goc7}0|vion}=Z`T+Njz*vs2k<%{cz|F^eW&={4=3ds0QQK;ltm&A9c~aBfIcEd?X!+16VRO}k zZ*fnikQHAhQhcN~--sCDYma#hC&G6bv6zYSTZXnmt-L5w5{Y3cckz)@sExo19;9}n zdyH%{u1>64dg4W~KgNToGlOdurhC;#r6|6`irr#ls+=&5&vcg{;L16JA>`n8m;1d| zWx%~{7@8x&#*DuC#8Tvispc9%Q17Hpb&`*fwgviS(B z-$-j)H7n!yI`s0vk?n*TAh8zSWlI{nLJ*Ge74;4;DN@Oc!DBF1+Vk&7DcuFRATITd zhdgACz-*#uHPM@r*HH{BQIoaSf(4Bpkg`LXE~8ni_YWiDN?qwcA@6w*}FOKXDNK_)#KRPxyn2Vdzu0#wOJk0B8$%k6Wns^Sw%ul?(@eYE(A}fEY;z%o>cEcm+U~AaR%_IP~3kCMb>RoW(L)au+p@`=l2T*r4^lQ4mbE zu(FB@3omHZHoLSE$ni5oeN>P-MqZ7WwJT5b%d?rR`2`7LgqJ3j6SwZ;ssQL6SSbgOfy;#6h@?RRc)sJ*!o5Lge*huScy2)=(y45g5QS#~P)2X)aCZ938XB;gj#gAt{ z!)LFMuJcaN2$kI5i@gVRVH9EH%ozh}9;619S@H+je|q?smR`d6qRBJtdY#^j$VkYz z9am7ypU|4iMH;CN+BV0`8Uaaps`fh53|#qaQVtMCjK>&Bt#Hi&rtSj?Xug8uistj? zeP_1m##5font?BT<|vrwSkxI1OC*HOo2D&!85LVD*Kp()J|UZ1|Mv?6lB`OEeArZ- zIp7RbZXY^c8-+TaSPK>Wj#Y`N)RN+L(fBkc(Jb)4-J!?!O+FI!(0lEeS9#`Kf{Ua+ z>mVq0(X${+L zT%7D=e#HDS?~3|iX$Ec3Sk#@XGr4D4bILD!&y;bsBUw`j&v<7WHw|%Fpk?_e+=~=8 zR*FG>BhSU%xpXPmGL8OaIb5}Qd^_VcVAJNb7{#+$spmw|uy_@g$H@YcAf_$sX0v7Tn-Tk9ijfiq17B1`S`dLDWPp;~if-7C*eQalea4jH5s*+2xMZhP= zL^rZq#(?9xxv5vW+O94*dJ}gQrYbJxpDdE}Jw~=B19Sxi!xhG(E|~a<1Z;I_`V`V| z64=}#^I(k3M|2E6YN%sdXE>Qp@9_Ed1;`+0d9 zRdO3rcE?+9J4h4rmE%QPxPRjXX{`5T$>p6tao{T$LTjk3ob>WtCNZKZ`} zn_|nsOHmwXrHzxP`qov-ZwsjKlA9IjywLz?o-wgpgWtRfSPfrTDZ?_tarbOe7)F-{ zo_U<<6M)v&J$`KfMNSmTrjN+U_=C_5#tLF8svqQ7sRBu$RSPB6l>I;>3I86O5~Zu& zi;ldgGr2T$KJNKv3im~IKCvK!<$J-jcmbbM|LH1)$11wYS!dSD7PVWu6R)@6lxPrD z0kj8zkdqSSwKi=<>na`5mxEd17!9MrDUJN+ibc@#IC?+)-Jw1ia;gGzmgqyEA52v9 zwlfyn#A#5TVbNO<5zDef5!kr+Z@M=;m<ECD86bmk_qilMr^ z(Yu;QqmKS;Cdt=8WWBm7Q-rY~jP?M^GwSQ_AXbGK_TbGg@}<3G)_`d$Fz{!IcMmm!_Jk<_oj%VlnHfIzm;!8j#A zl5ym+B#e`U_%K!EiNFE3m1gmMwPRQK!!74ZD#NY44*W&_rc(Pr`g~d>dWc!bv;aeS zvpP&eJLbA`PnU&wzcvKxg0wq0ytIMJ=%^^4BC!`v$n!S{Y$mH7E|o|O)Mws^fdl?S zq7VCa${9lA_;!E{ zl$6kXeb)^{D&=={J#Xdc&%=!e1j9#ncR{d$+kY5rSz>=%M0eJ<OIL~o03vqPIf#;tS&*d_#1<;R~F*zgkA9GHN z@g_@jpY(?ZHu{XJF92|6drl`zVIM;=-n(6X&N(q@c!t z!_>VeL=ZZ%31?{SQ$-&q;+pFFj1i=BMSkm7ET*l3JuV5Yo-!uR77Jnl6l%vU3cZqi znpN2KSEZJ#!#2}G@n$8Rk*0iDA>d{-0I?voT!u?LYYC-Ws>3XP`8Ou;V*xgT zDyy!pk5*>d;5pvC07x;d01%P+^(`>Z(!oJs0nd`g^Fjn!Bdn<5AG=m*#~2*QJQGk{ z5DHVmG~o*ymUb4`evJ@cr#DFsnre1vfr1J|$gLuZO_5|N8`%}4B&!kDGa)sOs>%{C z;;-71bAgl#5@DTve~Dp|sX;j25hj$`%*a61y{nEHs7+@x!i2D`SH62uq-Cske>t40 z)j5Q&?WS*n48r8#Mp3^;lggk7LUm<$X-N_ZrOW51k$0IgV~Jhic^pH^wESz2K+z^K z&z9A4LsVap+fT0KKboQ3_Ax62B20WRAEfv*5KZGfxXsgyWUGk4eQz zT+F%YL`_bjmFoJKv$r>>be%9AyFivA{EHGxOryL9h2SVVA~80ezAkyX&PPtwBD+0z zkdo{uM$TLXze^89Y?TfqMDpTr`w4t17BUghNZXLN0ewoH#$=_xhxBz?{(sPI zG?2`zcG@3XjOsH^g@hiRLIJv5RzT%iVdVU8h_rYoND|z&L?!XnrH8+DKCRL8tBBbvx<;al`8yp&F)qh%rGeKh{Gx(7iDZ+J$Y4^g}Y^FV+??{2y~-(f1$ zOK=)4fDa&SX$KepSSlG&7ibN8-$A@y1F`;Bx0F`}6|o$9(kDIlpFFaL(N1qmp6N9J zenoPR4UFr&RBawy!;f^lP&5MnI}s$-%YW|vUk;h^G2-}ccdC?Ju72A&aU&`Oa_g@l zY$R`UPM6i_$5%&%3Hu_xp{6NCEU^wKzYtP{`_h92bAX#nrctObPGCYTv{-n4%4;ua z0#WjzMR*yPCtK6xEjU&O*CK(bP(XW6#eYWxX59)HL@td8U6pk>H~9mO?w1bWPV!Zj ze1AkR6+s}!FT6@f81Qolom@%?m4+_Y&daL4?^&~E>%gwv&fFMX$_A^W z+~}%zLOH7@92K&5r|(L%a;pz6cAoO}dWWP5A zJplyiKJZ%{O8%Ws2?!`hE?!L^Z5gSb9@~-MMRPuYqF7tXabsf>FEg*v>>@UqNv`kqPi7!tN@&4@2w+(}NC?wefJxUEvtmm}<%#*P)zz&-E{zTv#y`27#y@DNX=)gR( zuA5>0r3SG(l}K#+kJ4XdNPdChDWZ*$Soy^B}e1V7I2Bob8DPnJ7T^o zbmv$Ss<8ES4e4J}YakIIa^$LX%>plX35-ha#FtiSW}PjQk|Ep)Q3(abh^J;P{s*1l$4&2b;`k3Xko!tcT%s-feM z8H6_{p|Z55en#m)nfEohc-_nc`%98Zix?F`8O!ls69oB=*~^_*aPJjM?<7JpZj z-aty=`0UQF9t=XWKYeh-3Xl^em@vO3FNU@L<5XhqZ+c} zWhq?&#r{@BTpRuWoe0T7vbOB=mQb88E@O>sZ-O8LC6@OowB;#U%87Yk`(DOXBzDa% z^8bkGI)I0-gFab-?-LJL?e?`1B(Vi*A{CQf#(9&q&)ytYmkB~%?a^b)WzmZA z3Cxt#x90*}BPMy&OwJkc0Rb5`au=?gcl@>y4PPs;PdKJX6j!sQ54B8s5Vxw0_Ycl; z(~o2jS4DPyjggyF8Loae9);Wd+&wDwINmMz&eQPQyb`|#%X1OlLx}yi{#pH^^eWN= zH>2Yfb+E+!Dlz|yCNJesTPB8FQ+O3#J#l)+L)*6USipSI(wPjB;DF*rNwVkFNx-+p zfs{HmhO(V4`e+0=DzNChD4XS)!*j(~iylE8-8^W>K*qu=^h5x$Z4+>_U;_G99SO)$ zKdW2kQ{pKD?B{Xrmf_rUfeFr*eD@OF$zU+8F$OJz`_~sbmZ~+&g2tC=mat$ z?Yad+OAe^eOM@`P_oOL|rvV}Ii}GmX#sSPhWE|IsYo|#pc1=8W3nO|!7o`n4a@M8( z#a&0Ddqyb-cK-magVCPB^2t5sm3xxMtq?>odBvU>-m)LLA-xK0~p3VGF?N6@nAg)`cCOO{oB0T z^Cy3v)s$SuMBUV(e-?#LBQ`li8}+ngcY=Z2-ArMccAKEaJ7h6kXS#!hJuP3o2)V8T;Yi#pZ(NH zTuy@Q`4*qgdrSNhKISqH-0acQ>{<%lGbnjaGMniwuQ19VQ;4}$0x?3ymJMu(Zix5m7B)L`vJN*C&sreUqSq)aZ zaQd2muf+-W;@{r|e!i#1iTY*dpddcd!>O%vJ09(GadVIZEEj~0j*NN4ZV(2yHi~I>} z+Vky$X-9@xTJe*59Z0}<>Dd)X-VBtm2FrXYyJ8#7 z!j)@N4GK*Q`isnDDo*nn#elepk;AH4HNBKBGt-s|UR73L7^S*6r_QBWocyhVaC-ni z^drF}+v0k#$!9~BxhvF^UtE~w%>GaT^p)`++7ecc(}@eI4gTkd6XQ%B7L!f$ zUIY=VJ+{syWlstUOy@0GPqe>m>B)+jcCqP?^B!f7Wfzj|)E9nA+pGLes}$5s@crn1 z?bqK29y3$rXPhl}Bp@q}vn(MSOYZsb@5&TL4bw2rcpS^HV$f6%+%}cMGD~&-6?$-r z_u3K`ntuORdEFKnsWu{)>qNi5x`WP*tGa#+UZ7MtuNdrKYa8mo?GYwv_)8N^j;Ja-j&w#XyR=yq7-(yi8u& zuU>s}^usb)q+{w%ZVYp{&rC%5j@d(06-3n>s<8N(mO}@Edz5;Wv{f?ZVCdb!)*C%> z_C$@uD&((riC$8rvb!%`ccm3ksa=9Tpp_P7KO*Bd+Jk`v$Hvqr@M@}GQiW5AK zCG=pOLU*Cp_dc{@HWulTa06q*)b!Wb#Bzh56t4^LTlBZ0&{6ql-a8bxO-e2ZBVuacn$+FgxDKONir>&{}=eN~NBuvw{4z_n+?Wq-Y)5l$KFGZh^l1 zVhk`5TVX-=^MW}{XVX&%Ux@V}t8dwE;uWAL+vQ9%@1fS1%oa9CtYOzf5^jp+ucN7f zt1gCRQ4^I$V>9jDUU3Rs07pY5Ds_8(#F{!GD{9z*ZAtaLuWSN4%B<+^R-q)1%mN+= z4HKMGhA5M`MLoNWC#2*L*10!lik94MoOH#mr+~pP-IO;D@q^ow%>?hVnKhwAI%PI{ z|3vPQ{U%>)SMs^_OZAV!u1A_#G;)wk9g2f80JlVsm2z4em;$KLI4MAucCOGd-MD{JJj${se5` zMilXXmWE#8bSIjx`8MezkG7nsno$X_`BSaWPrp$SU|BVO} zYCU9nvGvXeZ%^1Lt2L>>+Nd%*0dE3}}96KmE8Gmjm_iy}}b8?#3ph zdl5y>cWI<5Dc?DX8(VCtVann7H0>*kCz?ys!WZcn2medASu)3%+XWQSg(;)H8Tp(j z`_!&64AH-~jP;6t)@*gq^MmYe6$}6?QR6qM;~1rQA%g)5w0b z>EP>r4T_{d>itJd{vL*%#WO$1cq0;6yxt}IrzQI#E~1tw(i|iJ4f4Z$WccmZgkS41 zHk!-Z=II4o{hCzZX*#@!=CFXMn>qeo*yl2Pj_!LHva-W%i<1ptV@q*;pmCv&@MhVy z3%O=$R$?;CQ>s^hQL%1hJpPnYA83n30i^N~LY9hMj9B6?kdM^2DGd+ks;aT3cG_}F z7T8DKx&Q+1OjvB1kfn~A^4T~w@glZrnAE+DTE@h}{&g4~lGyg1X$M9kukuKVQ2%Wx z8mu@-XPiz(1H9)26Gbc7si|TaV+up)!RqC~1N0dhd#!X}SYej-9)6!xMyG)>*7a3+ zgHN=rXk;ksOZ}fSNKndFD=ds+oAHv@Zi)&?pdbP||6@_g!oCOu#J@4Ab2xD1@oBes zUkNli0t|NJL#*B@2|c=5?+VE;sl~0QQ@YrJ7II@gud6ZL@8`bWytKh^CDEhW^hX~0 zC?Ev0-$r7&vW;>%$Q?qT<-oLOudy-A{2$sZnyspwM3t$m>App^o-yf~SUrf>Ms*$g zE*;_ho4a-wdAQQH5iY47kT^eYez)JRv#->_K{>gKxj4e6F!27!Ry=-vImXixgY|$* z;h)~GrsR|XQ0=T$7~31e>O;1cZOG9x$12M7(rG9&AVrvGa8^nex)CFR2xI={gAygc_E3)iP+0{puU z2r8f>*sgv;wfrdgZP2s0Gr~NTy^RS}*x5C~v+*A-%?5Ru?`oF079K{TX@61v6N1Pv ze(>tzqDUj)v15rX&-T^CRWg`UD zpO80Ic+R#hhCr+1eS4Y#$)TvfS>s-a~C>LNR83Viv&o-X|Z*^jTZFXMJ|r z)4s!a6_~J=SKKeNSJlw2L^MUjGVA%P1hZ z8e6Q7bLpFf!mvnLThawKL^dMB5&XHj`gKtz@n`OFP0-Df(4c;sI)A^q+(M@RjQUv6 z0FLyb@ufWVD*cno&j}>s>WO92_i==qL^@ai96w8wS}v$!r+x^D)EVoWSMI@whIW`| zpsqjB6M?#GBW6vv9}YT-ozjU4y@J**PFCT`L~yz(NG`n&ZY>a!eB2k^9j>RML$$Z& zFtbUxh#Z;}7D#-y&Zam}@*~V9!>uv3@(JomLg^GxlE=t2cDY8XP|Z=CVJoFg!!?ra z?CJB*>v<=&4HWLJ+a25d$Lav9O0lzLh)`VD+dHL*l==g0W>6YC{q?+6moeK#|{( z$UJ~rV5|r?Ya-pzfqxNJ7FOh8#a0(j+9cJ7arrmNa%UCF^}3fx`=!Gw)j{|}itfjP z+}zDqZBxy&hQdq|wakYtHelv2?m=O-mLBC zzkKYrz&`_V@+ol^_PcCOu>P2;^74}iVgViq{UG|-aP)Ud-j5q30h07OEw*hvi(mqBh@|L7yIC=966(dR7|e^^?b@w5SW z&TG-f(q|?)pTviYxa$TiQJ^3={Le=8!Y2n2_={nLU+8W`FWIHchquC%IsgX7^B$bx zZ~Ezg>Oj3swtYPwiI6I*>I=uQHVQ@RI{roHe^0$1q^B}+FGu5Gw03Z4tC-34X+cczWVd6igBNBRu0eOr10HQBJCX(HJlg?-Ha}6 zruq@chxGUXcW-}g5#%iG_-UDtVyeq~@?<%Gy*Wmjg`j*-#dMTf@`Cmf z10XrBBnqiHg`YCf%1Z)Yi!-Zu^4_Z{p0GrWMN>6Im~u8~jlk?L-M{|f%&aS<&qJpq zH6fc`;2=c4_ET!k%}x}?mR;6NhdQeM#7ivINPjG84_80cdJY3x>5Iho-rR5$2_oZ& zY!AVin7m*lV2rd~0dRyyEZ*Bym^m)FNqQw_t_rAx(Hc21Qrysf4uM5GC^aX0^z(0; zwxS7^iW?66f(W?YIomZgST(}19smXa%G-x}acEN=qQxkjbY$%f(Dxy!(G}%mXf;!j z5(B=KPLy?Z>js=n$;E&QFqk=x_Lh8@y@5aQrD-S`Kcdhvmy|OOfEsgq&aC#zYOReY zb!}b=xLIm_TUCJDRdBYt^f!6ITSl};haO}3nOMiZT+`ORj^Le-`tsdvb#$^jD%@X7 z5*tH{M)F{*<+5@wmVkWZ^d`4!KKOGG;}Air4o#-(B%S3KNFtfAS&AA=PYvgcSY!nm zUo#QLJnICk&!ax>pgd>dF#D{|Ki}-k(NQQQ-y#35zG zDf!u7K{o0Ax!zezs+ z>d6(;X&2eXccsX*lo!OQIm$SZj|2ey%spO1h1h5pBqlFc9Nxbfx+8QFKb(fUowEq> zb{p(+xBVQ!$+AT{$iFPoM{Ym8^$%9rdB}&j#!$=Q)@7Rx9|yA7et$_TL0^> z`diF>giJq5woOxx&`5nDO(@jOhXxy|Zaz5+y8Jju@lzBa?`<_hK(CU&TA9EuHXA*@ zUvldB%eQ@w6985W%#a;`DWEE6D~JhB9g5>#tu*bA1(>fM$!_vwIu+z4$n){(#;oR@ z6pjc;BdDPOBAQozyq`9k2;|}PV&Z726@qDPk&C2YA)@!j1UJ4=2e>n_(h~8d#aaA{!_oJZ0~ny-y zJemvq??BKpnI&6-Q_$ms1Nn$3c3lnBF#JY{?1-|h#Wb#7)wY6#O=mu;W}s+mJs*E^ zGxVtcob=n*$io13WIRzFNTH*`&r0})y+%kQFURErv$O*XHk>hWfk)}M<~4x@>F$^L z`o{B1<$n~kzfB+Vp`Qf^9G41jf zM}i6nf7ot!ozaf1gm^`68JV(Yy+|qdtkh7uhY6t3$V&v&!Ba@eep*bZ8R zF{l+Tc&AsOJSiJ7GalrX-0uNLvXr)66lwqQO~fik?9K_;K9AG6#h?V4baobbV{gb# z2P`ORmq6J(>R8@5EGWmsTYMrx(ft>(;tQXhwl7F>HO9uKR#1S1t)$9FU*BBxreONe zTzC)8Q1-!Pw|R~!o}8*(Pwu*enrLX(gCM|V_)?2h?0u0Q3Oht+@y}fM#aXQozbHJx;V8;P5Pk z?TzUI51ToH9h5b*qwu;UYq#A`-PK*&`>-PRA3PkgI1-vEI%5xdJ8YGTYl%~+U>uIm zc}|KI>rcMP+CJl*=%JF&V5IaHszcb?$GWYzqWfYBI$=%;L%~HO1GYxXEnR|;1GN^; z^jcnCDw=2$2qZBTCo6F%o%~o>=UIEJKq#_kZVKZD&(Ang-s6A$3UUiy{z$|AY}eWF z#G5(ci6+Tk3`5#emkt@N8ej$`@H?a)3q%U*G*LD-OQ=~nL~3}&wzQIyyQJg#JKuRWHG`n(6D^C?fOBzN|OeO1hOEiO&7t40dq(vL<^7R_%@3So;J@% zAo}qE6FtO~J=XxPP^(@fUj;*|CL->v)=Jtu$I6#ZR&jPiWu6j2bLLknnue^79z(kE zwE?ep2ip^YE;~4j6m&we@JgWJpwcMK*mzR=N19Jp%Sb2VSUtRndy4zzbr4w-Rtt#g zm5U015%@X>H~^sqc*&Zhb3C6Dvq>h@{C0dI=MImxwh^tiQ!u;_V7X94n9EXxPaW53 z{ck(nFn1^ZUG4yZ5>e98VzjqJaHWVn9<}+q{s}xW*YeWvZHPX$D4gmX@?fEAA;yiZ zQ#)d+?aa<@;p`e5<;1%SP`&-t}xl-gp5s)5e+ zhzEm~q*h9Ol;NgEYiP*?Tv$zKk%yzUs=kc47vdWs4JuoM{|14yWT|0xmntUL4<|RB z#udu#eSfZR-lTgaE}^q3P+#4zf9YMxIYR1Rbt2>4P1>8cNdIiVuzX?#4qDx^%>{M4 z23{{HhLcFqFmi(-TW5e)^}FYr7X^@-y)#jcX*{MIN?k`Tt8FE+*65tP>IFD7mRvcQ zh^3P=4dAoNzf1k^hn~!a?MXjjUarc9t6~QgYb^rDL>^`pSC)N%TR0G7|C_Ouo;fii z!g6b>z(_TnBLf9cbn_2Bcz>nDDt0}4D1qXXWFbXo&SXlv)M@Hw(Rh(@{`jp1f!)Ok zJn~tgD-8e)n+rS5)qkgaf35?ZU@l!i7xJpuIizLH_|ys|-b6CK(KgzPg(Uby)(#58g#dspWk2~M(rw!a`9-4!p{p%I& zK)RXME$$0|2dW%@g->QK6_Bt3E+x$)(l|2#yty7WKiT%dJx2#6@T83>4~S*X2it=8 zCldBwbHsnzs#nB_W@GDD9@)eNIM^<8AhFrBuYNFs5DA1nF|qUU9mvaGq<>_&oucv^ zRs0iED2OP-AEQpz9{;NxtCmDu1Yg_;bBH;_LjT4M7NuiOHLK{=D|s!Q`uSeAV|qhs zh5&BQia*I2A z%}RG=*a<9}4Hf8+08j+<&7SNBWb26hv79LVCP|mcOwLYRn4$9b(?hNrPgNOQ4tHO( zqB1{OhQm=~)^Tqh67Xa2)t?t8cRkqLXooj;IOcgn;FML>Ht)`hXQh8hfdmpnuuw;- zkchU+H)1q9{D_r^nkz@(j;i6yUKqYuv981t)9tL*3k_&TM36Sh`bdomaWGFL(0)Z0 ziR{g`+@1QtbBc+=-$nD89(qbTT)5>FVv7(E_ZO&)zkYb*fd1Uk?kz{9UUQqSJnZxbWVU$}bS3hbP*DFA}(C|_6yVY~TjEf0yO!wm+E?qwpdj$#?u z@ad?)elto7z#ZU*f_oC=fMs?Gp5ljt)O)`(dI(7xnJHgf&F{U{Xk^P{u0%eWvJHu+nbTBZmwj*Gaa=vMsN4)>xRZ}Voc zD+!rRpTSQYDFfUfq6ObQT`gPBj2HQ}mDm(U+5}>!x2Z*a>B_CrDBDY_g!q1F^s{J& zF&wOppJC2c(b(F4`(Ktf3 zPXQ^B*x$W@8g9vCnl$hdSp#=+YV3uvkz{mc7}c5(`7`=G_1)%uUeeX)iw|a-t>~i; zuYe39lI9nLO|}lB-=#7e0x5?U&YtB)f&i6w7=+ZK9^^tW;ulDer0un_{6Vbji`kVF z#uA)t?<{JbkMp2C$+bV5JWY5^NT|8KSzi% z8_#sIH5z&fi34E0bXqr{^X5ZifUG*vm6-k&1v0v7-xtPk*>i|uWBlPsWq3Oaog~>E zv+3q#y%m0Wv39`NxMj_<@`RkQEP+{*x>NeA;SQ4#ZM$dLMdm-egC=NISZsJ!F*rp+ zARr(hW??WeARr(hG&VOdUajQAhC*r}80EC^g#tp-pXh)xfAu=6BZ;qB45~G5b+~`c z*eiIa&Uv@_+;N{xm7P%lXp4K8R-1QRDRoR)v+;r>`G3hBXp1zV3ROR$?*WXXio4PF z0rlw|S5{POt;k;N0lTVKI0EaA{p?+zCW`;-b$e+3t*v4zv=fpl4z=QDB<1p2j~z8} zyl!I$YXsG95Yefc6@938Oei_WohC#`jp?-0-(RFnLgl&gp_vTtRTC^PI0N|70XkZw zd549+5i*gY(fKWjot0-I)k94zzdQ@){0JVUjMYsM97%15e^wsrnpMIXTd7;c={ z_wSRljsRoOQvDb|r)PBxolO7qzzLwNH10idZD9bGxAkt1&>91lKVW3fDH=W}T+cta zi`LonY$a6gRj~6+g}1f zCOH|W-j{|V&UyVWzqEZ1Ybdgbu6zq-KMBG(Aq(*d^JX9xmsZk-%M0(AEPss-m*3(1 z8#oF3nOrP6bEp`1#YsbJ9m@0_?%ACnN+Rk~sc5l%)5#5PX5}3$Eda-R-yd9iQz_28 zIBGr5TP%}53^-?$)HxZ8h|uQNB`IFB_eUhE{9hjFz+Jiufw~!gRDWJ7L0pD9@eUoW zi}z05Sp?yb`6h6~9Q0U4Xy)$L)?>8V_t^2^0-n>k0jqeu`RYm^1=Wv@>a}q6+D?6o zwnlFYJ8FWR-qR2F$-!R$aR4H?`nIAY7Ij-gA&<1T4Dz&Lc7+Ka+|>MUYO8Vo?s~yo zFh_>y(^T_YV>G9yZ*H%UCi5CI#F%}78$}mWxnMD z;GS9GXk&nB6&yI>&wK)Ero?J-t-ZK2da0*3e_*?M%6U(BhURgKJrOj9+AdVWT&*+a z*{GoZ{uvrlO^oIgr=!ww~$)-w!1C%B8 zbe3>^ebBTH77hKv>%82gPh`dsm_Q5w1#1Q% zsQAmHly%CkJI1@62+p>IG$Ltt+}k5~D`)Mv%!$C$14Yt%m<X9iC*)9$aEgQ7|S;SBQhco@jLZ1`v@p zPIC}IXDfcX8xYgv!IPMF=2$|0b+n9eJd#Ix=&N23lTxrOQ#G1b!DWr^lolxImU{^& z#~s?k<*jqSwZXpD9k%xY%Hg)o%WISowr<2=&|TLeOvVPM>1kaW9W=E6;PLreY}IXC z7KzXG=Kj_SC@|lp&NR=(aNm--kXzcZOOVuy+QVAf^OifdH6?($s&H=rjN+~T%@R%RI~ikm zB0$>iLvo8&teB!s#yqN&bTn@db6r16e@`$T+(Nh1>1JBR?$OFw$Y#BL$o@>vL7osQp#DW>`Qm4fY7*23`JF5#{ism=R=zcT5sL z)g}cEzqKouL~aVKH2;BgHxF_Y2KnL0ZBCT)8&%j$FVwwyRYo5+mB~e;tjq6&g9&zh zJhDBc0NEqZ9J>H3z=NsPnZ81pJY>|x{^X=%|B6irY-Db&) z`8{#Uy{vXc%Xl)SrpkD~v5wM$q$tk7;t`M_>UxxYj7x^XVZfF&y<`B5rZWEN#eUZ` zLdz$ei_6kSZhKekXNz;sQ1O#S|&?8?kZY1!|u7SwM719BY6!|sb4QjD}83`ISZ)yHJSJ1`lb zSCwMMdhxOkDL`K+U6PkKG=%X? zxP0ZB#R>CJ!lJQ&J&fcQhij?5#Ta)8o(~laV(iV6O&};vldvz^0)e>eyv<+EwkZ(Q zFbbiS719EpJL7Bqth9SQF(+vqplf=qtn))+++cp8Md(ReiopO{n=Q`>Fu6V}lih#` z3e8!!*Giu2maBe6L|P+UjbAQ{p(|B%_M2U+XZvTFPl_IH>e1{A8EF6L11@lyOKf97 zksnP>KQLo-PQxlzm|cSv@8)^_3Es^@ccZAO`Jn9+)*L!x!I_q#Vq0nl;-lzo95@&EwwD{~DQ70~psAAvgckjq{ zkp;=W?!M0K5cSsA`W5ziP(w+xAtDkkzmOFwxCuiBT!Yax?BzWFBlJrEZWbK=XbG$` z!{11HazgJth#%i8bvu*vA&lCsMtWkBLvvJxi%bF^MREU-MQ1<7@$QfvZ;f~F>db2N zr~%%LZUQ);LLmTb*(c!pmwnPha8(N- zM1?j%PQ3P!S3!|fUzxzaS3N}C2iFuJMp&G5wd5MnCvr@cbE9(#i#lEu4vY0kX(T9S zzM~ueZj_iy)6V<=@XNWolzvF=Y@1i^CWTVzl(m<0Dr?afW`^5>L1rAi&tO2a3otKo zg^qgej4Nnuj(XkHI6+U3eI4;vng}|fMnt$t_J?5?aWZ6HDEPYx2OzV4G#lZxXm3+~ zzn;6mKR<~_)8zIg8y_L!V>045?3?8iK_in?8f;hCg=69@O)%}&NBdhblr`68xKKg_ zARthVqs=yZFW`aE4q0JkTj=Vd)?um8Wg`Fb{U)lj;tCsQm=?r_-OCJyFuyq0hlgM0 zd$z+t$e2o@fc0=C!PRmuo ztTvf=3kzTOwbP?WR4&Rv-QL6^GVDx!?=}##!oH%HjwuK&nC|KPnCa4nOEh%^^G>|+ z$*ZYO=yzdJu!#@ES1E)6-rmC^S2lRlLsYr+fgVfU_C|^sSIfsvusbAnMf4x_N(h_= zU(W~+8(0!>z#XviPjBjBvMSRTCEXcu9Bs2yXvcGIv^YxYJI4!c7udn+W_0{cO#G!q zdaKi@F_SX9_Evtd?aeM!lPux+d?M6RB@W8N0;j#2+DAbu#xvtNUGL^k;iD^s%a8*v z*)EtVRH^4Ea*F2Nsii-3H{r_Mo7n#lOrUCaqjPL?RJe+5F<5TQ@v0j{&ROwe0Ys z3{U?GHb-9%@|yr)WIFrC=U;Ksg-16Wf1ntw(`iGv$j~rR&!m809IJr$_HxzRXl1zQ zxskGd=-bxrl%;&U9{10DM$ASox%SxlA6RZ!*jR}Z(&7Qjwq>Z9q2)#yV9_%FqJO}g z8Xw>8Ob;?2P|s*@iTOR3(Ac(V%zn4A1N@<3sAlmd}r=^{v)^p~625S-dL_ z3D_cfuiHUXkH;v}!PgeX3Q)y*D}`b%ihCIrf?_e>y{72G>#})7A1&OoZ`dkHxIGT) z)oy>Dugm5;`t{Te8cy_+zVMk_Jv{Fg;+s)TFb`FV;cEo%NM95)0K99>Cx^8Su73{V zp(#llvYWC>s?z-F!Dsg;cw**jKthNm(~?BPz3+TDGu-84Y6J;|FwKo5uI3hpP<&qkm$r$g>#_1szQ9K{B&Q zL;s?3PMdhvJL#;aixc^i{&ob>FoDB-$xTG?a1a>m%{BkIEvU=qpa6MrLy;Fl7zrt% z7G|n(Ynrhp=8cJZV`U7wXsZbK1Mw}LN4mTp@u<5hTkc-nU|TZz2bxBV1Io>$34g@qv*sK;KfC}v_)Dr&%pCMX&riA}| zJZ>T-?5ZeN@gJ-Fnb`^sdl5&aOlYa&L1@HR#O4t}c$`MNK}7U5e%3e`#I~7IHaQq* zXPQ1t$4d!fm4QKCnz=7G;|1vMkdRmF4;1@wMX@FsmFI;yr(C&rI`EV+CgHwR%T_J($7y*=xQIDVwWFDk^s2}YrM*vKj~ z=2^ZKCKh)pSUsq(U7$J>n^~uY+)p9Qq*%;f*)M6~G_*OvQ^M6txK-g1d;|xj@p2OT z>I8*8Tpx9``O>(5j_D_pEI;3$Hz~C;VchP8f1gJ;A_k{SqBg~&rnLVB*5)is?OKhUo1^;#*_$%U?KWZ5zk5fMcI)M|*-l(V3{}XmfLOr&0Ar%5AXNwTRKj%0 zvqC;80m1wQRoE@Sx6hoS0i`f9b zrr>I06JRW$1Y8Z)0%k5WT+FjizdOA8os@sUF?HaOolv~Qq&uh-zYGzDuC3=7dSgAO@qvYPJup$(l4N{g(RihS6Ac%U^H)^@#e$BAs+DrMVK6v} zY{oR5u>NBEN{z94h`rvrCp|f+u(1GfLs2K$l6MH zq6Mw^Q(ziKLk$QqxE4g&cDYYHJ2GQCcKtppi(XL>d6k@<2Re^!0v(2=(@&{TSIh~D zxnyN!wi2`ZUDy8e?Q~yV94a0~-!aK9Bm!{1#U3{)azn!v@ulZM;jtRfY zm`3J2q?*Bgl7o)1)^jpiC*wypFW9LTX@@=O1=j0L}h8XB<^1K)`FSV=|@bi78$H) zF)v>0w$Q6UV41dE7B%QqlZU&;-pwkJO#Ig?mM9yV^M*skw@oJy;$q@ z|KY1Vg6433j1^hDN_x8DpVgjcTAwwzFsEK%y5)a|20FiRCFGnM8?QuAp|%_x@a#B{ z)<3zW9GBaaKK`-@%!M|TAXA)0H#WCiK+&);nv`1Y+ZMqO&VR2+h#p1T#$si}T><&G z@%>Q^c}uE>@xZqtL_hl((`HuvHWvU$K)1i1-jirv8RM(arci_ZmNnUdhuBatmqM;!51B2qTIDEn9any~|)71hc3 zdeC$R)zm_Kt%=~X!YxUr0K}Ybh`|U$cO@wgb;<_FvJb2%Qc!%_`5biW5Qr!~b_7}6 zPB54+Y8B>L*yu*c3N^soGm)%4GCicp*q(lzD7T3}Adll`PnbiSmb*7q?&RCb24Qs> z!VU&wTWr`rdL_FHQI{Krys?>Hpp4e|(Pm0ZcjFF@PTs|u2nvrR+AtD_=0C|RV4i&H zQl>#&ZJK)&RG=EY5`9?^#A8bJ2bj(0Goq7q*q5}+eCQAnBeBR^lG?6sGB|Mvkj*Og zAz52&!hbU7CLTMV5A#=ivC4As2;4z!4x8w!JVYunJttzN*_zWYT+rM>Odiw84U2Q1 z`>R+?iww}7A|YXPi;p7ad+FW#TXit$TaYBVEq)G^&(M8$at+ew4rj0~B+hH=akwJK zNTXkE()9Z7fJ1ybr!*cf&k-k!de|UcCCD%VGd~8zu`-HKSO5{d54Ba*^FXG8G%b%r zG%5yXITTj5qi$)m&%ukoQ3_ZnR?wv_M4gMwtSICKUBR5h4F$6-KyD6nn;D#ed;Xc( zIo_edwDE@AKl)Z_&of$ag%QzbmR;7MM5KI}^8lbRdgAiRYviC`ZoqxW@=COTTE`jSl8 zqHv=JJb#y4V;5iN^(IeHU{Vch6`lc?BRvT)a4u=PE-(8RQ9}B^UBjsPL^Com(d4_I* zqdvE)nL{B7qBVizKGii0cs~7HTjJYXd`kLZ!PSTAVk37S4Q0;~uo06JP0U`RPM zhPD@)CafICp>t&11zY0gE}y!qmjlO@o@-_1gI9QSPaU-+ms5^_lz#9=<+=rcFu}{k zA5&_xp8YNMp8Aq&8Y7$cWz49>XKbjui#yoL7Zi{^h4bXA8V2UNtjTi|uyte&4%R1E z;hS9a?z_#{JkF0L+#g$v?*?}(dP}pmscs<;GR-q?Nvro+8z7P9T6me&_H}Y4F=&&{ zlCp-ngQZ_IJ@2P%Sv8ms8<$^W^hP1BQt+gE6wGB?x+>WqU6U&YGJdKp)p*{VUxWB! zu>{(=+`?JBfL$FR%XOSbt7=ei!Sbbo0t*H2$p3)cv+uK+q-8j~f#FIi3jw5N^Ys{y zQ?v;)GC7oh0 z<{#(`%q}6!ncAn@t2WM;~}R5#bXHQqIy7x2J_jnn-L|olg9-3 zGKL)w?y*X*^2A9vlt{;Y6`ApHp+&f6Jgla82HanZ*C?(NAZTZ>2YVUy=WL?O*g6Wu zS;sRJbF>{z-nd4H6@Fy7h+{Rx@GAt_-m|Qq20$;b&#O44X2vjW?R~#z8R%;(jC<%2 zNi3NiDmX;Y|7hhK@g|GYzIS0i(M}e?Cc#YhalB_ng=lp!)n$0>{H__eRW&d=&l0FY zINUZU(edY3g_z}9Opr?T_F!n&Lh!d?3Jhi$dLGHV$$@AjDAYf@^0w8-#C>@0%6*J> zFp?>Lx6lcX{k3i6z@ipwXJ!(n(xTM8UF#vUmswFZV`NEN_qZe)o;EoA8 zQ9BXl7N~!%ZOyLO+km_@#iXSjZd2*{8$%4HZ9Y#>12Mf++K~2!0l8NL7-Xuj^(co;U=3(u zcl=3WUMR^Riei{#a$e8R9u{8l5F~yQVEVx-Nkrxsm<0C!6Lq^-ef#aK;(^Ne_Jh)t z6rAfGVZD%|(o6=Bhpe&l7a9Om;Zf-k;TP=edM&!zIlbq*T^pnuuPQM zma2eK%>eb*y9t|0Rmf-@`W89@xpI%t<*XjPCutsNlCk%WaJfVwrk9@kuB!>pt+QAD z`?8sq3zb^?eNpN|*YOhk)NR#n`U#TOL#!~GiKnS(lW(F{%-jCa(^YaJh^H7afKW|gx23~ zW~3d*F$34(|0!7$meuJ?->t8dq42$FKCY5&#(9r^riuE6T6X?gHEc3OhJiJ64G+6QNLCtw45W!3MaCbG^jX|z^KP&IC$TDw1LH?z7MF_OZ=oud| zYP!#+`YMO%ghc#M9A(!DA2U^@RKLDgn@nenrwlrxN>!Yls73389p=qW2X_J zi>XVZ{hd$UlCYUQ?0h~U1#y=dXgL9e&rJl)v!^K%PEiib%t%Xtd*Kd7KTVyZOYwZy z;^s!!`RR&5D}qkL&OH*L#^?K_=lSI>z(Gh!#cCT(J5%mDw(EcM7i7lUrbJ4^ro6(h zx%bS$_p6&^#2@T?$EdEZ3z04Wk?csXc2cTWJE3b3?`lX@rl|{@K-qY2!Dm%hNM#VW_UIH9gDP z(W*aC&~rBGC@V+Ub1@n!Kguf%@M7I!-OiR%5Gzd>bi^ZhSMjIj!@$}!(uA5VZd5V2 zCwiRUd@DUkr4z~O^4N#tT3=3F03C5t#^z!nt!lM5y8M&LsG-%ceMETriQ^t! zp_P%I9&s-;=;fUoSR8sxD^k$7LN>DQ@(u|V+i$gB7At|0M71irPKA-L-E3pql(h?1 ziRN8$kY(I`lzAbWJEufFBTEP=xi`5k^rsY-!;fp+v$pmf|8Px?j2FUwin2`4x zG%4#%d+2SLpmVeUw0@Gn9xd6AyU6%R7>jnDT_uH*W%z%_@qHP1T&47Vx$RXB3EQ|X zg0~a|Y*;{imoxnH%~wGXa?m~_;jp3WC`Q~xS%sm?)gc@2>hPC#--AUdk zl-)~0<+QC_UohRDelq%TmA0y_?iw@o_Wc45RTIBA1ZE}uz&$BEkyO;;k%*&BBt z;Da4XlnXQVuz zGj)D0F9=!xewxd)yzW>0?my{1yJYlD4Yee#zJ6TYY1L4`o6lJ$+wfE(oxH`MeF>ii z5Hy73>fpZW?FCSCrf%|#`Q%2ytsXtJjVJD(K*cW0ks3C}TI#k;;s1^a-iQ~$jm>tl zNf!J77;H&(s3Q)@88tyNvp!_iIN?Ld8p7b^7106U5P@rC z$=@bJ(9V5}ZUi2&ZWQE!*|=k;;}xx6Rx``DYvSZf%8yS>M z-inVxZsgV0pn%&n>(Kmq1A|GkaS)rj5FDYl3TdegPBQAQ@(BPlaafu;oP&Y6ffIl5 zgsLPI?tT8D9~BFq9BbOX$+b&U=Vt8IsQ|N)%lLU}9Rk4gaajxM)6-&n`|(|*6Y$3l z3FftEUtk|N)avC_Umo(kgx+{A{L1&lzWjwvu2H-p42aOK`>~wc&5|Sl4|vzrLe`LA zN|H)DCr<<@Pskam$@>YO+1io+`=L5zp*C^m(7XzSFAwJhKX)(vIhH>{D!!VEbRDRs z4&7))|JY0b?T{Z)uvpajS$Pi|4->aE@!xHmT(S$0O@sUV&}_Ay9&P9Q}IX-H~(rC#Zu0pUszTF*>wo@@IAY;uJlah+|F1#QzJ|on3eg ze)V@=2&|mT!;4!XBA-^kOK-fP<`TxITj=lGe}148DyXfp^{_xcowz5`)1DMs(tu1r zo@Hyw)rsEF3HTU98FPxlSsIc;+%7gDv<h7kvS({m$EdPEf0Bhro}L(#R+ zd$~LTA{>S{gj;0H*(E7r0N(I|bWpfe2hX7Q$mH*4{7Z@7NE;+1*eM{f6Mw>QkBEa! zV|~+2DFdns1BALRrxnYbeFcD2=#_GHI=Rms#I!VJP*S|6%e<^x6?||D<^GKo@!;`? z4)DZf?d`R2Lrxbo%Fc=52#yck|8qy+m3CML5-31sL4x-}yK@zPa(d@8FShhp@6iMCv4!(vw^E-eDX%mW<473K%dFWvj6ml@( z2RGPWO1M)r3NP!9#@Uehig%eSZm6gQv{H<4tRO|~6mdsN4^Z88^X2?k5msAwOEwIA zWH42W%m3G_&fNt6o)jkzkZF^0 z>2Jtsz)4l%OjMTD+G1HEWzFMrfJ~mIv*UDmQ_D3Q^iG=(IepB{p=HCmv5#x@t0aOP zt&nGMZk3HQnQ6|}iZ)5u=rD#{lKLW~YZnL1J$pTGq;UB>>tdM-`%)wMfMJa|xe>mA zxrM44EgW^7o|cw^-ekN|J+2Dx7YKfzGU+2psCBp%dWnP+$()R8c76x_M)KX^X)c zNuZfEd4A}-K`6%Io) z875)hx?!EpRB?pqE3P#{hDv_Bm4?Jv?=Q z#5Z@_9~Df@UnM2}Gp+-L2l;A0LEzAtG=Zwl+u#K{oFU=N?0uGA-_uG;}6Ie3z9)1cux zM==~5yZU*C@XhX;mW5o}Io0h8ImMz*XS=(w+EEO}>cjZ1rM&WOUd8Eg7xia$Y^eh= zCf;L?90%?w+6Kt&KyocI;>ixtxc#oDh$I0bGLoHrWLON*&Kg1kj*epeGpvAFf_g;X z*H{tkZ`lg0YjvDzn)^WH{lQODc~dX?yxN<_$_?9LN?3++gM4&#y=P|pn~?U+$qiMV zw0GnUvL*4M21%E9mJ{5!W=2bp=GXQtNFNH|*b5=AIBlyjLdD6Z_dH)^^EyHa<5rWx z4t|0}k=z+z#N%xarFQ!Sakvz@uuq&b0DJm1=<0cLgF__07CMfpiNUDfQ& zeMNs0nKGumZksZ&1#Yi%mWVM{H`|_n`}}@wr8P4lL7b=yAZ3vQ<{6El{Nl+Mes?m@ zBIZ)GS3?QnIhNnh{cEJz=L^|RZhUhu9C&#D+i5ifP4gYvF+Gho3O;F9S!H%9-&qR| zEQx$=8fDz+iRs1UUL?O)VywvJKo`s6t2t+%Ly~ACG^e%p?nUxc!)eL)q3UBDNSWT- zM$#%6?%b9dOIH`wj*NFrcR#$L7h`pFs$)1sd6)Wm9sLf<3G-D|7`TeF)+y=yBk{sf zLcQBfo=w(y;|th;WkUWn+d&V?gJI6r^O}|9Fikl8b3KT{cnomfBr1ds2IJp+F*>>m|$54e&6zZHHl712jdSw<1D+TvO#$r!I# zX?UgTH2Y~IPQv5hZOo}^4bvU6{m%sjw?a^NPhdE5m)y%c39}xH>xE`@R7GoYH(^fd zT$*nE1)GDrC$lJEP?Xb}%%NLkTr43O0R+S|BH6Q-4W3-$rtNh00Z7ob!T7p<}_i-IVN90Pu-m%z zzqfFveCe2V^bxcIGL)xG$fU?i3dh4`w^V@64V1FLKgdV?+SYe%gjn&q=>_DQ@;Sk# zG37;s2k`&f@<@np_Bb7G8mA`j!z@g_7s`M;PL6Fy0Q`cI8~o4y+>ozJgzZ&G;G8$H zAzq+P6XhVr79bUNxq+2$(0;uiHFdochaosQ4A|4c$YEtF}Z)gt* zGd&-zX8?f#3e?pF>bm@{VgSPiTT|L;>dGwyTsqv+HB`QDut~RyF+b%j0aAxz1;D z7qbkz@cWkrzwo7@pZdn3?$9_RHE0Y=(F_F*v;$q}`uBOu%Em&_Rly=CfEGDw*}g7@ z_VrOb_T0-E%ZBg%SAU#s#ZPKXek&*|oql=sW|WV5atr&*mZ-pZM?wogN|~va7?CN5 zx3XvIdM+sXFdfhwb0+yg0uiw!EyM3GLR3z#8${ne6?d82i=@wfD`iO?UdBv~Uf0nt z@~rLHry+j|f~QZzTM@cVA#y>hD&2Rf6gTmR1)SxcPX=MFz?ql>g|9&!W!{V!@`8)P z4;w^k?8S#usdNDT-ABwwgebno=Vn?%HYG_lMt8xWEclQh>uqbw=>%(B?@|%I_zU=% zc4gA~tdfY#M6}C3Ac^-D=<{zA7&jS4P$J{foj+2ahff((wCub`O!B5z3<}ij@i@;TRHl?DhjJb!EN2o>nwd!R<9z%Y{(U z*XtV@o87$r(A33$uE;Vw(%31QBN7Dlnz>P_1l; z&8DM&T^n`Wrfl(k^zPbvc!#YQ4CIGH*2)BJvDv;FeB=!759Y;qD53T^%HckAeZf^k z%Dukt(E+7-ctJV0|LKg^B}hPh69V|M@z2Q*mBYc%NSL0QYztqD15>71Aojk8h=y+L zcwwl|ormCA`dq7Cu3{2?b^3wFHr#%t44uOCuQjL~rVwB>e8nKE!b=be`_+%i+HZfD zM=ATA0>FaK71N|d(_+V|Gb@EC8FzA>HrDEm8c5f6dt`0j`Tq+&pdI?5caefVK&0{_ zI2S1|C?D+}{mg9oV<3rU63~$+$-6&!gQ}1IX~PqZ@rOg0@Kt;y#KmzW(|{hapx!FF zrg+>tv4RO<=!cUIz(z(?j2y(HYvdnlB1pjcSv_p81w^RT2MQp15wrcAtXkmJdCxj& zQmirf;j9a3QDg{sbUdB<6SufnJS%BS_4Ki7JGZ3HPlVX-HiNz@3aXquF;ek)Qu3?r zv5!D(3VSE&Zl-gI#+kkPkoRU@6;_bH{9u4n?LdJGeo0b1I)`6PJ{H%_KTo|`GJEgJ z#ta43WI5Dn4c(faf_VcQ@{S7J{T>-DKAkX>DrN%K$H&Sb9j(7>aY9{;l^sxqq zczWlhy?vTXn6sR%Bux%Dnko7hC}u=P6Q=OEya;r$wg`h{#E8>Q+Q!* zXe}n>#>u

    8)b{Sh(b9%Qb1QId=Imq@XWeyY0}p~j}Wo~o8zM>vxy*TJ8L^TAlc8cw+ipfRC?=qS;__N}j z52A3F>@ke-dyMI0mE#oUMdeZH$CL zXWtTf29kF#Avt;`Z=0 z0#i_j*>DoEGR>hIIY=@dH5@h2H>@?RHIOirFni(Q+Dxb^X@Yaad=Kw-s@(b!y=c7h zbM%7jpV138&UK2k* z3@6H_qHZSK6-VJ)2);Y$-cMq+{OBIq6{@U6FsmGK_$HH>Pd6S*UIj$RuW=5m;aD5!Ldg;rh{YFICc}qyxUgU zHkhNRgFZn;6^}Uj5{TEsWx_ez0Pqh790~H>8vZME`sNh+V40Q&74=y8Hj`=d&`_wbb z(asd?gf<-MedhVRX7eWaHed}!?fCDV=c1|NWQpo5ZfsfszKdur7r=E1G8 zAP1g%U=noXs79veeZh~k_gD7cF8S`LthxZJKF2A(Fx5A3_+9pru=bi=eY)~&Y&;&G z(7;s1>F%ZU8mIIcr^E;-8DDOH`XKfKQx&h)gHIn+UvV%203r?mga7~y08Tgnr@^On zWb>s{bv?y~|8o1|w;QT%>=go9%%AvUE)Z>~;hTsduc^+37orVEd(%DjYO3?#HdvW~Uf3Kv@s2;S;st9J zsf&qoP>OV)Gq&ZhDe{%6#K9Mu))*{yh01%XEcticCUjqCuje zcDZ&l5)5Bu2y+wupN&U19H&BMjTREUzd zdr(;3V?gm2@#1@m-9M>}+%rwgOkv9rr|h(1Frm;Os` z66`yKjkne%imu_Z4sPXrDYjdhYQ{!~inQHifeXG)Df<0v#;Sq2P27M|S)0W^ zE9Ra7z3h#zbKdWD<>WCZ-2#PPfsSvs>&pCiFbc-PRwp)>YFS52?*^pQ1K}|m%w>!; zh7ou&JG(^p54uD?P91gOk_+f5@vDC}BCh{zM93ZgVnp26Xwk;#e#oji;LgfJ5um(L zk|-V21(Y=^Ut82?nb*4)|3hZp54VH&ZLtz9Q;1vu|T?XSES-*{EQ?G@L|w*}`> zmr;tSvnY4eHIx<#2DG!!`)N*O?SfznVAU+bLo$Dk&ph}!KGS#bkNAu)14UuZd*9@k z5?B7(*OkC0a$k97RRzKu+!H*lSk)6rg#u!Zj*Ce_h@YNG0q1-w6lVJ&wf|EWiwZ2weEREaA+m!{|MfYoW0m7GO! zglkfrmit#t59!#ald5ayI`E0AKPf2g7o|%tQ2Z76xPL3m&f+AwlSRbJuTnsyC+KdP zYkK4(4JPwroyFC9XXSnJw(!Pa_tcwGck-CI@5yogA>oHw(#(lO{!^VY@k%%(sTI`u zx4?E5qTR|;0R89+e&VlM^;gdQ&!m&<|AT%g6BfJ&b=q;r9i2;R% zi9F>>`0anHyVu>6GRb2Wx<~nUz;a|Sro=mO^rI`Ozus9j>D+%LE7G|i^s}Z2T~pMx z05aX%RCj1QS^VC{6hQk|{BORU%RHDf=9JZ@C_7p~zKO>!4L@6R>SmL6bmt}Ey(4i2M=Rs@^tS=F^Y-B$gzy!W3tub@8 zI%byfFnbvTUFItrsI2T5AE6tUBLer1*-3jvL9@Y7s|v+2T@{xj-DD!+a* zvy@Si0`(;A%+K8o0%Gk?0mdADOkF~z)T5KR`lvqm9JC;~_LtZqmkSv!!ZJ8|RORFpy zu)=Mc?uH^kK~TI|-t*jdN+az?RH2UfK4OE@>IRbu&%LL4N_-4oRup;bzO0xC6VnLl z9C}a*7_6^cCi2O?uW+wCL_=A{=F7#@1d=a(ESAi&N=fjhr&-nV9W)IrG}JcGHXK?V z?CPi^TkkdR>@`J8`HTr?*GvkP6)y^1aM!-7|Ge_KyY{~6m6?71 z)j@auksMt|m?Pb25oCE)QLu1y^U_agB#0gvM~ta`{cXR-7!~J*dnU>Yri*pAGhReh z`>rZV2$!Zb?7Z564o#X~n#6nR9c(G~ZqfA86y66(r)i<+%`=H(9?v||Gi=x1lT%u- zS4O(t7-J%MFjpw|5PK3|BLN8g$`9IkkmC(YcGXVbg7YNy@b;!9?Dx53Lb{5)@k#%Y zv(aOE_$~NisT2PKvbfyT^~QBv%1FAKsjwFd@M(aFNwz`S5|5|Gg@%O&JcjfJ3x*2@ zb`v>K7Y~IH(7hy{FO!zvc}AHk0lR?6R@=0@LDUP~K)ox}2R|tvN$)8$1WaBAAjQT9 zQIC+4QEYFxYY}s|Sh;8p#fWl5@u8GageYH>G;1z!GOCmp^*FbnPHaxXPE;5XAeWRK z^R8j5Ag+<@#hMY7Y4i~F-hG~;HgBzD?UKNBD_5%>(^MeNC|0O7uiF{IM~{nt)xJ6s zlJOZO&mX1}>qIwH9+YoKeew|N)b9O|ef@2dy4l1|L**?8${ zPV8p&g@MCG0DQSE#>OwK?$v`RYKiA%=chkZaVd@&h^s^}brs;0F5yYl0xCO#ZdPd? zx5o@@ztKoMXTU)RILH%#3UClF4%)y$j{t}p2a({QBLHIX0-z5#=qdosZ36A?3jljr zy$S3E3)JfAfUZbz0y1TwEB=f?Z4_5C0%|5PK*#ujj=4(#o5)21wcF>X`&_4Y`0Kb% zj%-f^*B2xXn{cn$1TpXv7NPSYwUj%!CLv|NGY+} zF#w$x8>a~htX{vE%xC|QaNF~Sx%k{IMN7~z1~XgAuJt{cjim4LIdgDANCj54WmGSJ z(&@U^_nPy(d%ldkdq!~={L5~UHtBJmGv^0X<^YvMplAVVR{=F@-o11{r3X;41yrsB zDocP^2M}M=`Yr~v!U%|C0I@P4<^;r3fcP#T76HV-*6*FEce5t>cVt`iyZu5c77{{) z$2cHsBuz9EwEkRgdG?+KX?`E^Y?&_I4r$l#Iu}(jPj=B~bw$-@g2eZNb<-Lpz#DP=!dqd5plmg|S*cJH@FWSpJzVL?-4%VFA2i? z_)U4h`HBv2JKxKjFOy1=Hoo31(Bjikd#P5e#Vb~uYxHusBuP41t3r#>RjJi^d*V)M z5-tkGWTc~=;pnKZ4zJBs67wi7a2Xl)WAb$|o`~Hpu0PO~diOH?s&|G^FSUx0)vP%A zM8R(0mbmHORn+>|M~ytLtppP4ZgZj-a*3Ytr-m-+3!Udsw_JSPnV!3BDo=LOm76qB zl-4?sl|$#WQ4}b3jn7RK#+j9^$$bXd)gQ(Ry#!a~+P>8a*&YS>ma!_o5AfxP1ae3^ zb~zwi`48z_$oL$LAFf=xwyJaxVB|3$z$h6db|}}A?Z@UW*=^NhCuku!yC1ctG!U?@ z&d_IE!p*Clm{bbkTT?oxsnyU-aE^0G({ zZ;{9<1=4CNUEJ5O;L7&awTE-pQ45HxRC_T&XI@bWbkDFERB%34yQAuVgG_ze=*Z{$ z85moCg}F?$j*F`H(h?uXCZ4rSWw|B_Kb;*228pGJ#T5#8pV?1}u)46Jlck)%SZdJD zRU$A)6T~bNd~J2wQs=wsPp&Y8n2hH*evz)#Xz5kP;mB zhE9UbOo(`<0=?fIPT@UrQ==-}s=`@P?F+qWP+tMuCZre2DH?gzIeXOKVZw7hFTAH1jpCQk+7C8BsZd~j^IF3ERv8OnO!(=Gj8k`KM&U;Z1#VkqWE~sBG{_NxhA9t0$IBrA4 z+P`1mJ6-e}OB;ZNtIubgyWf&%_wMt+Tf(4MXWp!(dMejk&x2cH$sO$Og7MKyqZ-+s zzT5Y|qaQRn^wJrxt;}c4KXsS*CMvJBIwaA<#^-gczA4dZ>Nj*0W-4qDFm#81K!21> z?;CC@&PIkUoYQ}!09Z+r@=f$3^VD<(ZY_@Y(3o4;rfd4dqcEszIve=?7m1%2;}o6> z1Np~_=+kRMYK;ijXg&4Rd8->PH&ZLAmo>sjqHtWySGGVh^6n!|-1!(}IgWw`ta&TMd|c2H$-9a_7!(tw!=(79@)FCM(F?)RYb- zS-AhfW8$|Ks$jR4+b3(j_;ztm3uZ*rKDhj?D$@Js3h2Bm@LZPyUSnGyD6+3tQqRZsF&L0T zKQ53Xo_yI0!#1@?#9cRdjeoMnoaOdlhIT$yGZidnxw;ot|EtPd3R&0N{@*+W-gHq? zXV;DpV)`{2JkiLZ68J@|s8iuTR-VqW%el5DK~ErdB2y;ab*tdtTE_x61J>h+8@qnp zd+R*2`8uZWtGL`hDs2nSk$qojvTM2Ia65dy_f~M-$9Q`4^$$V(Nd--IQ1aeUY1@K1 zm)z;{y9I$en{M%ek$mRHCAA8T(JT}RKPizbd4r5 z->BXK;(fc1YS?tcJ*$94&iSDDQ# z8=!}A_s?m8NS>~0u4qN&pZJ0w4ngBv*YaJ_BMx=-3NC1e>Etk<%ZjMAhZ-YCmv@WD zH;1ie8fAVg?GB$bz^0Eb)1@zsHm-~=uNIH*4WG<4^8Vn~+jGN;Q`H~m8e!;}$>{w- zWdh>N9W(=iJ4k7GBSdE*W*>^5U$#NX>$b7R3SF*SwhU!%T-ZOh8cfj)qr~n+TR13P zuwKZuubXs_%}eK&)Y@RFM~iFRK-ziaEHou{E>5DEI)Ml#r}?AWd&{&Yr=y^6yThJk z4)vVuyADyy4P%1OdpM0SnM#e7nYqg%+;ckWw$Jspp;*OTA*Fq1ASEQ zC><+g3qp&|z5PivZ$u`};hcYrJ7539xYP7+zYUU5(tEOi{tSlll9X_;%@ zy<;={sw0d@rPpdyj8R+jMkG7|_rdWQ_c8ere|#PKGM-;7Bmt8-(t#=@7LwVtG+vL< zSN?#KU=NbO>Px(T%sqSoe!k8J?{#%TSJE@Z?$ye^91EgV_C zAQ;4796wy)r*KB?Io>dLIkbQf6h<2R`+%;5zKY=us^Ft&eFR~m`Y=2ra{Dp&&oj^0 zJZ+X<)2)g4PUY=TPIvoBBnZzwp2YklXJ#yg)5fyqtx&Yfzane@hSWAY*|FQ??%_F3 zRo`$3m47ajOL!^5S^uuxJI;%_-PUDZgp6ks?-b$mgFLeZ3gs^3hO+F(pO~1P_q)>} zaCdqcC>V`y5|K{u&VWAnlO_HvIk=P|^%dX)X=MX2${wMCc5|6ljSMKK# z78iY!#1_2`;vb(vj3c~7v{BSh)Bz_b{=``Ow0O*A|D&hu=eui`Nl;4mpft!BST1ez z_#KNI6M%M#ik`(|?)o((H;5_ZtuKfva=^7fFiERo3&a#4&!HJ1YJ9;S;zfK=^gh@$ zkDs}YF5m%HW#jR4L&W|QPTg2|gIr@f-wTA$0IOe^mFPcPvuAZ?`DVRl-^*gVf%~^a z=p%~bcS6@kRYp1NY!d@>9-IC|#TW00EE2V9 zp=YQAWJ``KHm6mtJuCI>=zm#?_>%cuQ|HjOLaYgg=> zi7i@poW*DDhfbnO9ugafuNZEHHXA)-f)XQV17@RU{nb<*PmwQg;m3M~o-o$4hyA{Bvv$=o6VIaE`;BO+{mp$=)?9zyBsd_&uBOoXa zDk2qbJUq#$n@4Y2$A=H7v9$T!3s9q`_j|~3T=Du1FzMumnN==579AhLlJxGyd^m=P zQ`Dvr3_b7_Ge=Fj4vHq`{W={VMn{tgtLArG`=cp*Wp|OutudE69f;Zit>eLG1Ly5q zqVsS-y;b1U9v|On@AU|zafgq<_@=B62%mEIfd5^ z@Qk@^b3(oq#JBF=c2bZb$@>^+=5O&3Y%`v!nVL zj^q>ReRTV>+08!MXu1zpfrCJ0QSe}~(`xFP#|ul|NiCy3vayFaV# z=jGTpUfK@+VLvK&$rgrebklyd6Hp7PaQxb<4Yr?7#Ja>OIYsn3! zcRXFR{^V0cF1O1q^Ec$wQ{gSo7U)^#FC%xQ-X@yBNehdo!o_Yph1#r6wxReZr^0)l zkFG1g0S1finXiP0?MR-_EmQAQ5vvgGruscGck>m>a13$x@&}$6>@rXB@*C_Q`CgP* z-0_W1HId3kCc53vb?cw5>HEOMGkS^Wq4-B_L+{k@HU@ww_`2V@B~<`hx?;h;+n_Ah zi=(brt9Pw3xziVKUEDLDPev+*L%t*I_A+JdaR82N$V!dn3lN!!FG*Ew=#Da42~I-3Di>W)_5i?t+*DZco=^yP!@Ao|FNUx zhKYthX;i3O3)0#YPeICl6mBZ0<&ngd8$TzUb}%AVWwSFH3LbwZ)NW8Ivoy3aIav=V zL&}azni)ypedBkqLC02iHVXIsN*3oDPO9suIr9q}OsCaL5u{vuO!q+%3ljWZT+zsw z^_iz8KJMLH0d-0W*t3#4jv|CD>?pJUl{Dm@EA%Kc)(;c0Lr=9GB$otVFE8g0Vn)KJ zLBX}7eB?xQ;j!!d-rYJ+$E~+~d#moZksBG}WlU&?7At8dO;F8!R%5K%aVtE&9`E@(?cfBd zeIBi=G)bZid_M^lX+nBaPM=*;#835}6n!L}DfMffY$`5o?rr*DJE!52?lc#^*$`>V zzJ0{VCQ&{DMhjl%ZMq#s{1OiCViTtxJbfv|+8%Q-Wj@POo02DalE0!~F%{t0F0ZZC z1TGn!4av%7y#_a9q4mr#7+0-IjtvORE_FT|F&5e-N=eat&z*@Mq zYu$o$j2~F;8o(1d_X~d859He3M|LV%s9#3$|3!B30Ow@PcK(~BjRkWe`Ed81aqbyr z8PP-Y@@ijbU<&qe3Of*asO!A*iae8v|B}!~ZH6UZlv+DK0o1j(=XBat+nW$0U8C|UMm2?4Qlo%PVo0>( zL?5H;(o%mT#E4_{D4^n>=6_&ICDkZ(6I2OL9MNDiTPQRNPCU`5^Xy+(dB`rB8woT5L|oKy-(-Kjmw(+AHo=_4I$yod zKAfxh#@x1Pv9uk`!^MnWbb#b3Zbf-7@N=XZ{}4$8zld{1YusMJ#o;=8G2Xh)vCS&| ztWNV;2@To(R?ckfp>ST)tEkAEDw=KPR>Mlp4$8ZT%jNmNn@d8@YIFESeaVJ+T}g6+7|h z!hLQ$d~AHs*88$#-DH@`UbGXE*rg$B##y)%khsOaho|}0m^y=NX|WZ1s<+^1`|N;L zhx;QLk&fj|YlxXvu6Fn#fJt*Gq)zJ)k&0j8Rc>Ce)H%W9H=-o}_mQJV5mr-^a_2g8 zlQid5=RQ6K&&+<^6w@IY7me!tnjh2lLPMOiCbjTpoL4YU8BZdShr7wLG-kG8k0OyC zUBltqNBD)YPbJ-oI(N;bVQ22;NUL``_$~BvP%_o)Z8nT$2kmD|p%%MqciP>oSEo;} zzZ#5BJ=fZ!Eq0ghUX-+$pW5i_IcFn0wu?h7biB4LqAYYgwvWOsGQ79b!q{#GyDy*G zMmU5PJl7;}-Zy7mwwTwKM5pw@Kwq;7Xmt_#5KJV_0xQLw)`-Fc!J6o*}= z+J4#0;F+UPZ z>J;(`KI_7R`(EV|J0%&uN({|XChG*o;s_0+ZmKR7tg68*5M;?D{UGfO#u1W@iJUTr z7sJv;Wa)jc@iPOv<*ZY2iMr7Y6}5_b-C!&Tm{WQQuEoO(`lG#%Qy?dCG(J60q!b8^ z=Nx_TgH87TJHfTdUcNvd{C5I+?a-t^Y6-L#2l4$=WSKG0z7KT}SBb(!I{V)l&0%MKIh66yorA)>N3dIp>M)Op{Dp)mxKA=ZY!R+ow;q0Gr7|`-bl2<1z+?ys)G1iG^AZX>l-r$O~r?JI)94&ZRwMfFny5a)QK7+FQ9=`jy}~@+;goQ zm;tnAR)d79EYNKv)c~VbnqOl9QEe@RFUJQS|?> z^UNyJDU}9;5%l@)Mdu10jJ%iVQ^Yp^hlJOLapekq>fZ_IwO>;@x~0ca4#f9Qkrn11 z$1&6?UM1-}X~utNKsOX^$)|;f;;P{X8L&J}8q#;lE4jr$G1k8=0e^Yk_dkDm{vVBr z83Q-R+T@JFac1Ba!f$;I!fd2_K2zkI7%R%C87)&8s$kU)hJb=fnZ70n7Q?IM>7t@4 zmGGlZF{4G{4?BApQnyuN@ed=UIjvAxsHoQthJg}+7e<0;F^!thDwTtCa`i=5_bdF@ zo-j&k6>0VSJ!c4_hx{Nku@*1vY@ z%&b*;>h}!MBKB@Itm}7gdmNznRQxZN35QPRmN&kg?)RC~WN=$I5~p$_Z>>7B^Q&Cp zAL+uN?>l1DPT8sQt2k)fa9I<*OIePLe&})BFl5EvYYC+2Ot6WuX*yyfxrP!t&wIHG zSaqD^+wGixRSVo=x85_Xw$qd@c6MsGZQaQ5EV*sij&U86Sv~GM<~49@X8ESy@({hC zl#$q|vCFetafM&3#Cyz)LU5oMBb%WnLY>X zfFV|uJ}v7WrYH8OG^NBWS+B^g_|4tKi@R>@0qge(U+3s!=wry`iR5YIN#wtL>nas3 zQ!J21uNe3oI7uK+FHb&BHBK~6Gfpz#_Pu0;W#*UN@%Fb-L z69pN4PwKnjlJH4y%Sw6Mw73 z{fdX(jTER9_%4tq5Gc?nfCbd^P?*n94L?c()U%`ePd$TA|E{Oen`GQ_l=Z@xd({#9 zdw$W(X(CzLFPSf;V6;K6(|0<3{4Mz&-JX{R55tW2~8S?O-Y>Pzdz zcepI6l)-G75ybekWEP)}ZOLNrW~M1gkZ zzH4!6y_xG$X0a`gP2Xi5i_P5SEx#(%MT_vz(o_ra5ch)?uJ>qO4CU#<-eJ7#-LnJU z=+M|qptTs^p8g=+TpxEmkKm4c50)B%ZU_CV&^=tYA_?%X63J85*pCl(D-z06%9F~| z$`c>Io3E8`4`;6BIP95#7WY?61y6<0=w5^LE>>HF-~#7@Xo4=ZMlxMdZp8LeIjEJ) z1U;5AWhDeCyFh>U-`N#fuW*W1Gn)Q0yOX~3rHgEX6UVJkVhz`HLrR1Vuqj07`q#S&s5BVGh8Kx4393~N_9!47G z6t;XRLSp4lkzMc;TAoFrM(J7}UOqxmT47khF;Zx|TmV%`S$(Ab@SAVsgbOb=GXsc|BdbjZuP)|@ zvr3;{RYrt)i3yiLaN}czdkyBuj}SLnsKRA)<>T;43m;q< zXZYon4XqGvy8Lo1k+yxXxr0%3gMGBJKz_ntEsL!DcQ#^tEewrI*+zr zzOc6GXU`;-V=OrKgC)uO(t)*sI@f4lvpC!!9=rM9dAHv~^)Qk!(7Y<#i(lS^nn>ep zZ6*g8f*tA_RChCd7^+bdGDz_^QlYsrP!BK?q>-xm*+gAIvzG>eSzME?0mGwOqG==? z|J6n5(vsL*>N23G3T`M$?Y7(g-B6nvVvjxi(04W2ZhOd~LbXvNo}>ybffwp1av^L) z7Xe|!_nCt*JPzAX=YnK<1zsDd(5SGr{fbfow1~Fq5G8pAGIm2Hiq@_P>mcyq)q+?p zfZc%Ag1z_Kn)TQ1BV4~~;rI*zjPJl%SdH-U#qJ5Zal&!R8td^UFgK>_5MqjPsV`1X z8)tCm$^$O%77O6R{Nylgksz*NJViFeAbP=jA;^AKQ&y0FaFd?eOh$%=8HTmOt7-JX zoATp8he+&h2Ya&@kQ)ctl^`pwAaKm4WO9FtW24ekS*Vj#i&u8Rc+btIH`a7l>sJG% zthg*J#Si)NWj|SV@}{sOMShI-=F>EsR3tPxcL8Cr52xt3162qo8VgDfy^6=rI?3!E zG=Ei87L+^@`vll{n0PqlkLRLj&x2X3OEWdL5L$M)_S2;2AIOJEwOag0z>L6lsy^j^ z8is8i%#)mLB|rxFU~ip89&U_tk!ExOmpZ@y0p+MzvuXZ-7;AX0=;D31UxA94e(WFt zSHOfB)HtbR)shV93<6QkuTh)^oh8A)Q=b5qubMz_91>phE(9b7EaX0rePqxvQ4y8V zxQoXfuTeWs!E8z4;=NU0v^^zTr!LE*n_m~ju8v414ORc14Dx05bsDH3#5#9{c$T?r zys8UC`OEy~iGMaht)I==8FIQ?-HFH2_3)y@(ZKPsTL-fmNrm`j9C z5u*(YGD3AnaE2svg-Y0ATMmk0&yXkp27mzNdIZK&F337(iFv|o4iIdu)bNTyFOGLtV+mif2NS{OeEog(`$)ELfx0-maWz10f$Y^y~AuieGa2@b~o zxzjKpS*Q;*-Hcl-(#O^vTG!31XKrx&3bFF~AqQm{`t7ysh3^l$&cXEo1LxZXtG zJUp|RwGQFyS9-^kdrTH}9fCBbkNyV_!S9hfJiW9#g!Qr%k9Ru61P2rW}vE z$EHi>_i8*>=jr*B+zr;|Za-Lgca_q7k$?3A|90L89TG`RGCl{P>wm!-RzC2Uzk$ut zMtFgssf*c0x}}M}7IuFQiMWRNd7j|Mi>ZwB9gIx_#0|{ha)9=i`8=5gXkF3Pd#a<_ z@-Tt5j~?m0bEIw7N18!4gZKG8?8I%#E@*8DZp`tl8g@kFEeEGvwF>wzm5M~Rk7)m8 zNnuC*R1x=7ljq~(DLwv#Sr)`s9o;iW(#F0*c;EDt!!I3*g+Dq={b*6p4A$y zrI%Bl-(}r>FX{%R?q2ZiMwT6QpAGXICV8V1MrH4KRJbm?uhb5P$TRvRb$Kp$UXGqa z_YQPT`Z57M^1}QOPtr89W*Rn?6!fCoRzy4o_u8y6j z7d*tS7fu|oCQRzbjQjnC92xmQGbtGc!x3nP#wD1{hCRtx)V;%MjK;lTjLa$#0E7GA zA!!>%W4Ca|Db0wKRfFMc^erVDM!uW>?*zpBkG^4kJtlK&Px1k6?|-f8{~{zeE{49!rbJOPv~R-(&()emjCBTw zbxSvDth}@Bi;fmowDn_p<(*lbc#-TY*?rGghVn!2X`k?~+|R}hXA0A_wUUe`Iluhk zlK$F4%qIscK7qH_!WClUTqL~sDiDHu$1ZHt62*O~7TH^lhV5>NP7lvq`gv}{7+;Q0 zMd@PMY$$zvIpy2wu_2nQ+s0)K|4UZd+6C0yP?L(dpUf~S1?GK{5s_>wB4c<`G}!cGFe$=b|? z=NzA^?>Fo8omo?BPoDaVM)R;eHce~)-MVgvrHtZR%sEll$o|hH=xS)*MX{pdN?>td zC{>9te5@1}DM7~?WYwkv8#$z`wyjfXtRQ;#!#Bvj=EH+jyDxfc_%PE$d1aC8OBeag z5iHE5w{xXvX(qy7j=(Iyiox`Kt$b;HW&DIQHF8pa4>NJZUCZ2okEWaI{;DgN8n7j_ zrL!fA;m#oxC(tAiT1&G&_~JK+U3oCLZ`edd2!d6Hj)wyWH-|6>F9O~_R$o+qP31M5 zu&LL}Hvxo)3;Yq>4Z;tM_YW1MN@Npa$?J+Q+TluK&&`FJ_6Xd-9b+YqsmSNYG-lgM zHD!KfU$G-Oz^{CA{=J)mJo(Sdq^09WM~OhlIpo$f#kAP*lAQ#45-T4Q$c6V3A*z1Q z;xV@453LRIkZHl9J_}!CHS9xe90PUi5DftJ}XG&SafkC33 z`P3|M9QDaPr(2c~GPj%W<5O<8FGZzlh`+)nLkO|LrfEC}Np~fM9a#4Og&mb74#s8; z4k3hp=lB0mEPTkexU( z=cnnUy1uXQTVN=_>`(&lOMmaqRj{Y|1*aO!0qjah6Wofhpx#CTX9$dXQ`g^{wEzRJ zG~CcX=FqycQX;`{xlVGPiaTc=h2dMXAn&24ge`$Bg)NCK%}TnT=cnmhK9s&jz|4|2 zUS+}%>F+kp$-MZTI!4@9i~sq7*=-tPlY-=DQ;#j`$i65Nr8SI|8*Py7AT*_QW3tjR zEHDD8L_nj-Mqo3Guz9^ywZQV%X&vI{_v2ZqNl0I@ft9a?v{`Ip$vujtzs*ogG0cPW zoP8Gy-S>v8(r?vBOqFy!~ZT(1oY4&qK`1e1~A`ViHQ_gu4X18YgZo5C;qE*?Lu}@D`hyIzQuc_SkA5T6sYi#$^ zL2=d<3&@JtEi<3Xy5HE28Z{0;y#J-IpG9tF5-crZO(D1w;3$G;HDKN5qnjm=72`v1 zu7?5BEP|8whg5-~{VoG*W+JiJ>{cL_m-=G&0lYN?x|?gor&h3p(mI!dgHTerS^$o4 z#!6V)qW?J78GA~<+HrAtW!*{LB^vaP6$JrPK%l)AQNyVJkIlbwuz=zoEV#ciW|bSI zMxO{y8b%s!_#=)B`my|%vvf|oVK^FS8dxi=n!K2dZR$-mG&Phr$mb(M&)*BEedvTU z2wjyjz-Xu(ECbz&NH`&NEG0pbRsIAX44Iyr`XN@qFG7by4vbM?D995hrlQEoFX%fQ z_9LXpUX{esOBQLq%iy<^#SH}9f}&tOV5DGq;2!W0?KCBK8i5>C5Jlicfhuqs2+e&< zr5uOghdpc1-v0M#-4it9LLJ9`?Fm%DYt{*f#f}mdc*sKAFCis&ipNt>c%MF!tckoPc>GSI$$d}h}7JT~cJ6u(FLA*k}T6MBrm2MMi31(@lWb%Z=t246r>g6R^hW&-UO|5JcA-FLvl> z(baB(-`?Zi|A3OpRtxJia{ zHe#qp9SFq=MkS{Rx%mjX+YkDrFIN zlUA#wg7)~%WrEk3SI*&eY)Se3Q%e`A#??V(=I)ds|H!W)xhpr3dJx5m%O|TtR^g$8 zHt#56n!Kma;)WwVj%$`$4umThp=>sVljLn)d^UC4p*Hbq&)w`YG#;Cp#OOR1fuXPD zZNdhxC~KUIXQ5|n$PStJZ3MeK7cabwPoZb{A>W_cyh(Ic?^P2Af7Q>2yK7+*mnHQLNscEjfW+W!XO^a4PjY(@&<2a${Dit|3DFG)d z`faW$?{|Asc9hOqL-s9Y)eF0wbyt+HQ*;nY7_=y#c4_@In3iGrH|j;zj~Px1=YTMx}+Mg71A&(Y;mHAaDJ zP`wXR3ql%(DZF@`RJ5Rb-BD4scNU@GYtnqA{Dqdks0Tf-Gk9;OWJJ z8+jVVWBF+@c{E%~D!&fTSPj#Y+~i*c##Pql`eANiJd2}W+UCWs0~IybBowFk1#B4z zB@%KC=o4VO*q;1JKu##UP!DG#u$ekGB!x_vPZxuN0xp>3X_lEqNCXwAy?iZI8~xeY zc-e-!*_nk|s1r)8#i~*ZM1$Ap6H2u|4rp_vZIF%J!YQUSMdW0Vd09oTrvW9U|1Kk} zB!UE}v4~!e|A!igI^{z2TDjC%hc@SzIrWt}GB0Q;MKyfCkgGoeO~Kd$`JWLz_Gd&> z=L{E%#=F*rFqNY#?9LDkwrGd|x)eaE6&2BfWHaSKaGfEVoTVbvd^;B5Z?qe=qeM-m zlCO|gw^GByz&_M+!e`V-LG%eL5aJu9wp9(C{83)NNp*aV@9^zX5$rH)lf5YdxT`-~ z+RQXrfwp}7K4#cxxjHcUX~C!^xN_S=%8bLD!|WCh3#}A~a#iFmFOz6;_*GBV`W`kh zB+R$x*r#oA+dQ>i8xQ@gaF&*?;gp56iNf~BX4a`uCtk;5mBGuWGB0GpMXxgsk7cA4 z-5GQ?oxsFp=+A1s0{LKXn$6Q+hwJa8M-Gi->%yv9K-bc=Ca%R4Lz<%Mt5k!RDih2~ zqGQr%yv(5MVdeiW)2}>1tRxx@s4@MA+O(vK2O6(ZvASyUe#+utlZNQnwDar?m0Lby zl>sOoO;_|Haz#z}ZD%gfy03`h$Q;c`yELby7!>bR8&*}Oq5$arDHXNY8?SL|$RsUK zbI;hQfl~{2#DTz!dWBl-Sj%OOS9Uy?oNe+9&n##av?xIzKA<3Vjz7U5q)I9pFk%)a z8fM6}gj)-1jQfI8gLiF!r&8G{Xysdn8>SQn#?`A^os3iK+rb*UyT3TcNhu})t8}H5 zmNWb1BxL)unC^2Rm3XJ+-c=kXdogFX&6{^NmHtXzEiJfpWhTT81{HaLAC<@m{CYxo z6D3?_)~ae_`30HsJ4616wD-!YqhlPXBn6vGivGH93Q9{3GZ#M~jPS6E=e!*kZ}3;f zKyuGqky+ZmCh}Zc6X)Kv5W24*Y0Krx_b|hB#~3fQB6}VBXk64B`nDS5#%7dxTo&!g zSzO36xSzB}dK~s>*?%^|T`ceHnIAOL9I0pHKH1N#r*=9;Mm0ZZSz%70BNHw`c0szp zpQgsV?3s^!C!#+H4a1x@DHX$2o?1b}A`i#r|loy6+J}PCm^Ldy#xqQM7 zPKWAE%C$|DP^N<@GD`DO5e?h9u#?Guhpg!`uZSU^%6|sZ0)F1X zHf4nTF*5&=-kC?mT3fX*M{fUhm4C!a~r8pV3$d&>+CH$4ho`$7Zt?FpLN;}Zcl<1FOYcZ7NC7DlHE>zi- zV$Ll})eef-mSRusO4aJCX+qXVTI}V)rU{;XUS@6p?jA|E=z}$TPE^Fv{Ca4oQryjO zfL4q0o|~%O&2;YbpWrAtKkODWAy z{!+@m8n=H_%7p){ioH*YhM3K`-ZPbds=UGQ*1G@je2j0+9l70=WYI0=$5)6cm;h_EF}5A+M-m zbZ2CL|9yh4{Ct)Ba`cSniru1lzlX4Sa>*i7jMWaVrs}WIn@_lGQEv9TLTJenRMHa7_M%s``rX;W4T3O$J z8$%$n^#~+h%I(1={Kk&asF~foA|xNemqfk{;EKff4HNJC_>gQ zk~rnUl3A3f9qYbxK$j822CfF}RHzs=^pdt7z9p9WB~k2&_U;<4nJUpM;;(MtY5k`k zuuC=xCuNINtaWQ{=U}RjrQx?r>jxOlAnF%Pai*;WW7riN6pl!0;|rzgld>MFQWdl6 z5Bov_eF^U!#h}Jdu-+XPX>+keeeJCtL3Mj4XzO{UpvvI71tl`*rC!gK4oKUNi4E zRRX}*W}pjV-?iL*Dbw(MN7z$ZJq;;;L|d!CAp?hpeh+nYaxm_tZ#Lscm-v z(hm@6g4nEQWE6GN7112M-wK^r16-1#cFXqN38L2_RHjnS)DT6`QJNpTokYe~H0;2; z6_CWWMes}il5`jrxKgwl^no?9C}&?pp`s-SZ}KY#<&_#cVRwKwxo;q9xMVurY2Fbm zf0*U{y3&=yaZ0gFJh_tG(a;g#$OrNEwVNg?ZB}7kl^HN-#MCG)s{^4|EpQ=e(fg4a z@c~j`L*RYdf!?Ce7Mp4q^B>>PpVN?AmFj`;#y&b6Zs6x#cct9AjTfB$HCCec&88lD zih)zWs{b)LIu>S0oVXz`mny8EBte`tc;meF=UE@eKY$M3DMh-ksTZjxY#G z;E`fI=aow@Bu$Cs388wR1~p$M=#YSD?f!a6eYp-w-XA}zx=BZFntn1xH$~RKHZ3i` zPG0Pp0NkXn*7wai2V2X;Q3QlDC;qufR4!`mK%g2Eg=7&o{Avt~ZCgBQE|_5es>`w> zxnTM?BgFN1+k6P)fX`Laobo2RDIYj&xV0+=b9QL`>#qlCVl}>N6$9qHBQR`mX^V4q zPT*=60O1Vo99W06h}Yc5u4sn?ixc_={%UxU8MY<8{v+m4=eM_DLRYjJ=@WJCHZUpx z6WAM-;7+CQ0Es+-JOvQ8BHaPSRUy?jsi{B~W$z2?E13=QSGh^RGm7LehXC6w4{krD z_B_V!p7`>`DQ&~l!0Yq~eX*cMBX5N21eh=B(EBm(JbuS&t$^_cpt745dS=~fn77+P zLi70BB1rDpTw{q?yUHrywo5SF@%$=q-nXA}2s8JmbK(6}pt5hj1Y~^a?tqN(zWo-E z@uPF$`&A&eZ+}#s`#G!c)YDm+cd;@p6BiWhe0*vm4ly3J@_JmLQDb<=&s_w0GLJAG zRV2pk3{{g`1VCUX1(EN? z+%N!weW7jDGQ+5w9~qBY6y$bhugU#w+Gic?Jk7RP`IL=Y8|Zu-WGZfzgWF8JsDKSX zq0ZA>ir2s^|s6Y)s;m*@cKq&wUa6axe6{iIt=0ycK0E%>;<^f9O z;<5sr*)gakFFVmM3Ull?+T zwkeTOvMbU+nt?-9%a+G+(6h_TD{rZv>}kfZ&ks+~_(sDu-Deix^V z2g!;oEv;DnmGLXYAaZrU@AgNt=Rna8UuTR|LrhCh?!V>X}k zv>2A!by=7mxS@C2&s&D~R7B_24j1BU79uxYJP~uBb(Uvcl}NWH>%n|CLpl;7kJ_gHVN~?Hk|vsD7r@CCc7|w7hwOY^(sfk767- zU1tV(_sTG?Gs`c6)^_|9?#JoD*Xzv^hw$Yxn5j1;=Y`rh{hT<{5IECL>xdsJraoiY z!d?>eYg<|x_6PDgh4_Fz-ub{KzkfgKgYwY@xiPw*EEekHjD!&!cTxW@b3X}oW%{0b z)%DEWG8euc>G@s(j1LS+ld2LEk`rj%-!p|{^UFUqdLRO~89*db`K3~<@;q$L>B!nP z9WtkiQP;fjgn6xbC-L4kIZiG7T-pmMa1d)x{BC8xEtR`C(QyBf>$_7@cP>n&M5R>a z2;MD46vZe-m=5`2;cW)jTS|txYk6g!IKS|3orY#g^Mz#f$aIbF$DR)D zKk^PF&UnixdPH+3E^S23L%l&&LgoE>%J>*Ffq^RO2*1C4U8i)vV8(3~jQk_`<*ZQS zr&TuLmp780l+`Ba+Y787j#gqv9FLxXyNsgVpB8VTvF6Wc#)tIQ1t^fm-?>E=DQo#! z4Jo29LH8L&!pihH=052msaIXDLZ_5lcZCSdSxE+An=#p=p`l9U3r5al^B~_z4 zoWBRPhc4^)n6bM!<3~5jL5TvBK@>6P2{w_+p_ZA50>f%Q8`y`lVqBcoccrAmN_`!M zt%nJ9vP@8v&X_0Uq&agkA~)ph zhy+g9$A658;zFDitF)EsOX6;V`~+)<*J&7`Rp^Atvf`ORX3$G>EM4`#??B`RVI4Lf zc3=E{k>L#5$!lWqfRjdFGeIWGTm0zEpmx(O^@^>%zg3 zLUl*&L)GLNv(^to4{#K+W)VdYg%c$gr57bGc1Tm`dFbaJNk}I>D>kxxB<*D#O=0i6 zTf?QhYwCWohAx9>K|JSri5HFUXE(5%z-#((Bx(_A5NQy;8M4`;`nK^zN^f~(vEto3 zw2JK6h^-qUHG(mKQ@m{sp(}VLbrIMw{d~HEVG*XOmjJBk)$``X5{at7Bin!04r@^B z(bg|Z7AuEtHn7^NCLP*L*Vb%sGyPrqx}_B&PeZPBd`l~7UZA2?F{_nKi#!(i>R$Np z@@uN8xuhBD^i=Wc$#nd7oEivzBHud1z$EzDBpiZOLm6x}h@55*f2xpX21Na+qyWyX zbVwUXm&kprBSgTfMCG88QvW?XM2mve-F@J;8SJPEccch3TjKuV^hWV2Z9m`1M%@MT z)G8h26xU+K->H83nWEChAMrQ@vDE(S2icFdmae~4II9O65gIBIrLa?0VzY?c-c{_@ zU|QgnG){i8yDHtRKNV_#(-;;*Q+KI!O8TumY2a*^Qs<{??TV&SSRD*kNqw zm`)hAifC3fOMkIbrE!`u)_`&vac8Z>0txpUtA8B)>o@HP>!|98?H~e3s!X_1&|i|8+Vl@e zmC^YZNwwVkPm<~aC7}Y4)Z;~(U?I+r^BD6e9B3TKzz!lJj_bk-HD~C*B=zJUk~%*7 z4@njH&Gx33ybxiLGjhM?cop?&dZDWIish|;n=;2w4i5|h%*&U_SJC&AuL0m`9?Szg z&BJIihYW>-jjIPEsYSsFF9=aC%5xFIV8m7IUN%_^KP)zLmlT3o66E>&nrmeyX(Gsc zoqgFhqJ7p7SeX~nKZ^4c_Ta|sv#&rfkVPLy>C{N52DTDa&{T-5N6%MN!iR`d%GziM{ z9A=qs0w$IQsT}owr}vMn%V-&-KvUFl{|_>@%b(Q3qMeZP3@C&1z|0kiOp9X0NLUuJI`@k^4W;Y#q7NquD&oo($ZgJ? z))@CI3SM&YXc6Y=zvs%>mle+4qjyNy#ZXK};^oO8$bisFV+bKIX0WMAP7iYtn%n?W z$zaNvb0<0*F>xf*eb_GU3=1&=eSdF;Vas0DiHVCb;(_0lE3V-z=2nzNBQUzntG3ad zYg~yYvX)YN`Ug1V9`~a;jib3(bUBNm+mm#Ckv^F(5ch5nBfeUfUQaG(kFQE)S)B3I z8+{D;1Ekj|ji0=zY{kAf(XaFGkzONxTD7G|&g@EqWGsPxq1pIBKQWTD+ogD#v>4`w z3~>YI(&mJr=92A%(WQNz_s}MvQ#yaGNW~!s`zJ0FrydqLLH7_@H9S`=OXb<=9I9KF zJ_>M|Ua)tqk-VbY)xg$-wtslNrN4pbk+pLS&4r3OrN7y$_#0>o{usyxz38)z|EaH{ z1NyzL$vXbaq~!&NmDW#Y!XFa8l)h%6(1ZrNQq^!Kq+s}{q7qIR>lyW@qDMMar)UjH zrS3CLbAW#np+CcIyWH(X8aR+r~6>zFT#C0Ljka{)kD9x7o^ zI+?AMP)M>q6>oTVnEKZhINLGJyBsHa^*h+*q1jgR6^k4_^v>2%NPcek!I_JC;F}dZ zGwb1Tt(JUXednsig53qJ@A!=q>=bZZKDEHGSvM=`4p2h%5F{;_fC~Xm_YV$<7@HT2 zS~zfE;b2lin(wVraJLMjIe??IVeT=(-g;FZmyq~^_Voo8&`D=je7T2p8me7L?d9|` zeUJ!J2ayP}@H7r9ZDqk_1Ko@ZF|snejc$AM)a-O>C2uWCIe?w59^Z^U+z`ajuVYXE zuN2WNv^KWPuVGFMmx*e5%99%w%_Ew=KK797xxrtHJ{6!B5OP?*t67|LTbl{Z)yWck zwDN1es9kUwscS}8z^O+#ot<+NlyB~Kf_8*;gmIKI5&VA`d&lU=mhXS~#w9bww;MHv29xuO>FhQ=ic9Ut>@+QDyy?{`czfwoc+PxyXt)m&)FWLij?v} z%V{m1kJPBNQJ=aWQoU~qy_7FM%ZIP4fy{E5Fl6IwMt#P zWS(B5aF>G#ypl??MnoiEF&{L`4kz$Vv@@d{OK`(X;ZGz*YGAvQ~h{la`{HQjk)N=b9Ai(GF5 zp-5Qg;T6ME+HoIAtT#O-D@JFgiJ>vNq11rVWAF0Xk*80$MX`3qY_Ipmgnj~I^vk^^F~)@PD*jq?hi|4 zxUB;t3fc%3IH*y|R)=cp~o>O>&hwK<~y(a*>b=Y)?Z` z^~^r)*7|}}!f8sF&585(tesy4nRg8qsqbn4*19rHw~=sT-4lg<3kOI?iY;6g|4TQE zk&~Uh-SB#viERxE;bFXMgCijF<1M18qL*KFs_r(o1WVR|eXRGTkg8)gU7?LSY$I0#70!>6*i*3|H^ZX(~8RqP^7UzMsCu zkKHrT-y?SN%gt%Z7Ny#g${r}(VS(^SCEp&@?DBaFMoS$+CR^ec;WCPT5})0;@IoxU zmN%>El|?8&<7qd<_O%2QPxs7x(UiZYRNBu62DTOSX z2g7G1BAtMj6pEwx)9HAc2lP0anD3}N@_v;!>Abl?A?D5R9^OL7w{7Xm1!Y{zM(1T2 z4ndHgWr&68yaC7*^-69!&~Dnm`^4Y6Z>{S*a$vWu9W#|_1SK^?2ZTDfiLSpr)c-lQf?hfKA zd(Ev!D9ih*LjQgUtH7V~?#jG53u!-!s{|5!hAV)V@k=E9peffldvTHG`aohC7?}O4 z1vf;Ob%5D~iRJCTsZwLCIVUV;M+c)jZkd?d_LE7)UhQypZlJGaM}-KMk<=!$RwGIw zia80p!a|LpNK>vR`_NS?4^*3z0VmxB?RQNTCqAtp9}i>uD*c{}kQ?YP&0M`9wPvrY7*F6StKwIYNRgqsH1Nit4N`8grIl|(SL|v+iU*=P) z-)>kG#%XQo@Y}@5XcP>f`)tcB603Egv6{SYnHy{<&@N;;f<0*lWfB zm295qmr~U*=76xV=Um%&q2ncvyRFFq}zswMCU`)fBaFYKY2*AU8jT)if+% z0o_xnnRJQtSZ5b!)%_>emWG-D%Lk(1K{J3j>#7n}p2Avjzd2@wdXmXQg9CbYI6D@T z0MiT8%zx4M++gX^j#)X#B-AviFqJ&LFvB!uvZb@~Ze^2$Rl@uMI*fP7Qn;Di77G&z zQxNl<(EiO}DY%y%zk~KwW~NkAMnzmjsX?ScicNl;vuK8-GTF%aw`tx}&v3))k#0$J z_!;6dyDmywl+`sC&4IYajr68|F(Gu?!WyU$Q{N;t*gd`n2 zyDT=lOwHGc=TZiISBR@B$?n7u8yn*m-_IAh z1wpQlD$1phQ=K?1L90taE(A5a%q7pIy`}yiK1vTjcoHsLVQG~i!;XrFyPikgtBL}n z8wf*i;S^_%3MQ3rDu^d@XTYn@GO2(eC1F-j?VNMD^x~I_2Q`9{jFQ#j@{*$2 z4t3=&swSk*vT5eHrVqWQJ8YuFYA>P%`pX6&KO=XME@2`RxYI}TOgSc#kL6))8uKcU zK-s!V%JvD~q75>ihOq0LL*zr$GxK~i*T?Zv(+m+hVM-x~JF|!TkD%Cb<+t;;tE9T= z=d<*N8W)UxM{t<<%h{h1zFU9d=uxfT;i+2EKT6h}1@-&3slQ~~&y{%!%`swb**Mw? z$8FYbbfl~at(SH9A*WPukkv(g4Ie(`L^s5(5UHRB?QvSKBc$wDlUlE-?U<@jS<{Jq ziJyZZ2vyxV-9fNhOTrzEhyN!cld_etbgO7t5cz4f9`7^6T}jts9NBbT*Yd*J5)E%Y(RoH^ zyGsMRy3sn7il80TZG)g4gpmKOwbbA)xl{KbNYvwIx8LH|Tx%ZB)p(?ucR@k(3LG{v z$<_VcprI(yCts3umK*GAnS-O*{!@04eoanlY!06044wK6J)Z8nMz=PbN{uQ=eE}g+ zTreuR$3EH;PP>{2-6;A60XKwDJup{`6n!kJbcFVG@meT8RH_xpF)p#%rvw5~$Ec*N zqC;r1R?krf(pKp)A{E!F1b)Fo#{{3kL*tZ9$=HCQUv%j!JD*z^M(*Hs)efU2_wGSl z?ea>?UHTbD2@KbBayL#LR?e4%r%iH}jRc9k;wB_;V=mGD(c*V{4h+=S-sXH*zN#1? z6iwcB${lQUr{}ZQGZgj>w!$D^Azve3I$tkeE|Q>8HMf`f`veT(^F2jae5+7RyA%#lgh@75~A?Bgmr>zAmyZVOpm3{U~ z|4tEP=~f%bvk`O}$LZ74+elPiwa4(Z_X`W zCiDH%Z-sm9(=!XSOd4%X5IS9ZFBU{yk#*lw6K&YNi=!Qz?Ddrg_=@i$`kP>*px7Z- z7uCmEGko96&?fQ#U;fIuJ$sND73ch=GFHyfp%)L~eU0U!WlB=#PQ^j$c|G-vIk@Lp z(tacD+sO9~&3)YpW?x%TM!H%TDh`M{Hn`iGpr+T*wHEiOAa{&qB!{6EhsY(Df%;2d zlU4_wFa5vDTbSCL`&>|Zh2Q%fH&jyG36~y2>kOXrAwNMm02`kv=jPl;vY;2ox%~CZ z^Y?D5^o3TqxK0RtoY^UE~bQxJHqbuLVCzm>@Ip$$D?g=- zQ-wfO_n%Au?>{b(WiQEsOoGsYRK5x$KWcY(+wzgcfkcFxnO|*ntYXA%417ZZc%;ucNPG!y;VBtP5Uy+&z#!4!QhalKF*Nz0&Oi zF+aux6ytBxc1*eNq$-kwNf@(f0@|(+>q$xo)Ci29|HKoy;>@RAV8dY|q`;=ghPhr( zfO~6cMii;$%f546YjGGg>M_IxisXp=5(%!)>UBgsF<4`a!w%96;x2FxTxL!KRU!vD z1`!A4*T3~%BAt*uMw@{W%HIdBLB+YK(t~XDp#B5QtYjDe*5*clYIDi1Yx6AI@uyPj zcSc5!WZkPovh?dO|0&t38=0qz1AW;I%-+?_Ir$})Gzr-fQD9LX{Y+@^-{vdzv~m3K z+Y#Sbsp0;X`v%iJ)070;xJ=5xG&zUlpK-yt?Pua>lkUnz{4v8@q*7&D2yLJT^*CV0 z;}PAc2c7NE%!G=)N@iRd+{Wcy`VVcLha1_Dfi6s{>m#gm}pQ2s!-7) zjo)qi?2t$`D&uEDl`a7FGiaEV6=kSc$VrPV($5!r*SOYNKK;;Pio+MHBnT`@d-3u- zvo2C~N`vn_UcO7S4J^ny*fbNtVUd|8^SG&^%pToQ#mU=r)kB?Fbo+Hu z_P~Ti=9WouRdo=*;8fvAxps*1(%!|&C1?1iM?d-#!5ww2YY`LDChUc$J9gnguM)k} z_@2q0HGsf!bXD(6-HA&Lh4tZCuM$NC=V$F~$CNt~hSS~wSYxhBFtp+Iv4Xk5KzaK4 zb-UXslg8bG-sQTUtq72D5`p|2SHS@MNQ5>aAmr{m3;Vs@XVD>7kA_ygV&BO>P4f zyL46l1IO1H{Luq1nE!P9gBv&rF5U4!)I6y{l5J;y`82^`_W0AWg3Hc@mOWRVchzV770JX0|vM8Co)uLruk z5V}lh+(oIH%oc~RCmR?^1pcfg9&cflR7&||l47Uq!pIQS(oNGtRtFADvqLQQ!r&>a z&mg&N4EN_Eg36F(s8>1Mwy|FN^{~l>tjojkK42?pv0df z%)PDA=$HzVb@t)s_S(bi^si^{>GiYOQ^GaZ!Ya+6+~gc#Syd}fBvWOLt^;q9YB|IE zFTst~t${1z^q!sH`!JRQGyJb1dL0K3p+yBhsDMFGeK5-8U4hMmz2MMkci;c?(^MvE zO|no#cG2Y(?U&pRWWD=f3}R6@ie6DfxkVA8d-tRla|7eF=-7JJ`y<~`VkHctgK>+s zi?xeZORcXY;~yA9h>lkgjiWGyRYLQ~m&!8Wx>CM{Dc%_tEZA$`-Bb=&2$7qYTj-+rtS~FN$%g>*Bgp zxwTdi&mt2_U4hX2!C{K86kX7|N~$nJs6-=}VBUK7`UORqg{h`V+ZItmiXmSVLpZq< z#o0)#=1bypbB%s3Ig>-OqJ3#-b+cw(!5~ve-8G&*$jAOfvc-Z~nlaOX(4-Upl7G*t z5jeu31R94%PzKjv3OG){;1Fqk3ot3*GE$mt83ftODDbT-)Dm<-Nba5Kk zu1L#F>)H+YuWiU;eVH%wUFM-?z}OQebw7^7q~WKJEIu+vho0y>oZ)Zu5G1f z6wa)T!A_8C@;L^i_;WCU7SC<-$^E z#_{;>!tuW!#8s65ZnT8J$%IVRutgC|@Grk}0&4onb5cbH`JZ;&F8!_od>+H!rhq$# zAGeOLQmgiA9$$?paIT&+BBJtOf_nx}!G38Smf^Ma4dF+1_)2l>nJcYbB>ysA5Gl-= z3cLyxjPL3D?702i#|+g<=UI#QbF$0hzFC!Pc#3VnGEHK@XSyv9#;or8ngX%gPN*eWNsEz{6R zzu{<0Pj}gHpW|KoWh<(^|I`A;%w+d{S{~LJD<>Fp><7s79jB43Qe=4(vuCY-Ydl?O zmq+_;fkyJ#uP_)9UgxY{HL$#wak0E zFSRyVuVdacZkZ-%f!i?V&R5`+z04R;tp;@Sy@wJ|Ffr_L7*)8tuJ7k4`=U6D;9qgM zhUl#J^Y(fjkYfxngCw;e>CgNLUWSnwt6x~&-)wWPkDDy-B8mDr-)MbJK zH^OBCz<@dTIZX8Yp2?*l3GHoh%UMhPCffM2Ou!+;BmBL=33owe2P)Mr#*ngM`Z!_= ziwY~{LdA9RIHDt0T5;Zp6n&FhN&39Jqd5QefkWsOR-qO63nNP!oxpceVTlExE8oQV zJ#s>LNC@KXjL4RsuCvTOaG9&d)^hz;>7Y+jWRbh@EYME)SPwSZ^Ser~0lK-tPhwp6 zq0*>=tj|P&9#};nAf7O|D2-$hzsz!l%V#Xh8rYw0IB?-=Ga)i>)eblRpIGhS?pOG0aiS^V5n zi$pFmt&8p|^COlK3Q1FpSybG1-CtegV+{6Vs`Tmhf50zye&UD{QEx$(S=d9pf6=nO zo^iH*VGx3;P1unN2oG+RRnz-)3Jc!+Sa9K`aeY#vaeGo4`PSr4e`R@&IM~E@qpv8y zbmN=riMC&o?a4=DnBYmJ)CGT|tJsx`QAV}>Eb`t@B-Yh#;UO6}xL3IlW5&^FX>PuI zn+(k?1!VqmHs_?h1RvjoS)z61};G9^oe8UiPSlNAPP2|(YEu;Q(Px@e%i&K>=S zmBK`7Gv>S8Mv=r8fLXL`K91bMiP>S^lx7l_$80htr6fnC(55fNSk-1hhCfTL)3zbY z(mtcXaX7PNOQVpeuf%nFWhn!E91P{QjFLhq?vy!xJqNL_k z16R*Vss@kCQhkERJv%42x*H4U*>B+=(~P2?NPv! zJ0fiVF*=PEnRkK*ROuU8?1cDf%=JD=E0fXUEW+7B zaRF?_LiDf8yJb@u((gmj3$;3Sk!)d zNu+PCekF&;MlUx~7fbWO z7?%mMJ1P)PFP(t=3jNlUsVz|H$B<@SwZ}-rp^f@J`J zbgau*k(ELF?=FFiJ|om;rJCU+OK0y-={rJrf%#P`zX$W{rcZS-2x0zqH^RoCmVqRhbO)O=>#85cd&6#bE7W7ehiz5R zi{kR1?Ew!^m+HAcHS*KzT8>u{ZDuD*+iNg>nQA#l)z$a8QEdn(EN-t=*=Lz)z#$3U zCq>~8U>L%^b)v?Wnn8Gg0*@LGWEOx4^gv0U36^^te3njhM?mxyJR?3Awe_H6V7V~z zqGiKR!>V|IJ@74UYNp@2s2Ps+ekG1Y_b?l*iHYcx-Za?UA@f%5mlm~|7sn&pr%wtM z^_ricmsE$VgyKqC64hi-`bA1@jmin1S?n0p!FSp!QWe21+W8Fm>^biggp8I0dI_}V zOP>jq`y~5Ee2_y!1_Q{(q(}+Utke@Qiy_SbE@F!cVuFc?Jm;8xoTXD4Y-Y`6r8X{i?ygB82-&dh66<~X5{3d5OR07|5D2cd zEkRA++M}-I?ifD#GTY2xvhQ7FX33c`XDyX1*+Sa5hTe+5W%-TpTPKww?v{yE8J>aV zH$8I}avw&Qytxl46aaDkptuxD78`kRYH)^Q_U$zguO}Xp|ff-*4smNWMt#S<8Z zw`Kh;d5$SRE7p;#LqTeANVL_C6HYWdKN;0!YqxXW|3Z-58_I7VruQkD1e1*t7h4$K zU&2>aLY7r3q66;w;MCbO`QF7-jlIwj`u^u!lhh0z=or846VQJ=#t`h6{kQcK4Lsho zV8aD#?!P-(Z17Luj^bOxRn#iRMYJ#^NNAFgkV?P`0RPl}uZZC21C5ut&!~7{&tPt3 z&tRfA73_qd=qje0=N0Wfc&=nEfjPO4{&gNDSnX3|pC+&Ehy>a~2V31M*!L)0bVDn2 z2H?6)HS-C9tSF2a_qnHo`uk7e#7+RVyqfM<*3FwR;`HYYM*53M{e-LyL4aT4hl%o7 zCkH>YF2VEEHvcpr3h?NS$%3({GjI-sYk4QNsy_R}H{=2QYK@6g~n zk^v~7{lo+JtQ-_I6U;BM=$f%%Ls|7MFv_a)3pfWA;B@nhlGk=T6U@Bb>jV@yvF!87 z>yeEJh>ML1HQ|OJ{JMX)`>8+%oNNk2xeb2{sliX5risS?12S-o-b*T{yNgD_ki@K%Slf5!aY={dYAKiL=U<5~$YS81Bf|4zvp zNuvJ1ip zm+!+~vHsHya8~}8v}zBT1XRw<9iU&mzmTv>_osS&BU{pJyXf;;X6-EeScl=H)^ zzAq2e9YPp5NCjkTEAe?C33h#SevKD(&wLv_1E0je&0)p2k?*%IXn-op8fJ@GqxW~K zd~xj{zd+}Q2O!{!v(g(4Xam^p*LPei-!^s`C(O8<5{*Ya>}9>4n&T7z3Mg5!+YgTK zKJsX_{pe0%54Sg$2LT~>dp$@=0U=kjBsbDvq>t@=*t9l>ma9*B+*;lltYM=0gKUR03R^D?oHg=$X1$;7&c7x3g?EEu1Cwu)EfPjP2<~dTj z36u|1V965YhLhDCbG*@k_!EGWePh=quO!@_%!9kMbNy&4pm%?&7h@a!WQEN4W1LUV zOc+J+x$MM27{$`)<^d)`V3(2z9e&P6Q7maRB7@BVHScczIF4h)6zx& zvHD%EEs-Fe<#~Y7eb?cY=Xv?>c%ctrp*=mq-qt(KT~YW#Jb#V_-}T;yD;%iKfUuws za_s}7fz}W5(RVKu);ow#dazsmVaE9{zY%RpxkjmnEW@VovzWMfQq<=w4|d2~f#eZ4 zhD!oTsdHJQ*zY)00e>bI_%%`=}9CsQPN@xA%yO{K}F0$-lce%S`L} zppzU$HldRI9;D%Ok=kDnxZxYb;k&U=^rgPh$bE+1-^hMurs+<57E*eLbvebMW`64* zL_tqqNW{?cS3y-j8eHOYfvQQ$r&iL3aq&|moQSleava6r5#7wjXgwT^MQ=Th+#rkl zJs6qYrm!C;;DR%_#pj}=xJl=71+!m%9^Q5peO>1OBM@34d{W{#%5s<9qDwsII!J}a zHBOry5Q)!m%8Qh}I3Y;qv8X^{I{x}25vR-;o2#tcs}G!PKMl31+%QeD8g?F_(U-YM zpj;>Si_TQ8o@o{B0=>|Y(~?G4P2HW(nJM%F5EN}j;y=Q ztKCUmaSxh11H9EkR2z-}YGLjDIbioD`Wnp3!sso+6F!H}K ztbPgx?Iq+z%*48*+k_Fcowe=$WOPfKgtvrT08sT68jG?jMI<0lAO4^`RK2Zp(01(h z|K0OOHPqKGJJ)^ozB$y*1Uufn1Ue8rD59Fw8G0#@;i!K^oo(QxmPSIKB1B>5n0Q37 ztX0=lGx#se<38DNd-YUI9o4Cs_o3haQgAiyvc*?LW92S$MTE8j;}^gT6j9{LX!0(3 zQeTV}6Mp~Wbj1}jo71_H@NP_Z)(a*6AI^q8HbXxo2&R5;@T@c0wA;-I@#yz?xfvyJ z;kcgmw|l}rcBROk(ODS(Lxk1}`!V0z;yA&#Bs;AOLc(aR@E^L0J-KQQht)s-j*97| z)$KJW+m^@5LH3F+dtNjk;Q-lfS!H@Xd7Iy`P40waXFV4frPvdncB1J_(T1JE%^vTw zI3K)gxD|}RY@Np1B$Uc(6Y@3qu59eRT1B|J>B{<6`eBe4)ALm@$lv|lFGnTSN%$i` z8eZs4O^BPuCUlF9m9FY7PEXLI|AcNfS@S7}+j}@hhvRrMqjgkLf?0c?swh2HG1%}X zvH?cB1Si}>t;Po;*>fO90S~P;wyg%s$Y8wy1;)FNm~0=p_bOT#(}i+0LKr#^D}a1o z-5CT+5Uzh8uAP^74;e;FMRvBRY>K%TF?zuF;0Tnb&&!)!({?i+M7oMXfx)m{r{V>m z6zfXF=4&d`l&5!qooNqS*55%`ONc?t#}P(2?vU`*<; zox2h&ML_#Zb+8`C1t%;bF$RdsR&h8q)-ni!!+nrs`=zLCW3YtA5|gyQtK9|4j=Y%6 zR)Ql!V_1e*=uCC$$z>RB@Xf1|YNA(B?crM}H-hZ-8V%5V0DgTz=F$m7mhaqC5u@;N zLwNw<#NQw!+=i+z`yFX5)w>hTg#iTe*rgO()GSv=>gFy{$LHcv)jz%M zQV|KO3d)bcOKbBLm6duIp-3id&!Vi+IisDRcF4OGBsxi%yCHnVe9Iz0OF?$#-S=cZ zfOTFJKX9Io<{q366GP1Iy?UZDOCd!QtB5Cv>^*q`S40z=3oU4IAM+O)2It;!hINWK zMe1SVxlE9zw*~4j${=XMp|v9WGo7#ah!2(;%e^B%JKS`VKSI9kqx6wP2%{&WnZv1g zfPeB5#C@a)#eK*_KLYY+V!JtC$4>}mSEP3E{jBaGPJD_;VwwH^#6~a##Bz8t8*3ua zLxCFT(p@jreXQC^E`pQ=m6d;l9=~MQ#EwVlI7l_|h%{%+*$TE&G%EZ!ko|%Bt^7Ch zbbu2KUFp>>P(h(kC_)>ikHyB- z;#@bm|Adx;i4nrzV#VI+I|*)(FiU{5iD4y+#YEJPGY0-cA!UX?EEvm&i^9py>iFH* z+~oc}tVEfa$gIKvYZxwOS);A0{aaMY3j?FZoQCuYo<732rTHb16}}NEt(aQN4rX52 zj?9zHl5=$C>?t7x%M;!FbNE`_TF^U;-}OPiqi>!x{do18TS7X&b?w?W_G|2@5Sq13 zH>As5+r4?FEc!=-0n6N1p9HN*wL4^mm>dCRCDHfFU5pjq7`0~-VT4-kxSr#ksLAVT zC4^0B;p037Rt$vu);hEe_@^YG*;tP+wz;QSMfVxZ`v#VL2)A>z6G9Y#z*+im{YC3* zkyBzpTqW`+o76rR!dQYK$gW7bzylJV!}xBp7gMA#H~lyi*|+yzyQgF$JAlPMG%}8v zYnIZ1Dbj7v*fT|`A>&TgmuG!L6~}-TEUwA}Gfd52SQ{tY!Z+*og|tp<34IjP4m`De z@7OanmJa|}U;h2TEQ*TJg;a{mVt`YFoejlc(G@ykxt!JwD0G!>o=2_MGxOLO!yufdVe+e9=nDJjZnqvm zND`9mU)%MZ;Xzqa3ADImYY)=Jmh(#wuC44jGh@0{WH1cvo@tIbk^M^CS9E6aO!%Br zO&@;0DG&%N`aYTGsyQ26)RG_7t3?*>bA|6@oV83=$c_E!S!P(7F>1P!eDMn%AAj1I= zAfc_EZU*~5U1|9Lbfwq-=}I-AulTDgO~(S^zbYDk*70WRCHc@@LR$C{%YyVzKN9AX z*Xm26qGYlubS^l2C?vpl(X4!pSuxM)w)_?)_bYFzH`4R)M3o))DUg;ZC_I-AUe!ax zN_dNL)86n%rqHEySGv=PD928Ti-`!U3Me*KXB7h>8tfcC#`uX9Hqph z7Tw7ki{^|JcAfK!w`A85Do4&m9wN_h5M|gPhw|ydBx7NvpuWP5kjnpX)?W-UR%NBi zNb|>j{W0te}Z)rvHfmM-2v zVXFlKr|H+I{SZe?F49rGl2Ld%9C1^;AQ{Ihn=W>bBWIdvC=@TjQ~=g=QVm< zFLqDSSt+7T`Wodn<tUpx+dg&VhU-4>V5ESHwBjbSi{M!Z<|Bu1I*KALX#;ZAMlcG;CQg3#O5=b_Qw;MdUpka3^3New*KvyS`=^#_z4 zoFyb5$X@^nv@h9iHjo-OHGv(W-ptI*H;Y^H(9h3 zyy4DMVuI1|1Or6NBAxZv4#`| zDAEq)1q%D$5Ab2RQ1jyGqh1dwkATjd{=mZitY6)dajBAcBE6Ili15Tzc`Rk6^*ivP z4LFE|7cI$`RaS^-(=E5#e&%#1Ka=DPjEJjcTph7TJkCM2P&Gf|-J3Kzx|r7|kD9pau}?4I6uIV>8FCunkC zJhzZv7L&{7ttmA)6`ZT)fdG)~LnqtU>}Bb>A#v3mtTa}5hkkay$@;4*?tAoI)%#2m zqrE|1Sc?*N!Og71pWN~5IvEG*+!1EEkkju^qk6p#3qRiJ=jivlkYzhYXo!lj1KTa?n;c;&8vV?DU9-O-IS2&@ zPEGwB*SdGzadL3oVX@2k&$swFKdC1IL0Ioyhvy(9FBTy$P$Jz|acuMfOo87U=% zttl93uJC^sLuzI;qK$91X`F{e8&Yw+n~fp^OBluf@)yvNB?9!+!=*tnsMd+)Xtr>nodb|=^mciM zoTC4a|Gsa(Ia?r~9E=l=M|2>bP!u&ukxUpT<{eE@bQ8WNTZz(CWkJ}lYp-5dCYy7N ztpA42;*~4YNR?TR?8WOKezDu5ETY!N-70cQE;*3JPCQ?WNswvk-3%>XoG9GAACc_a zR*D96f-uPNXqsBuoN}SmQl=VoOhHEN zO!!~-abU+b@8;=o0debh{5Jo*P_uy zo8A72DQ+#)wh`_TQXJ$Je@ySv*c-A-M~5bYkPF>5zh~s1fk@6_C5uQ+g0in%!u^am za?KH?b2$4u*zd%4XLw69+G;>G;b0B^=nf3jk%nu^6ZN51j-{398eR{ReTE1Y1z)D6 z*b2uQLJw(*Gh)(ip4+*Y`R!TSWRP4Gi>_606j61?gh=n>r_!+g##)GPW6RVw4LG;i zokV408#V^g+9Zc^+qDm+>Dfpa@RP6O_SLy;-clF$*Uk&%SbmF)>L8Z*{wCw;<3Q@i z>Fi#x4LvDXz8!|fu}I+9hGrvGQ&;Ssn1tqXkPuI|_g@IYoq3CtQIX*=Xirx<_{TG;Q&IBI4^N{B7~Qxw3JD^1 z_;kWE8U|kMY?%OhLFA#?yb2us?-5;?W3Bn2!_9a+%QHm#j!QGY*o9$Mt$ z#Z;TbG^H*Zh!w8Mz#E#R*NDtgLkz&}EIlKbX#`s7|E|p7sWUYkwCHne;12&48TI{5 zl`vzUI7;q?xEfMh=%B;`U@MBX7iyQ=_w^}8!%y$TTt>g}KfFS9g82;=Jp{HrKhhG8 zgF}n?)QtzRapNgeu;GET^f`r;4i`%vjv5LB;L`8b8e_g-(ugJ3!aSB~tdW3ikI({g z43MZM$k!AzE>@FrZ*dESe9~hb$C;Nw`fj& z+V$p8Vzr6h7`}gZ3Me5C-dGb4ec?I(`G+@Q+1CV-R#pV!oj= zjWrr4LMCKv$nRQ$FX9TQnfZTjB?UsMWPPM$``8Kg7xVFcl7pOJICkUj{eMIf zAGch_uXMx1RY_nUp?m;l;5~PY>#+}SSH8-le#4N2$zB>=75Z3C`cyrq`eRPm`|ScZ zzKQ}AH)4uikTVl3DZDutb)sFlG~1(*T2$MJM8Nq!VqLK%K#nKQK2Zc4V(6~p&MEckSOhA3za{{ep*AAO*x0-dF@VH0P zghyIB$|q^n-`@+Q|LFhS2{_&_@=b5|UGaL^MVZDTK_7~FO5VBM5_fx3>F(6;4)5~4 zhT(}qi8LK{`$6a1eXFBSK6Ct=A*!&N|8sdMi0p0%DmupttFQx8FdL1_l$-8LD zzIb8AjlLy(UL9EGYy9ny>kIzfZ6C=Zoe*Ud-i$@*^^0zS%_psq9J7(0q0nbqR;hv)nKo#T`6zTWGJVQFhH#IPFwYypA{id zLd7q!Avb1qJwTtaEe&Rw1;bf;-9|~?Xi3HQ-J}9_a%~2e6Zc?)Qw2-7Q_!%;8|_= zp5m@Tuf!NhRs~qa%a$~tcJvx7i2*?n|xLqq)Qu82OVQWy@_r5-}V*pn6wZFh?vv70WS#L(aqTlv&gW z7X+u8=UVffV!AnAw@;*JQKZtT#X97r1~n+70Itlt`(Kr*%BdyvnVvI4c4R@g8H(*D zc9&p~C(;`GXM_{P4t=+Qgnk04gaOSnawef+I^NcHFR#z!0t3a_E81*06p*?BtO$n5 zcvN5jn>8vBjcM0aJE!cgA>S7w#R>IcPQGcpkmeyJwKx@&%LVdfp^DN)Snz+@-$%_= za$D7@4#!EWef{Tcj##R>LQ2rdlA)Yuweyb&#EwVwtxbZfg!Q zlYg&fZlbX@a?bA+hXNfaPZig}Xv!Y7cfL8$MU#}L`(&jn)LJelVIUt~~MN?F71e!-YZ74@3Jvn~P>14NaF zn0_IxC@In8d>v3X?-lSf&5D0fHpt9YJ98}WEWSjWV*o_~1ZY?l9>@pMCBi?fe!MLh zZTLl&lv6Tey96-KOVx(dDb9bHr)DMI!i=ae!$%k9U!IY4KQS+Dfd86WbIs|s)OCJc z>kvwE945vsY1+yF;T8jZj62AGypim=GYBI^7{%Mj+!!%#+y~4)*FKDt%<+b3pDFmV z0k0&_a>(S8UfRiiupUDY-6eil6Cd)lEp;POv?^HHGao%`AVqOT&kP@Yi_o*~n=G2q zI38omVQVg-PXun;9w=D2;1Zx_7ig!TI|u#N!~XVW7@M;mwZWnsfe? zg@DTT-+%@OYSh7OO(C&KJMRu$*Gd_dL_~GAR<_JW6=9>=DSSvv`z8s>O`_>?4xe@U zT!;2FC!;|pLTCiU{F{x0k&RA%iFR5W@TXPjG~J|D7T>q9CtOz)X|LVx1AXPhsB(MR z5{xK`64FJqGhcd^2?ST@*JzU-!p4%)?8TB?`Z7qVGSK7^N+FXWg)WK06Inh=x3Lnb zcjam(SvKJ?eY*J_JJimvRnPufPV)a+P6)M&CPzG>eFN$K3mSeH$CX8Wzgv8)F*#A6 z8Qm`odTt;HG3P{k>8cBj+Tduzx*Nb0b?0>|tU!hj-M@wpONLswv`j16OsV&}>UhKk zMDr)6#w2YA3f#;VRmcygdo+U|hl)vheX+Z`%Imu`sKm|pq-}rG#6bBel`E_kA#@-c zr2IVwO*p?v04k4nr(z!Q3G7N|jLy3tfr>wo*B}Sx+%3hW12GEGjUn z)^YHc1^2ePm$8-u@PtTW6`eF@>EUc)+u@Y||5&0ZgDNXfF25C@`L7+7Oxzy(H%2hq z`IhtK7R0M~abbyg{{TFlX#@_D8K4xqx`K*}j=yFmHaZTY@f}m}F~#Qo9HsMO1!+Iez_m|V* zS?2MWZqOS)eTN?9HIkKpdFp*uz})}G)>i;DAE#vCKK~wkDj~u3O0_6Q9{qL`0hx z_^Qc2*fyyLbCoBe|zcO?iWTQMV5nT_reKgBb{-Q?`-EwzPc^A@LpBi z^hOK!wIFN(P46nrU}yLxvb7jPrE2o+#-QaAfo3D9`K_5bEV+nero9TS$(A5xIIssMD!bfTWyi5cX?2E8I2K?g-OU=&k3-2{nkcXJ_ zT`C0=5@iy#%|=fY%XZ9 zItCe4u+u#HgZAS0@DERQC2^T|n#sU;*$)^rQPrur|L zhr3m^tG#db;-F^a2huAI^q~OEE3+-Rfvj+_M23-jj{l+pB%DO?_Td9>2+wI(QGUEv zb0>|`FJEMScqUvY$Z3-lWBp}l6x5KsWvHdq#6Hh0jDcEVry27IB5lF=4{V4^tWue# zFsIa~_m3`mX>|dCKf}-qh)udqHQ}smy%*vKh$|srFbru>u~fk4f|2clal9MYK~CFD z1ONwuP`5EP?Qau7($}95iZbpAOsRfPXH-~p~MDGu1q#Vo2xT3D79@9&^TC~#4t$%a1 zY1NurPj#?s6+0r5R!c)YW?~*S*=nba1p9dH0;!)KUVP=YE!LTKk8P`H2|gLfbZ zTq1MRy;+j9^6dgURi0cBb_0UI2#Ly>eO^cL9(06#i|_;k1)ZTSz$?xw#!YJ(Z?4w&ekIFLxHKBM zd!_1WI2(~vDB!OZE&8b{1Rukty7RTly7y(E+o1?qG%& z^Xr_i3ZC){nAQ_kMzVx%2;eF)l3$WqC(c!vCNrl0if2ns6FXN`_X4Q(`k8 zd3ZC4{kr0z(g1Rvr?P}8i8QPIvjM+V9JvGz3{rr5PbnA%q0?f5?aKgn(*>)PIJxry z!1aH%kokYL5Sh1sJ+|+?XCxzftMe!|KW^8TxO z-2M4i^=Rt+SM^Z6!-i=>Nt1SU+CG&W0ahZfMgHe)tR&-c{fWl*hY`V-x|eI@Ey^b# zt&HhYuX}*JT^g=^5)y}Mx4UjPIb`KEWThhnE@JZtQnOIE zw{Eu({gx_3v^#`}+7E%pg%AjAH5_@#GO}W_J;+jY`FLFUbfjX%Z#uf)kRedqAtGD+ zJYZP%Vd{yqA4hFn+!007*)QE2(kcH{L;8Si5$BD=L^ro-GiI?+pwp&dFf&T~eVK>U zG(yuDF;*g+klAAmNIA}IF_<7SN&Nj6&@3{uZrJ^XHl@Zqb_{&09LCO@!)dY1WMy8Y z`;Hk)fYV}f+bD9LVzv~)3z%9*0m@Z9wBr-HANLPL9bQhjPhWQ=L+p^&N)i zNV&J(g+8q}f;I`v8(V1hxo#4nzy6zgq+ie#0XTy5DBS5StvBiFm^@mZ4;|V zcG2$7EW}<%mw(b-r|bJJQBz&}+a=t@I&f*yYLRy@qf%XZ>y<8L(_A$-4sBsJQaA1I zyk(qeG_b5G!uejih7j#BE*=oYx}I0S9;OGxptiA_dAS1Xp~uYfz2=8b+>edc8S$8)3V)^AH6d?-bd2wm2z}64}JqIF0B~AND6JK z4FgBxDU#@`2Rs-j_K8O0{eavt$nsS3m=(53&amSh-~*+;q$C<>joqqG$dc=wMA#iJBnCj*5_ zH%k;9 zA+siQuvpU2hl6T%Jt3y(_AzM@)%u;O`Xb5L5xWjLtsN%H^E7!lF@MDU zA+Unm$rYAZtbYe3<|L4qttl_GB{hv0_=~~oQ-Q9q^fWOT07uf1{?c(s+pn%ISfHK-+^bBv6cY;iw18^~WZB&*JZ zAkY<~&tv3P64ka=_1*kQ`6$XCdCBH=c4!yBHxYm%P?jyjxg+1CG(m{4-@T*=J4r@% zj^RX8h|9=}GiS#5YKp9WnVlPq#Trm-5RT94FdLBoFfxtsgqkyeh>ce-_H&%!z6vdv zP-isKfTbvS(xI%T|CK)rKgG`#lbZ^I`HuPNfk0W<0=QRfsH${yE^lK3-&@IteG)7a z7e@xBxFg{Ip}2eS{^o%a%)~o_sUC}Ga`m^UsQHchKNi1}ioCgH;`#SJ`IxCU>giQ{0lg<3FK6b2BzFNm9;+CsJyl^8W`ug&NtTi7D{ z&m*p%wlUT4QE<#27mv)VMav^$3HpQ0t3|9sVY&O=Se8j$L;|4<^;m`{ad?qro4;bA zOoB$t?FBMGuxfQ89MZyp@%*%iv%daD4|=#TMGyQ}_g*`4J$2cEa(A2t%um5GgcFvq zG7%Tcyj#C*tsc#Y+umj#E@s9H5wl>LyoRj#D?~++8Fw;1GH4q1JL81kM0_#n?|IJM z>|0{1fQ0U9M1gtpoR}33)w;(<^op@!x{}bswQ716J95ZJJXCb+NAy*a!HhO zKisb2#=H@}5!HbUklp5GJWF+F*y3eBD{!yc?qIrzbidf@c#ZUxKX+8SLk$VsJi2dO zxah?$De|v#rQ7w|0O8{=%lu=!0D~6InCeFzj!xCjAZLq^ripfk8<+0%uXaWEKq2;a zor5z*o6qeX2WRXT#O+X;-4-1HZ~+M*av#dNGH{L)Eh;Z^m^R7`b`{*fV7ItsIid;& zi`a`t?$eH<40s*pw@gO`uG@ZU<86p`Pd_&wnlt)))9$(q0Bo$2#jCI#Cbv=T>N$s znC(&CSaL_>5I zwE;#Fa?&2K#}*Xj)jzema80$-&hcBzq}>EO^0b=*xO+Ob{Lvf=qB#y6;2(lM^D596 zqbXxN;MeD7pUfl|{Xd#DQ2!pjGnFD_(^51YaAw+XqW?M6uivP7pSuHBG})Ywrv;Q= zBsK;%=(<;VoZ=$G!@_{Uj9`DHAaCy;#|=g-Mg+njd3uob&P>&O0?48#;(_zBF1nL~ zox#xG50eNjoQ3nigjsc5e60I6z%~)#<@Q>)d_Fj$cohTlpZ(4Fyi5JPp5$)UvR;T#+f?BIG5$*K;;O|U%y@qg(kfJEh|Hb=Q>w?Nx3oD`HE)&rsw-PZ^GVQeJ zR|G!TgaOz3r{bbIlaKFxStu}DVLJa7Y)6t>r_Pm`rUxNmD7p%+lQ<`XYz3mT)uzQj ztq{e}o58Nwu*Z3f;B=Mfw~sU{#XE5U3Hs7sD=R5;GTnYR^(F8yUrLWL(@gR3IF2@F zZ~Yg`-%-yWH@$f_P#Ee|lPo7#6V!qm1?aYbf4ZO(@UGo_a!)~z#U>2rhw;5$^hKHy z&cMt)$LJqewZHcj`5bA*Y&+hZ*ds=W3*(B|T_e*17Jx6pIV{HD6I9z75JxvdW+gpp z#4wblG>vAt#H}_%#MV9BrL@N*VCPr`mL4{IJ>OWv^Q}r#!5`kcR*%^o`2SR8)lp-N z`&MN2(N(j=(G&`6NFyASd&S8eBrEAQ3yHILO(s5-KYTYlF)FDG^4(^_gZu;h;U$!K zpM^9DUG(=(@vVbMv-hiGLTaG*e{U2sXMb-LTbX#_g05Zc^HodnfZm4CRvNO_7cSZ= z-DfvhJZ9U8<{Mbw2b?}svcj-$D&xLy3(%F`yv>MoUeY+sF|TYGK;yt`9ub5-lX+h3 zK<{Zgp|MU%EVaBYQn){sLAaHeC22;gL?^W|e4*et>Pgw^GD!;@3{-$mucIhzXbPh6 zPs9h}i@(M<_ZRN8m(HvsDgU!g%pCgO9$G;E26YJm=p~R4-SxfKlWvKz`Um|KBIBN8Lw_Jnd1m7Ji(CJteQEk zYcZR9Ax|@4Guq77FspObc#xRBwK^xxgh|!AIbPJuO?@h?{-W-qf_1w6{+MNC_=ZQ> z!cT|DcF7<9KG8*s2yj!U)$tIvQ#fTG5T>5HbFL~%!u<7 zR^7?6Oc*!NT2{kHQ~$Aql^%jh=?bsrk1pnuYutuyb`La2UfnxO@U*k#r<7uGai*F@p0iI(f_g(9l~ zhrz$}>wH5u(pnO0ttVSb3R_Bk`8@H$r7D$Yu>Izrm%eQ{HH{gGUQVbDVPlhEizj@* zNf(OhOoZY$a2%PL`hI(;;ML9GaN7Hh`trH|9pNQg4))&iAPc zk`z{uiV>tzoeL3bX-Dr+(OnpsjfEcYTnT`I_1VrrOhonoJMtHn1LmNiCJ>O zEdjO&^>&N+FK37bCNsF59p#1#qL`fRtvIhoUNX@6>(hA#T*dzEabS78^5(Q8dN7GK z(<`WjLoD^YoQYOYZnK-vnk{@81Sgz9DTVDR2N|{GW~~4G9h~x~J;hBn6`TtRyTa0w z3Z`jlPdU%11qVaGk58?CG4vpCcBPWQZR!sK?3zZT#>Od}nkJZPg3%}$Sb8A6j==a{ zk|+HMSu4tmiQlaMqsVB+M;1AtOt}8YK7RI$+$tZ%hYPexU6e%-IDaAbQk&B1K21MravSb1BPyQM%i+IcPiw^k#T!lSD}Ip3-I z&{_U9?ZYracKXGzP8+MqFkQ;z^$g{F2WVBOjM;#_H5|FWx~_jG$+w~k6mea^Zr*`U zbU#L)DLa1>xb?=??LhhR$utQdWQsi2JucV{g8W*SKm)$kONrtfCyZHycv%T%x|CR_ z#r&I?NpEb~pD@3jW+KSJF%!u3TE+aoN#!0ck{vdZRsAV1yi!WAjvt^i@`q&AsLBhg zloCv}@6>HE>phNL8=+GMDD?pRqrcJ3YHADmUa35&v|eur@TD7Yd$1s^v{x+30@UsNSR)$!gb?JIo6oX~2qLqNtkM^*Td7(&Md?$KPLeTeHP+40Z^|DKjXd z?Ebd>y`PW7KohOs4%Yj9^-eXN8rG@JG3zmb^bjT)YKJK{KrqS=upRLr`MsUUS&1q9 zUzyWKUTrVMtX1~#*|)cX(K0jNUGZ9YjRQE8;4Vl-38OU11LgB8*K{I<*=QpI1{~N9 z@*B9{j?hu9#1=^N`ld+ z^wewxp*fhv{H!(8R{cxEBUX~N`JbkB;IwI>gBcsadENkDx6kK4q{=d5ssGw*p%bB{ zYpKCM>BhH@5)^^aEc;gbSyycsO4@&GHkg1F)~1=K`&xr)1N;R8wrbSM4s+=nergp4 zTbugt{V#rmu4{IIARrI0Kmut#vZ;)QgT)cWQUb}wkdVc`F_H#3)4%v-Z=u6~GN!N!%J>j0L1wlrU7uX-hj8)~S_oBF4(y=2- z3177b2b37S+T`hPk30n*3v+$>;5zk!ird6Wxl??*BX71y5p|o>bTcsf%S)A32`DVH z{q2JPFAGuxQmKc^T#3TJs8G%4zo@W!=Rc_Ma}?{&ub9GyrO$N#D~$hi5n2~vW!H?GJQ zbrE~%F?N zjj2ZIRYjE2ZY##XWhXV*LB;<^Q*?5JKV?&i26SwE+2Rfb&s2oTh#GZ^ijO-pufE49 zB5Q{9)xb*V>iw~jwY!J$&VK3p`CZL7B9QhiYx|w{)1WFn% zjS&kFx&fTfLiAvF`>&FFpdC(3szo!r0U7AHy08nIACmQ8l~nj2`fWOq4?u7Xfi^o= zQm0D(xD0{nkZ$ix<`k-(i0AldYVXv|lx&@dX-|GDH39Cc2Niok9#8BH z3{;#VIf*bbvmyaSnDp=2|zsKxvq`*PZ`$M z{BIdP1O8ivGkxXEZ3{BT(JQ6qRSb1XpZM2`7xrEY%SSY@!>*L)7f1^E7$}mI*!Idb z2iy>G<3JXZ;T9uQT8^rDhCJBLXQ0r-0W$EJH5m(qe^-ME0vs*%*vC|2unTX6FvHk# zF>FfHk6BNqDf7vRtn(P+TpQvzj*@G3zAToH?a95**>9V@-(|h%&NuYVK-!yFmAWezJRq&bbI7m55g;toQoP-?@Vgi8JEj4g#SY@F4Tm;gFHXV$ub#k1m+JuPE7q;DT_3IauwqQ~@SF9j zx86#D%t8*|UuV1%*Y&+~Ksf?l1>BG#-g5>r#_%H=hfmOzIz{Y=kKK}TPFATO%loBg ziJw?NKF(j^4ZrorfDF*{h(&kasClS>kJf7nmRPSKc&nZ3g%VoQZ; zGIgBtFK5)Z76m$(HT2*VC-oVdu~w3c*nFkFTr~rS{4dg@kBMxgz`xetFJ}PbqN~f% z_qD^rh**CB)DzQr*WglE8~PgJIrRO>4f;t)^E#6QWBlR$5LiF&C;&Rk(7}YGvL4)CQpfb~k>*H38t_Rnt>eT^C$@cg2W_lPD zR*yF?jP7W3gUVFBsZTA^5uGPT1IPvGm7wNvN1ns3oBaPB`4J!~f7$Q;7jKte=~IaH z*^{;&GxdI3po6L3tDCLE{(lVlp?VNqw0v}iD%BJ|P%o`K|F(e6o-t=kC~#eJpCh4| zQB8z(U|aKoHun>o%kRH4m=1=*WTYMbBL!Tq0l{&DT0g6swG;;$s5Tbi4F~Jxf|(GV zv}~5Uak*(M3UkRe7LRHvOy$rj2)&=zdVZSd&R}~lnA3K~oCnhy;pYGGJwr>=74IrP z(+EF(t%27Lq_^vyv&kfK2UdP`=%C_1@F6EeL$<`~+Y0HA2H|0o4Mh9Pg?`3mBuT;I zNAZz-i2!NzWIVuJ-t6FtfP)4DMLks@J?t6^b=)olAEB2dkWCLN#0|oWE%h4Z+1%k_ zd_*xfq&Eo0w~Au7BSX&gZbDcb2=?woiBJtJ`weIdXFkqV@*exovC1q{@%J)gteDOH1h6GAaAhZd3HRzB& zJmsgw&%4i_6YF8opzTgP?`o7LUKbD_TGVu8Jej{_Afr);f>9{i(*-$2p%UtM* zqS>+MP{XTM-`%VFwq7mFUI~(|=SSmFjO&AVPbX)H91fL}_5OYkACV&Fgbylc2Isp` z5+h}cAOd3qDg}t_nbqkpUQ)W+G`7jnL-Dq!9C$9M10@5w0yP8i11-K+Pa}9Mxp5w_#JMs6j>|; z5+55!s|J9p$iEK@34>(Szn_M=XEhQzp0XY>AF($Hw4kJ{#sGp*M3F@&Y_x5S z4%qxDvL(bOlVvfLE;dWkR(?`RE@*zaOB*u`F$w;ZgyN#^v8+vHoL-O=nd;Mz51ho2 z`SgshbZUiFy;L`VBg2aDM;y)2vnX{Lmd!K?ZTEC?ypEf>_k5g;fSCYf{l^#x(h=ru zVydE9ziklvoW^F6DYOCgwJr@L(- zQgw26RM16_sZX+b>RgI3a-(1-Ew-qc7Db?BG$=SHN|$gp+{=S;`0K5QPR@-G2&EsV zZ(4jR2_4hP&i_1ew&M%$s_@=)iDf@guK#)BYzK9#n&8wTnvxTP*gB2;z3MytfK4e& zNjj9?$(;hgBV#8?C9F%zVS)OY8p`fA^?6pN?Ahex4f*GWAR(#bkrV1qzTCM)C)Rvh z)neM>vWZ(GteVK>0x_Z6rc{S;M%x19`Oc>Jp=Ls6`X3QeUH4@w9-RRx`Oo1_z7gkg zuRGo~<4js(76(lhOY7BiJspWI#2i#wx4jr%Cte7A-jfuxgUsF-+N$Hy@j4Ky@>eC1 z&&KPNR(u+)xi_T+D@@BQ%O7TITFB>Up$9j8&!26@IPwRX5 zMzz6SQ>TtDri_^qWh4W8x}Xv6`*D6V&aZERoIh~e1sP$4{sgGy8j_WIV_ZorQ>uto z!u_U``6*B#fYQysn&13=PWi(>t1|iDRVC{FyDBNZe^-S8&ql2>H+=!u00?AHpgSz@ z`MZkc$`rPl_`>>c)k=w|U9%OE$trIY%Vm<$)OP8!=CWC=L)?MLWb<7>(!hU0)P~~qS(r!5@Eclu1qGF~s12e;_Vq9Q^32jqd zho-HHcFfoZxcXP(KV~6 z+4OGvG_&km)@!%OC5_wGNp~$=C1$zDR%>?}TqS|E+m=VqB3$LiQ;+PHAJuv;^xS5@ zF;)y3+*yE)Yvc-_67eD(6Eo%;iUP_sU=|yiw1rw&JQ zD5?&i1=$reS7=T)pP4tcL5yzu5|NN_d=D`vQ{X)>xOBm)SW!%m#`fa-3doJP#Fe zCLZ*&n3+TNj2DXn)6{iMMIPrvji1SeC`qEfh-5;3FS(3{Cc?tQ!p8z&LHX;Kv`e+$ zj=WF`05!m0yrH!vWqgT`!PxTHcba$t$wcL$*C(E7nsf6~N@i)`{UkAGo@UBzU&ccB z=D+&VSO1*fXD3kPyJZXGq!C6W^@~!b%Hm0e`OAc#MX)q8aH85m^sIY#Ho_fl(SYJ* zSLTWAB%aDjz{6e?Vouq~@@on+2ry1Sy9|-M*I^E)%{EcWLQ|;0ETf&~JZGI^DwQTP zXPvi=?#)FfjM>KMdF1v@FV_Z+kMkBJEmnvCEr;bwDC2Z7f6sj*A<84b#G5N*Hl(5E zrZdb457@)s1MGn>F{d4AC-hdtr`9kl;URxsPOs9BNlL*$-a_64jSZy!l*VC|ApE4s zuklmv#CvwD$WHNFUV%BAIioqNIkS1w?a%9T5al18Hrkat{ierTyj#fDq%@Ueg@M~4 zOd0%Jg0Fk=23Zkq!ZP^bj=N{p_v~K0Xci0J_Jv4$Ujv{Xzy8DVvUY{V ziQmmUgkl>$|B%S;K*q7|Tq%Q_*K#e*szFX{bWo=2$tYoH=NdBV7nPyPE?kzQp{S=C z(gR-Wuf|_3z8ZYB{R*3J&}$qE(-~Vj$|)B>lJL9n(55w zU7_A@*WddXP9W+G8zZ5v{? z3xrF^izqt>jZ{r2j;l<4jXhC4l`UsuHdAY;Q74rCIeH&!Hc=RwWblS}XKU<)($Ko#u)EphsG}C)Dhtn~vortVcQbvuF|J zv{6-FmSHVD4Pmjrh!#bG>*Xr7kX~W4Lt^X@m90v_Ew^`!GHO>FdinFL2rTQF<;$!} z`w~lukaP9B)DlFL*D+hq2*bL9Hs15>PyaH#)?b?}pTd2QJ$lXL z2aVW2n)17Z>%SSPDVOhJ^STV^9~-Gbs`9AX1#J)6LYKRriuODW*&jXoP%hsuJNpMluM0FpF&FZz_ofcnLTY=Qs&?NEonx(epVk%zQAX>?9<>&x zZ?5hq_6)n8!f#-UG4@i9cx%wEt@x^nqW!rcDd7s-AIP9~{^nNT_efeYcRYbOcVU17y zs`r!MHtsoExo3_54qJzPpZ;$oc0`vBdrJKaBv(Y#c6+m}OQd9lj9dZtZLaPSuqu?{ zvrW<6f@_PR?Y5n}b&bRhQ`uq98QB|&OAl?^Wxu|)fwTlg)M?+bHG;GRXWH)IssD$d zX)`6aY?MIFM+tM4y;4yAWl#ojbfdXA`#N&0vjSm&Aepq-d$$n=#cnMs`!RAAyPoQ2 z_D$qiN7?T;f@I1g-`#5%Av{K5=eFNK z^p(C-@cqG`Guo{3;JQ^@8t=o3mZ9oAx(P^8F&V7tS5Cd5K`I@8P9#^sc^wU-9`iAg zNmS{=P%~MtvM#ONR%=vQxu$6=J$*>@R{8TXnnMkz+JZjbaV!g5sy}KymeqKU>|AIo z`cpV|5?6a(ICfyx+H#S9+I3vGc~U^sd~B-D5|xwDbv&p*zvhJb#c@N*-F^-who}8G zSm94DZR^>=u!h&_z_9z1Zq|>qRom0Xq=u$D^nP^4h?Jg&tH!dT*~nr6WFUp$=(^J? zs}kTF3-Mq(hxJ604tJtLKfTlr&N5bG>?*zF`OK$0YGKpF-MHh&plNVyEiwex1v zR2cPn(}nUSr5z&~S(x0Xf!{H+^^B<`fmHUYG?>+ZyW>ny83@7=#>s`ydem8xsiQe> zCAt{cb&GUePw-gwSh{meaPOzi#awD^vrQQ#H2hE#v7C>4=DN<2NZt<*j9Ttn%qdr1 zMDJs9fNTu3xvsP#b-k^$Dgq#}7(G^&%@oa&gnlgH%+n$-7zN%y$zbt%XXZlu3rC9&up=&zDP~-?#(f? z{YdD0@Tce4?7Z7*irE#Op5L+U6y1i;F}3T<)Ejd$OeT*bNo8JYl{P(|$NqJ9fSP6# zf|Op1VD(8N4WyM`3%n~^Q~UR4FBHblru}*?h7r6~+Sn2yx-DNh-bNR)vpn`Kds@^g zQMJAH@w*$;&Zn*BY3N3kH$C^$x_8woIWtG)4&$_!7`R^d!<>Y|z_>dx^j4@;7?zk7 zI3qD(nkF1PT_27tww%b?q1@}6t&@|LdG9!>EiCS zFnlY@ZemIfJl3w*rzP2jY0>fNyieOz;+%07LCI5yT4}M>9?d zs`Jy2OWHadp}yFQS79Q9!q+5oK$sYJ$S~IBG2s4vJyUa0a9GHqb3SjZ2C98;O^2y7 zMGGF8o-u?XMJ;?Nh*sc4B&kD9BVU$t_5An~WhVG+_X?gls+UDpwQ7-ISA|fNXb_9o?3TTS_A<+Sb#0bQa4mS@o8f)$a=}v~zmL#W3Hc;z! z58H&(VtH=5)BQ^0x-uFqXl~ljWm9KVhjF`oWo^Q_x77+yH|W!!(;r)N*=w{((+T7a z^bUjy6e33BNxDau(D(zp@jl>-Pv z4nvVdmYitQ-Y~K2cnv{hR>oIO%Xm^PWVnTCQ6@V-7LrwW{nMrGQH7sx_(7d{rl`f5!jiZX~ih(du(LHC-_ zo~P^4AyxQl%*>bCP;Sw~Id^&4WJUjHk)Rf4tlqpD+Fa(#^R<>8v)uyAZ@U$^dlrW| zYsor;G`hJ{@jCD&&YgDcw|QJ}z`6Jd1(McfwePR$wxy0Eo52Ducsv)mJ8U*qCXCH* z8yVqKc`rUW(obdD1w#f35~I;3b-+trNO>uq{L|AQK+|B$U(qwhsdRFl#)ITaU;Q*; z<{u!OJ6kp=QTQxwq0+FY_h9UkIW;?qkbiy9A>~=h2LrImPd?}L{7Ox79$vG*56{)U zWqtm-l@904WZeJUVw^))_L?Py<346{9vRE`qGYI{dsa1Q<)vsfc73bg@p9sG?J|Ks zePvci!~y`njUSGC*xZ}m_RbLN({uW==d#DvJoXlC(sTp)0(}A@0tNiKm=>O1i~1)d zNRNo~L~s2W4;=y#!b7P|XQNZEjS9V)cH=d&#sM>8qOpv?yV#n9a9AnWF>nJka3l9d z)=c`okY$}^x1^*y*S48~TAqosc0ZDN;b6HUiyVa#<>zE=yUN0y^d*+#XTR-l>bZf4 zmy1$*>SP}J#*~`tr8& zrt+5Zke9wBX?=oo!!|1z7Et-lBzm4JUW|6#R+^LKSs%4rM6_sGp7U}-Wp!dnW(a=z zClv26guZrAGw70m-~ZTK{m7S6y4uBT)UD5Qm+~DDaYWW}M!S0LQ@VAWjVVTD1v~Gu zJVU6Qjp;^3oGt(-sMF30^O4Q(TJcf!`r1yh*hUEjnsA2ECnK%+EhM|t4WIfkcHk9! zD0T}Y2O)m>U@#i{GMK+k+j7Ke4;>=NoU zHaiQ+U~*x&P2k71u7eYa>B{Cv_l6uTvamz4_+Kvl_zP!QCa19uNxu*c5o=|Bi=|M~mGuW0PBbD6 zUR4<9IBsV;*qkra*UN->LFPLm+>a`}>8hPX7}j4Z!$zF`WMh0yjRGaCEfy#@zwo7o zG+j~OylEcIQkLpIIV+2Su!Reos?Zc$VF69@CfQ+q-#a28?7Ai5|kFuQYxQE*5t_-Tly-eL zI>k|*Ny@CsFcoAE=+NVo31l%PQK9Jk4rSeTju^W9Jc@!bGe)nvxO9$Y^kB6#o@sTz zWQ&#Y`it%*--s?KUR_wi=?Zg}>)a0X-AHXapL>=_NoV^kSe8&aJ{r-1PL3$ z*mhwI^-^vi@_#vweHTd%F4$__fZbJ0Y{CeDO8?if+@drE$4#3oaTq(rrBK>WSL zdnj)fDIF|scs$>8@}x15TUJXY3~5%rcB~1X#1CMbrl2X`)@S4f`6zRL4LZdS7Fbk4 z^`Tfo9@Ew1gg8_J%v6f_GnP)O`Qo2bMxB3>egAw}I30_VUom7Sk`IA_YY}7}&LV^= ztVvu1T*y%l77Ky@d8~v?g<~11g^eW@FB>KcN=sIzK;7Z8F z+vK>sW-Z8gmn;0}rIw9SJy4!b{h%&dU_JN=iCFq#K3NC{Z{LSb#40dH0wM^c)q{%( zDIMC0GMM`6dm?(u_rphQCdZP;9p}t5gB^M(!-*sgwkS8GA+jR38ve|d{i2mt4)SNh>zXG@;;>ay3I2XW#tuQh^3O@1) z%E^b!$fOhM91CNLCHGmUxYn?;$c=S9-o`=W)#Hn~K=0DKUtx8dNoi29fv`f2Uq8jJ zR<}f^8H}q|?`|Nf-XRQA_B0b3`PQWO9!!|@I4X>(8mHGCg;Ts4&ni`|&KNt_CG)6` z8?9{Jzj*|{R8^aSk|8AiM1 zQQaQ}?kP;=QK178zn5P!t7$Y(svTf57Q@~q#aW?LdcdXSY-IOj&#a~GFB;p@nX}|w z&NZeQwQ;(P*7Om!jn}L?N*{b=;LV-GCPO<-w)$L&2j^8KuxT}XjhcQ_oFT?@NcPs& z!`--%%a?jNk{_Gi0|O)ceqt9y=V95wSC-^FpueI&qTfesTWwnn>m`^G{<=VP+^9d| zlYDm{3vn^+`1wJb=NfycwtFQSnj+0h#4ESLe=BL$`i+&bdQ}%c_EXmBlkwEB%!Hgr zy_X(fZ?TG?2f_s@)4X9Avh&rumg`1%tdWvEAh7l}bgI~?jJCAD;B%ahxR-#5Qh}a{ z%#+4(w5?@#5PIz$aWGn*ozlF>@$X`1l2UXi(8|5#dR&Ce{1f^e$f6%OXaZO*mYL~X zp?pzRXmGc^T28Fc72`GT+Nr3|aUJnefcQPzO;n@e&quJpR{lZtO*S;zf~nL?`MFwA z9eOu3!mLcK;#f!g}~6D^UzNA`qgWJ~c#xE-a%>r^WiWVtX33VK*Vpd4QEG;!pI#gp-HayR zPbY>%m`004$NI-!Es|pR7egAdUe#fAEfOQ^A5OK{weDKDv0!rV_BR%LW$pVAmf9bV z0oG#hw7Sk|GtqtVg8fK720N!vw-csJOWUjYADJ8QIQ-7(r@j$&cMjw9BD@4d?x)VT zby5obsA81q1UaB6-hs|R#z|+6s;#xYq(KM*Qc`OR+O4$+M+#m#lXs&Jhe{c^_VmCT z#K{m2F^9@t&Jgrep>k&0$B1F#+#d$V#_EC*;wZT>1_;cwA;@E2`eu8otE3kcKb$KQ<|l1C;^14N?^l7{3Ii(-8xQi&MqvGbxU#?>h6&9uKZ>vD2$lQbz6 z)gX(iHZE7R0Vp!Wt)`9#+CFHPsm`R1N1}{W!Z?3w;4>u21Fjb17R!{Xj`ecfn0ad& zmJWPggQrJAa+cL?^(Do_Wms%+7vrGk!IB+jqg_pXn8&OrU2M2M5Df*!rkSw^?0?BT zPK>1oc2esE+UqRSM<6{15lqb!Zwp4z$14G)z*XI3xD-^__VU}XmPQ|-^Y9{Sub238 z=)t=Z$)QpJjlngi=%F}vZW!y68oqMB*{w`3!{BMm3iOUKjb)U@fSTu0A;@79uFz|M zMdhN18%joD2KJryEL|Ry@W!V+suVR}X+2>DIVJQmvz{HHz9SU(aK@YIOtQW`${EQ9 zpu|!QcZ!pwzYGy##9h_lyb;pLk?pEkgb4@xs`SQjpSsQ%e#(84rkt`|2-_TKQOGBp zrJwz9Oz|;aFCT%4b`~-f&Em&6s9pd^_!kKcu_1SndH26u`>ad!;`N^vK+LveSvp7eRneZT*6&iiFPTx&8bS5{{4{gXWt zCR)A49XfM9*4l5Sa%&jY@$*S!M<=4lBbZSw3Mje0Eh8rw<^}3)bY0p4h){=M2T2YwSg^>%w3Iz;2)rN^F`~gR^*6^ zm9Nzx6_zJw`1a&t#_bxa_7|a--5Hxnhe?YMe}x0v52gpjDbCwMtI@g6Ygy*-?(hxp zBTxt&1&^HSY;d4GK7De3fHrEkZaQH)ztOv~uratXIkh9L?y+<;y(5Kn5J@3~F%tYX z*e{qfSUngk*nGpfR{LO>Ish!7@D0!L@`^XZD_FckJX5?vJW8A^K6Y$Y?7tw_8=zgj zi;H+-b=CI+rp7KoL4>^dII>yS_WmE3o0-`iKx-d&-@~Z5LM*Ls6+|hYk|6slrINzA_?>cuflGxc`D#?64zThi)Zo<0X7daikpU}H-I+So+ zzWducR8R+71Y=VOf2Ld4(AJ+1CX`z1R4*1!73V#d`=DOPpK1&E7HqEB*wiaap-buT z{jZ{;{GsfZ*qe6dR;Y@D1`;MWNhVPEsG<)INtr{valkVd*Y~zIbc*yPu*nM(#^yGIZc5|M~odcW=q<-X9BebYpocm^!xQfO*O*4J_TT_hHkt%7oxOP*=e4>8R z={t9PxthF}k?@xO)0G{(cV%)_4Ud`;g8$$$@*@l&iy1<{;H&!PJnbo@X>_Ito)*6E zCp`d6qSkEh#=7C<{e_(H94+VN>qMW0i7N~8DCd`368$}Um%xO%kn2D>^ zBc6aJin`(GVnV#W&oma5y266k2PZQ#Mb90(OyOrg5If2pvNO=Fj%nKv?KuGC=5TeP z92)(0_9GzDUK(>)i`3`~<*}rtM!#IC4jCuIhT_Q=oNvByGDu}oW~VH6e^Lx8aUoyg za%*YoO!7G#*SC(;l}y76V?zrGljxGz<7@!>vIO+PUU{0X(axGS0{szG!>t82(h7;N zKBT*C*bqU!AYvra$t9X26*=d7Xm_ak0(a>{K$GkPESXxN;*;YUx9FsRS~X97NFT8l zljf4vV_{87r}hseD@EG;DQf@h>(yb+5i$u;;bWVrHY)dT0w(NP@om%t#5U=Ctxd(J zo!S_YS-TFeFbNtDRU!$<%)@Zb(n}k>nV75@%|&b$Zi_0h@Gdp^8mw%c6Rq~oC7=== zcdC<#!kv^c(l!dy)q0?PJY~JsIXSbM4rTEdCu*-RzbWPrP=2m z{WPsK9IoG1jZrf>F|F!nPLv*(&e+9>yzENXUX%&G%CXzr8%K^zQltnex<)ZsWyHnV z_Hm3)`D}DR&)|^J<{7RsveL3rprx9JPvJ z?ul#B3Y8v)zwqic4G9?7{Kg{nI40+3~b&mjxA68t~_5l9b;m5 zua$qeQY;@Upj)b5tzN}hR{y=eM7c%OBmIk7$;eEweu`8IX^P)Xo`jXGm3EP8N2&UO zPP&Fm*#00+e)<}4Ivllk$hRYwbr^%bfQnx05VtA66z;7u;=({ntTO)efrUV}T*=A{@~nd*gIZW}p`t zRb6sEpr`-)l7nSFvTF6p@?pU@DzoESd}v6`!Q?30Kk7wH+4>%(;hA|;x`Jxa9cL*R zoXF=5hd|iYH~qt-n&Kuz1lH`K7qq^m-71j2^kKHMSc`E*z8K&25HGWqafQ5_yxeYb z4j0Ul>nAaw7kxXZ8P52aL7#YDUC>R8l-(xVTfKG#fRA4M9G%RL~1FBMCnVpIqz`c+#i zq03&0)r>^#b#ImcueP^Q{zTO2!TLMA&^F#oae*caulYTGh?Cb;(9y3$PzF|44|R%1 z=msQcM?k~cR`nLBFO*u37RMTD<#osk49{epA?GEJ6nqf8)+BpdG!Kh~M;JU45iOY^ zMMptOl@w2wP~=r6FdLb5z+LB?`z3BNff?BA%J|JS6HD*wXhfxWDqxQCh{|=ac@Vzr zRx0E4Di`PQHl1m#{90`&Thrvs;XLHz?twC4&549X!>CeI=dQ>xZM$YXrB-UY*P&{# zY+gSh`mXF5EXxsJC--`sg%@clxeJh|9HFv`M@?`G80}={tf1yOq7L|!`zJ=Xb_Zb@ zvv2L4ZJ)_6mSs+>AydeIK#z4T5>$}quqBEj%A8^$K#ZRod-!kYU(2w)O`Hg4ZO>=L z6S#s*0!pd};e4wXE=i3;^CQ0z#5&S}^)vR5_7;4S4Wa7mK#c}_N zQ8dEsSr5z(NBcs<%}Vg%<#s+`KL0tYnt9eQxa&0LiE??j(W=(2)~wd0)~42>7J4U3 zmVr~P))|=g$!Km}2L6X+jo*g*#h?z!$lGtCSZTJGxEB%4#R%vryLsKdvvH%J96ypH#nPPy#G9I}Q;c6$_W)04oft!JoftP{fjMMvDhF2RQ6ABY* zIm$0F3SHK-!8hb@I$nA9Kd;XdwUJeF)xdl6M82LpTnS}<_^fhK8D7+8&E7lu)wJTR ze&NIV;GFg1+ioM#k@?&EB@sJcf2S`J6^ngjBNH}jOf{*?h~t|q$|iQ@ zSMm)sgblsOTH`r(VN{g~uVRme7n~`|DUKu{E?KJ*+1ZKmV7jIIO^7h-0}mS#Y7 zd@p{v+`dQNnxI|xB)k5d+nwXr!4Rr(aH2O^=P2`VUB!>kdc`RAGR1Po3KBUJ8h=GU zg&lxZn1zA~%S$JxQ{BqyOqP>)7#E|w-6%sBCQ*TujeeHR#j09Z3+b=7tpfXFvx)-V!Vv6Re3Gl&DJ;6LyV5fsmTC6Lghc>KUSvY_CUaPyhR@g2t@ zPw*~ogIvArm37Y5pyX`ieMXB)f|DOPACp_ZJkuXS6T!>;1ZIhIq>38D&Ueexwl(Km z#m-~r^T~E`{^?ITWz3yE&O#eV5dbOmp zaXxR?&Gq}ELo<(HYd?4HjwvHyvu?D9MfT1}-^JcD9LX59xOGAF@7(d*j-`W4&jwm zps*X7M7dr&MUkj-%FZVffa6un7m((Q-xfI(=`%enb;XXpfV`c73o{}TH1j~X&s@moYbG-zC2= z4*@%XqK&g_Oier01I=`GJym|yq5FbV8m1j4BPI(bE@(Az#KdZHsHKyxt*5!D&acU@ zG2~wv7cZ}9rLiMMyCt}zVW)neNvKNrpBZ`@i)w#oBy7rS+G`SN31}9>Ug{7Al*659 z==6GuqxFc+GbVKBh@;ksM|-K0x+J;+c$eW~)~bojLv>2y?p(HQ2n20eeXu94f(E?H zGCr4qy}#aKu>N>W{~?Cii^8sodKTibh8Xh$JHxh*VF2x7YT)y1!`c%1XJtB`UuPPk zPwsJE6;iigyk9JRNOKQ&4hiZB-fNwU`cc`37KuZrfTBiWKa?YY4<#!UeJUpe$kxb4 zm!w96xEXzvnZc5*OeLD^svXyqcS41&+OZ8xQ}EER7=9cD>{NN7`Aj!e#B2SUyK?$7 z*L*~uVX8;JPO&htDleQb2=ju{f2yZaxzEN~a7AO;C)#TU+RG z(*s!|6@5;y(leQu(}X zC;A(bc|30!@T!aoRf;2blf>l{-&AZRv;9=MUwMMnMgP% z50l8O4#S93_N1hfjg{ad+a?QJ$TT{T)gR9Pr{vt1+$fyl#LU^kGQMYJbC4oxMl9dV znvsg*QcSxCN=>H?QsqtvC%_Jt4Zax|KAw>yPn;f-G*DlR2k+ud;zY2#q+Yjxe{nAl zA2f)@(fpaOF8p%OPR`bfrO?ehXSC<=Q;zlk|HbB&Xpk%V4cOYC`g$WwXm`wF;4h0i zdp8(c42HcLjPfBX*>&CZ1#GL)OpE>rH#JI5f>qwRzihRdIIylYQighx{Flw_mEOmc z5~sG0w#<3;pG@BD`A;S{daQ7%TUC8aHvE#yV6N{aFpNqxLH92hX%{k3G zZFczu>j)-r-dhiFL!w_q=zyo`zT@?#&lf59Ni}If$Q+@2IZ}Hp6MxO&y7_5S$Q)LC zIXiom3;%ggmR63;@!Nf3kiVk#?<#W)#kUfQt8F$f{1wfAAMi32A4n)(wb_jDJN#!x zGh^|KgyKM(%^tr))884M%*A^Wif3&$>-e;a+K1!Qy2fh^cU|0ot&uoXl55jc*Mwpq*HI^3T1u{dp{~6La7oJ9%hFq zJ(STBr9TP=Qh%oMeN`G$k4$R%Nr^}mIk-Qy$f)qhYcC11?tNz-yY6W@vLNL|0*Or% zXE7U1sEb?THczJZ>cRVA5^Y*&qt*8;Yq(W=uG|a*K%J$NreU!_Y zbe}!M%m%3g9BhVTOf#c{54FG5h7}fo7<)-fN<8u1i5u*DK>EEjRQ>E$K9&u3R(_URI-qx7i+U?*!dVWXomPve5EI@mA}57Qy$WdGvpj7++DzEM>BFmBf<)Ls zC|#2UsW96R_;^dWSP(tKQPwXPKQ`Hj*{3yUogHh*Ga0vQ%X)yVb#?sW1t?f=J1b3K|H^qTp< z?KDuH5rmb}`%>o9lv}nop=q<$1kVFM2CoDk1CI)2@9@Kl6PD{~r{HCe%KiS~D^vdU zR7d{nsdk3z{tpXC z`fs52!^+aiulv|jppZr0Y0HKTe+ADGtQCwKY!ysPL7J&dpJR>pQ6*hjp3gt!z`P$= zBd+n+al!|=zk(yf@sFF>wognwVQCY*Dw(3&g*a`3k^GLjE)?sx|poXl^hs` zDV}}ve*8i`0PwX1vP6?^X1q+dR-IqB$NK}NW7%i7DIv+0Mw3JU>9o%eelvJyHcr81^ zAN4zgf2WWO=IDMban`SWDa*tq@jJwWBg}2ja;ZUZ7x5yLPbk}l%Wd}*KiI2QQoU(2 zz?|_rWfD_6Hd?=*LLsr^S4S{2h~4jU8RQs{3E0plT5B@bBfL?q>FtFsk;hPp;iR z@tuu6Jcb%P1!Ybg@er?|EROBj7+%j~QqqO=VP=0MvRs!+2|f(e+r^uei1t@hZ-a5& zdu5}ljVCh^q ze@x~^m)PU7#(bM-#8YTsd00g^u9lESH@^H!4~PHDl;Vp6sR6-O0nbkymfWiSfHI%5 z(EXlGU)26A%~e14PZaeJ@wuoDyR&5pWoWb)u#yir&xw_KZk+3s4&pF`xOO`eNM2-@ zgsOlE_u@LosX@(wf;TEs`kigxY0L5a)(hbbLP>!x(uH3wCL+0~ez69mcg2Ert5&dv zBJ4FQFk?UhD=kG6C3w;y7&jo^fSfLBi@Lo?2C+s8Fvsbzu5uM&-LQks+oRqzy{ye! zf~j~}90P=AB&cc3DPTH-d5fh9w-?78+cl6wr610o7{o#ccCQtV_Tbc>e)UNp0vq(0 zwQC9%xBF9dkT{~%MSt{Rz6&@&C>x`A#E1fYgursfsvC%*csofQe~x2{cbz&a!yj7l zLTSdm$pM$k`OLEmAyV`;*}bk+Jv;^No{eR6oe6o|tQ`7ZB6J{}mJKlRqtBSHtq9`Ib@H9DNx%IMaz|?xb_54we#?Lm10u*|NH__}tvsf>V}84L z(Olgqa;`_nYP$0Iol=jI9 zx_}z&JOCzlCVnQ@vJqpj0KZlz5H;vrVVpFlK*2kfmMU6U*d(?3H=R1v# zDvsT<@I_Iu5$UdM(bWLXdw``m*|x-PG58w|7;dU~MVxitD31SX#M1 zw5(U~?&P~%xi4M*jBE31-{{37-Mbo%}s8P28yODlv@B2Tf8{YVYI7FHR$;8Qo$#0=OK4jc6E2*prykh#_ zjvUxC*>_PtDG(|qeL@=xgQ84675EXdkBWiAA(Lf5^? zcFu(2gv2b+&ZW$=g_zbJYJ5A&JZ^aMclC7(#Dm4#|D(_$|JCP~#1EexBN~NrL}zF3 z|G^XG|E8&g|B>zxl1sO;MTLR`iq%A?LEh-ZD1?eciUiTb(J;{W6;1BKkc9%1owE3{ z>WTRPQ|kllmtQF&Q@>=~r`cCRies-bXvY12he_cBEKFKOApDRAcEb`|Mc@^(&Xuf9 zAG=}G#yv7Vrlp9RnfNI+A2WmBwj0^O4DDII%&Fqnr~JOSmGAqpwnNk{)L>@)r@<AZX=ZsYOur?MHv)=Aqd*a*j&hyKecK6paBNCFAwWhC-Gb4I} zr!`VM+ST{ferL)*tIk$Ml1|lMUye6?Th@whZ=rO}JB4OAGBeW0?riQ)HoNGj$uefi zuN$6S^MFHyDk`FFZY~Oodj!7Mq}p8sJ{aET4Z7}BazmWs*X|U~j&x8d=XJ1PG}Bct zx;{;X$W1U_@ALfyLJKV0;d>5JyB!3+KOMz#u$vd;D?#^2etQTl&%t>3_EK}`er09a zmQw{=H>N>ng^i>3Z`!S)|K87)uJmJdUKwxCDAfdvoU+dA)=@ZaRP_56DmjWM+wV1S3#yN ztR_hSn&9+RElP9+C-2lNPXgVVs|LxfVpFA;x(!Nkt0V7636~^Mxu^AXLXWOz$8=ie zhGz4z_)K|#tDV~pN8#e?cHF6AqdXed0#!NmjKW#86c7GgIkj@` zq{}piDPIrmEAfMd!kTXZ+K)90=IaS0t{ap<(9ktlr>x;ko~&fY{{xKQ$C!& z+uat)poUmcIjk*fqiN45U`ekxhp9pGtjUJJRK*#dY_7*fOyH9oa3rHc;U9C2%S0%j zUZP%(_5Ex8Y#Ff3)yOiMy4cPv3nzSdna;P`oX>de{pp{538lvn^4EQ&?j&*}y(E!e z>9lfeC_}hq!8lN_Y&k#x+8@&qFAa6Ww#%{&Fxl5f@P0EeQWJgp@~H9ZAUP%a4qIm@ z(97(s0~q>p4i4x`S&{IChS@YM!vsnW{8mCk)%<%Q?;@pkbrP#FlJ_2T*f@E@{gweugc$LZ`JvIqS1|B*eWbv{W~Yvegt$uy-OO5FbA zs{n~F&TbR?|8XMHdgq$YY+=&b^c z!J|Z@1d7Cp&`7-U{@={Qcl=N0AqH=|uhnOc)@Sm3S$H*`J|^z=gpiI2Jcfk-qWqm; zO}_OD-db)uXa)p|O@6{RAQOfWb zzj8<5Y^+dDfruJ}sLag!fpn_8&|qBmERGBkneC0KAOZ7G<}9K?W@?w$9Py(K(xtItW^5_Sr8?9dzXDkC93)*A;I%SA0#kjN zTvIGkp1Y=JWQ&Z8Bx%bzYwBy<_llr;mcbU75W*B_Bd<#4a91FY)^*|f>7OxyOYN%stN9?`@ z2E87I6ZI1H62%Qw;^&yD)IOLQqY0Q20Je9JBnW{Dc~BQIian}*;I(PZ6i?JES6u8h z3aOZW?KEcz!rnHAJz3N)CQlsWRV(32@__oF%T#KaH%8%JheNGJt-YtdIw zb^+DxTUWsEvQ$PeAqe6=@iy@es^+SWs+OwnSKeC}f(zEK)y9-P227pH9hg!(DQ0}Os&cdU>wv}nHI7R=YEcBwxFREf-j|ie=4tdGoWrn`!W46^7AKcmRT*H z!}F*zwybhK`Em!9Sp=>d=}&^QxK~L-RIl?-6tg?Iv==p&e#~j*O<5_UqImSn8IQHw&LfP<{Ti`;VLizqG8teDoY44dQB9q4G9wS;4%~dVucWTUNez zWUFq}rgNlx@OUe(336r+q&leD>#j|vTckSZ&Fj#%;&sxN?U$*O*yR!tj{(vkWUm#> zR?mT$OWSnP9k{r&Rx%&(7`m?e={au0IC*f5hkb161gx5J_MMUR#~qh68`njm`wBL~ zFEz}J^WQZ9v^u#007Cp6!rnBm-@k{oLUpATU2hhpxP9>hsNg@1Z%pZg4$@SjKtc$_ zk};M82e&1fWrN_e9L#;(b;{+kOZzb!KuWzZ`8iwB6uX!XAZkGBygq;@9}#lUguoH| z9#U@(z|BYCh-TPYm<3@2Fr6v^Gn76UJs?s5%t^I4H?=YbKd|6EMA_gG!-m8y^7;rm zi~axsg9}94ONFR?uuR5wvepejEy$!-AOi~lsTFzbxy2S|z~>(UD4U-0U}HZSjL=p^ z^BBrz%$UYXay4-PYd$e#piTu7*Ro71Ii5D!R%|BW3?(7LWYhs&ZXOex#t3>O+?*ek z044&+xsn;g3J9BL1_+vi86N1a@XwI$bf@u+hCDErH2Ad;4~7?^n{KLvvm8q+T}Mw1 zs`IH0L1r6eY4g=ZLteG2PrYV+@4E3~9@rVALtfhDwALjY~F!zsD3R+(5D~QMAxLuS~VcouMs_`cX{b z7G~)X@1&`b=dsvh+k!Ykpk6FhcBtAEeI_d6+GQfUl~vlwDG>L8kJI29kmY;U5bSD1!tM`0?pR8=DIhtXC|uRmgu z-dZBnDn+Ty8k>uLN_sTmS!TP7;tw{?wA-@AV*J26L}l3zjDJtNP~@WD>Ecb!k0=;K z6MRXx*N`m|D*_ZrK!1mlslut^siGEF#xf~Y#TSn7Ax~-?YGFcF+G}!;B83EO9buPpB z?j*Y_t#+JwKA4>#r3^it1`ZRYEIzS~qVA5|8KJghon8E~yLhTb+Zp$7`Na`?+WxiW z*J!x&yHVeL&ekbhQDD01gJer!=i_$+7-Dj;*5(p9*zQ;ueUx9ehf;Y(U|Mce|?$vy0Hz4+6g@=SMj;bQoQ)H!_X{!E>_?zunZW%_1g zim&=GcV^JV5ZPI9y_MH4uG7_M%38#W)+L4Jtc@8iD!0A9<+9x86h7H8-ZJ#;T3}Ap zYv*I5uvgSdmDaBoeq5KNhXNeq-8~|&K2%Y!#fkeZ`HP`FHkwZHg%$VtUlX*cIqh>^ z^?kYRv4Vc>c`PL$&qm*g)o#*LPMsyRmAKq?$_&+O<(`jzGF&C|&VevwTQV4JHLEnb z_e&|^GoY#YYNSfP6YcK9c{a4UF3$oXlbYn%#tu&-OtX21dDzemR7#Oz7sCK#TrCWxa-aud{pu@*t&3#1m&<>qH$o;( z;TXoXPCk<7(n&HZrxe12WK+n?2}Pz!hsaJvrb#AmknAuu2QN@i0m{jGKTze?+V{e5 zqNvG@gkdm)P+|?Bt$;X5ZQuNmp#cd$9RX8|rbxnf54#{pkP{=Lie8|)ev{DSiiG(D(o1xtfFI)ix*$1<(Hy={ zVS+r44dMhUt(jspAMga)XN4)7$GGQ70*zWpd`KVV@-ZP11X81ur_N2j8Kp+ONZ(IF zc-?DVd$BH9E!q2uFpsFrv5y$%Q1#Vfn$q5NXrf~@k=S5x40Xc;Yj?XAsuAbEhGKat zUE`6-yGcrd<#ABznu^e0VK#9RNZb2=geAe`W2pt(0vaj7&>jypK-S3IoPv?G))F`N zfi~2!U^O9OrEDctTEa@g;xNNtGU=ENsZN}!#oC{@s6mN25ldc}XdXD^>E#}i*=!IJC%fhC)?XZ)#F z|1f#1ca`&2F1v8(5S{PVjll5v(h= z{rqRF!#mk(Q7bo{ovNNQMJq8MK0-{|b2HU)$XP70Ou`hYTvLL#F|=E|Z23HVyIEdZ zomv+;B+RnOB4^J#XAhr!*E=@aJExvzTOw7goU=Gy%>thQiRZVN9Lx2E&+)9#2t^8~G*@`T#3b}|zY&T1s4uTgLv(*nF^dtG}V zd^b#<+9wnS?nq9lrhIWod73&2L*faqWI~0l8VX}Su0y1gRB`tn;~~!2`o#$|eaTuj zr1gkhI&J!c-6c=OZn}9Fw+SbQ*XQ!;Q0+58&CEA3L7qQZ#nDZB`C8E4s{6INpv2Rs z!Qmh~zXco4)xs&*}$A`oTp zX8Dm@0X7*Pt{{-I8^u)j6ZQwHh0619EysF!v_-)Rh%I-;6}$-RLx2bVu!R)-7C*54 z((F7kE(n!;cq!JH_{rn3yr#bDg@zo#gkkwvnOwT>$FmTj_Y;3teMx2|+LwRKfTq|9p_z-r0 zjJ*>BA?_3H1=r{_rWAp@Er{{~*T<DuhicE`LPi*U#_m`3mkP-;ccI{j)#n!2sSF1O{}An5xD<3x%gqV1Hm4gk_P= zZJmU~Z+=eEv%|dAek=#Hd_RJm?Bf9u{JrvAmYmp4ja7mJLzOkgcWfW^d>*!|N3sYV z4eG3k95`aEGP`-lOud(GaWJPB>YHg9i6epD(~-h`- zkT(CLYU6>6G{TU;U=nAg)1Y}tbun(ck6j(_5gg#Vx!M|dGnGn%oi#GcmL-y@FFD_Y zoex(K^4^hyfnRIFKQe)kZr%b#e9mSo>GHv0w`Qy1mHT~Dw&$Apveb){^vh!9qAnP> zL7BDKwRUd@lC>UvqPld!m3_9zZX=l7xce)swn#vpD?nAS-&DH!Mc7MbUS;)dk+6=( z$-UJIWl?6%$5hb~{yjJmO*15i+@12=U)$JOO%TE6@zM9f@gKzxl=_3s#~%p2dG8XY084 z1gR)ReM<;c5}sb;V4)NqE2o_+N}awrT`vkK9fOO)T4FRX4Uq%Zd})5s9SeFHxTo-O z)O@9gwVNUif53b$Z-fKcY#6Dro*0_+4{N!_4vGuae&(AZ)l#G(jLNUXw*u^bO*Izb z%3me&!UP8i{|ww9_{6}m4ASWAk@+>%IX1<3qc99Jii8Bi6~rkP5$@h|(l*^n|1v&# z<8miMo|$uDc*^)p2feWrMi=w=tu~xYphrVbXFB7FRIGWuIGYcOQ8by1P9D7<4w65M zAnO)qkMw~UJ!zn%IKd|zC89usAXl-=kEVF>oZ}@x#M(RetVCmA@Jn|$1Yh!1R_KNh z{eJ80n5>(ULMi?dDZHEl`NL_`(C|c?BW;I?OK&`a$izDG$R{_r40-~8*zns4Dcr(+JMbb?Wz&Vxe9sG`6$C5h!QF`RE?x6OrKB0;$9?^A-?>hToV;5c|#L!bK@8%}8RKDom>VE(k!s zpEhun6AXg+S#3&EyVpPV*805mxiV>?wUGBqPr*jpeW8GL+727kj3rXPcU_ysxUXbl zQk!{-lPtV;=-s*LeS4pul#fcnO}HVFrx(A1`E7=3@J%C;8=C2h-d=^PTsm%uI@%!C z%-ec6r9eY*1PT=l_-!4GQ;H4HR^EbF|LNN$fpdO)SbO@NcC{%;)c)IRBrrxt1e?U! z_bA8!^pfo*T|;$Y0p!&UG8w-7s7a-LPGCbgH5hI+&{?V_e|geqKLAJ|97raHo-Z>g zxsQ1L!3R$`$XFsy1S9)P`tM6);Wl)StbxYQr=g$Mf!idHS~hQ&m<}k+cu!fi-h8d?*|0;l1^#RSDkm(C@Eh< ztt?5ZFFNO!0Kcch@JdE3aez0T+Yn;Dntr(XHk>sJ^E+Lqo%|HR+e!1C@3H+J+}2KC zn|S7mi4Dv0W!3;>!pEzhSQQ5|1t@i{7GhjI7<^XZecF;DH=TOpWASmk*TUO&G#wU} zM$gNxj9MZNA;T5O(MdjXuN`MMm5fNC$$ehN zuArLMMh&x@R;M;qpM;n7NS%SRQ+RBA@P`%<;rv zb*uKaj$Hup-8ItTo7Es|F`W=sRAy;z4^w;hV!hulN&1k$p1GI~S&g=0X{o5&54Xz1 zXm9mnO42?@g8r|;2E{z8mkJb$5k-L~!4jnVzV5v_Wv?A*3=5eOPmCo*_e~v!IZUqv z2o3|Ef>(|uFb%!#jk!qgThIdrGR3Z>;a39J#3q4r z4RiR8G|*a{JWuk&TY|>fk9j&HD5iOoY>v}}`F8~f*VV|n)Z2s1wzlBdGm~Sd3=+Y*K|Gv9icg5 zE(JCaK^KqVq4_ERSpKp+*z&#yQ)_?lB_7@Q-) zmsbK|-6sSh_~5NZ-AQAmc0(u_;w1qmCg0Y(JQXMy=%l$E;W|MOQ{`Gzph0r>t}qq})lbZ2s~_SM z{2Tmx-i`9hAoVtQ^>bHfNJh*CB2rfs04rlgDGgy3FU9yaQd8$hETh3QQCAijp9hoSdi69N~nZU1<>u= zf9KoUj)YBHTYc-Jih7C^$PTF*Y}5+%_h6>mv1+RuidC*}nwD-UOdE%mZN_M{JA)s! zaXw-V#R7_{M32wbL$SWeGHb!P&Uf9EKzi!NfwPtSngAT^NIj_nuFyk%r?t?fypzUT zDXv+vA~mjA4%70l-l{&Z5y1~iArDHTSDEjxG6Qz`Fn9T0MqQ{zT^`9T*_!%cM4r(% zlfqs}A6NHHd@1big-K$6s#rXR?@!c{E0z+vpSnn;8qG%t;1=OI;GjbBU7Y#ak|#v< ztBxCBR$0^O|7Vz%o>kH`0{k7i!UE7U;=zbzQSr`1>am?JOxn92+3}~uL(m@gDI^g5 zff(O|MFc>T;^d@&ebYs>uzl0`|8qF!>THK;k#ZKV%9rFQWvJ`2mufLrHYg}nsw*Jy zbRPi~$Jzb@Ov`Ya!TSDZ z-m|Zs6jg%_-Whh*M$&aFt`i5aAHqHf#fs3y^Iuo(J+nn{@A$g$#!R-ODWu*qRK1Ud zIU=NFpWjMK6#c@(fp4@uVf!um;VDGgw9IET_o6`aG^318o-tk!;-P)_HUmyF5U=~4 z=}K?%?&=x8d{Tza8}|%%9v3zJNxwI`m^3wR0hdRW9twoXv6l10<3&IPcMghymJn^2 z$mgMceKA;=riGOZXR$WZH>!*T2%5;n+tOd?u_o=0tbXoek0Wj+^{-UyOaCYmo=9uW z=f$54`Tj23ieWZTLL58KP@X>T;Y#`OV}j`K!Z-raS%zeRG`aVS31P_wBGAOKT2m3O_svp%OQCzP0s+ zth;<7d6Vh$9<~|oC6H-7iW|NZZ*<>1lrvzgA#JU~;(7!}~oW%Aqm|Vsv^4G=QhrK3w#PG-V zk6sg7ht_^cqJ4vT8bZ!oocDn;!IwvCxR8u>&OOsJjze>?nmjCw*Q6vkdAjVW+Ag89 zZK^d~(u)8=oHMtq&pMmE?K5z76{S9ekm7wc6b9!GqO*FV5>acgr&IxBrH-0~Qxf$5 zTj(TFS2M^xG8-v?>*UE_kk-;-y}GwhDS@dL&CrbN@2O|w67FNi@yOQ4O3Iy~6SYIy zad>y(xaZ8xKJ>-yvVESgV~(=x7aq)(>O zLggsyV4_grU<2=>a}>@~q^6*K6Lf$2>~7D+x79eDZqKQ<4KX@OSN0RpQQszF_E`2B zbDF0`FDOW(Bw_jvfy_8J6A4`dqZd@9NhqjqlQ0nmK`)lQFr4OpYz!feGsW$MQc)ez zjLkTD5Jl3lm`F3JbleX3DKUzUcTb>Tug?Ce;|82$9P3q#gxbY4#fVyhn$Ye<&i>C z7G^XY??`W{a;^yxFQHd~i}}zl>J8ox!x)@A=1 z`p4|Ly;5M@+5Zcc*9*EY)-ziLV-KJ9K-*~eL|Dz`5j+YK{F|~IfdLqV9KsS#=&=HY zob1qJQam7%`#ex&f-D@gCK(qnte}ZT4%COEM+Wlx1U0z9fOTYc0y8x=3%)^a_Hlt+ zKDeu~#!tyQIVd<_@{X@$;x3!ESfsrD-eM7VSf0;>O(|Z9mbuh%iRbl3-ao*b#*HGY zH=<)MZNn&IxfxqYom#&$g*LM@>$bk^$(zd~kedMMz<5Ugi>71{-&GpTXVT zox$A)cXxLfWN;f`aCaTt-QB%$Xx!bF_ujV~u^aoND^AqCeJd;bR#s)z>CC|DSE6pR z-f-n*xKc|=rhL8`sqQ^=V!^@c2|PdptRxs&FilUnA+h7x$x22bnAjEoGd=R5@hQKX ztSA1PSM&~=DR>GLRG?Z3yICNq!L%kEHTD8P2J${oMFhieovs2bV6!?|MA~Nxh!-Zq zpn7=+mAs%y=dPz;yU!)d2TVvaZ(0osQ5SH7Sb{X_Y0D+4{f-N+_JW-RC;Z2dn3+Zk zTn~$0ukP2VMIkM;X zgLqxH;#xezqwmRpIeYUF7g9{Q!!uG`KLy4Oi9Cf&?(60B1;@_ful3xS;|TZ7OEv_6 zH)GG##Dr_`!+x&}6Cm7I_P;#DIec9e&d*7N8t2V9{obomLyDBd2_#ymW25*9`-EIzGCkW{T2Y{|M1M{d<+v>MZb8iZgu>w=-iz+c&|qt{_<^B)thvQpbI)~A zMDzUrr(knm6w};v{r?o|Hk!?MonNWj^1*%nI?*PKK)tE{eCD4B9eSOK%iW6e$9#{Q zjdRKi$Wj!{^y4N|EZB+mMMbjM$GbDpM8~;a{8hv533at}r4DdFGWahDtWoow?9mRL z52;b-7I@P6FYv9}tspRXzM|bZ->X0e3U>Vu7;2QWihFv%Q7d)*wD+JZ> zdtzNZT&aUOpcwoY1l9cXW$0fGW&2g5$1V1>_Fv$8?gQAS!_j~4pS21r87ZHAIt`e~ z*mmA?or-!cI7{MJ@SBV)U{PzosakT`{wJ%~-tku%l9 zuks71VzBe8lp~)bL*+)QnjAY{RnVEE4qR_PI7de~Di==aOeYUKHOXB`=AO0_C;s8p z$^Wu<=hG->@rXR`^H}ree9ugYf8vba6i-YSKd{HnL|9{b0NKpR|;X zJ`wpcl{gL9I(Q#5SLkEXQ@`2B1~ey0d%DonZy)huAC!+63vL`AD&;|F4eaL zA*lTpo2LQR0H_x$XiS7Q09LTSZYMr#y~|m&^4J@_1@c|XA{Ury4Qul{rc-KoXwy4QtUD!-Gl-x_K|!cewg}i(#3akhTpc7(y__JDf_tsqdyKL|?F| zusN<0*a*sAG4af=3=P*14uL>(8)&feoO_)6-LxJhHW=JSbEp}yNltI^=I}r#ku|xW zbHBdfFtb5WgPpCn;m4n%Vz%tSq^C36!SaH=b4CH6AqxUchOM8?9*y-fA18O;-2Sx` z?%+fiO?{DTgYE0QfhY1ZQNa*j2TX2!vCkTI15`?c__&=r+i|?C@UT zjNWC+F8J%k$Qn7%!7I*dbwmdYga3l)8bsg8{?)+w@ERm;zo)hT0^dJ76;K9vSCQLx z+ZC`t=&t_(gX5?4ka}dQ=o&X}Bj2iixIG&pgT*In_Y?3MR3L8`{7%(g#ec!|pRgKj zZW~AM>qh7j9E1OY2VCv3>&O9t_!Yz+LCK7CgGhyB{y{E{0fSF-ZeC?tDmZqj`a~uA3BwJH#&HM7A1C6uxOi-cIFxrVe`44*9m ziEWLuVFE-ZMZ`@_T41NaoUq#aQ);;aYXVvFYU}b=t6eKxPnS7@!Zt*bo8aX_f2aQM zQZFU{4um?npapvWLy}3HZkWp9K>n27R#a?oMix@@CY))uGWlue9ZzbDzJm48b6)oX zhqTA0U|nXETaI0gOZ{-IJFYlEhpu3?fr#5VM5f{_o_otdyRJ2jo!IEYzp^6a@wq+5 zGDqCI#!uy(`?k#F^W@S#ufFobtT+tuhRXN1qF$x`m`8vRg(h;nA1SPaEP6_DJ+N--ZaF{3{XTh zhIk6D2M!~za03l-X18WLNmh^33onsB*eyO&KuJgUe5JmiUr=Xsk~ykJ;hGDEW+Fs?qSUIb%NLXB_IeIYuwTvSd$p33OK21& z%Lk>SOjz9wD{ab`lbH(C*(<0Uxhtq2FN{iKpdJSAfrX zw_;pM&!;oJKWLjt%=tFz5B3grhchem`vd)@WX8a}o7*d13;rviC(QtQL=md&w-izO zAl#r8nmR&byaDG3Gt}|#DI)aYTF_3g#;F7F5eKMr-%`XX1GSLrz>S|%|E`H`wD&Q; z%|8`(R)7M+{2^YBW|wEW1O4L_={o#8eXbsM&U@Fxn_(PCfW%)FE?3Cg=ly(v%_x@u zpkN1t=j&GmLoeSi55OfV5UfMY6Xptehp30o_sV*gwnJ_i#SZKS@-@)7mnBy;o)ah4 zb=*g8vYL|?D4|A!k3|$I__bZ2;{>WIV;TL*h$T@z_&$1rVDaewJ7Z?Z`OI7 zCD$f#s>!GyzaY)uH!a&WU1kE?G^7_fhFj)v;MgEEuWbt5!+4yT3{exwf?A#x)O;;Q zYD4o?U9EjjoAaqgS;g%EHyQfe_d9LP8`93Z9}I7tBn1#5`4zgL5JcpvuV6o!8H0(M z(x;TQdh|%MR>5p#?pt+`O5o<+ApDP=c*=$*G7cXaRCyn~?&^+yo&*;`jAs(KpLTyg>3C(nCrp(}bIA&i z7W>)Y|Fn;jd$j;Ubb%E`!Kh{lxegm^f$oLO)nh(Zf=vO_X43CUOnc)uHIFz3b-F)# zL4P+@T66SgIrl5{<6^;>Un{lv#%V2i8+1oh;;p?<#RgYqoNBvftGY`#;PrdB3$q4u zYJktL{Ka{Wy$xI7RiQhrmr1wb%+zN7_d-OLiS}cQR?No__7uMWT84WG_sE(DIxu!8 zU$qXINx2o|h*LgqpItt$NhHGyS4s>yP;meOaiK^b%iONZFzkZ2Cq;7G;rTC+&n= zL=saQkIC{*ti5UQPICH>cSUvr{QLF$JgO!|) z#=h~7rTAE*MNZr~`DHWrW^)sIJe{#D{I4;^>QmIt>nf9c3BIJevV2Zi#VA-7N@>MO zvnb7*vJ|e@6-A*d3!Tyw?#D@GijDltXjWXU^{-*UvTSIsmqLBmgs~LXt9dXEVo9O0 zl|xcnz|U|GfXe_5w|{M;F&U)5z=>4-4!K zz$X}8xU9L~S-)6KqRbXr359x@e;er^|7FZUM4K;m`JXWvZGk}AUO=u6Gjb`~1XvTI zIb8fp1D!71VH#urhS=J?KL^H51~sP}p6ttf^&Z@_o!&?W6`{7`YmC;&Wk9B?@iFIi zG9Kd;)N0@&v%o$CYtDl-^9YxnejvrE70z3juM^C_ z&<`VtBf_FKt;B zD;s~Jq%jbY;D9zVfAVOT_}g9>FlJ0Dl=w$EzcK?=`K7pgX6bVn_u|d(HE!j0suPfS zkz_vYsrW%oX(EQYSN@4wW=R%}E1tyDX|DfZzOi<~8HSEkB)ml9f7}Gn3vs@tazTj3 zV08Y%WVJFoT^r_mB+FnWDZ|SIr%By*t}D2Xt+uh=7fWciEP`4Ri!Ig^(!M4p49uvP z-0&weg5~3Zuxwx#k3zpq;I6@r+NW7@bU-*+xHPb-DIjK&=V*O5>G1EHT~KpCWP`$H zrpp}3z|_7YLdScOY2Ic_VIsNhl=df=Ey?youpO}V>@$*y@=v2sVAjnNPK$ma<12+E z?tR4Py$0j|0NS=7Wu^e{2BhXwXdvNV-9hW7U7tV6Y3R|E`b^b9hN}7r4 z5AXGA?8gU95eE&#{tGLWV7rz*ul>QS#MG4kSk`+q^XsaMYQg1eP$zAf%v?$#EkQ}Q zGf&yP10fw)QWt6+funGMI3CWtmu`2r9(Al%>O8%3 z3QQ-naM9IDt+nR~op>)qt7RDfSUG0TWO&^GQ}dgkiYES%{+xzVFBIRf3tuVHV-3~c z02p~+_%k5p`d$KbZG3h%9&`7dyK;ZKKP0(rVqb5M8Taie&4sMx?e{MQusf4hx9q&g z8CMU?{Q78=y*ouhcSdMZR^?3g$p^ zOdxrkW?6NrWJ9(!#F^~?oG?Zi$OflC5G2C5)@V2>2*vmCog4ga-DV1b(3AX;W!K<} zf(pU(!a2It{19I_C>KwO8U%?6NBT8OQ|FFC3P6BRHyWN1xD6l*cYQIBZg~yJF=|ZY z`ng3mxK?A4#*UMzXqZ%ig_$B=kvH(`Zc#TWz&U+$wKA;&V{BvI1NyPksSOjn3l$oD`KpDajr{;^RX%6)5G@uuIt z@Gg4=)!j(X-`Xt%Cg;;Km_2Oll`DOeCJgQU7bL!Ed1Km8p4*Y#tY#1i*FAdA8N@u& z@yC{c$ard4NzhF@PRQAMYpzz3zAR-$F8mCmCBPMS4UqN4L`pRTIPQ1hLrio{XviNQ zDdW&%$W|ElbC=w|lrothww_S!lWm2?wIaC`A4VJ^)@UxVl!!w>7rrbW)b$F>rQ)Wf zak$*fb(>F3Ky5iGhz4$pDlTVbR|_;hYSDopZ2!U@9$0FFV}5Pt4hFjk|29NWqFsSX zhC>Dna|(e+ThqKCd#NbFr=hC>ilG(*@kEFWHD+KzAH=y>%-=zfa`4`EjG*RLL)_X2 zA_eu^EirmJPR!P>gPQ*^@RSj0hcM?Op#~#K=bPUSXdYNPFld z3jR7p1Lk5(!Dqgwfw{tC1N4H;dM0ap1l6MnF5=0$gZ%2isQ3bndKtfwuGE(UYEiUO zGv7N~3KJ~*pfEvAFf*9vXfyezoO+rBOQgjb^5^O0>{Z6r3k!ubsznwI=?Wt=QLXOMY{CH5*wyo{gN^jCsm*H2bnXo6qN?1-!Bcz zMq3!{VCImMUt0(WhCgQDOR>ZOqfG`4eyyaHez-oJMcNWI#c*;+vjt+a1y|9?f$A{Ex%Vb!p ze%N2Zb#YN1?z7M{4I6xZnKq!)S{%@*TJ~xfiif##Uq^$`GG{J3+)sJ_>m25_;bDwF z6*#ZWU^v>QKA7+e56+F(RlPr*ZYM?JIiG><^uC-%YQ8OhD+MVZv&NIam5xkvKFnC| zYn`qlfxBrRd6WZdD8US`g*CDNth4G_)9Uny=wij>L^UBI#?^6Qo?91dNwmxx?M7s? z#wIv;?6n@Xk3@ew{ls2w@uOxs?$%eCFsXHcH+H~+kguGRqQq#_cgSNr)EAb0|5Z>_ zKl?Usu%p0CpQWl5*X4oDxA>%EG%FWnpy_DBPdQ=vvwrUJthsB70A%Z`nx)w1onCW0 zs%QWWYw0=Bi21#{Z}<_AGBn)bf_ftf{^bkE$MM*I*E7NEn|n*6BNk8Q%t{a?j`M1RE#lhz!^h!-Q-%j+5Tw*CQJbFJg&8Yb)T)^Nfwz88}7q9 zQ8#BBAI71cyk)3YN)S|z9sdybP!J(5G_odD`)IwUc4xJV5Jq)dY#)a5`~!Jr3F=2M~dgq`;{p+s>u zT$)_|YbXc(bJlxhINMBL!W39rZYYsTo3pmobd^%%anA~QAF)IL&;^V31n0uekeA@b zGn7IstaOplx~gF8gscS%98CTU%2S;s0yrzv zfs%9l!)9zc6@gW-Wu(6)RUnsnS5Q9_A{j(1d6X+P+X`}WCH6+oip!S7$+egb1$num zd86q?cl~A*smYc7A||okLAMchhE@w-=F-jjfXcaqd^v80K;M^EQ9a%tyU_$b!w4eW zlvs+>G}!S2e8{KfMS>BWQAh2K!$>ui3#sGmoAQ;v&Wbhu!`ao2J3(sOc(^wyLt!C& zJGjvtF`xko9&iDDHiI%hfM+2OIw|(>SnzH)Vn%u~m}*HQ_VjV<;ZX zD3Y2-H>>`2zC_f9xB2TrxH->fRu2T``t&^&-6@~FuMF>K$UY=r^@|szibx%XWfCbp z7;UUySE%({17t<4NbtqwY%??)T3mwb0tAJaE32I!n_~D3j4lY1f84BGF>O$U$(j)&vB8w&6WflzW+|W zcR)##Yd0|@C(Ut+Z>hmOM>QSyI_%~D zKL0(N=92tI2o-cXUKZ{4I*tm!ctfBFXEY0E#0qCz{I&mF!{b`U=W4~`YDMOH{LS_F zQ8dpXjPYF=H0L3f*BzaZxF@>ml$Y3&H0Ke}bjaD}T1FAJTdfbT*M%L>$RnNX$Z&D8 zZoK{6ViU)lne(z0uy@=2?lgRlkn?dfyyd}qGn>HhHs?}9u-eBY@XAIK5fx*5W^nDJ zid|rM^E(ID)+1)Qs8lJIe+&xzk3TtXO#UevfZGpAv1bFJYWe7yTCuE!6K0hzP@TM}V>AHbci zmx4#+!_AO1S9r1~kFFj6;kYjQmKr9;?h{9;`_Ip?b|%gZWmhZui?xvM`=YJL*gFUR z7nd5mqt{Gk^b4Y+X#tN*YM_AkdkJ%Jz!AZbkk5tN-rJJ#4)YXOW;T&% z4OA+nScpT1`++s}wVgEA=d~R(PM`zy_8`EQ0uVqLcn=_iHlzYDLFOJ_ujjG=Ho+_r zgvkLmU@OQy;(#!STFk&zz}qT}Lr7|Nt2+lgD}q?FvIe3X*4M6iSeFK2?kB2PfHrz= zcVu4IKY)a>WeLA72^Ilq$o-|LCloMGLBKlLlRKabEwBhc z2x-U(*z()w)I#d10-V8Yf9Volc(kBdL<2wz5l<4B0hab36$hXPeqw&L;>!UDAPP(# zwhy@gG(Z`$0TKX}kcLcv0PMhS*d7n?t11BDmz3a2Pbur$HQ-lVU_Sy*?XF}Dy?6jT zB8wgnvPOnpG_}c4DJTDVmV}TaK{8A(+n3muh&`7u+bG~y&HzquN6f%f@T*0@*+>#97!jw+P6CB^aH?l;^a(?{^lK#gtEtFmKbAH)I4D-#$TgH#)O(FOvR*>G%V7% zls*jJn3^GM%mh?pto**ka@RQ?JD1fP)ozYaL03lO?h&%u6)}#hHMfabN5ai~OmD190oV z`u*X8=tmLuK^@kGB^H1$w&hRxak3onpa4R7(~E{tB#p#WR>Kz4RdnVS@apUG!}gqC zl>H4P@N!XM{Ur9rP)%RP6p;Vf_Lk<`xAJpNXcC!*YZ*{APd*+dA{T0xeWAN zt}3;O%W@~XYPxsxFcIh`3afr^pFbgfKClVk0v#_0yl;KH41Z2i1sv_o&ar*I1w3ED zd=A!=`0a;Z=ziXIKXo;C3QnEo+2rstG)Va$udQF6M%V*=cKkPrKSlK3Q3%>+ruBVp ztUcz)NIbYQtv|W3!1$P!Y=s2hF@xa`ZyR<(_DCOwC@2w z8DqP95|TmPB*?KNB_U#$Yp((iQ?|GBLK`n7Q5airH(F7uB5SpC54_ugli@y!9~#{aIMrwvgbmu8>pqE)GnwJv{4> zDK?i*f?n;D>(hi_rA{8om)$$dA0ozYe+5I%W9-d^1ul_2)5@jW z|6=R#L)S$kBkI9rDVwKaa`3hF=^?W*K*7f30#47-CzuoG^2m4{qoLJO2xn7BBqQq4 z<@&r8^uaP6ZtpOyO|#d)`QTE=Nww}W%ZYPNwIYOL=W^}O*d@^W!Q()1q~`H3{hkE0 zvQv0z{gGkl!?<*q|Gu#*gk$r+yS^@at#Jn+Jxp2UwD=s=h(x{mNnS4Repm#*Hn(Vz zSY$0;Z0+Xz{dDD<;?3Dy-`zj?_8;-vQ7#)=4TK&YTt2J5#FlvFv6;?XJluW%>FYDK zCREaREko~`eGW%oV|Cm7fT3kOy)9-G8(ma4cZd9OXjSWk-1{)O=O_ zTyXIb@TmMkh~Pl;vm6_+P?s$sP_nE#bWi`k`@Rr+IaiF> z7>>WyjuBQAjM`a}Dfc(;{5 zo5QCi+*2X$DEhk6t6%S#5qI=f|8&dB#TnT3BjcOU&&n_Lwn2%%7K`a`YqCoFz z7d_!G;a##*A^s=4OIE5tXpVOWa4Nb+Hl~EQ=CK6mf|&W=9>y-$mmWQMUuY@v=wIgP zzvt8pQiN4YXl{JNi2sQ%IX?EQ)Kn#S;NTNNNfrVU6953f0?Lia)k2Fm=KBxw=97x}$gG0D(2ykpXqw`@(wr^3DB;aO8EY#1rH<>@oYcX5)7yS@JNu~a?RJOrT+wDpx=E-mwZuqbUG za7Qb1^-?Xby8Ox!C0ZHtKgGlnq_-D2mgj$ejLCSo&d(?w>4{{>e=h_#bYo@GzbR%szB=1X+=&JBr85EsU zJR^;fWG#zrmwvdN=0XMMmO-FE0`=}tmFUPNT1tbq!~F^0y_cV0By3ES{)Gz_M#;h! zLRMR)IT_UTqK_!$b?UQEa$Iwn@OiiLN6WKvx(lH< zPyf{)a`^fDm4)!A^>WxT^RkPi-wF8Yk2w5%>BGEmv+ZHTvl9LZ-Or-`MhrRpa&7R9 zdn9QqD3FBcd^{jzPs_m{CGoG&^yuX_gK{TGQjJA>svJpksoXEnI| z%QrIEbgS@mhelOvFJ$9qvzuu`)*iOPzxc{LO6u}GsAq&1`|Pf{^msC*{k6ww<#nAQ z5*g%$HtIHukTe-@30v<#nU+C_0~IoV$QC|R6Nv15qpjIm)wiCMl&FjN^~N9z@h8w$ zfFxJ%!AFbGM^5qwm~>_z%n1CQ?BYRyYXVttNcitc{xRX&*=m1np6!@-qOsXM$@WO1 z9xU0wL&>kmM>6k7Ay(*+Z61!&=0WRd6y!J>lU$jMfltKRzm~m1zGXa7;{vxAM6C+O zG9_F_rd)mc$*eVtYn`AO+$4}VEHC^(@CgMBncD<#=X`Q545Usdx8B8$3D1;=vuSYt zNi?RYXS1DmPt;^KZfg`Zspx&c`>NDHwK_oh7oS5EN zj^lt3>il2?#lOa`l>FWsIYfTkPD-r<^hm_1qP z0Cq(*_AA;AdQyPIlGPS333(j2&C=Uo<~y_+_FPUfRX+Y)T-=IAjPa9zjJ7uBuQ}uv z{<^{dr*x$kGpi``kbDp4g;<>}TV<^Snl?@<@~wt4)=Kyv(2!1+v->4CF8Q?rv!E{W zVM4p-Neyv}_chunYyZm*_O&zapO$AhQ3C#Vz%}-i`6(WOp?aVH-T>oI=jyJ9eOojg zlD{lrha+iV!@+o=M~c=Zh3bRfpsAAVbZo@T;?1!1ZMf1^!iEkjx-6s zX2N#Pd{v_j4ciy`jR@D6zwG7Y_Pi!7c$Z08E3>vySslS9DLEadmh39^;nV34z$qm` z4jSI^4utc|SsUsVrR%@PdLuAs{=LQU)7srMVvrtQFwhd-+~j)`du2@PWL2U^^v$`i z=Ph6B5L=Uxe%71Rp=)RZDlRD}SnoY=seeYR+2hx9?qY4kK&};VNrXBMq?*bK+<(NE z@%Wb{RaM33;)1Z(2m*yyyz37t69rxkBiv^P%@em?4QTG`6LBjdn>+8^lKg)^8!I0l zN2;s@6qzOXvh6VSoIb#>9p)XWwcM^bQkbq#g5L<%8C(3{tI2PdMiM+xZiy!H+F{n+ z2CQ3&OpbV~m0o^g{B0)Izl^p6d=SW3OfGNef2F)7<3CQ3Rj1ypxQLD(Lt)5jZ1Z`D zooMQ!_dw2TuS9fVa2@SZI_ny`Y4ho9?S^`~q~6@BxsbW%%tUX5YIsl7u3%%1B;MhG zAn%n$@Q8ib7EEUih8ndQ_-iSx>-4GN7ap8rVHx9SLIWN25z~xglT4kX)bj7+Da$Di zJAG)E25-&Q+@>~NyO-}3Ab)T@LiGb4v2yosK%iBOqNg9651dVb+{hdad)X8S#{tdU z-#=7)S00xfuOUJtluy=jNn6!U(g}@Zitz^Fl*f32$AoH5);ZKK%wfO$id~wq_BI+1 zQyJ5y60^zly;a{#VaX7h2lm=k{xe2k0^clKred<|ZwL5M`B~*(Y5hHIf%WC0>Rf}A zXhM&-!+&A{C|!-EaBK{eQgh{uWH5HS1A#}} z*`zzYO<;LX zCw_h7>kQtJUblO8-lF*?WCGljJd3vRMh7$xTfJ|{a%6(Q=D$?KzE`?E*1k^kf8Y>} zFYBzLxBRxGu{RB@yu>>!eeHzGK@NH`dWL+ptL8d{UIjsHCWQ!ji(8%LVQb(vsc0!; zsld^>lgZSC6fyO!i8a;yFK+7h3GzoxG=lwiUi0=$gF*X@%kPh2bIagI-y^(XOu`(o z%O_sJr@VfEwL*R1#7~LItRS2VySe$tdK0Tqhd*;B*co#6-ua3(B7Gc2oYgu149NVW zTphzXPO!b^dD{h59fPL5x7P5%P3Ki@^a|(Tg~Hr)y%xu9VzpG>$HPRhzkE0c4GDG8 zLVK%psB@)~zAI4yVTPGK*nx_B}o)=~@E~IVfBI zNIe{t^A95903vDi8^w}5snlZgd9TWB;1;H)eLv3YuntVpHiACX;n3=J>7b1^9EIW> zamrifp+Yy@geL4W+KQP&KQF0daI;lwYNXp{>?j|t5hh?2PsZaN;(5E9YZz;} zOf&S*8VcD>+yd@x_0i^GVy|Dkw|$l{EoqC-GB@`|N1(ie8Zllf?R!Ad)v^k z-Kt||F>y7FfHz`l?p|0-V`O*zVq42Vh-isP`vvBzQTJF8GR zm3`BELaQ{j56NCa$xbzgL)`w&Tma%Np{^^qv?=k=aAKm*-e!0#qvt1QpT zDt!M(pJUy|#YPkw+hdz^NSfuz)}hvxikoKZ@T7+CGIdl_3e8g-Md8L3UCa~v0vHQ7 zHyDX#j*r=s;x>KyM=)#X_Z2fzImLqD;^Q(~NyfK?{TiDz&3z3P z_NE7e9ib&>Rc+oEH;JMvIiU$QQWK&AW)6$7xgIkn*cUskLBs)Z#`Fw0Z9E!rwLxC*bA&!Tp0rAKL z(e`VmTy?eyee5Xwar^x#0PHh!4N5)vp(YGj*}jcN3>>lPiHsx+CQzqOQ;hR0C8c>y z96NJ*5v8L2`$N8&`!D#x(QTDw3sc2&_L<(Onv65hAtIhFbp$}tYs z11gFXvc6=ys~@I3Ij8#a5IPM0&j|YPb^_jg{;Iuo-rv|2}pT zFCRJ&x#!#tzLWgFT^&TX-Q56g03hb;^X2jUKdz3av8jn(o0-~Ac?^c=c1Q$fPsbne zMzE;9fxVj{d|>FoP!^7G7wGIQHpIagrnQE>aOk)jn-+&R{sCR>7tgbc>J@D^U(wAv z6>0<}83mz5N#P9-kA{IV!rKApmQ0jq^}d7=B*H8n5b}$?{SEynMJh`CuoRZcoI7(l zP&5J5YTa*1#Y#QXHL(y+Y3ZsrFWrdV|yO%?~&Gj(8Kf5zLVPTKxz`* zzNaj|c;GHx)+8{C6xQOo%_p`_6r`1rxYlJ&&hlGYAM+uYN=~NLP`eiedSTxd=)#$p zAGen%{yy|W)-c7;9TzPeBqvYxx+5)DcUI}Ci8f#;M=qjLTXt+m`a0Hx>{bZq{LHW6 z-Uy`7H0v=>7v<-ds3~N7D`{y>WKI5xD%5TJ-OcAW;|ChqO2>Y2&8 zjJ!1lz+f_>?yd5mIfxwT2bSm8ka)hM2vs&1OHok!9iA2Y{G7=n-0TQ(jDFvV`T35P z#WB*n@Sn_1#u+VDHrIE7E}1rP7aAW=ht5TK_FYpbC_eI0*nsWRJs{0y8tcQA)1Mq9 ziO8hAl4$KQIuSx>^z9J{+g{PJcg-j@_>`io4%y+0LoaT=z5&sOUtSYdccP8w^pbM4 zW;-{~Cc4RP5E(&M!8vVRdI0aSwHTbfMDEQ&YY(Eg< zW@SluT`9Q<{;DMTIRf@*)CBw@BPA3$>sBj`_*&MkmG?(?_u@z2owjDghk|zcqU|p^ zYxLEhM-#R~?F3}JJcH@+2n+&aV>Plguy1&X@aCiV4Gm(1&hvB~xKyb~*DLW#_%5j9 z{MY?9uRnC+UP~`t8U(vPRVEt@=~Cr4`$*KVS?v8PFE?zt+vAzGP%URjFE*9^!&JUs zrTF|~u9lRh>t*m=Um}O=Kv?URv(Fn7rmAhfCoY-)eUH&Mr9L&HzNuRZXegLP_+(;m zC!I(Ab1fl1FdRqlsqGA=8lrG#-Y>rEry*6NTW?JsG*B%`CKS5uC&ohZ9>Zjfa+Xf_ z^fSAp!;6g(`z?%A;C`%VrsDXear08OwhVha3{GorOT009~4;13|CI_=P#%96qI2Y1yE|OXH z!BKyolo{pb2Y+ZDdK8Wl?7R^YCaGX3!61KI;wEke6Sk(C;NB)r;Gn|iJqpqEt2P5P zmj_WS_cYiT&T}w3Ll9h?Sf#1TvKdZL`?o_&8UjjK0txU|YBk#hAl`Y8$aMT-EdY-! zfkG&>oRON*G0pWfL3%Gm!j2ULE{6}cq3bcbLU=2vn(anvT;>z!+Hf{2@HScq(_G-l zF?*9pw#*eJ;hEW>@)D}7pSGb+(YuQ_hGZPf7x-FcNM~Y56GPPgrEr1ij)_0g+Q~R- z(Lk2;D~xFtnW{L*I!iAS8|eCEJfu%B3X3Pe28A_9{P~fYAXzi^!uC!Ejs(=#>yJN* zLxpJBV792|;ld|oIY%)}vb}jOO@C^CyyW1)Hm^r>K;VeUQJYY|lS`4J>HesTGgF-l zNRkmWIl85P`hM3+`1OjKD!i=93Q=r1;tRyWYo1-wR$7*6_>8J`_XNC>E=h7I$GT}< zuA@x(EjbDNs@K>ZIg{FMeD#n#X^q<=K}1-7a@8F+AI>K+?rP3B&Kt3y30&?ud+2~y z2(`)OBz;hqkyzW{LxI&?Vup+yJjdjKdB|xE$uBa;P>L{$q>ZldRn5N~lGpRrF!8Dk z$|Nz!+Da(30+}LN4A(h3rc~5lSB1ykDLLChsH#_Ps;9`xiO6yjmZqLOG_lm6e zy(aoCyn^i>ZuM<2>MA1Y(p^%{1B>Hx(B`5Ez$JePhCpsn!4-qpnFp*u5R&|Ys_6sZ=YOX#cng=n#c=CxQIA1O7pgR9FifL(h-f3%Va1sQE6p z6rQ}gp^J}{9zC{Up%5|hn7Hm!MBPUjcaO(xwxS}`R5x_|t|kSucl zfQqSgRgB7yOPp=Wphb-(F!$+4*^1!NK+1B$>!FERt8Dn#XPaU;(U8JkL}Y)U(jUKd z9&276ulOjVa%CWDrY93hO4_T0j^!5Qgc+ib!QdcE*7r{*9-47|Hf{DSgwtnG4UdF( z-`B<~eHZ*2{FDplUZ~?|^f80MM|8I2;Sf&C~lN<@?2r5%kcMk)lDigyga7>TgMl-;{F zQqsfUhtT&KXF$8{n}clEi0J^$2^_-uQm-p)3$k(|J;4Y=-CNE084<7-FTnwv$0?E{ zZ*)4#xtCFG&WQb4EIPw~PjD7>RJhM1l7enG-sKdu5;0bEF8Ek8KC-oEk$6gZ|z9-V2j^@Ws48F!KwV{gj8dXT(i7BKTb^SF7C)WI?~91f+9fZA-kL;Z$V zNyx7ncu`_{ZBlvkS?L?d};-lVbe!Mkayt%6`ntTHpx-p0 zM{@rWTXeoR%xZtw$_mT>P$=+)YEv}5fUxZH(ItD%*YahPSRI1a@~!(anO^)mn=NfP z4gJkq5Wh_Q6ZIdrw>SSGBeK*dVGCX(CHYH57TDDyF1)JxK{*YLaTqA)=)(W$md_kA=9=?{zy3`ZR=Ee0#OICrK-E+Dq-o?1CU_6U}eGF9)P<_tb?(UN0*tiaI$?mN3wzy*Q>i{3xR1}o zBLBwE7DIMP%Xd6o(uWNfy99^YVJe4siX1w!j#I4$Gp7X`u4&V(u~2-?g&p8JYftZ3 zQ*=BvdF@MSme*`aZImwF6|<=+cfRSR4BIDQ->~N=7~q=bN@v9=DJG&<%(hCdh%SVC z&GNM5jeYMt9(9?Uo?o1)b*C2}}2DPQRfuvzy39JmFrnPDJaL#?&539Sn zzN%WQ_Uc~sRgB_;H=oC*pCf!fOuN`gW|fw}n$O|x+qcQf0j$I{wWL0zQ1iq=meN$S ztx9%G5!iT=QNhxg;^0nlE-Zdi*K=1=&!0(GeMCm*H7>4^{}LWf{}x*nh_0kPv#<>W zx2QOI&6$e-iZHH$S-#rb2^VS>+b;miEZmpeg94SZv)jB*W?Qd)K4xnxWzdxSA`N+j z^sm#1F;P9M<(b=_1$v`Kdd}5!=bF4TV>~$gTy83!ai%Cl`jul~M=!(y%b_J8HdJ-QEj-;7 znBykqDU=O1&OE#fOvw}Z*R+*OjXpAI!Qie2nNN)V(n4i!$&1e6CgqTe_xF|8&-YyU z7U%56sF8gigXOt@K~5Wb*jPS;vbNbK9Ce~~{^3{L&6Co`oXmQS&`eB#Jk(HW*fE(% zHfEC{TW)QE-9w;@WjmumBD~l`-Gmi%1Mk7(2#II7m8w*{K*Jm%lrMiBMMx&jhxk|H zM+e2dqnZ=kDe&7M1m*kB2)fMQd6R6#+*qQNzSsfVC=Zsc$J|V{$fB+cfyO&?!H?)t zIxULC?aJErH6fu|xkrVlMM~2ctaqvYGt-ZipPudv1t)8tjB6KMaEiiLTR+3w|HwEp zENwqZqpnjl)E=v1j`4aldF`)~$h4ZYgc)ibs0C$*kif>spJX=Oe)^1bbFiPMeS;PS zxXHOQJ_TdJMDYg(KPT@Ihz(s+Jhcj9n)%)3a(}t*>yf>I^x2q+ z8Si?uZTFvJk(p=4q@^Z-X9pkK7z_RiTL%ByXw*swnFgb-s;~2px-@9&N&F1%%g;9G zZE_4kW%VxsMEtmhN0W>r0&7!W(&=uvaHzf0tHb&Q83Y(8b6`{giH#Q@$U3h+JoR{DuiPcH)xazpCAK zXtc_!I}+?+bt}NaXFTDhIV9goLw6*&P)CCvI9R%3MrjDZku)N85nx=(p5xbF!gCzH zhO2m+|*p;JkjRbTkdZv|mdW&oScm{>#aVLZRg zY*_*NL)Ofn7uYe-D*MaI!w@CI_kGr4BfO(DhhO>tp)g^OF&iH9M&X=G0Y1DX*}R0v zASBoPw9hNRM>K**ZR$4avwEcWvLk_3h}8+28s2JQBbjqe*!?c?j>fFcCQrv1v$i0_ z48WPiZ+ur(LUY>jphk92-(>{cS)oSrz{uD9%+~=L)DIY->vIGC!R817_ zGri|T=7v-YGvLqQmwGDPIF_q>2x|OaW>XArQeY(Sg`k@%c_%xSYmE#<=K>prj+^Ko zFcHa6VrRTO1Xpq~i48+j$&Ahci@ux%LpVQI%(U1*&yrW4J@c^BG+W7_$NeZa$meN=n5C%MxkxST>S(N}qh($>mQp@BkMz!M>?xuQp$#!M_Q5%b2GLHKtkOU~^dJ zI{-JotcKF#DaT*z;*Ip3&l#B%Gq-)K`@P_B`dKQ6diK>~nUkB9PO-XK>5ow`1dq*N zqR!aTk8-w2i$rtdrqU~zhfh9~QXkibU||BOF^9)RtpfDEw|zzF7JGX!+o?dsLhi_L zY3j~hVL{9Lpn}_%$sB%a!K5c6Xokt>FJiXI2&@+|zFeh|*!5&;Duwssi$}=O`E4wQ zVQQvDZ!}41c5A6U2g^2e9qR4xJD0Cfm zu5P;>y1;MWE)Tr;Xl!SPEa+LTN82^@65?SdE(B9JKQgFEvB~$`Ij5X^Yl#0c&4grl z*F+EEbFgFgub(Ot46F2u2$H)S?Rc{IOg0#iENA5_QE=IMRC#+t`MSA}mS}A7)G3^P zl*+Gtv|}kJUBGI8^ZG#QN{psT$!G4xx%VK3682{dnR#WB&4wRIsbb%A&X>)u*7{s+ zzLkJfB}1`~hDeNGDy8di+<(1A}g0cA5%)_|`S>0hb=;)!+uH@?DZONH=l)XJO zjyk?CTI)vke((o~|D2m$dkQY=l`(=jPA=-IO1JN|9Mz%V)@91Ih>nxEvN!n;x-c&! z4AiUR0W19md0ohtw_-E2upZ?4hzecnr^6D_J{oJHQNkiLAQ1_f0vOs^LO4Y5dbF|a zdkTG-;6bW-Y+XMkXH7<6H8QBl)c?|#9;`Jrz>8o-#6`jW$J=4C>rbH^fS&N1-y-F+ z-7BuEUL1R-{h>lq!m{ldUJCiJ9$${`kDTCpo!Ygp-nTpD{PNcb#r=2u$P`9XRg2eW z(N{e|CB~+X>8S{7Kf9igehaG-i@qAY5rfgTXohp@gAH4<2wV!ADN2rKh)~^kaOTv@ znWv()A#hEDvay`98()!?eb$qIgnL$a770?=zHZ{5C3{UV^dIs(p5@KI>`!$O9qUNb zxk510>w?-Dj6YQpPusxI+5uCdzaP?qKRO0k1Z6Va+#|kOa?h#tv~G$0`c;vAziHFG zweHfn!%>E85qkv;kAF{=EwfKD-Z2rU177NN^)vJnzp+-!f3AOm!C-*p{5=`Fu`=M( z$j|Qz2oFbI7Becz_>5lUi01PdTXbR5a!1LuU%5_wImJ(ga%rw7XRKE`TIuJFtyF-q z7KUIIE>HS3B9ApdLL)g(bLHQ`J1tX|oHRzSPeQdt7oJ#`wfszDVePxf`{VR?Ej7F` zx?lhfgYV+WG8?w7w4QH+3b&z5k)P3vt@FBS{>j#ttxVO7%f2EGBG{AzC2x1B-7ns1 ze)Wit^{m4WhFiibPEXl>W9ww8IUmfA89V%5HZ8-NrltN*VT`2bby=pd$;=}?`rwFJ7bkR|+-jLN{5Io{`#NVRb!BjZ@| z-r}NMbmgaKG%M|DJ>16rwq@QiVUS^Gn9Bk6 zlPK{%5P#w5t-e8<;(cz{&q&-QaTsfRRM)@l$nW4vJ#F$;jU-R!Th#fehC^D`FA+(% z)k4xyt}tI5gxZr}s-)xfOd5HfoMT~YCs7^M zhmUAfMt{Xmy7NfTN&o$si#Dl8f5qIf5{K_kdaaW9XxE1Czh~&3?Q5Su_RZdTb?wS? z6ezxzFr^;GPmFf#sBpphbdRx|i@rp;oaLOCk02%qhlny->ic~9P%SHB=9sf2DOja!S`!f z5-o^0%-qo~2-140Vh>mx?ycXVIPsxz$nDI8%nf5O+y&o`X{^L`+3oJ6Zx_E2%csR< zs`GS7_@W>}WKt+;SbAKYgiN#)rq0d-eq7ReJahf*6bo(7x8h)qJ1NubdK^kfL~(te zU-_f4A+&i%FR+YKiI^9xkB4iN;ly$OX8UWp@UJbajLrcxO31kW^MZ@zRb10l&SyS7 z@a%JMy&a}FsrA-4uA(b`TSjelG1>{Zb}y}OHQu6IbxN(^4I-(2cp$Uaop0|39ICKS zD8Sz;QT77xC4kHWOCM?cr)8#BbuLqUEGYO7VcV1BReLSFeFLTB0J#jivgg=M=dcf? z_Vz;aJN@H4euzuesxHUR1Xe%RiL>NhDBUbbe?&BY{H{O8%X+rNUBt4S(45x~S5PE` zo9^`lW~o(89xHbxAK&)|#ySf^{WJk8XY=t}8sX}{f~jk>cSKh%8O8}TrfMk7Du3GL zpI8XVxfd_TDJ?2V8sH1~B}MlxqJUA@3x<@A&pX5}dptK6Ohgha@KQm+2NxUO%{P?M#Jlpj2j41yF;dUo(Ji3U;m7elj_*$()AOCy0 zEkDdsbN9w%rq731d*b?LD`g&5M)Clt^NiNR-TocY;A}@^D2PGPl|q0>h=0 zYMLer+{gZkS-x66j8m3t1un^NQFcdkRGXo<^bZTJVB1!(SGYsA2?#Y_{?7hni~O=U z0-QB`iT5nm@GUCZ%zRa+nuIX(LWZt1_RD-L9t%tXSNEKqJEz696nil`jz;xbqg^4^ zud0;DHdh#IIOIry2Be`AF^&yAOCqS=;RB>pbc%?_*E z?f|X zcrbHs63r9ob7h_A<|?wdFoDFBdrmOQ=nL{Cytza_!1w;8;F1UJd|daEjpdt__3L8T zO`cNjCD{|-Gkzb8uhw;_Pa@swbkG5h597`sYk|Sn1kb3V%!|xo;FsB+eXI+?3d&ws zdtmFIRkwG!*~t`meQ&KDQ`37&r?fv}=4I2Nm}Wt;?S8tY!K^CUsv0A%+?6L556eco zC`Q8o<@(H8U!nEC-VtKNw?9`a^8uz^$dR9GeS3|-8sJV+{!8yyuM&N!3OET08M%14QMAd|emqM!+@$mJx0+JNK}S4K+2uNdF~|{{ z?U=#fHbUbWiCKZo7tHsc<{G}FWsWyA09%<&jX?g&cDaPUbknVkjPE3Pd05JG$OlG( zJNkwSdj`;U^7zy@g*HkkmsolN{jbVgryC)GPXegl@4ZNA-wrCM#@%<5@t~22$PGsw z!e?ik2Kcy5w9LH|i$h|4uOc-{o;_0b8;sA~13G7WLa`}rsjf0{^^QePPf+*|v&RhP zS?2VFKQegk%4fE9yLeUnP1qU|ALW=EmSD`Aq)eXh<3Eb<`Jq!e8flaj+Aw$&6&q|3 zT{T+)?(|`*wEyR98U~-T#R{PxDPOt58W~^ zM2~2Xsf}6jRz#5gdxPX7|G4AaQycd4Fz*8xWjU##b+3;Ykt2OlMM#H?aFk0&%|`hb zAcr$}9O$)ECUyIC)+0>6uduA#5P?|H|4d9)k3LzFdU;LhCA;Ls^sctnnkAjTHO45zn z(X&IS(BSrnr`ELp+s{U2K(0Y_Qs#2}?gzgz2ajvIFVBr|SA9EGeLV$NurKi~H!P_b z^p{H9x*`m1*ZO87zCx{LO8)4YLa^Q8=X2w@DGHlNOX~WW%Hx_AHqPmjKi70}F9X3K z;m>W-?YQ*baeed3ju%$R_wD<*Du)Glv0!lOrmwHHR*?kh*RL-26!zeve;Jf5PIWp< zhnP&cq}%bn{U!*?-91qsHi*BSDL0R5VoocDYh$k%3C@V#ZbMnl4F6QU&hv}pXu8H& z388bY-m~u`8V;jOHBC+~2w&aV$Vy@OAi|>F7ge4&X7n1YZr(t*`!7Us$PNV`jEqRheM*YJeUqm%$`f_Up@E z)D`?6{2b!cAWOS4&W6hR)h_hu%@EwlQ+Kks=}RE#yF;PM*6$z6x0?4mF%!RmKt+V_ zk$0V3XF1pP^4I$1cP@d;9JiI7ifcy=n-7y1dAlSFt*3vXU$c|sv<=hsWA(E2AV0bX z+0)LFTUj@{N0|PN*f5aKL8Le4AxR?m?DUA{m^&;J*39i~^5R}>;J@PhsUK$xI^=Eg z^!aImit?9|oE+2YHNThFb(*n^{-rvqGdj7Ec3^TOs*pl;-so)Hw{_c;27}Jz%mbR* zQL0_gfhb=>*-0m=EykSc!ebLeg(aXA!lmucQI9wawWE}u5QAiO++SDo88*evc;bkW5Yk+=klrl>diy_ zYh&&*F_;1Bi1_ezoV~pQtMc(ntdk`m1l3?WlalE-O zw)w6!?XP~MNF5{h7MN3q#P!49VBmmgyN!{CZ{*r{840x}-PEUz?O706W%hht^_e|* zuN;t!*H@V9c)s{ZFP&(nT5OgXpMgpgvz{w`#^5BFj<8Ad#D3BoPBra*F@`%o$h>C6 zq};b=^GEeNwkN4pj0FdQBi3v$UyAQ)|6OxYj-|H2p{OiOtxh#?{OGtyc1>s~BF-h% zNmBe;Ghe#AFG>2RwjTL|bDp6RtWnvoeK5w%k%P6`=MJ^`{1W?!UC?nHT79+7rP7p+ zA-Zpe1DBgm3i{=&o05z=vRCWsw6mhD36=b9Dpt$X?5$-UZ$`f!OSp2^ZDwZ`?u?CU zk~?*7L)zypQisFPTOW;wegbz3@Ckuw zhFBt&FRBmRk7Twye?>O>xFyly<*cvQ&HG5I_2<9d+s(WIY|RNAjD-|N(^a<{1rKUV z)9O4$B+7t8BF)g!{MtGS@J?JJ6YT`_Umvl)pJ(ZP0;&mPuTl}QCXDw60^zABh0^_Th@O zX&^5LhuyIO{(_O0Skt1_2T8%AUvL)g-3G41U^GryIrbheH;M2lsZF%X<$c(l)Q`r$ zHZfwr=>yS_!=fWBtB|CKx!<(yK9vi$3rQGTu_;T|SS3fINSBXLF$Y<6W^Aqmd|zXe zEeC}6psm~0^ z7)hJlLG_N!>Ai)$PAj{j>-N9H*V|d~RqB<{YPzFx0~4)uj@JouP_H{W+FCJ#^VSxf zvST9es#WjAr}nwZ(%gJ zpqA}%A?4x zDv61Ri*h%+<}AX~>ee$Qo3Sx+$^-EEzprTc0aMsV+YzsQ0B5D{*>x#h%z(JW9#t9s>!)iq zzRCzaDd|HrJm+=WH(pO7DMjBnF4$D7eioWFm*qtUN}0nu!4Ogd6ZX-$U60}BQ9y#w{>X~pUuap; zALA7mb*9~V8UM%^em0O+RIv8Q>aK93 za2+V#y(~&pbd4udpxgyWy&=QdY1&B00adf;zA)3gi*!?S6-s)rRJwwm^|&=>wKQ$u zA;M|B?3XS2^r95x>g?1xWOfTy>g6Y&B~}K^U0s64X$ehk-rzNr(~?%w1_<#>uI7_ z^ThGfo(sue-a9IE;adTd)fXpM$A2}`I&#=Yr1UKAfcXe9F04)4g3KY z%@ZH`w1>=_zgTU#`b)yuimGl{i=GLOH~#=k>ZPx2k+RosLD+tW^hr=Zy0*%4S;ynI zTT}y}v$hL4_Q|HGIMm}hM+FA#6JTMPQap^3XWTTP)#sUI)sg65m3YNJ!Om}ptcr5R z?%uJ(2r)X6(?oppnPV@H_oAm%VHZBR449%9^MV*RV%`q>>R-P#;|=40%XoFwi2^av zhCP&a#?>U}m|1XvdB1IX0hM56yF??Ao~DGn-^PO?f6*)zKD2&6d+ZVI`Oy_V*@M7G zt9I3W)|9h=yt96dJ(5nQO@L+jNPuO}H~*p&YeVnO`N)Hl;<>0;tEV6inX4oW`yge+ zE-LAAlq0GD>ZZ4v_*qctRWOqof1k}?!8Q2L;n)$NQDe<_XG3um`Ha*}0nnm=jxhuB z6YnM#TVMUqSVmpodU1Ct4h(5rBbEa)Wi_`EG~|jM%G4mBI#LCmVhufeRUqM&uL(Wl83|qEl)IK{dH+3M z_unIcKdq`nUgA1W6M+Nb0ngwbk5%9tNvZ~JYK&&$FbY-vnj_CAi^T-tELLid^lAk!2hRR~HLys}* z_OvL6KkQfS#1tWQ$l|`s*##XYTk0j%ODDdN@>#(X*rYwr%7n+7UTXLUNkG`E!){U} z$3LSx)Z$5~ls(}Ea(v&Ow)aw614ZqP`|h^avXmp}QzPcP_ck5fUNC z{@~euT?K-;!p1(@6Gv}|gy83!XeSTyAHu8=c#6$0_O;u!V#^m3PDNNlP_0R#_UwxE zTrqjL&sJ$nCwOia+9b~dVn>=kq#_|PC5w}+V*m{V8A7AND8l~9(zJe8T%nKXNb~zDsaMZ4dN12}{b=s* ziIOp}S$xbzzPJ`2un>lJm=S1py~o+XPY`7Dov~=+g~KsZMe{k1Ns&VMWuuxt+R`}~ z5HWi8G+2Jcajg7Xuh!f~0n4{_>%@70NHQg+fpRx3usYhv)k5iSn93NhU4Je=r`)C} zZi>rbnlTs6?4D0RJRrzKR|5PX?9$t>ikqY5+b~XUfzPngkpSteDh>_6t78pP+WxYn1xZ=3fR0 z&*0cC`^P6&V?w5a6FM=kVToS^9GUMRJnwBW$-t(gIgII*WQF2aqSFk~1n|4g7Zl@8 zU#HWvuP3jr@_h4UX4%B*UQ*(XGJ8D&!&b(h?gS#fWY3pW0AEU;Ru19902z|mRSNT% zpnEkT;4|OlZx&VeZ6>bk_YSv)%>D4L&lgQ(TQxiOPg)A4hl@F0mQpQCIrb4DWf(oC zh*!9Gk&_=Gm=Zce2efYU&{KD+4aBLAB{XwC@Nfb4&q{xpJC>Zo!8cfNF&_uoo2>{? z?^%3i#6Nr(q8)bE_lWX@b|9}bXmavb|5hh8$A2jseb2jPfD8lP$`n5-^6n5o@zB)` zoB1+syu1#gaqy6y=H;CBQaahRu6Nf%bb58%VWj`Md__{f{HXg;VJlWwdwX!iMOxRJU0P#6KJJlvR!b`1u0#BzZJt7-8RbO8Ri8SOijTEPg>KW- z-9VL(ZJ}uRVAuJJ*`BByotVm*WR5$iY*;zY+xs}N5s96p<0*VA)F@MeagF~O+k~9j zRHBQgljAr8=XL4oQ-GP-Y5h~faBqw@F;+r}_`1y4It+qY!0=$KscOT zv+vK<9a^H>i-F(l*F!^hSXk5L7imLjbm_FirDcVcKRDvm@d#aB@i&JK1r{DbZY?b> z9tVda<_iPhXpj#gB-T&0d>jNJ%5uJ|M=Pi$Ou2vITLtKNlPjJjS1;diSnb zi@_hu;+L`TunTwmdwDlGiqsMCgCPaquFbdusA*13BKO}v@iNLOV+#KA%mvs7ZaMUY zgN<6)IxCqhC}EJg&F7%=Fyng<4tQlD0W^@{T{o(r)v*cb`*^5NKaS|lHs z#y4wo{pRY`mYc|m4CyIV_-L2m>z7e%GY1IAuws=8a+fcy zB2GU&rmQ(X#M7f2$7YYljMW&z;%`!G-C#2aGgpoSFE6z@^?c;(d+5}m3(-XO6; zyBKlgIV_MJ7XYJzfmbfIy_Z|m)Ez}XR*9Y!6~VpnoAC|9d^ag;n9w#=z6xw0_jDCtGVLc1cj^pJg_59&mWyHX6Mu9{}8_ma7Q zB4x(?8&xd9UC;OhZvyusi892-$aZl>$@~R(0{6!R<-$@fZ&6R`>pbMASu_Bf@%Jl{ zAdd@1&RvqitRR{Mj}`{eCi?OdhqFf~f$J%AxcLt5dp7qS3LuT^j!}d(Xnc64d^G}{ z*vtcUk=-L0I#sUwKwZ4lz}# z$@KMaG7nL12o=qFSz(HZm+UWPls@FCHYQ-1L2HcDM&b`lI9=z%j7Ig z>50fR*o~}p+NK)uy_&N}RVO#UsZ>dT6b<;bF39pUAHNi1-5!^A5Mb-uQPq4qSJrUL zL40n^l=DwC;Rjo3Oo#fh8q{?@+hVxNYocIXuaFL8)U2&bfe!o?1zi1So9GyIRg0!M z9QX#FTQNBnwwIS@ow)$4hsy32cnAf@WT*ETwT`X9GtofjZ&D>``fQwTmJ3SjEXrVq z#f{gTuD9X{)K-oume}V!^|*-zXBvlH|5%AyKI(Ih^X_TLQ8lzO3kH4E&Q_7%kE3cG zpTAEyc4QF`^YhXasiTxklgS~Ize75U3AA=i7YIQ`>f!s$PfOw-7q^jei0B)wo7>HN z^hQjxc!!*)EqWw_6-#ava;3t zh?btWAw2(J_xQk^2~Iu4jesgAk=So2u;SHHcyp{|Yy$Dtc_$Cql$)sS_ya@g`(D}K zRP*lKJET&?Fiz^0&cxp_CvGQ1$-3Wv`gMY(pZ7lT;_F!vCAL}Pkq0}@qT?`}A+zZ9 z`r2VbR&j@vV4)8;YA>Yxy?Ly?h5&mlTzw-76A&bmnPWw5r$H;2G{{u8SC6^1C6UKj z%3>@`0pm<||CL$LnUaNpEYd$2foPt&7*{2!=JcghN;_}Tz>lHh6^E+$x3bhVaQu(_ zsqMH-1B;1rLQTeu>rgwxnT?M|Yu=W#8!)jzEYe$?(HthZPGVPq6helFIhEysQVmvQ zth&LDsbHTFjkubEP4kz*9e@y-qas$=M4(4`(ZPUwI8f((>x}=-aD4UYiM!Z6Z>@TL zJ{rDe=MVL|f$2U?o?@h-wiw?!(0zvumNH@L|Hq!6bk1^CSu`MMf z{XxM-Q>I#^oyTf4YAVwhE96hUf`@a(IxSD!jEET*?}c??+c`OwsG~k|m#ybSHhfBj zQS(PSEd_Sne@~q}uMQqP{9fqZ#JgI?;Wwc#0$QO@#v>Xrtn3La!ON?T#Lr*4AJ~~< zO`CKJf1u$)9&*UW-l}0g5q!@IQ}@E0#POU%WuVOZGK;X~{E5an;ZKv!i6dl!nPkrkVD|$dQCVpIsRt=OTHUKKOl8+1wXJ;99_E zqcX|wGughltSgs0zShXDo^Y#GA-@dD)Hf^|iMJFVC6n zDTV^_({SQneCa*@iN!-7;Pt_MuB(HOn}N@BPDpIu)#uf)47zlFbgr(|q9%7J9$+Si z52}D;Ee-n9;~M+eUNk5!-;?4VS4M$gY%FRu-W6NGCLV1`^|L z#eROYm_GsuW!|^`-czyg@9_`!UD*M=jn;1__Wve*!gj|FNOXESY<0Daowq6Y&*cum1eAP0Qqy_HM}XLp*TzWNWaw&mRX# zJbpnX(KY#9A|T0TbV*k4jGtV5O3uUcirSl%h_0+gW+7GC5I$Lb-5245{zXr%^vp?reUxYbk)V4%vGk7?Ed@e#z43-Wg>y$o1E(5^ z(wE$U?Xw+SJRHjWOoWN~cg&6lHdBP_BI|-)Nq~((h>6NlF9)AI&LgENXs6P;KMR?g z=;2~Y6{864rdd2rkv#8V-QM?~A0-@X()o@$U0@Jrl~XL`i~7amoaW@FrDfO)dCwcz zollt19dt-+EQL}2TR4fOs(~*IBe^EW$^c)TQA&n+TEX3RjtWVb&Ywse&IJ(xTR6<6 zst~V#ZR~JI@>IdC`)s|i=y{^f@TAKoJIN(iW6ZAK@qcb?_P z(KIr>GgNY^A{dv^+=8V5j3&Zd6(c<$W}*EI&LER&YO+7Qg_nJAze7-O!(k;v5nY2A z!00c@>XM7pFzRnc0dpctS5AdJ&4NQKjgeHC&KFEeK8Xv_ z!|FetG#_qCS2-8E=KVol@L9mgaiXi6m7bXB?a02!wx}f?)K)mB^WZ^8Wka$a;NWEF z-tA{{5b65TRE~T+E`8?B=xE8B2A;FbbZ|6)$+CwEc{Hswn@j)08KYVI+qWkAnqe<0 zOoJn-N)~K{eFJkHbbeCB?|aApf>ypg7)I=>E^ob+0*JbBS#r?^2KJf`iGBW@jdXE8 zgsB<)!;&BDVpNI{O?za*RQ&bPv`9~1)rGr|hZYf-pE>8BBci`k@%QMveC}2ncM}Q2 zzxrmE4Nh)GwLu96k6Rv5t}jke)Srd!=oCRCqOe5Ja>AKgIN{{<+O`H0uVx6VM0)!Dxt5IvxdI5fKFM4N#I8Hc5&6 zaG)DD-pS+ph6R$KSqJN6+Dx1JW+?t7O6H%cLAQ3O9d)0Hz? zjo!scPdUMxDob4Z4&Hmm;6C`T|C&)bNIf%6IyOhWM$j;7Ak|eWM(e*NAAdKp>A)8( z3RIJIlSWok^*UH(lMvzRaLgG<#~mj8?`lsMY5Y+zXKBJ#V;m<{U1Dy-1*hp3XAw;h z9!CfhGp+UU-8eiKlCXHaQC2p&=rCDpSFZNv2o*urfnQ-_SOuX;oOF=2oEyKk`5 zCe!zQ>FVN3sdBP0mELwvr+)Y@dApb|xr)ckk?#pCYudZfWGM03fRN$bUl znz^+EP$&FtNw(;+*~MOe;dd^>p6+a=se?S2z=_gE+Uz7gqaKqO<{BvyHCifcRW1Sw zaJ3;4`Gg_wc-}h72EA_jRNbYDM6++6$rMhuM_1s_UZKr+y1$AbJS%#{=FAc+1ORp@7u$0 z6bOR{jrJ^>L2es91wuy+2}4raHw2Ex!tW4g(W}Zd;EU=T2P?}F{aG?Twvw)T zhcy_b^DC36;Ky{kujXiZ3F=dkko{X})I%f_?$ZoTCp*2E=%<$;S5o4NDoc z2u+O}{L5dYhM)11#jNgXJG6e?ollKQKCn~O2LU$*0XLmc|HrgQ0?(q<5CmoC(>eMm zNS2<7p$;-yD#(A>wD7CHFibGh{*&_3dgxMp9`B)y$o&833QJLaA9AyAlUU{%!=wFg zqL}}V1j#J^*X<2WG#T_W$kBjfjhCkE-9vWyquQ9G4W_;k{#KVHdQSFu3oM)D! zlLyUJiNXITWG2FE@$jQykGf4nk~qMlxE6{eAwNm3M-0VYvSeXhdswyGErH_|@f z+J{dA@8oxg7+GFAh5#6VQ5a;RGaA^_7E-IxQD~Wd4jeb`o&dOe9D{;{%8Bm?ep6{Y zpjjB4j-a`^Swsyx;b4m#gC!Uz_Z}_j!1UV>l*Dh*BL%QDk>_gWM!V-Fco}DqqY{I) z>M-p3)4(Lb-nL;58m`Udwf?gHF3uUM#0rxFuP9hTnks|S}&kGPQOC3Ne zfMY~55P^`aEiKtrKQ7T%$4Wo5rXAE|2qMGySPu`xFAdTk>iYOhnmiRZY_BKo*6@6D zRLa5$zVW6<&xzi4i++Z;x?n|zZJ;+qq3l{OXkJAUFMxdbOOg^K)?nI#Rl5dgf2S;h zjwIY&ANz*Ak6?dmlI zU%Ca1T)?homoLMj?oDL%4sMO$BRvW+P0b$srWqa9+x3T-HW+d)J2@yRHXK~21i4Ur zpqi$`77I|Ih!=^RtUEEBfQNx~F;;;f{$eKa;d`aY1D7V(_ZgBHGB`CB+O7?Z#}a@# ziEdM&=@$W2o$~E)K8V~hcZf?C1*FeN4Bc!HFrNO~4z$2W==rA%nq7KLBrLZH3I;lY zwi#Bv9Fb^YcL|9jylDe{kO*Ml_rnX+mR(%t`o zBVB2TVmc~~y6R|_Fd9@M$Jmkv4iM?2B@@aS?t6zP1__w}3CWmH%X={v`l=6up6KQ9 z=b+=YIbr5V^Zh2^x0R*}TAt!xG6ukJI*-7V8Q{3eIB1H5dKeHA8T`J_;3-w828EcI zF5#QIzzs0S61S5HZ1_|0BJ}?g071|M-JHn0T)j>}42vCXfBRQ;ERe4tlo7iZ;v`xE zWC(=xX_`AJT?;oU@_^H6iNTJ9^h1KQ=>dF%|0q`pQZ6EEGtS&KA;&!PJG5bzawqL- zaXW;g2X@FeWsr7SLnP8kFQPG7-;pjPdJm!!!wMATP7*!R0N+IZGh9R>laXB~QurjX z>}jTNxf%qAOM$#ef_$3BFS6#xZr~&4@Bc951bU9Gv|wDIlS~@Gp73LQsNhS5(Bw$$ z;pE(#(HZ1X7|?Uf|7Q>S^uP9?TV6!ZNB?gZ>ho3WYCsoQV>-PN{4pwU9zAT5uqDJC zoiJ?3j8E1@hQSZx)A>8aj7xf~CiC_1-j^&|NrtIxoT-e#Yn@0bqz}X zU;ZOw6VnR-OeemXb9a-Gk?L^sQm`qwwg>Bm?w?yZry?sYeXR&m23a&{{J(qHhP~hB zV1s6afL%{po>G7Qq6(dm{k%6M=0(;V^PO_v{9TSFT3wU<6O*Y} za_#4BPhCUto>N&GJ=<-^o8G2A_AVASHnvB)$I};G#~-H6IgTyq>4)hr!Rxe@TedzX zNB6v*W*2SZQxlVw)y?!g4J-|}y{m1jE(IL`*Vv)eRh&0p@%^uy935R<9c?X*41h-Z zs>f@#w^oat)qo>2#bgyN{Y1^wBz^4YW0lyESqkQn*|A#6niwpLnFIhNZfU zX_|U$rpnuC1H76ywH~Xe`0Y4e0WVc?`H6VJZR0(BRNvUz+}Pe)+d5}EKPY%j_uW9xK=xA%`TIbZ+ zoL6_bZd^{M<#1Zq_}KfHIGY%(#;i2ENOHSet+(5(4`=f>_X&AYVqz{GsbZ?F2Uu@6 z4+KPBqG747ldR*fuUtj*;&~#fUpYE9NR2mCz^A-DGB;nXH#xR6JmyZ((KU-|Sj4(; zmt1zZUM2=M%<(y!xck`JH%$t1{+QL)io%?ttE#4}(m-8*y3o|#Ok-{|_0-AE(bKe^ z*Uenzc!}|3d)%~-J>=WQbgh!HHOSNXS;0&JVo&Yw6_aF=b}0uSJ-{ zG%>I+F{r8cSI)J3-xY3QhHYiHVx zFY!Gk&AU|F@!CxP(89j6(Y$kPh_t!{zi%0#@|4?5+itmBs4~l{GZ;7WX`8gSu`zKs zv2~t|L1bTX_vqM*s%cbdyrreDn%akQ8N#Z?XjmifY~H}ct=Z8^QZv_cu{E(UFtI7C z%IN6_4E%1KwoFy!?kQSz=h=RFet~aJN;yth!aPz_y&wkEKOJjzJFah4eO@#>R5bi< zo>0X?1e#aWdrx;OJ-)sbRsEB=TrcDGx%6!oaBciOyZ0z&nL2T?Vc0RYrqXHjHf*6a zy_ad4r=xtCc%-&o(6QDX_~#~K{4rZsr!MK(J3eZ+JJB_(Zr5nN(mSxKvav|-9;0Kw z5m0im5`tB0!n#g)))xE{-1K4Hw~|p6cv$qpw%_D3bje|qQqM%v~OgGVLl7N!+#1rR%_~- zM|Lx8cb{WMKR;GnM$%SJR5xRYFSO`dA&?fzyu}+My+^X6H`mvd{4rccF;C`%wBW^ES2(P;o9Ee@*E}68@;1L5IzpCAmoLn7 zd|sR9*CCpn-v9{BR-ibtFlqB1SmOlVcb!wbooifKHP{qk95|pG(P{}R12~Bvb+B zYvXILzfEy0ZFP0~DA`=>-5%0JxtG^2aL0_xIV(Ne$Li#P6moQf0>?G7cE;0(qU~8{ zb;*0E=dBD)A96~iP^|JB&skl%TKev=?~H$z^~e*-`u*ga=d%*}Ps!gIc2bbZ6YDIF z>9u8XQ@7DdI%|<5=hGVLc+n_FPI*&nA$eY=;H7C&;8|+e3yYDDpWQ|*Ejm8rZXokM zmfO~5@!NPUX~#C1lew!J9_e-5FMjb}AdI5gL`MQ#kqQ6Y-AwwMTL`W>eg&I)`FEk8LU^$j;Yw z%H^{gd{~l`GM;&>mAypVK;UFb6OXW(ZBh6-zpu5JU4q;-+THc~s&ngNcQ@HSOK#wG zX>g=k>w_hd)zYQwhKudr_KeZ%cb6BGYYlARY}iq3eXVt~g7Hj|=cK0{af4qxSK5{P zk)`%8+vuWw%egAOQ*O~I-%1O(7|g-6N!oQg{#(0^Q~3JKmri3r_n+tom$d8V6>3-q ze%_`^biC-Rxb&(m&o)oGk&bMxH8G>{Wv1bamdu+kx^I@Y&nkpW#Z=DLe_7~TZ}4kU zNkfu&>*cR!PhLFvB`Id^w}$Skzr0diT#T@e z9d6B3TPbeeEIuS|FVuIlF1|d!WS+*WC)N|!K zpZne%d8)IKMb*am=QRH>Y5LFoKYa5(&#X*&$QnE>E9g8KP`ufLvCqe(=R|8`$>!kW z`;9bp)<%QUhR||`5eG^!!=T-|mVEUh`VIC+8_w3gpildl2gfUV*#r7(%);bSE;zNN zdkxBzO{M8R_xk27&ARhVDh>)i2!7jlJ}Bh^MQLiERK&;{-MMq6Veig%H4N(Re7;>kEA7v1K2+B_!oSA_y-15MJ`5P**ykaA(Ua9)CKuXX$|1FhClq)#T~w|?`hhm@0q94y}SoF^t0@?>SZna42cd~Kc#wZ{WOWD zadc~iJv;m2vXE9l%b@O>mVf*AQ9+I5h~XM#7gY>AUOKx%g?{4iqP~sUU`Q}K>6*_gNn#Cov?TN1E`_3pI_%IZbwo6ma zcIM8_^mnB!Tzd_!z$2)Xi+d%zgre^AO6SR6CGx)nNi*oQb3Dk4C13bj`j~Fo_JMh~ z$+Tad-RENWYi_q}y1xvLKJW`kFP|Xh<{7UObZ!mUQWi)oR@z}OJ}N#mn(3GR!8c@l zw7a9jU$?*gV!t)j+noq$S7K3@T*aK3qiQn zh5NNo_qJbJ11c}E8K)2nG=6>VGWMR;?VgJY zOS7Vj6BY}7=ayc5SIC>KCoejddp;UgI5`%RG*`c4ae}fqv0-sSY{?~mNw(bc`(#W3 z#bRVcmD;J7+Z|(5Ug_#QAys&3?DNI>)gheU#^l_teQ(dn`27CSjrQq&kLHA7&i!%~E8v^DXdYBQacK5H8&MooMX5_W`r9v*FT- zU%TDiLpGLE=N|p+J3aNPZZWUp-57OF%VcBZybj~8`xC|+U(LHR?uwi++1U0erOlK6 zbI}4H!)Ldpt4ZWfSLsqSI$JqENcHT}ThWPF6>h^bb-b{QHFmrxe00mN zudDl&von$SD8!GotJ}xfc@xnfh>mJ%$7vAY7vA0d&DnVk(ZJtNtGoMyvojOXAkeR+ zyL-yn*;{VLlUh=^-HK9LRcsrrHTq^{@o7%UeDTATw@9zwkHz2Jc)(-Q+*h6L^V-ME zN_Iy5gYg}^oTeIdu33V_X{zti|dUzn-*i-C8*c- zQx8%u4r{h5unotzaEX2?WqPxUYC3h({lVOC@`@lFE&rna1ZQhsJYiV0fsP{Gztm*r}HQbRUb^JTj_me$tA+G*XV`2Y%3cn-9N(MZ+kaUg(lWq;4}nljDf-aZK3fe|VAevP{zBj9`M%E!ebS2)TJwE!@Nc2-70?TP z>9h3{^L^>m`iX_UqWQie2$z}q0sn~;Ej`#m%5_Ia&&d`t5$r0s#W(FM8Y;;61qHhEm+wrsi}wl}d|A zei|8elV5%O4L>OgkJwSbJYBghS<*6;GCm{fyr&y+`vhz^ElnLWRa+A2A?)UeG<^ zNXB9gAzo(*K4jX+q*3!wfjiq8>b3GpY5B_?ALTl7FLky$ygA3!Ikam?so3u8`Mn?4 zSa&XVqz1y|(Ybf|ZOV4sIitZjLs-Q{S5B76&0Oi)I;x<#{pei!7Ue68G8GzObZyU{ zZd8`@P^idoB0lIjFGe`-z!$D?%z^KoU!qi46{pSvp9==ZW{lW(e(|%oagQ@};5Nt4tSvVZ1%d44jkID8< zt4OFqBc3%u>cnKv7G)oU&Gg+aKX-?l-v}}cTY1{UWo^~$R`^|dE%O_xhWA%CdR$ps zMHOe?Io4u+qt-BdMd#M^`W2BSKf|zZz>a8H)F1*(fDU8%?7OYaK`o{fiI#1Pop zdcHo}W|18ecUAFO5oK`0lJ>g1nAmlmFJ!KV`lNsFj=%Q6fqLtrTVDD|Ye9xvUa7)K z`+AQV*0mwmoO3>JOS0`~9aLaiFjJVj{n%vtj_yNCYsa)6HV`C9CueMAudgO>R+Lwo zS{FrU3g|-RcZYh!IusJC0!N; z<(^zyr5sK6w={H~(@1?>f8`SO+QSYH=qL#~U7}XJyI9iviX6^gEjk&wZOG!1{ZRT7 zsoW*&0269@0uZiW{ARZ~zhonHzAn#ebR5;`RCg%+*qH>E{I*n5mVW&_&rDooCoFmS zdFJ%lTTSSWzLWVrC0Moe(SN?*qjDo5zp$iuKXYrbDpT zV!S<}C~~mT^Y+S256iX3s07i2Btp#!e+60a=C7+~#ulo;rSafW&wJoWr?pk{!t6UI zDj^g&!+~)f80&$tm;1Mnk-cU&YGZ6;Qy)!{zg(-(JiWa`LA*laX;OmJ;N;{M<;X{& zJx?1&Vad3j3y4>$S9iGAFU=cFFDJRBY~pZPea#AX1vJVFjA9Ty*eF=NLc2t2)suxg zjJKy%L=W}|Lb#X6LR1-q!q8?g^jR2M%L2mkE-)?v;|efZfYEsy7$!em*DBR1Dhm3A+hI`8wNu6(BY*~DH*GPQ+_}+4_nS*=Fqh>UX`u*~B zg-R5LOsht`A2QDBH^05z8FNkK>ohBzEtu_9^xzzXYv~8r!xsDq<*e6!55!@Y`F&^7 zxH(8aJ*jeVq;_6+?|cw=6MhLRqK%bOvSMzfNehR&SB6c9M^$T%a*XNl>xK|E8HY*U zH)*1C2fbUbD8-l$?^W`wZao4%cBr$zB}t0vCOhAK&c3+Y?x}crOix{w`Ak!xMsIqLWX1Kb(0z643c@G_>>A(b-u!x|oIMz&C^bj#((4PJy4&JJ>v65^ z$dw;gdY*pDu5ONg$~?71xo}}{WRu;?Ik(p`sf)z1uxIIqMjp>qMJLLS3nY(}cf^6_ zry+%716(6cb5*+0pgA$5&;?G<%~f^WEhda8yVh>IEk7O{A5vJ+&o#meH~%U>p7uDT zP_3WKjsc`@$dBj7jMQ~pCr;!(3MstR*W7Vceq7>VNTFySm))D$Dykh=7+n%D-E#eF zofxOfNUbty-$ZC$<#khj;h@z0zM+N8cw-6U3A|nlTN^C$n~#GT%0a}Rm!9-g)-GQDt&|< z)wx4#E-JuX4MOhMzuqEpYTM9p4Sm00%Jk@J_A0qYSDoB)U81^ow0;;P7y5tU8tIs+ zk`b35Ck2HRZiCYjI2Di|CkBQTrZh61G~ui;AxV#gPM?4ew29M2^0hK)aWr&V1`OE1 z>7rb&Oe%v@zNqd2+tbdq1)E_yj)=;7yZf2HynD;n{AJ)nAM+VU+dX3CpNDsdmCM<( z%%nJ9`%Rg&VKmfmiCQH%uNVaR;`XJwCs?_4Dk)1ij9s8#7DaQPEl zwuQ?%A@d^n?BBm#$qJc2{ED3vs`W-bQ>9z&<>=?vHF`^5M~8GcXMIvb=Bqy_dn6C0 z543>u12sI=O6Dd*^)Fp2tN6yPcj8i+&lhe3ZV8G3W#}--$AWx44BE$4k~tA-_;$7` z{nPcYTGo#W3?pZ&(q2n+XWB5Qy^t6)uFfz#Fk2;f@A}v9qo8;v=jm_Jo2UzN`C z*Agi9EKwf|HM~Dlm44{Dsn+&rdxwnmEt{gt_N>ayOj#Q=T(**{Vd8bM zuV4C?ZUE(%wo`Q?bLZII3xXDj(Mzj{i<<~bri9~J^B?+}qrS~+Pu#T(lk9Kd(_I~C z#&@-QUs#k`+{@qu^GKdF(`0S#02^)VF#jHF8v7BAZAoM6Ap04z4`qqvAGK=c6IIH2 zs%;*0StF~KZ7R+@QkY(;PQ>KLbGHLX8APoWQF!p!@w7C?A2>?wlMm?<4r)r}? zNrVL4DQtr_H=?=+Xmk9ZEfup2dv%Y8ri>qz>7lYBROY3TCHCpLwo+VFLa2J3$SUhF zlNSayVeF@}#18J*loMyp>#)T?D&e)p4(qVZ%Gyu0Pi&ux1tuS!SH?X?k`>9rK#s*~ zyZ#)(x;fE2c?G*>)|ngklC}38eAmpkbtn9S0R3%oag{a4qN5Ui`l?oUdgbOM^W>eD zVL!!zbR(* zmw$xsGHE6!o3NW*(#?FU4!y!iaMj4#es}5#Pz$G)MMC4T7;|mmVOZ@IFC?wQww5nG z)jr3laud%vkYw;elKZLl0Y!yRVn@Cz*JT=4g#hn1r+7`k&kAslqDM2|e&2bNhA&8@ zvW>@sN7HNe~@98$oweNo>ck7gA*Ht^L0Svl<0}oxWnZd&>E5$_3cbn zcEg#h!cSr~S%Ky*Epgf;@iUFGxaJ@Lk`u- z#4MM?hxt;R#;&Kavym;%kLcLbmQq& z10|}obT5uJLpt?0hQ!KTq48%+0X_6i{3q<-`2LZPOQWvwSdw|j8C;W zU>WIP+6=(7`E&!OO+HMUuDG_s@Z6+l`B?ZpKnK* zxd8fqG_npexcNjojOXJ<@fvI0zt8rKar%%7Gvvf;vhi409cAVUxIX}oJc;CFH~><} z(sEH|K4-GTnjdS=t-cUtWQ-n%r<8kA>N}8RrUPexxenOdBlS=d*zbCgeHUQa|BD{7 z4`pS~nD_o~lIbbs*UXsI0jTat(I3S)Wd=jyRnew>e+2I)WF7$~QA~veTN;?;O)$xe zAjn5TlHZLDU{Pt4j02jToY6OwBVA<5j#_wX_2 zC5~OREdGB<_hI1R1Ub8B+Unvp=FhY@cotcP^|98C8$gb}1_}4ePO%yWNbNC@ne!kQ z%fy<`4gm_301fHADA0U%Tlo2`!dN)xjr!&edAcOoT$-pRUIR}8@yX^cudKpY0Xih% z%93KNwtUxZ>o9^WsL=%gAp^+<=2hwa)~sxW$T}MnMnRLN&4Pnkv25!4 zHDi{8ItIaM-vpOb$U5)Tdv!=pJ9BI|oe9hM?4zQc5BbqJEDfILA0*gB@(5TC=x_(9 z=pZ|e#!jWNZE0*>V6Say>}N@6$f;%f5N8u9Tz#}f#H2Ye4YDYkK4j61K-clI*C_4M zlmn?a5tN#%wLlh)fM<#<(KZa1T86bav4fy$ntvU{lPDJv=y$`3Q^F)3gW zjR!56Ka< z?elEd{qw_=4(Qh~_Ui;X)f`Z5 zxzjyWos(Mb#Lxd}NQb^QEumEB=@XkapMD*V>NK;h4}Z&F0;B zkT1i8kOy=UX~$lB{wAA-9MyC%@#0B16mHsB)x-a;w*N_5!s87sjeA#oW@L^BkE;g3 zL^}+|0{K7+o!tj+*<3jQE_v-Bk%VmU7_ct`n~;`JAu0O5ndckoyB-|`*S0e zP9M_ZG!AS!Q@ZLh^9$=1j>11wv1hppkpB?=Viy3N7^uHDQUmW8S{r^=B9KRU? za_38^HUA+l{|Mh@(qiw)I%~c9-a{mOi-SlQJlb+u_2XTjD2mG>L4^zcgUpH-g8A?e zPXgyLQsZslF?bq`xY+ z;DbJ@90muIT{K%R59&xP_37783WjgwU23;WUqwo^DoNkqtdh9kd!UOPPLUb7aJc)j z?%YH-dHUnZ5tr=FGjxTe4IYv^MlUH*FFM(;7}(4_RX~V%+G+LMk%hFMxCgIo6lz!% z5#G_*xv6rpus&qK#fGzK;*xx#WR9S4RC~B4wNXivI(|7*utRe3#+(`T9p{Uwrs65O z@PZp{kIt_3d;gp5Nqz5CJQ3vC+JKwgU!JqE$(I7be6gBM{;k1=^1U6JH8%PKvb9N! z0e)8HHJ{3}SrdRr_5+NOtF37$pP%#h{%Rzk+G9j|*;#J8Nh~~L)~80#HNwB=a5&LC z?qzd=A7H}O0VD2!8hvDY(b!*UY*!lF0N85@O^6BQhzWj(2?SBV1fn@$f?VytF#(jg zG?gPJfKqccf+%1D3=$zKSBsbcm*Z7|x!sJWQ4}!&ls!Xb_fXkWR#YbB8UdI9yCm_T zgU=m#di|HK!sGbx0aV1$?eHm?Cq zfC&WA-!TD{7`Nk{sc6)3wAm4D4i@>7r43z1hzY1H2bEn$Wm9M~y%M3xKF^Qc-!($% zfLtwOzY$`Bk@-PF(+c;a%>l$jSXQnPhzUlB34VwPzywTy^FUuD^^j!n0n*#=2PO~` z0TT$CfC+wx3FU|hMt}*ELVyXb5r7GDs(=Z^M6WI*`d?969i&B)KspkoYf-uvr5#aP z8l&}{UL5HGj?{=F z+2BYLI8rniv>OaMG%B^mt0m_W$d&{PhXK*&N&Fak^{2Tbq-Odw>nhY`(l_~mM?bzLJ^$m1{}6!#Bt`A7IJlLkyMLQH^2 z_!b9|FnE;U2$%pAMR8dqsB*az%>ff!BM=je5EJ|m6Y$(R7Rhx;M#cIeCQNJz<&>)h zOaPvu$D|Nq0^Bp(P>z@Y(qEMcS%3+sau^&8m_TqGG?IY7GtGy_gkmIkh`mj873J68 z#5zaRZ`YF`_GYbxQwpB4Z+~B&?cey9!@!l$l&Ji#Lvl(;ILb9blhCB3Ntn1iArvD6 z8YfW2V%J9J2tl^(rwJ22-m6?A1Ua@4CMvvpjEYT9$<~H%2=JTD1QQJ9U!Hc0NPkf_ z%xTa|Of2G&Q{AR6R}0VnMh3ye-ZdRI1V;}M!UXpM(L6BrRZyLvUN5Fe)_lx!2?Chd zGE^X$>V2oN3z5A?5ZMhhHU-#X&Jjv^Jhw0vL$-wmIVMvB%zver{{r-z@3L~MV@8g~ zj4Xi}84>_A$Wa?4h25#HJ6Ju4q$qBWc+AD z5Cu1_?cX1F4m0I0%#_a*VL9aA zNKBi!Y%(#sTH)#{#MRXUVyg+72T}S@>HLpn^Ovl@Od71~S&ySb$~v*#2iJ8oZC!KY zV!w~;`Y4SFDDqlk7cKvAGr+4dMrfBXE@!msE27aIv`ZW9%0|0LXpjSldHM0~MCspQ z=0Dm+Gk=-%s?U(koi{)>KLr7HGZx%lX)5Ouar1)0q!85h{g40_-ouP{8+`(lbS zwgEg%T!|niYVCB1*b^Xr^E|nAY&E#Hl7f~T#yA~BMCW0~I6Z+(TJT!an45q}N4V=VT6kLs z;}RzArzhzD%jkc2Mmlo?o|g65Jb`i1`UT}<(j#0-TlBE@V zw3jIRjbU%Np#t}Y195K{Y~BKz-aG|O_gJ|-90(PK_(83j;La>GiW_aNjRYN1)ql3s zJ1|nk-x!sBKxNgateG|eZ2GF@p9Rc!4;pg}fYGsm(rbru0wY)ItFCfl(b%642{p9e z_{8=Pj{|uf?)eBnLL~sRvJubuIFP(dBewua5J+V8g5{r&X;vT8tN^B2R!p;jm}V<5 z%^E|RbrOa&3u0b@ygN}^8l^VE7SinhV4hbV2z34lTx;S6mMA~QIK2{waq5q8x(AuG;1#Da ze+8y@;M>E_(4fRfL;s&f|4XC)pP>IgqW>?V|0TivWAM?ux{T@J#{V9d{|?_}(oF~R z0@>F+QiMpj2PzpGHjauaEN_PUWdZPQ&pH|6&6OLsh)?i^lU*k)ee^-$c6-Y&jPb3?3|@iUJO z2F)p5y@+R>@5;_BeB7GYK4fm}<&m|Xc~V9tBDPbhZ1uvUP2ByGzY3MEVvC|A8CqGk z$9N<>w(^|2@0++Vpv4hInZCSNM2uWdZhW7ukf);9w76M-NsYQcM@)+77nHPl>6@r9I+Bv#vZ?NsB#CRx01%r%4R64|2F zge9Vv;@>1b)M|Z@HBr6tVO^qBbX{{>cEh)?wjM^O9@GhL2*{dESlMy->N$&TRqqCE z7h1I+ekRJKWR;p&OsVi)@-VSqSE0tan2&Kf1{74OsS91iovC%=*=F0j?9 zZGUv)^N|;qB{!vhRa>1tO6k>oq|*_dWx=m*Y)4A%B-w8I8j&IB8)(uV?cXyVK>-jv zm{qhH(kq{G#5EI-G6DZjABG>)i^+IWvCK7hN zK&iQ!8+N@wTZAaN4!T}=IbIc*+ka@Jb-h5@GgNjDl|5xeWkT)I(DgE86paTh+)8jm zEnI}I7ibaQk2VT5!XSR3z?2Hgmc*`CQKaPy$sb*>_GsvOWlKWW%hC?JUSRVY&;(sC zw|{rNK#6fX-kFL<9Y>oT(dJ;0KUvyv!4A7#s4NGST}EY7I05uZZqW5AV)t*4Ryshg zW3;q`uGd97^Mh_pEBKY011Q)yXpe@jm!%zcy^65w1*WS8N`kJJ8+N@c?V#&Lg05E)K%yH!A_X9kTxYG@9?e3|gb5*A*(K7B zy)Pu8>qP=Mbc6Z`4uzXV(DllY-2a3ET`xE2dcpsd8V?><4T6b=t{0FGq|n)Y;1=w9 zAzLB|+2AqkdI6h2`B&HLe=yHC!nhtC1lP7c0!uV_Fis!R;xrCyI^&N_TJYXN<{n^< zU9kJn^#cF1qyJ$sL)Q!aZ-xFB$GAlQe^mqXH$y<~Y>oaY?jPdvkMLb4E%yGR9d^AS z628SjBn%#P!>$)l6vbtcpvL7+!LC<(G<3bddC>JL!mbydTgM`~4#}w4BJ6r)Z42cj z*8$c6PthY=5}glBk>^nzN{gAaS=)uNU}cpmkZ zYzRo2we#+=@~}81TQ{5$}5t1W$ zLb|Kpnhm>m?+RxD7^qF~0d#P(f<`&+q-BgC}y}}^9stgDWsEh}h%8@jU_}(ijvu*%o z-%#1$QBbxeh{Zf|rj=S&YYAHX8{mcsxCrmPf|l9C1J#*fPM zP+1Ww^J*v)`*hJrDUKyERGmR|l}-e__o^Gg{=Q7?pf0@k>VxmSvcOu~p##sJ+Lq9X znu-M`AD+W|uSl{Yc^JsC3r0WQd-cH;ScWSQIuP%&p#x#11COBM{MdJ9!4=4WE6^u- z=XvNmgLEWH*P?VUN;{&oG)PxH0BKWokQVu~G+K8O4Y57R05#GUeyEYuv!O*I(olx) zy&`inGL?|I8JNy5glO-*;z&U_QZHOK6N;ZYaa|UVY%b*SA1XANydAU_i8h8Q;JF>Aeizd(DJ47{4mRv`)lN zSw2=T&hc9rUHw@n!Z*_g-g|u^7oy;Jw#OXrb}fj)MmQUxbQ& zdRvC?z3M=9lnq@nE9{b$0ao|`Rxkioup}NW;KRy72O4B>P}lR-PU>Xi?ns$x2ZVFS z$qPpC-YZ`%eX@_$)AF*(^#_lXzebNU$HPQFIDq8d4e0Dya0|ZoitIWoWP``>y;op+ z`}}zC^?xzXvCn_q`yTwAi9R;@Iu_%D@4d1>R+rR)DreCWwmMX9;yDMB3|>fbTN>eeuY5aWG`lNg--x96YwRB9* z%8BeG&%=TfWj$t#=3^q9zeVq?8@*ZB-N5J>C@C4$ZO3`AdUE~T^K~(?omWQJH&$h@ zpQ~FP)3x%KT82RQ(&f>r>npY`%JbWeQL_t^N1F0K+13t>)O@v^ql#3pskv^d>0#I#A2m)DS$g{F^_|}MXhj~Y zx&9Twy(UpTmh!p0!M$IQC?tcM6N-w0azYZy3DCl=1UJ;eMJOjgi|~H5QK%6H@e2i}RFIfBqDFG0 zCA=$7d$B4i3d#vFaV#gSv77*#*MKG{Cp7zu*PyC z8OsS^LOB8FfxbxUA<5taq<8XzoDdVoa-tc_2~8{~ka-N5 z9LPL@%+lr`&>zLTm#s4g9qdEAuUeh zz@{_)$fO1DEoANi=GX=6ALRu2pB?>w5B>iR{cnZ-7st3n|9@2j^EX34?u>}~DefQQ z@{jOcCJp6;HI@?)3E$!%5(bZIVmSd6MR8dqKsk{JP#_o;h2?}bmJ`WXPT;w9ERySx zjEYUhazd0nlv54M3E(Muh>0UWz&*1K%?J=6{Z&~Lkpxu^gM*=*&~&u6o`WBe*36O+ zCttce%O5quey=lbmUJjoyi<~=;(p2_v{z>BH&!dy z1_82QEuFoD@Y%&(YSH^VLlf2Rx5H6#tO@#U7mdBlia zi4B=Gv<{3vwu$t1)7Wp3?L%WH)7X5#7Hf@Gg6(`T45gwx98nN zSG7m4wUmxKhb^65*wT5X2z5UX1NM1{$V|ZV^I}MTJB%a`ki=m%+V(uw_s}bZ?RhdJ zc)9HlX#-38n8v_{E~?Rm6I80!Y$I3w|c62_DIn917LD8Uf9^p+;UNiy2dzm3+w(9>w%B_5SNjRJ=P9r~-yUt{1_yQh zuo`U77is^TBgu57HCle?n(2~O#r-RTzg#9^;(-X*~1+O)Yxe1tbZa?990OUDFWz8`&P+M!Nnswl!LC2P9)NZ)3aS>!*h6`Uu?FlJF`O1z)8iT`xs} z?I3Uz1)S}nFP(VeFNf<2H`qG)n*;K-qfzRn3vMKEeeLs03sTRS3u}Sso2^T=K$3arD$zeT*OOB zWD<0AYcjY8L=vUf*~uqMl03TGt;y>xDf>dEB)@Y-j-=fRw}~9d_#&5kpV=aHb2^Kp zCEf0(I1NVUjeBZ#7Jle|cBnJEv*gmmcD?eA!x0%mz9}X#9&{B`8A;8D9DIhYuAb84 zavR)nFYOc|VSlsY0R7ad{KIlTPE9AH)bn_D6dcB1NXo;XG)jV>H0rFlGXp=`K_GNi zmJ^<7hsfB5 z;Y(3DccjdZd^pi_DCdszspq?iXZHKk{rj|#_C>gy)9|fg9o~c0XP(1<4f*UGy_a>u z3T;htw{6{}-<~|B9NX>~*Usgj>TezLTA1sZ+-3q0-tAmtv6N%7Pk%oxr|oH4hd^zR zqYSk{d;AJ%O|r(uW+F6d_W9qubbt58+CZHucvR-!y-~Jr6UF>f&k_A#WncSH38Go| z7dbuZR!`G@Hf7_}vUBzd77A+#AvqV*zIT|)^*CgzjO`>E%I$r+;pEdtib>u6BH;`2 zrFRd+pZp#qpCCGSCh+q5fra^`sn;2M)^N~DFS)-%2va)o9LbH+jQhgO!zr5i+ z!K-R?DVr-fzVW;HoA{516}>J8$`5!1xJta#rXu?(Gu-*5nH}w$z!PCbKbh9*QUI## z+V&rOS7?^L^HZkQjZ~Mwh^(9fQ$0c6VCgZIjMu_@NV~$M%wOxi21UPuqD`P^SD5q5 zqkLobf#-X=S%hgXf01^byAk9Pcz)&SUVdZ1zPw+z{-XOD9!>3zbMzPQVPDr<(GduF z;F924<9c>O!%@=v_0fKLM7cTpPcbj2`d>-3XS+Bz_KyWU6mun-IXLJNTs&Boh z9AY$_8_y~vA>+E+BrBd(L_*SawTWXqtFVNEE1StgJgaD~kn2w-4DsPYxl*otCV}zc zBDrF&QYI?#;V!A4G+n;lXjySf|K9AhJLjyH+oy-4>0>Wtx((YLu9X!(BG|*D}`w(%+BIOxu6DJ1Y43<=*bj7Y^R9i`DJQrhC<9yb9NM{vtWH zKizJ?(9Zp9r)dZC(v41?j;w_nou@h^$u~NcI_l$BmUzyU%$KJxoY^+IDt%!~mZ$Ly z8+EU5NREZ^j1(7}JZYWpNg=QBA|{2*>#qeCWuh7@JT1ycOj{k+)$hHun6HqxQl(;wR_Xo0;aDy2zm#T zsZos??w;kr&mHYr=c=eV^5Y>eWpqU$^YNdRhf5b7;G58A^TZSt-rqCtkCCwToy#g@ z9ptj}nX8g{EI(-di)&Mp^5V*VuBGojOH403JI56VJ2S2iP^b5C&R*lS?Kh0Qu1KxU zUf+3JVvHfwⅆgPsHvJTN~@oLBjMhutsi+#BY+?CMk*T^)bluyb*b6Yg7D zTJ7P``MXnI$7?G4zr1g(mdef+*}%_V{*eE<@G*Y=RkjNkqHL{>%VuZqChF*HCh+t7 z`-O$QR#Z{xd7Q9k?0w@MXG_}U!j1a;{A(U3B<#%2-ttRWnAiKp(;Ibk9z4IIEySDq zPj{noTKlk#b{G9&6_rDlR=n$ID$QaG61E<*w7T`y)!J&!W*r@+13Eg4E&Tip)7jaK z3n%&cI}-B}z7^E+KOcXP7Iw}>-PUSW@3cxvKxV?(qiv1P;%u$7vr`i8_t;t`Na^bE z${$jxiD_#1x9$JsqiGjr{q-Y(ae-Mm0t_uG0e?Rg%ha*ZvPtgp8Tr>|WADF2`z(+| zbaZa2>gs4!hlMSiJDr<7oOdTP!K~!|J$`=b^C!tb1|q5d#MY{9WS5yrjRz~Qt`4Q( zSX*Oe=TW@NxYl31;#8 zkEje$l3Mtmzsl28YCqFgr%ldBn zpMq*x$R*T%=6@apG5fC=W4xA|{bpxQHsgyaZ>!8d1=6zFTOMcQhn;f%W*QxGKbn<3 zY5l*rG6TQNWxhPHevj3g-^0%Be4HT8J(NrfH1+{YD`CC7Y`#xTjUtl@IoY<+q_D6N z5m-)+`8qn^q%#t}IUeVKzOOp$+*UJMoS8L1;00v;h_RW~{QREk%KtxWUvjAK|F~#GE?ViY*VU;T?VCx+VgKGc-DLjK&+uq;_m0ytDeT|7 zEc8VSOv#XMz9;|DP(1uN=TN!Y zfXPD6b3sOhM&Kz&*E|oI$}lgJ54$KuHYu8$s3dxZ=ZA!7f8Sbp zLToZ)Gn0Gj5k*c&XMcAZbV-AQdY;`+{@k0#A@|2#yQhi_y_1Lh6>{IQ-((NR+a_iEO5X&e=?~LXviE;CS+jZWJS_LQ^EcsgXs|p>`4!HZGC6lX#U)M7n%n`h$*h1Q zXNqi6O32wq%+&Mp;mwuL;)YGmYEUws@lemRkOxf?q^Re4VLAd%zONkbbNa*f|MJmT zMuz|Lkwzc_G{45_MQj_G*?QD|&ct0~_svsd` z%I#=v=8(KZ=J(Eqs{9vXnI2hUnZ#2Z+62B^sh#`a5P&06A~W$;>iTWkoOUO;hM4Qj z8ms0^H953nGN*jHlcM2F)$x^h=Aw&`5m$}G1Bpz7g;|rOF|Yhkakr13=6Byp?Vw0z z>TJ=KoH=5`1<_P>LQE`kGt(^yuD?5_fupI}b`EWJKP3Q1$xHypZQB06N)TM30FI`6 zI5__96u^-S5?58Vlvt+7hFg5)4{xOkAG^i3%6108(e${iSmtgbhxTT|EeJ3r2rw=P zuuurFDgZ~?WdO%p5K*BCJH;}${GtT&S9N+LhxUW#Q|E+uCI0DdRQ_X~)9#`_%mv_R z%DaxH62LJOz|j=J(R9sb4sE3a9NLU6xA+*Q#WDdLZ}9;*h5|U=N(FFKLU1$%aO47T z3)S@V6|{)-&EbEtyQKJ=6-ko(zbFs$%Ok;8w+|WN0q&sYpnaEy66mKDi6(e zvMpA|&f76AIi6aS^j#9?0of(uy`@{--ceR6L-dV}nI%#G4}0$&RmGC^4PQl2vI3HW zfPh3f1j!&EqGSmIhn#cHSwKWGg1{jND3T@TtOAk+$r%AblH?4B^HrZacb+>lcb<3N zwZ3nC|IAu+on58krU5*GV{#>TmtntBT9?R0^9=qIL|6@lWNNj zLUQ=3n?chVRdkm>yX;^I$_~E%GOC%{lV7$Z>k`zQIK-}{gWDoLdj==>Ho=wNvNiG) zs;r(x4MdNQe!g8)G;uNdG{TtoYKD`o9q4Q6guNIA=&Azf`l~Dy#4G~sq78u8WVh$S z=+k633mX9CNzmw46X6$ue%@bY*~~WW?LaqAhaz+oye6y6YAdSvvGS|To^jq%h%W+t zv{3*<8vw&90K-WDLp#ugs|F2*4iVw+r^((GR)O}fn&kN?fa4_StLflK*?_vU$7OE+ zKVA)#N1zu-=s;HiINGsZD+1j=v`ReJn(E8gMMYo=Yf;gCDVv^0vNjR(^;Kr`>7$8n zQNYZp{pxDpq`E4N^r4YSw*Igp&J1~LJB=sR)dhESbTnQUaYndTRjZ~I6_EgUw6j>+ zowx}9kL~}oOceXu4*2AoijR?+VejDAS#EiimMvD{{&J8hfkln2=P}993^KK zhTxYN+Hq!dy{)J+9~cxqsuX-*;+R5hIG!+vdbn2>&!fy`ZR}kitXPUwl+9fo zA3q3)Febc8XXmi>_V|&nEMfc40}-D);+1nF(mP$qP1^97t)qPvUpFrY!geym(SeF@ z)J_Yr&A3^*7qTl2+-pk#2#_(n=vZsu{(YCD zTGW#>f^e@hy85k5#G%2VO7E$(7)ks^A#J!&Ky4`4rrC`UY}5P*N-Uv7%YgOChnOQH z^>t!D@}*N#x`$2TBVcE0WliFkqMm-RA@xRu7|GsgDs8wG(vGnGgZP-V6FI6lbb;Hy z8A{$l3D^Ld+drSpY^46&neJg#^B~yvx#SRR`)mVk`|M5ku)X;kSf__x{?IZZ4g2MA z04$pldo4iWeaC@kUuD*GGowg>xBU(9Sf zMaN86yY*KWO$oTCm5p>B)xQ)-M{giq_7fQ_zTJ9lRG#--*6*2~GX~g9_31{OJhhB* zlJ3G=d9IxSrXHWAQh@ro3Py z+>AJ|5w11Z8P^KzjGGHFUVXgG6JUH%Y(nzG`h}%n*nSR{lD%S{ZsEY#*D|S3kjbs3d@Nnde|8* z(tDKL^|TvhQNJjBzR>!Z+j3M93CbyRPBF+%IWtT$W7~otVe=O8HI-X(KRALqg=Akz z-hL&?z|L@~x~%J@!KXyyY(r1u=V4RHoR9a6pMTR@)mJf%hRt6`U*S~+_J-Rjd+c~Q z@-u0XpM0H`SYhk!-D-vdF~U+5!T!Va-Q@dO(cg@^k4M<5V&t@%ZU>JIF(gvTGkxG1 zQf50hVVPNAW=VH!0RPQuX0vT-`r#w~^vhmT(Y__IW12h$H+>8wvI#~HUOVHOubJOm z31K`S7Jo^%;KI*cM=7jJ4KK^f$h#-I8X=g+^m2<-8 zg77)30LIMVR4y+`2EE%#xQ&EP)1k|Vjf6qdA?}FH-F$tY{LFLwUu1h+?2fttUV)3J z>^Rh8210{1+8mt^&&7~V{Qh}V+I30Z@d-ZpYT9-Bem&g>3&!_Kxv7qm@EdzxG&4y| z`rUQbB9IT#hZbQU*h{DwL`(3&-`&X=&*K$}?_%sE?0t@KWiSC?zVhXGuaf`l!BawAm zK_T=sH8VJHo@dU3S-ZIwF8=~wrc);u645@OnW0%po0VE%l4g)Kl`a=X8# zejQ|W?MQO*G+CXf(_dM>9t^8(F#-4wR0CV4=d~=ApFN8?OG8orc2cX zWM&^^rrjYh)4BpOGZmQG&yM{Xxe<4Nrk@p?D7p{$c0ZvW^5$*&)g$^>rn0!7k-$xm}@ade>hYT zbK@*|!XpgXyRq12&wWN)M%61WxnW_kMSkgQVuB?MIlb|w&EMYrMp;HiQ^a_GKNs^M zo%t4&WH21kS&$K(#Z)L0pM^|Z4MTq3;BE7FI;1yl5j^84L-Cz)LkV>mO7!d+l!%rY zr1-razuVV*ecZ<9T$v<}Qp-n)m8(vdHv9_gr5{s*EJ<;36A(^W*8O3*3 zj$K(LJ96c(p2GFm1`><$SMpq8_hqv;TcYKD`O_p&o(r>8?YpTPF9>XJP{H>pzpAL| z>sYti5J*RP*yWEDbMlW>W!u*`2ffWEtR#ikmuAawWpJe|?5@7U2L$EZ?#{ar&WyV$ zQ@bbc-V~u!QE(k=EgN|nYdhG&{!Suu<%PVSgq=6mm;Xzm;bIFCgot_;Ge$X}gPJ^tf(q>8GHyZc}-F>yE7gq;fB%m(gE^07F zXhw19^Ot*$bS|JXme1B^Ms|i1;c1hq%4#}#c=CGk?ZY|4iKlUss*I{SYogZjMGx)r zYw|exiL0`g--10!ho6!isPd}7_)(Qrw=Ve0kHYHzN@RjkN~v8{%KN);O7UIe z6wzJlQ{i1}xX`Y3y})GfDgUHu=tG^gF3X6rnvy8X#yfdWBdUjWza`eQPO6?g&{<2e zl*cEs%V&JYS#`fMJ3X{|_{TtEJ>{e-m9&n+l%JoeRf9w1isGX;u8i-MP6<_6Xs)tW zrq`;GN$OZrS<3rePQD?G!NbId@mlkwg!AV4q-q%WIha(X06&|Ps`O<(=$)!g0Yg=G`4b&B;Qw+J9X1T`qpZWWSLvVNHc3P=cE5r@)&f5P zF<8)0naw7zQ;=VojU%U1kW`ty_DJVl2-uzEmw`-lwfdl?JZ5C|gJM1vX8Z?_i1)FI ziMj$4^9U-{JuTO>Mo$q{;`T+#TI=zaeOJ9+Rc;^Z;yKQqs$cb={MhgM-2(qy_p1Nw z$Gch*Nm}cwHhShoJst4ro5xN}{+)@lFMK=>*k*DIIcMU*5m3lEVKZf@W*0nDfnVK~ zDAY&SBG_+5Tc)ezq8y;)qC}4sA7>Q%sn?2><2koW3MMXVZ<_fhDLmd>?b6s*hg!JP$l4i}8`Ec+3;Ooh6Gezs+g!Bxxrscu9xll2J+#@M5kn;P=|ho`{Z=??eO8HATvEcc`hg9f(sHi% z@){Q-hFk@i!-Nz=P23?eCj=tJAyQnp*Gib0j&hlgCOQV!C552lDs(b5El26fx)i7} z@5?uNb62rn_oji~2d_&?`u%SZQv=QHgO~yka~?1me(=%UfHF&vL;@tC{uPoC`ghE0 zLW;6&f0K~n?te+foxAF&+n<)x3VQpvlJ&>4GL@04e%|Pv{1m498pv z>M98-DrcH5^N9tfBoFOh2}d(iAf_$EtcRF57C@3dcl9L#WZ0lgUK%9f3`ulD5`V>f z&}k*i@HYvPcj-fTc>1kr=7!v$Zn3bSDli_60Qmtzmy|$B35bd0&Sh0#%1s8?CerVB zP7wm```85THc?2Cevg~kC51m+NbzlVn)wT^S3|>ZZ$432=3Bq*k|KP=Oo=x%d8krn z#5u(+PDruAsMl&4JTt-=GD<@#zAq3%y~RMJ0X$?I@MXRVo8-SU6eVpy)h&7hfUN^0 z6&o<*YEJ{iEa(HUjsXzqwmeW$(;tc->b;XOL{bnpG<@k+!HYl`a5{iFxK#5hgn+vQ z5E|xwLcVVKnha3lp9(6|@tg-ZZ2nje)M=}T2~bGT zK?2C_*r6W}EcNc7v0)5VVH{M+)(|%Cps`^MG0P$58kz~g;dxpPqw#-;jo$(DCrGKi z^j6~K_QVHR_~Z)9r6BJm1COKjUFSbxgMxg&H7$qRPpB_a+Mw6UoZ8z&iFa{;&LxE+ zH0CmtDq}z?A$XE0Li<75{fRJ%nsE&hQ$dTJ0w4$ucchtrc`Lrm$4hVk%T0F5i-9!y zK^nym<$v%kxk)RzbXu+9Ka^Ig=(U>dc223OPFuF)$o10cv6^-5N?U%MIMf)n#OGix z)R(HGv_}fEOP|x0i-3p?5cvYGs7eEyF)Z^bmxEux<^}9&z%ET&<_t|^){#~kf&)0{ z^Zwwgq0c=Dezn9GAP8h*9wrWny4ec#oyBnGo;)jC;!~yqB?K-exTFwLZe^w|2X_Do zKOn*T1rRQPr~rg-r{_}IvNSm7JMO9Dl0rwJt)!Ncnm+V8l_!_Ak3KgUF2a?|>Y)5; zh@=!W5L!R@FsFBf`Us=HXe;e)$H(X~=X#m`x7qn`v-96(=fBO)f192E>5}~a(d_W{ zTXm~Zk-7d5ii!}}HT@Do=|#HuE>hHw^XBO5Tv#!pbB`=Z_D5KR4gGFxdkCRd@d8_@ zs2t}@TjRWXw!pV*I9Fa26ylQ?6muBnHPtENsc2pWu>r!5zqw)lhM_)0hoPQ9he17< zI!QU0Itq^66#Aw*{M9~|NY18{wz6!248H39<@k=oS>NvQ!Bk}$9q&CW6M2Vp5q%*r zQGbU(&OzM7cD2tU8pwYD^8HjmVgg8rTQoX*@6|z+Lu1jZ;>kPP9D(e*)m6nh)}hov zP-cGBA}H{XWL5D}#D2~*8E>_ZZ&GK^W!?+W9mOj+=xdTbjIMphMJ%CPk0EO+eWW_VsWMH^fx|CsLATeVd(QzbsMR=xuCOFAi?hhipwP^APX9IG!U} z%?S5wyKxS_A&N>sQe1NM4N>CoopDF;{Fv0=mr9~JK1z7$CHIG|!&35*BX2FdwKFk} zFZ;o?q+9cZK3a{T5^F{~wCTe66`q7!bFB+vzV`_uj<)%XX85LT4a;fAWlgGShhrOOsQH@uDAYC7CKPfnNhl%V4yd6l!^!?wJHR%yVaw_e)5 zUghlh5-ueApop6G-BaNkP$$uP30u84FM&mcC+jKbBu2u$7#W>!O3z0_f+&9NTwyb> z>>$3A{Eb#Q)BK^2=#6(`>Gww>AZqy$2%^R{v3v%H3V+f|A-Fcv z;?L}lFlMeBBGl3gL}jfo20)5({N>@oP{~Eo%VeyaY0P!Q=Q5K^*f?=svV2?mHE`S? z(TyW{*L<6TJTjsu0`y7~$uJm89-ju;NK2IY{2DVSOf~dd7&BLne_8>u78b;32NQK| z_JTgQ%c#DlD{Tmv6S5pqRajgX@pUIHYqjXV?cTPbU@Y64E@Ruj;cDz-{_}>2vpYc< zqeVZn`|!q%w&)bG(D82-yf10Qe2R^$bDW>b<8u=|$>~3v9F+C>ptNEl`jln=6-^=W zuIH?=n-uN09X2mHhcae*1oCA(Dv zF}qa^vgS+~y7GO_k*n;;mG#!w)%%xl$kx>2_3!jQ#;1*-6vtfE*qshe6g|ykQkJmn z!nh7st{APWZ6DfMqRnuwZc+4eie2BZhz!0iGwKbi55V?s zB$3c(mI&ADtU)#TlI`B;`6VS@A3(O77XAhQBHfA1`P0JIEf0D!j*CYwQ06W_I-`ru zINXCW>6*2;b%r~`pY=L1BP_@^rMR?2KRJ?>mxg-X0~xDcQV;qh5dLY1g@PV>olH^K zi(EhAzC`K`sF7iPg|2df)ESRYhv=4)qYJ?5gV->1DSFFXKcJ`z#PLXOh{Yw{;sF}f z!nzh9HMYRW$0qf_hW9W7paDdeHl?ZqWn1DPQ4CNNhyg(s!Z;w)BEuoJ10!Vl>_dpt z7zLHI}AZUh0-2>`4frO=QOv>V$&1m&T(;*zLeq_$3=Uk>n1;9x` z4Y9;!>044<*ziTE0jV##-zYsm2xzpnHJE>M+b~K*RBMrd@L#e@5BeyNuCV3sZ-yC0 z`7mfLre7Pa1#ck_dY{W?E@b5(+5?dxXi~_7UW8#&>hKENPcBmsL-~(M**3jS5GB$r zxE_=!uKzI`2Lvs+Gz6)M+W~2kUaLOus6d>=MopXeFNYu$?pan1VNro4v55x8)v3>8 z5BFx{C}&!7X?R}NKpO@S<#X8$O$tI}0w5U|{_ku=-;eu)>5vT&#L8x*Uz3*&{A5#$ zE5wc9+LR)|TaM^agx;U2mxKKw?n-DwECxhsBf7bMP%m?teqNvWoe1;+jw%N=WZF#f zMX!?|fG32_gWh6=s3F$PvR#hSgMRGsrqpPdV~_20NLuT3h;2>5FR9{qKnMVsxFCQW z7I?f~Ebs!)O;iCPy`H}!f=1oxMX1LB;+@0*HplLk;nvlX0qO`KX2Bbvuh_ttth_PD zg3S5hiC(9ahCBWGZ*1})TJo{>J&5qEeUAgjHwZ2+h+ znL|*4`TTzc6{Y|Hm5YCZ3M{bg0~svvVkI2^Cz=l{}0=jF^Szl%VETQB1a(S`?@YsWx55yhrfUY@(u2G=_fTCUl zFDelK0r&u+AoSH|Oz!kO>o4>=Te(b|K3R^3=x$2+ua3LZx7gY?#2ScK>vfipPjUUw zj82*kIWH*tCFOqroi&Hfia=+nz}c}F@J3F23tnq@2@SDkc>v4OOI)TDYe9OQJomw= zOVFuUaOxR2h4BNN`d*5wtpf_R!EcCN;&0{pVK*Lf{-UgXYE}?vb)W=V_d2R^Px?%z zLe3MRa@V19?HYHve$>Qx3~>GMh~x}D`U;&HgUi|#_E9!0JTHDWfz&OzpFQ8}!0 z{g@Xq1-Cf(c}i_VO1!gKdO5CPX|=Cax%nHu?h*L&^rN9f|nRGqzp7Y`{;l^PzeJ1O(3A(gbwIKdTh~pxN-!b zwrwAbLwrWeRtDxal0WGy3aPrs15|lZLFF_?fs?k6 z946H#K_?zD%K;iq$m~_L*#?l=%#l!Wk)XKYkU)cxR^w?%--|v-^)N(Yp~+r|oJD(L zi)SbmYB9krfNv^iHkdY~#~gk8u4uFGLS_?x+0Pj`*f%%z^;Sbzdso~>%qp~br_XX= z=Lm!tcHeMm-v@#G#|jQ0klzZCoDjM936MMAjJ!cqd4RiV)zMcMF$=~d?tqh`?*-f# zv_NRz8w%~OLZST}F!doNc@_%oL(CM23BJgn&^}<|SO6w{6A0~t3>%cmOM@hwA&G8C z;;)zw9ytgz{7r%+h*h@n*(wx5&k>-B4l@RJAy^>N3QaOWNMZ?+NPr~Nzy3R> zl=sjL*xw|s14kQfQ|1U@1g{!<^Kv6V6%beGzXy4L#4H}(sS#kVgsv(Yv90z|n|sG^ zdneBJ8s!LJZYnwmmn%5nB+K$8&wh9UD2*HeUe~bw9D<^2mH@cZO1hfc-NC ziL)QTSL`Idi8mOMm>s6LGnfiBLHp+JoI|M-RSFK~V?0gCdOiQcz=6wydL0A!*6rUn zcR~`ag3$+nrXzsC+H{aPWrDuY)A!9Ef;I9g383KLF5Sb61Wq>rFbCre!Vm)dogg%v zi-TI6KXwC7Y2BFul^MF21RMrhCQzp|w1cTZTjn6N4^^@;RE2R+C0j$-xP!)qHN-53 zm}_Vz1c&GQAhiDe}kABXeJsP0uXZ^Fd5zp(A@Y>v4KX>pCKhRJd_Gr zAP5UN;7R=mCdvkz@rx@4-qO*%M9 z+EpG->55VnA-@K7=0qze)q}Yj1=)*3m>giPhQ{i#_fU#3o1V_#lU3okXt}XSSM_@F z_gRBgw`fL!(w5J__`&(dapx4WXfXQl35-5S@agm9o(zsUr`)vxtX)9R(SiX9Mw1>Z zf7eDZVkd2;r1qjaEe9__NRfigC52}g6A)mh79Ox=iy7Fvr5kMG@*3=#!iq6no|e;G zvzD~((}VFddZshbg?)+lV6Vb%M*#`8DC9kGDST1nZ|_Au`^ues_6az?fdeML%rmt_ z*eY_{CD}V^v$PpAzju`GyTE&%8jb|kIjeT{9N*lVYRS7YT7?~%kWduFT z6}qH*;};yuX;Q;X*)6x+lG{17Uh0{{a7mq$w0na>zMGMPHq;Bv)`!^TX!bUm?FZQ7 zvF#*>-2GoTKtooIXa@u8WEBXes1svS(0Us68iVFzBPhL|+Y!2W(Hv-l*+OI%nzV#S z6Nm)OWCVKX9vA?I?v2500OLgP!G_kO1L?_$(C&q114KZx0nA}`-&v6%uRyMpoV)@7 zJ_ykyDMUVjNYG3|s-l1@@YM#D22_Xz4l7Ej_peY0`=`IR#(=)OmpP=s{*L zqs@K}i(qvXi=d#$XeY@@Y3IN;hk-9P3z&S6wgNpuyG<7svFfNmRDmGq*x-gpT!{3A zNMBb(J7^~PdX2%*J()R-CYlfjoFvp%cykSe$CBGYTW3xRUW3Wn3LrdY4igJ}2QdrK z%v6YJ4>3Oj=7UaSVFoDEjlRqRT$YyxNjU#I=8bYh^vl0VgczFNxNAr{G+&#ptq^MR z4zg=G3?fq?(i~03p}F9aP?K;#dUhH+qhnMYpaFzcAVAEk*Vy|qKW_x|6#+4UU(@eT zKuk9@^DAIVk%9&gNT@>@6(LAs36e;FB>svS7TXR6n}3&JeE=Ly-uObh_oE@GTRiaf zrris=K%^C#WP-@YXp#{kSs{`>;`crkRLSj76*57UJlqS_JRigy zftY4!CK@n;fO$<@LALEb#K!M{k@^#)t{-qfeJThGtHz{Ip9(yV75b)s!Uoi*(pE4! zEk{sA19IhC3iJafo>UfB@P_?Ote* z-WX~pNulxl3ZU^6(&)R9)M?zk{(@sUww)SZySFMPhBM+Mtjr81Aymz=EMwARtS>rS z!m*tDC9(Z{f4m%#L7_vsSFsqPtw6z6f#6X$hneN|8h5KCx4(W{oYoE+s|Z#MQ&Kgo zPL3Qr$4=vS{H8DfgGx|Dq8V(-)WH02iQsq6e&g<)Pg98Y*Now0rm&@PV{i_g;F$sH zPstL7V8lrsunG)@Xe-pQRv-qc%MtlNbqzzrNkpeHtp{xdVl2Tdn$iCDoV9-&tVOiw z1q{`2k!sXS)mMD;Ga|Q|+~RC2L~4|&y(QDl?f53CwWfSQ=|BVAJS1dcWRRUBUPA0I zj?Wjb%RxwxDd5zycXaI3QekZD%(8b(VEffEv%fE{L~|6~T-U=ls*>cR9zI%;Yd&He zSO-X2Qj=3jXow?JjAOQy?x56@Q2EWUc4O$#78nQ-&kSw%@k}YTPqDkltxdR*1=As1 z%Yx|liCn^hS^x05RU0V`ZGi$4v5J zC&4dmnbEDV+gNVY(KlduVB}-i$@AKppy(Ahpi7v5P?9DzG?M_`k;Gf`1Rcq|MbMGV zj0SVEf`!wsNz#DW3zqcrysOfegPmYMULg(`e%v5$Tvj;g_eAiBCp$fT#u>D8J+P$2 zF+I^UPi0K4dx?Se-nY34!j2f(PJW5}vDZeSvh`eg1@jvl{PeYsN{5upB(zIk2D33} z^6?1&u`dvCKGepmj?Cq^NW9z>_yPRR9f-KG>PY|Qb}?7XK* z-EUitz7Nsq1CVZ){^fD^*d8=k;5--~!t@01Bd(7=m-$^T%@P}dBQFKFnY!qM*Up~m zcXDDfUz%uor%z+^%(it zvVG~&8!HnOZPDpsnlK%Dp~7q|a`1)q)6Z@-ORTv{o^ElcNbj^W+TmAR#~$h2BvZ_a zXM$*U&2cf8m#V{#p5AF?^w!#-tc$N^l6dH1L;e0+ol&LZ=TUbF;yYHc`up%{W2r>3 z1$=*uupjI^)j7Q#p;&!791fD_P{J4frt9=PA2lf9Ki>8sV7oL-8`WtPPHM#U=i6U@Zi=p8`n%Zt@uzc?YBRfQe%AR$V%n=F_4|;& zzpeN$vM>8q2?jGc*+}oM@ekkRJIsUi@7<%?--X$!_$Ab5PO1{C>#PM@7n%o-ZYcR3 z#?fzk$+s!M&l5#)-yZPU zyot~erIAQCI_51p;IMgPQDiaoDBYy!Cwv`@W;8b~V2*mftZWaJCC)u9I3^WGQ4oIO(@$9P zg@(cC(?p=l`%u2{J;)6L;ni$TPAa#N|I<~F(g{AWb3hzH;?u`h(bqG%jtt5@FtWmy zT)*1-K6`1~&`L;D3r;|onAjTpSJ_qYkFzpRb415xoN&DlluoL8P0b=;IZ6c|>@&j9^XWmCdrs=aWg8IE}=VULNU;($NNn}TWq;Np zHOvX!20Ls$B>N`f)1dGzPR=eiuxZ5yqQ4Q(G>xntlSU>cntlR%a41A1CO*gmgl>3Z zVp}#uSeI6++LVIX{cz35zQKCuM%KhpvJP`AJ^p+0>uY7&a05z*vIFU!>V!BBjF3!Y6#AC#?f!AXmW_8k zj%7IkgcG4O2c0gXQ)ZwK@FA^#e0HIWLEpkd=oS(q@WeRCD7>|}c=k(mO;?QSI>DgX ztG5myLRo&4tZ_c#&J+8+djTy?F%|C}u*e&W(478t%7=fhC@BmEjz9ZQh>CboK!Hz&~@ zoh6;yDm4Xlk1`$85kEm!lX#E%hH~#77$ytz0$(knuzv7~#j@;mIbp9&6ntp?Atf5v z?PZ(}K5qUHj(&-jav71jR(}Q-XK_-4#aY&1aEt^Zy%q{Wpv75Lj-Fcoa(qY6dMzXc z9cbOE?JIK21z^aGSCdmT@Eyi_3Hl28r_4-A%C~zrRP7G@E_Xi^5FNo zDtsh0toiD4@Yr@+Bly$;-ZkVl(Djn*^)A~b0h3;d_rP!p}1?j_D~Hr6KqC4-T& zFfSqWTEJ-^<8;Oz;=Sj+n(eLu4sTSiu6xTRuq>P9*Me{1M%a@p_x2d2BXW6F(hwGR#HH5;ZjJ%SZNSqJNqRtYxeb7| zJ13ATUJCOH8dd6b5iABZ(|!Oz0zS5g_riVh1;9E#;e>?sk(v*>ce%_fj@v}N6#K)x zD6+S>fZ+ws#_0r^<@M0KX=o{5$?r?~1Zx53T>K|k-qj(Uub;&TjW$}Un}ljz=Rg?75{|R@;!mb zWoRv52cJ_F7)fI)z9%C3;UA9QXON75fimObd(aFLXoX)gw8HNjw8HQI%2;n&fU~~? z`rn}GZb2L0m}*QP^GZ9R?oXArE7HFm?uN)oBHwz>NBI z5!4TuJ`HMC(3cWmh40!qMV7bG~`0ZIHTn?%`SDeK3v97A$cy18d&Q zZsVzpMFPgH&%cqSWqGW1e*M;f0*TK@kcils{#@}Z(c7hN+NyMqp7qcXk=;5gdXse& zJo@$9nUT%U6=a^CXLLGV!q1g16|X-NZl8p_dG4oYv0(i87h<~HW&a1S#giOd9m=1( z$ypj)8A2(GfS}=`6Q=yWo1eABnNfeUe<)u0=RMjy78C|)8c5kW9NnJC6Kh`R4Adl` z$SSRuP?&w%Cjn*LuKeW#Bb`#3jJRNH4s>Sax|pW2f5|{v{2V*3@+g(Ix^3lmu-|84 z`#Hk4_gxcnL#bs>S0X#yqP!*CJ^2c&)vL#GaW4=LST#kBlo^tyJloRSgvrv%Se_`? zKPEbOA9!0^+52JKf$6wndAdTw0f6iB34^hO(q}29lYnf?WGKtj5~RM z##pCJDISN^J*jOPVxIDxPOm0St3LV|X0}VqS2lD=D+&^&jBzU;3x$o!CSDd-hTC~^ zTarNqxL>E@x7lc}V3*jhhxssyI5|9ED&|a>ot!M$JACLqJNwdFQ-{r=ia)J72|o7S z%7-X^*~!Op%?liX;0Oc9D{v%(vc3wGJ`22@SG;&Fg zb)c^2@|zZJxX8n;%s{1O!Gjc&_3fy&?Wpx_htc`Dg4Pzj(12V5ls#rMX;3-7YB@eb zIsR@L{^v6MxUyevWBxYP?!jGlGVf|4yP`+^OPq&6LWdXTR>I;(mfOuYUE)x6~^ zzA|vqg8ORe&>KEdOspinO3E^$wCNQrbZmm7bi|+ygP%=23p3+|pVd7J(*Qpw&py5L z@^mjw+aTe0~)t-GlL7q|J9l%gaQqa@ZT8=U$jzeQ18-k5IxHqE7 zwHxj^JSKBlQL4ZdY!k_r+Z6R7^pKzRI@E98<*GQk(a^K;t8G)*N208O@=&va@cJ2h z>jh=SfE>F~0B^$poUU>-^uvLXja!LlSe5wa(U}b{kBWxrzD;L=NNt@}bOzreIc9CM zB8I4;VP)zrs{(B~BE4?Cy zxFL6DD;+Q=k()wi&#Qo-)loxk)Rw+yOl88R$K8gxrVan2^0S$q-!rzpxxHZ3GfBf7 zt9y&uhJUVBQ|>rYsqE~H@nVAjYU~mF%+@T>H-+4^J8G!;J>%l5`+>Y@=2eU?dB6N9-^ zM*n=r&z(iwV<&8`_=(!e^`AU^bP^8w(K)aEFugO9oU^N%Uryzu~IEJT*AnI@l0Or)79Tz$1GT3iC@oDSO~`R<-VU> zJA^Nak=eVGJoBrc=YoFJJFkk?yeL#>{l=*G`>Lxth+Bf z`#`s0Dd)GdNm8|Eew8WTImz z?7dS7BYhML#|p}RC2AFAzeYKPn$a0UTx^L8N390@x(#ITP9*{*Q7qgm0l!#=P;EgM zETsDqWkrLi^`?5)rh)9BD|!VV^)KbgV|Kdc>#MDyh`rCm-mYlI4wPJOHn>#oe~_Yz z+WPg&!{J-Wt+xi4zAcwck!$?f7ZPPPbHow;nAk|R?`Jn}t!tJD4IH3c2T-d7p|39- zu#kkd1E}B&S)1H7l=;n_x#8yl>=p-zV+YL}DCHDeg>wlnqP76s)%tgrwqJLB!F!gg z#D?{8h}rzNG!Yoa_f=xv-_l{)-M__ByuEY_Iq+L7_=kwMvK^8y-BM$~x<8b4k$tTo zfHi8(04L`($x7?}#gw83iib1gG>L*^xTP2V_QEWvMj07^>|n`0ZQ04Z$Z|&d#XJ5= zEDSiT;#|w{vx_*jFkml4&24F*oI6rZ$wW1QOV@x)kt`f}kW2F+m#U#%dJ4HTAGj3A z;H?3dB3ZcefJ@bYOHWxyhk;8~Q2_&ma;Qud;8P8>PvtN>)qzh7P!b!zjtKw3SF9tb z$4$s|^*_Iz74t6~2B)?Z!~g75is6=fR}W&AGcT?lNaUd;kz0ZXsNSwG!>9^oDmBzk zMdyRN^=>i;s11nc!hG`pH64}@_zFmg0OK_jW_&jA#N=AyUu3?1P!fcJr26wm0OH z*{Q9*e|8?H_gRz7KO*w9#mu3lhU4_+?ft@MTVUs2gC=to)Fkz=L`v`}-7pIBV9Vk# z3YP`=?Jhtdvc)s5FyLDedjTp*;a<*#fpC5vDhW8Q#TPj4Cb1f-B#k5c!t7z*MK)bQ zK(YbAn_tgxi$WK}!Ce^@q`Z|nN|k%0MWOXDKOi{~I1Z0=&A_}!1;z7eD)*wryY0O? zN*17nZA>Tm`A|&OtZU)01bD{(@L|FTs>pk!Wx6cq0<$0Zt?^VD0q}*p)7_`iXQ|Va01^+l&hh#f;Er=?5juSOqjE_Z(dxe5x@H-M|rC3!(Rzt z_ZG9C-}Q6j3nj$Z05&dVJ%Al$iq^nDThI$;Qoc&}IGdF#Som)~X1*mR=c_!7x3V;r zlqSZdOc`IcUZM0I685bS_T77RMt-hca{s(S>J|>sm3Pp?d!OVM@k{T%K(6bu!S@wB z$geD1V!fU!t#Ip}i0w{*Awc%o0F9M;~XkM`09ojnB$1kc<3eH#bLUptdo2^^fU)q zS>-plMe31aI_MBXed1oU_Sqrk%leb&B66p0$}Iun4N9J;$gs@DS^}Ju zXM-&#m`h0)*a#{z?7c5CpBtTCl^W_^1KGA9CrJ)+=;!U5A75m^P}r-FhPt=8$;l>_ z&2_Kie!(m=)V)3x_(cX^{Fz^f{rm}baEariW1OSM<{#&B9<~e(Y~~IPAb1A^Ei%pd zhVjD8`a)Y$-#8TAE!C*F=`1Vdcg^iK<<_H(m@~(@iR{t(UU*W4Tg$}F(fUF7YJE$F z-#56X_PTX-;t@Yr+32z$^{RjHm{X@WO_UeS5iCA9F;rCj{ZIToVYu%%#GVM;w+A79 z_j^No+7=Au^i@4Ty(os%;`KV^Z(q4RNFPPqWy-?ey)$k8ifV9y+QsPsJk5nRsdwo$ zpD<(0mzlO(R)*1h@lsf529nT;yqOE}uG$dN)?3 z8*;j7R93ytC!&i!X;Z*hY9iJ#3z%ygot={?(>Nqlc)G2T{xV$7Cp)la@JG)EPn2iR9w9lrcgZF@2Qc!%%&`g(TY z*5F4ME5lkoM;%HnPJG`)EM|F(P_v6g-SfPOJ8!xMDcIg%4r#N$ksPuqZ(M1P|7)EMDRUw`w` zj%aakahJJA59~uG>z`!*gM;ej2`5KG7e142PDRB?m7HczDu+kW*rZmV$1k~@uFGv_ zmiLP<#mflm&zhbT)_3vTHT0v@jHlpe3;HAl1lzEJ&A7ExUAVOdrj>$abb>$ekaJk#WAzx8a&T)M z3%;Vg^<%Yf`le7<)Mj|ve)aQ)L1Rrp8qx{eSt@QTr;8~DkL-44rz8QZvLxN<1kxL> ze%&AH*iS2)Qd*to-**^UNgcnJ@zzUAAYFC0SBl*~Q*zW27lef_NfWzhiHib)F}}!E z%aoGBfMVmO)vuZ+=aw^NIiIDMU-hjBiF~rLj;KiZkB@~LQB!23CnAc?e)A=YtE&!R zLgVPlcXOeGv$3W%%@=UBmY|<&0-%q_+*>&J9P?nR@3F~Rl9oyf=*KaU4wtyc70zA3 zI!OJP=@0rTC5Q3(2B3pNgu9kBp6Oo$M^*=)DteF)Z_(%Yr%EE<@#oE9JU~`ojXhmr z#GoTO){0HP66;k`Fl(OwizMQ;eZ_Ebc%HqCxtANes#{>=$SBcTwKf~?!2PfH2Fm+L zv9*(T>@gnJu$rtQ$zm>2?FbR-#*Nk8q>TH~QEMf&;RI^Ckr`nx8)P zJ`PqMTbn)U&p7m1w&daq?V6g`w`rTQXR_|#cZ$o$_YA1L{B63TrqbujAf*oJRiiVD zQ@QvaTQ4A{55x*fq^~CsXs2wiSe0z8zV^0?>YHYDTE@%YCjl4R@&mEigTZ=&Ej`z3 z?+5ASiD|_!BNoNoBC^W#NcM2M^++x+-;@2^*!POt!R0o`I5o&#Ei-hvj*I>Q!0Q9A zE|=Tfna}ju6WsZNiA!JQY~xw>9B5;8BJvP zaVI9Ti4URA)5wu`u}X=Rva_v$tSKAs;5eAIlL+Qq1pq}WJaQfDkL z+fnwSjxJ1vozU{T!Pfo}9_66SMB9uId3$5x$NzZYgD_{%o+T2 z6Dun-LFBWfNdJ=BD_Zp#*0Tr+D@})lVfHhwtO9A`ai-SKkP1*WhMr#j~uU zs)rskgyKDULz0cSe$(Om7O7m>yVjO<`+kDJmFsufujG4T92F(qYiajuNKJfm&&!ck z((`&VchNfT z`Kaqej2oV+r83H4;Pu4 zk5!%SqyJD_l|a%T)cLF$t6l8Qrp;5Qy}P2QyuB?!l&m zs9C>nUHF`_f!~4Q13%BnBc5h)*`HT$hs){8I0U$t4^uXav%sbFj1SM)>m&s7&7SC- zBt8ChS3$wrfCrZEx7zmp&@7(w)g%vVAx+Xa$LNHrZOy|B&aYWNFx1RY6Y?zC-Qo*| zPbW||Z}(FqmXRfQF5%~Yka)Ptl%%14h|<5)v|NLloB1_CAFCU}&)oF9ByR&%QCi77 zwuj1HPhuc^c}OKsZ0wbQBs_5H6*&$q4t9Rzr2osB=2i!N=PuhB-TLB6YD@sfGR7k_ zap2|+RKs==m+*NDr1N@7Ic7d!+ifT?^@3Dy(>6?L%RNG4~35wvySkjCb zmrX~cOT+zgaJQ17$^4A@+TmfNQEm#!8^Bn>4UCyt~~XP9v8Ac+Be33;J98aCrX0q1@fl0z+`#3D z8oxDE4bQNcL^RO_~PyoToT;ff(Bchpdr{D@}B=W_jk|7`(ftkn(k?so~oy-x@KpZ z@)WmnHZHXneBY3#kDQttN@VbgWPB|hl2T@?9%|4^HX93ycHDAjCsscKKd4uf4%PI# zQOB_uq2KJ#bzd5>?sG<)8{t(fncdRcdsN>~&j*%vKJI*ft7+sND|lOyFLdR494zHa zzXbfec-AdBx%v=x^q$5?vK#Bt%hXb~V4J;RyW`rz^lkTy( zp4Gd*8*bO8o4!ixP(O;o@7g6sWbt=cS0P7)Qd=4eNZg%sc9*UP=SAh0Hna8z-eMic zw~yC{OvzVOsxXR(Q-g#vij#eo;|Gh*n>T;GiCGQ}awmmw*4t=wPn+evyIM{kMjzKg zhrP6!F0p!Vs^sQ{s=$+7-H*$`vI!>+a-IaoL>>gk^WFq@`)V!4ViS@m-|-d#8E8Zh((LzRY_x-pYyu;Id1C$ug3qhsd0)A_Vv6m=oP+`2qgmX$y35Kc8Z zPS8UQ{Q?2{hst^57ZD|@cr9eNyI4;L^r8(Z!c(Lc!e)5pTPj z`-B>82J{_sClp<_w$h=SezQ8m&UV)G`0QF9-n4Piz2L;uLdcXT_4YAB=qO=n480C1 z_A%+m{jP*(eL=8SlN|9yUMi=(xp0L)n}k+HbnJeuPHQd9bwr;O+y3xff4gih%gD_F zLc&iHWG}KG;`XK_B(@mR&SbQKJ^gaVHLvji@iOTrNfD>*Wdkrl%%ZT_4xj& zK}m&ssKlwb&uNrd$5t7_YCnmxmk^I#^e#@*>tzJ6_3K3vTM8CFnfk>ae@+C}y*Z{k zqTloEen6d&Yj4+i;u#M;^N3tTGOVp$K{_pZ?0ZiUhmIi0@wLL%#K-$PIr1k?nf~@$ zPScSA1(iDtvkmT7r0Z#46MnBol9H}x0ELGkyi$y*-xenK4kir!aSRqFnc!7Ou~)*nH=MGaIz;J`vnDtCo#-;Ftcjg*r%vcfP~7H6{|mdIV$+~ zrkfB&H0Q%ifeTmcF?sZF$S>pB4ZpZtWPm04Gt1UHu1hGh*0z`5b6hUB2ivYYe>rsN zF!s!Pgf(dwqifCW%Ws2M#j9s9FTtzb&>6xpS1iVvNZQlWI2}0kRT=9$JQUz zg4l9HOXMbr^-G)oZGvCv7^yI)>t7&}q>gogtK^k;hP9j@Z@1QkAJc63D9`MJy9tyU z61!*T8Mg1GkJ#$>T6O7|uSBIMpWtRNQ64f1ugvPj75Ex!#8^)j;=G2C&mZmL-0tP{ zEoJS@r`7b+oMz|wPzQ_x9DFQe?vhv<|Hmsy)y#}I46|N5KbQ{LI{^@vj@!r4{u*qlw1@jh`lG>wj4Goe7=py1lp z=E{IKZo-}GeRc7xmYU8#$6=kbP(jg8K9hGQ#vt~ykZh}LZ0VB633Yxh24atvSfBD@ z-8((eu(MvEGoS07@54v#(d**%bJEtSBX<+Ypf}1Gmur!M zJwj?cH_CVR@i#O{qO;Kdz`Xe;ke3%reTR=Tt# zOGd9%nHDLqY%3pVmrrWOz}^b~V`#gxt2d@Q5_i#+$KC3QDtDe0Wm*UASjhP@VEVzueZs?NayRWBeiCfV3MGu zM-ntjRgZbI-xi6oTd`z6HJp}to*p~G6|Mb92XIB|2cRDrxI_FaTA1VN=eH`a5N)PR zy^YTod#`J^`-6*9Rs?*lgBVyy6Zk6^Ar`=w%^NTKbu~s@gz3`kexX)wG>PCAR$8<= zine7jDSnb8yj(0W(Q_Pil54z#S$0mj=A#T`hL2)9lb`o^cy^#zyx3C06U5hk&E*%Rrj1*mX{sr#xo;zHAaP|5FE{n52!OIiu_>Q2- z2Q@lpe}}oilgFc1D>*LVk}D5Z$Tujd$0|(wFC6`smE8Q(f$jf7J174?I6qJ_FE8QC zX_v)_~+Zwb&Qc@>s%VJ8O9tO<|uV%g;U+k+r9>-0~uHIOE5Uzkd z0AG*Scif&ge`l@iV`26fhhdrUM0+3gIPs%+_et2q`f-95C}fHlY&`MoK4iY(J>65& zxbUMC0Sd2Ye7(fTmG_?<9PZ8o1RVZ~bAQ7ye(fZUAote+S+(B&qWJZetf<@6p9E|* z9>49Zg5R|E5hP-n1K;mGk6d&w_8e!v-%rg)>9X%TcxUDaJx(_`_{G99bM_&>?g6?+ zFMOu!VB+6Llxb^Ui9y@Ij?EL7 zig2Dv3x7Mlq6sPU!j<1P3<+HO%r)tai;T=EF}3np&iIT5AdUz`WKMXI9m9~^p3hu7 z9=KtEm}PD#1s_bD(7ho|cb0xh)2h;a@mC2YmwN`1YYct?%&kf&(%ds}+R1^bdp9>6 zn)7WEx$e}R?$UK@j&p&ZJQYz!Xjebf2xGk-$rm(ZkFyBZryRrjCY-L+B)kl4={Ae! zI3lNPas6(-zHSYR=kdfcBa()-OvmRfY7N2!N3O!@NEtnOGoWcN`eI3avL*c82TWh9 z2L4wbWJ-OD9{01+F^q~k~r0qt=rLahG#86mx;?~7q)FXLESU|+4=-VXs&z?N{d4Fw&g zOfi;W{ON~f*&4~JE(<)?<%{#kWa4WNbYH-J)>L5BLPM@(QL`NEwbNP`dF|;3@9L{w zwXAh1Q6$}oD-d?qI{i#rLkq^pp8I?Oc~|TsO3+dxraWy>!wv!++jveK(2Gcq%d%^- zl>ejYz2 zfU!ASK;6eNFvipJTAWk5H!|tD1U{l+jFWl|X933MY+>mzj)6H&3LeP>%yADeM?r-R z-u=wEjSOw$SGr?CvcGl%n)0i77uA3jk7kOwPk|J3Np70;&PPnVxwEm>EN;MCZ3?X= zu9nr7FOQd7FiTpuUq|n&8|_?-?nk8%=ygPr*_Cn@R*LFd z`~Cc=bP?|1S(k&qr!R9Bp(P%a?u#^;2}$%1gC_))C&yO z6*oG)o>3I1@;*kMNeTZQ%86n&WYRzl8ETja0uI*jrTy>1`hUZ!7HSzVf6 zc}Sn{O}BjJiiDiA5!lmj$$P+!y7zNYQ7V58^24uEiYIFR z4}?@^wZN z_1D8}r~Va%AVEido3g@`_h=8Bmr+|3G<}4jMU=VpD;MJyQnJLz=e31O?v(!Ctadhh z_vfM4Gra8d`+wh=yc^8_*Ud{I?y$E#%yaj1&MmW9mER6p5a;y3ybN0CruHBlL$Zet z-cT~eX-C5dA{N;RT9~Bvz{LCqQ@RWu_#}w`8f;K|p!8&<2X9C_A0k#aA@>NO8a5#J zv?XoWebYWW#|A%zT-ftH$z4=C{e2_6pl7PlR-Klw<`6FJLWn4Lp{~b+ia9PzhUmOl|f|F*rJ%on#jiLs&A?nv*1}- zG#<^F&c0>PbfU?>=*Xk$?>vsu<@KeUO)(RTCnAX#} zn!bU_G+;@rdR#5KYzY+=krnQ{Y?0qiHy2Tm&BUB$o@jsl>ZEo#cJ8F6Pxcnq{r3m! zUUwxW+D`9QF4}fEkRjI<=)=q28%1pev=P-j(InifgC7iWsP?fON!a4VScde3?e$2T z)tP)_h?`T4r;bDGjlohlZojtKkB_`~b9smvCB~8`DAhy>96~fPA_ESnh5X8cV-?X0 zDO@!1-o->Ro7?6#kS*$81RmD~q9tQS$GZ&$;!f8(8He20+J?9o3rov`qG1AJdEa(u z4~pbVY^6f(HF2jq1!yGv%23mgkBj6<^#_iTudjWKS2fX+KlKOVo@+=CVn@fn>jip> z63}}2L8|AahpdoL1)o+-j6{{(%^nl#tqLAU2via5^2gCD>GfwQ*{IM(kAqih&miHF zx3pZ_rZMU*GpImvH;E}k?dU4sLt7|guE@-fI9L6K92ev)50-1&ivYU82HnNYLuAo= zOVuqsQMOj?R(mYjPS~}R9POo@iGPTnnvlQ@Fc5-2=USea&~Y^biw7LQ;=$*@Y$xI$ zlN%-^uij%w0^&F~F|g{;Cui*N8c(2^ME}^N0+U0g^#|zGqyeSibFIgfzJ3|WT$`MA zhro5kYhuZc&pmyMuQ_Due;7ntcpF6X#d%LqeOeRR$vOGGd{=buEn?{XG>b*&jTkqW z!!O3gYr2&{`-YE$Ejp&&Q|7V#wugB%#`7XGfj!pWQvQo1O2NnN?u`v|6Q|LP;y7cM z(G05Wg z;KvYe4|n3nNYEe4PRR|9=tvmy;GHv|=0*wSN2B$9GCmzbnb*aVD9ms)4rx!P-@f7n zRac{VjzB=sOqR1ZO|CKEuFtA-T5j}CzShu%Vq`C0Yvak{sNKIjfsh;qF4znrvTsy2 zP-!_?9ESW4U~DtRz>{bH8aPZA>sP9TYh6Qfu*#3FwA}3I$H1@|NyVHdA&s1KY_Grg zqE8(n+>R_k(Z4hiN&8AmxT0nzlpZ3N&WXSJJ7*w4j{C7rm!Cr2666eB#*iR1?h%Wf>)Ddcg zTxjra{&YP@d$hiEFQ0&={A+w_RYsB$s6RWsq_{h=qwt9ZEX3FTa(^_y~u z;sM_I;c|!%DCE8s=EmjfYf_HsdIU}TH zf=V^2{n!SaJ}Zb0+Fl1s5WTguJu~yc_)xyiaa@;Z|3}r({KgF}S!Rd@?e^Q}_znYu zWHY`^_G#c`T&i(X+*N%#%~wPyDuW-jQE!c+L+-25B+>(o*@9FbGfWlMz{fVX?R~P# zt*LW5YZ6sV7erCJekp-#6Wo(`y2!|43R5c+^2TTNcqI1~h{$5-B0GL5xjhryJb!e< z05Qwl?;U(_PIC9gM|y{|`ljWHgL9%EX@A1QavF!z{$#{=mk6ly2A5leu-kaC3*Z~+ z4@8ec(45&ef}7O751|2DS5^i!w}B0Z6ZeQ z*mYH{xi}rxuecGaY6I|&X^+QoP;DQC?l_?j^6GO?yZ2E(^$F@jKOQLY!I(zsWLRp@ zyFUfhbH>Aw#%ya{$9;(hJ1kSI$vC0_LW1FWu^0qORq+l2on$^(*mU+()t(I!YM{rx z7i@GHA}-!NG~jI{ADYupNcFL@7EI(+xv{$8B@_0}xmfOdYk4)blK&*T6<0(}A*Scc z^Jw{U`p*~a9I;@kdYrC)Ws87KytGtN#2#&liM6`ihNKk?uUKL*t|J1f1)A;YdlEVnE3S|1wikz2z1^1z}y58Q4 z8=UcgVgX9*_#Fx0J`$%>@Ilz+c@Au6=eOKkwr=WQLX|Lew%E(~xWAghr z#nG@{zxq<6hHw+?WBcX10e7YP4*G!*1QP7d3qH|=YIk=baLDj{8(Qgk!6@Cf%3JD! z&p(sWLfEn=A!RD1p@elu%63Nsx3&JJ^Qd#@zNy zKCo;^lQWDmqZFsQpG}Sddg{bIpGO8|WcL?IrO8ik&D=7zGUKvj;7Rz&quy%bf)oe| zHS&lxSF$IzgZGQ1N@m}nNDh9qK)vn3IS<}UV$!I!7X3M2ncnY2=_H+Yp~~~k2IJB# zCUC9lHP<3<)UKNne6YcnZsP?Y+K>16}|l3g^I5mmSMgMmK2He5lvNUHrug{ zz6y3b?=f$iBwK0E$J||Hx9`qiv4if+!$!N8-vrj1W`AX%q~*q+4w;5YbiPzO!u2#s z?|&o7I$$+;qsk==`ey1O(U~8xiFTWU9>%zR_%iCO7g-(uiF-@~pZjGIgBgLM(%`~- zE?$sPT=#4UhMWfeR%5mDetPu6qibs&=efOxf~Zdn(NO#Cm6cyVj6YF~+wg98_Wc)o zC3f`R$NQq}i57EqDw#!Nq8gIc7Jnfx&5t0%ACDlrwG;SDDtD%z`Na+X)LQL(uUHCb z(oihps>r{m&NcSB~ypt|C6NG7ud!6#_8^e8fe z=w=dYuV}VWWaLm}e9Kg&bNq5E4`*%-Lds;&k_H<~0}V4?!2p0{u%z1nbC_LvH&h9q zq=DIMxUn=BlJV+Q!!zX7D`qfoS^n1mlJTjLk79h5q+y={8Knx&Zn>gDiJt%ukU>RC z)wkw%Lh}vGPtanq%|G*z1lKv~To2>3A*DVlaH+?~8Ej6D%WRE?T~z2-?{kSG3AABd zLI%_#jg1L}e?M9INyprF><7I7p8Luy{rynDc4c&jlfvf7>$qflp7u)J{M&NpF!$Yf zN>Zxf>oK~W1jbXrU&e;=U33cyS@HNRR^$it5{8_(=Mu5c6B*0M)bQfDCx49sFennr zCANU4=*0@++(x|xJin1Cz}p+R#WhHYE6HHVoi+gcL{V4qK~8tPx}9;XGR0)>>Ush#8Nujv(CBtf~rYimj*to;Q5Si_{| zH5N1QO)Q~_eoIz`BPSNPEDXW&0OkI3!+5l4evR)gxLU*H^ z;CHA{Zns^rG}T>`_$z%AG1pY~+I9cbEYY(7gQiixWe$A{{|$~el9UZ2DN9uCHaVZ< z>dWxIlP({byWMU$q!~o*aJMPK0iU%yL72f8{ChYvjlcAUtv>bax2uO(%2M@svM=MS zPhc zA9n!S*mK7%YaOkUs#3wc{5vaNt%8a1S99w9|9E(Sb*M~f0F{`3XD6Z0K#*nre_TMU zzb@rh`!%DYu$d2OzB(4)=AkOsHRjhN`uN3p-RA8?k z`P?$9zyNuYPjhVGlHoa-bi)xA2EmWplzWR-0dk zAJ+l9Q(z~1^t?L(cJ=PSv$V?GlKnPu%Z)1h1-f%+n;3T2+-*J%&7&NBPH6^30V7B1 zH-Y<+!nc13Rkr(oaC@Txi|99|X%B}jb*EDKs&8RxK%A1E{;b9AXc8qRf=n8^7Edyw zT-FD-V;V#^wkU;8A^s0sVvz2 z=GUo@>Kx2BneBUn!JcUk`YnbBA(^Ua|EcgZBP(r%u;@4ZGgKie9megDVPu&>P6fR4 zk;IVuZd6ZDu_~abLbnmErFbk~Ql3Nmb3nNY>2srYwTb`>Ui~ksS0V(7X#s;F1mf&R zyES(U1$1?NM7yaCIE@S!lu_0%1L=;W(9$Tgw33IBMZju)FnbazqE&NyVdWOwt#Uk0SCtZ zQ(q{+#29=)78FSO|3}V%o+5h<+X1orKlKH88W}wDzsMO-@C{>A+s2sJZ1vaxOOIA9 z0u-`HVp({1EJc=I$yT2u#LX!APmO)el*1~1a00rw!XYI1`1J8qDcC}=>axA!#JlU{ zk?WNyK@$PwE{FGnJ}%{^VZb)m_iRuY6!zQl=quXWH`=&~%*@|oYkt%Zo?{P*Nd>XK zIAL`Qc+nh0-F%AZ9wgKpa2FN|6z)2k$A@k*!HlfsLf#$giCytGV+UZy`UARmpIoIQkSYp_POtpX$>s8OzcP6ma11~ z%&*I@UD6&7M1W%!DnN@>4HBoU?)Yo36ZQho2VJ}%(&bBTp;ZALi{c)Ww5*~RBS$2*;57Q0x}an6q;zm3G$Usa85d@jB|>+21kzQ(WDX{8W~?85ESaKq zf>!m8N&LE?eJz&~DqhEim{|CR%^a9fM25X*ui0jk4J`IzXLHIdA4;R){^BTNxU0myH~Q~5_TH|B4OQjAhe#yX2y*Uvzo)M*y;!4&j%*7uS2AgqG&}ElhaZuziYlNNsQL8&&Hvk_b zZjhkTeO95~FGNol_OgYHG;tD#kIwQ7gDA}1TS^qp2be%hBS?{Z-{bH^gy|0rXhb!N z*nUOk7qI2ENzEqHVGkM7$ThLSCnCL#zjSg{|LY%H`5qjrWoYj>#57SCG_OC2`{0HC zP9wW8Z%3C!4}dM*iXveJseyVamw#YVko2+iz$2wB_8Hz`q@1zgQcU1Y{bs{uoml3Z zI5=RhDiJ=^IjiU*LcQXjCSiC_R? z>*jRr4|}DGm;3UNY*LjyR*8~f1VYfIrzYT@6cBk(`&*5`_tSRfK{xF%x|szt@`*{fW6Jrx%@=o8MV(mCzsI>V)>ua<0t$N+1sW z+c-vi%Dmt`ls%uY(q24A&q=tv;1$pj+RVvx(8i5b8UN>lVVe^kRJ2>FUm zCw=&+X2biG*yenuS^7xR-gvf%05d9bxS=3aIbrWZTtYx=aCYD33kzEYYiKv&gbArT zK=Y7LSo8ouK&dF&5SK#1l5+V6CItlKB?sMsWmwMu!AJ=}z@-qtnF>U}WffTD6M((^ zz#>qxL5+m60v_Gyp6e;tpdLj+VND*k7>e8}Fd&X_PmU_h3l78L@mVfZaK%8g%tLSFfj)?I@S~tO*d#|$Y~63k4&8^t z?j;)@K4?v>XCKdZTrLRtP5(ITdfzVkRL**>TT~U!U_oC`)zJR&4Mz^Lje+Rr`R)3e z=Ec1;z5AIbZIj)+5qmtsupbb4fA>v`9<%cu`c5yu4E?C-+Q1My%p4T{X*G`bS zQ1SR9acXAAqk;x3JJ!@#(_~_J5u2oso)GjvScD%4r<{30W9nR(kR5>E!hpdu=52Y> zfd9d3_2COmS78;cfoI@ER`AGw^E6~f{4Q$)Qr+>ab$#ba z`3;M0!p0VaLwNIIUrh-oeoe_#+KWGya9`~T`$;BZ2hxcHBlO-c*EZyur-AOp(XQL< z3ga{Aqh5ZmC4x8LotL0y!Il8wAVEMlbBI&eGajv?kLV+W@EuN^?1*__Dgt-|k$DNI zO@9kxZ@cxWEl}g7f*xpCUmPk9%y9yLz=6TXJ8Lr%Q2rw;&?MOn(Roh|4Owsdr&gSZ zPohSsQuQ0?ftJXss8SbBmrR@fzn{#RHW7d;FzV#utX4z4Jo?XGdij5(NY*uxCrXRQ zSFndSD8TwaZ$iZ<-pZ~(I1dtgUz^Ce2@%pMXj1DMqA?d@Ne5p_g-#?fBYK@MVPh|E z%qFuV{)z?6!m=kYiio@f)(uzA{GUnuvy5O8W+ap{umiLV;Tdp(>HoJu^}fVv!o;!$ zsUo5L*a*-MCncYifG(D8Y{&%!|4>Jj$|jx-$mA-4OTG_y^WnY4K=n+Eh9^ByYZrF_1azu-{*s$9*v(C|^4Ifo;zcOCZ_mc^k(|-h2m_Y~?0p zp4WC9OnRTxZI5!V6K{l4c}G6x8H>(*|Au-#5E>FZER2zCm0TRt;1fJPutQcG)r*&Y zjp(iS12RCW82p7qbEa1vr)t%5?35vjf2|eeJ-$i|Jl1e87nxbmub7X~4IaVPn#~@x z7N(@iNAJsm^0V4RHZt8#uDhL=TYe%;S~1#9XgP+!rC)I53Lb}?Snc$CUpF{yU3cI? zCHF4_u@cEbz=I@Od`geBgPH zomKLX1Qof7nj*s+C}u}CRZhxcuKH#eJ_&MT*gJ2i+0&#=A(>KFTsdI{>?EnKX5Xb zs+X@(w9@JAK=fKy@o2;~nx}=-aN;No!FxDbw7GZ`Ciz@w*Y1rzS=6w&Ob{`bp;`qB zwHDN9E3!jX&xoA;|Ra zTS(qV#^7z8ogEM-If)sde!4PSDCESA)*Dw#8|KomisjJ|?A#&G0*c=KOR2%Jofjmz3PVWjt1>U$S}2#)!n+7+kMEWbdx+S+YgoH zoHB2{T*w|79h2P?N`Gu#!*FHCFot?c#ps{t7lcIG+srwH+0)q{R04eZZ(89b&(6ZP`#ts>(RH=djjKCBfN+(fA%Jn(O3TH9r8r&FzGmZzVieriLmS2`9}`%xHTjY z1sB%hTVIR;mOVjEvK>$5XQDVXZKgFBWYJ5>&jSFQ^22)ANy>#yR%+lG7-i&jW6NT9 zN)B|M0T}ra+^Je}T#6Cg+PchPrvhDFW!sa)9f$H~$pqL-es}t=`$6!$K9BdWDT=e+ zjw>U@WC9fuuC>Qk$#D4HNl#UL=XC*Jmytu2BGvHmom?!6;7S1(_@~7b{!Gng$(&w3 z@+h?Ki#bQEGag)CHU!VkMF{1~sDW>)GK*1?zkj0=M`3SVG}e9^@SJ%YDw>oWE;`#n zaJf0ZJi4bqanVw4D(db&x|iKI%2+Y(ZK=+$2a)8@4i|B`^H`Kj#jXjrc!2d}m!AxE zQ(jc0v|h<yHlrIA(=^56;CSyZP0pkOiPl}4fgYXYYI3^=rf zCE{A)FEw5Nfk_P_VFXMyFanD(LPxEqNe!|puc6lCD29 zgL@dc6(xE@ibwSTQgh?T*qar-pV$7{-dezA zNRQPX+rx*TsGtn{7@x%-ZGe`7h~$Je@yW~EW4GoI+#!ID4T;}NK?l~5;*V%`|Eu?; zz#U*g;jPxSGs5y^YgvwWb7~ZPCz$DZ%!K_h=wu|9_V1mOjuKo<6wzdfB>-5HHRG7UxvU_2L1=Hb>J-AMU z+iHNBl1M=ol;YX9FcfPKk8Cxw-7tt}I}I{pL`q!!fjD%|0q_L@Q17$G741`^fE@HT z(2U;=QuhUZD5X+dog1%7441r){I=ck&k2-+6q^AaFYXmST%f$CB7JaB zNt=p$E_hT&kUp3JlFAJr*#d=$8~Lxegi{2$P4*>9Gw{;Yy zlnV?Z75)q$8TqN;GAxmjgUM$Ac-aeQDi;Np!V9N1FWfFayw$ZNFMKPC@_Vf`_GUnS zxayRoErt5!SyOEuN*<0lb}v}~B`Ws|k$V%BDTEFt^D}l~7iGYEV4OtA`Z6i0dL+Il znf6k8=~CZga5e=W`O~ozt=eI*Q2ZGy9NNkRd7wTL$>u^3?jIv4Y8P%)_mdt5E>kj_ z6tou^B(XT|miLz^`{&~adCYMk=j9`YbaGtlNzZTJumtX)3@Nd4ifnXu@z`?LJtgrK z_2CWp_#?H$3d(DIU28>3*|Bmp$aMN@a6|7jfk0jwDV&^x{nk$P^TYm6*}cbcg!Ipd zdLb)rq&fC#MRHM{8WP_V*J{sV^J&dGxu)Weq$KMI$>MDj@C&juZ2`AA<#OEIjiXUA zck7GTYq_@edVy^&vhP_t1CxG72CKeJLqa2tCGK(O2#YD!L=W}aGCc0?m{ zy7qdX%3~<69pn@Jkw>0jlrk?ldtILn(f@p48_xOiy(>=o_RXsWuCoL6yfz0@e*7Fb#~SiqK4=6Fb=*0>v>(P)8L z)}K?UX=e_Afz%w02h;lbTyG*OSL0zDzy9Gor{AWs-=4N=rVLqkz|814Czv8Wed+e9 zT}^L{R;Vjo$05))TN0{vFhpL6A0ld_HwQE z2BW58K1?D$$_zoK$&%l0FxM$&lUFF>k5=J^ zlwZ>6cOwm*Pz3khOg1rwnqo?}#s}2j#GP+cJd-2R`;`QVH-zjw8dCsJ@R;(3TF`(k z8S;Xkfrz$nQc(+KOw;ur0OXh+34^9ZV5LVk<6EYD3HN-bEZSRAdO}fNvfoVP;9A10 zIZL1~`-0c8Jg+EO4gVWaF2g-bPc{1ed3+9GLL4;UGZfVrKQ~d-mfL&a)4>$Ok`Pf6 z-_)&m1_u?hU7ku1pNLgnLuAtp#tB6}ejwjeq<98Q3aX?X4YU$W;~QHNl3~kluPf)h z{<5}K+vK^!q`e+$+ntupy>zrzTdbV)M#L^JbAKOyM{(jS0imymbDqz>F8+?fL^hL= z*LXn)ry5wdQ)&n^#TR56K%c%6lj2|5=^hOPSz3V)Cpi`YYO6vi3IAd&A7hXglos#u zMdZVq_k47Rbs$|XBrC@1wOySZ*k^B9(RLs)YW#CI_ndCD7t3CfinvmWo z%BC2~gSFZy%2-w})Bz%q5QJDt32E$FSGg$!2r?8U2tynjkuHF;>R=M#cpuKo6feK4W|W=OPya%=i~V!TXi+A2niQ3*61O zsio>@k?6_IPfFCac~RGThvtSBBolqOV@Q#-9(v3FOK2K*M5fHSnU(Z4oxaG6Aty|^ z6dQ4QkjxbI*yC3>YM&y3`0(XGAG-fmMbCT0sh2QyqwYoPDJc87)2*di)v9EYs^hO!{;8nWyZXGUmEZ-qe-Ff&m!L$`MYh5|=W3Ik(1titqe9<-r0Y-w<-6~?xxSe8f8o#aMLxdpt3C>#vXe(R z%RdH$-7JghSB2fD2X9~A8_vLWX}fpFxxIw@GxZ2U_REal^o5K>8wyQx=ch(Dj7E${ zbJLcwLDF!OlP3QypCVw4oOS)aOn-|Q04e|ciE{r_lMQ2QMog5Js`=$~!aGtmUUY3z zM{eYoVM(a{^TvU=-$>A%Y~ToOX^r?J#mau}Ug56}Ubjc$eJ2aSi7n<=Ccs^zi*OM3 zeKZ5nVhDyB_$RKqqsME+$;%7zZ308?aKZ9Z_8!T~yPUQYuV|`v~#Uigs5BlHP2MU3R1R!tm z-x}*P08aV;BrT+b12&*-uSMU0Z%$juwhBZY!&@o2yn26F$=&0B6q6sLmD@A|=e?JD z`LuCcSrSckt#b6n{g+z!Lz)mHOHTY7P0^_>{1v@y6|?M?nM?{%HJzB=0-8^)Qh_MJcv2izEz}Lezg_{?Wqw?mU zD5`avZ4fna3^lQjHr_TAgHGQpsPtF1;{G`CbZ={E9)}<)Ua(nt74?!0D$qxyY)GjI zvqtbmUjrCPgOCRuEFnRIE_WRSsUya~`?y&g?#*4uZ6yDt=h9_p5_hOE$gG->&Rbuy z&K+AJEj_huIz9E|B$q=Fojb@(4WI5#-A%4(7b)>E*A;IsrqragooDAnEt><0T?vTg z3Yja4Lf%R%eAR3KK=!MS655xDSguGI>NE7oF@I2>!)4H-c!nYpHjp9>_&Z%5ts)Jj z`1hnQ*suTY%WH5{!uE8aIUgqL10|BkdxjFS)yuvx+rEVx7Y}?}#b=(C_r>Y5j&wu) z^@wgi+lt=HdIy`}uR94ZwN){v=lr46h|!@hMZ19?lOsg$4CKEjMNN)|Vr8iurNZT~T$OZT+NWzlUBx&w}NJ|AtbDk(s{~dsp(O*)#E-k18Pw zi)iPY>8Q9nS%ba-DOS-Etb+1CTq9pz_nXw=HRx;+y+~=gt&4o;yaJvwiAhEOVC65Z zoYdCtlt)iqoz51*27yz^sR#*N#!Szxazofg6hQiaXnX6ZD!;Aon@~`?r5kCGhE10s zU0X_|yJ6EQAtBPCz^1#DkXC7=y98818l+3&S)1QE=f1~z-ansr3|L=X*A^M;x>$S7 z`TfjE=6#*}tM@#pg4uJ}OgM7bU@JK`p=fXHu+vd=>_;1GS(qw}-i^7wjrE18Fe<1( z)W*jWq#wKenBY@ch4soUOuWS5A?yQ2B>hU(~hgq)%9MhCjC4)wSb^N(HG(HmE#k;B>1de@uv*S2Rl$y@4i zEt0>dbB~Hmt0X->J=`Xu(h2e%yxKgpL|XV>FWLR5*+0A?*1TXX`3319E9XgPW+G;& z2$Sl&V6 z|1jL2=|mZxkk7@Vu$mU)%XmC{UsG|KLE4NtoLExPq1}neR#K^XFl*o}SMcZGaRKdB zigC)#wys|38Z^ShDqAmtxO*Zs1>5sYl#BF7y69^}BK15Q51!#%$kc2%$L}C=hsdXnednxUn8^<*BhyWL*>7&k-$CnutiRJ&rK*h_=6SP0iI85!QuF6iB34j1Le@w*TnFhPy&QS&gY?gPTOE5B zK2H@u)>c;E`J9|83a?$TH*X8CseafHL?7o7LSNt!vhL$?FK^=!n)e(W>%dC4@^@VE zBR3vARNWCgl-%nY|5Frj6}8Y}yD7Jm)si-jW}ThUh!ykO5JEZ7pf3FC_q_Mk)Qq#S z(B|hLl6C$-a^&hjWm}W$RFWc}>v|6u^REy2{b()l{wRs;BWyyw9Zx0Z{n_1QH4^6v zM_j)nxkt`Fc{SezWPmIE%uLi7<2=+9`q-Ba1xhblqO`-q7p$~XGxlgWR>!G=!xyfU z&1}nX-XGnRr&(v7g~rw#-Sh+}IXV(~L>+94e%wRauBV&3G*EOH{new#<~9G5vI-lp zA;?uEiAJj77SE6w|E_^u&Br_5VUHuFW#5?-wgd~qLIyVod`u!GdVGnmz_c!12oLh} zt}11JZ?Bli;uc}C{gG~N;hztEHm}{EWWSC4{M@$st6bd`wr0VdF_Pb&g~DRtQYgWfV?_Ar;7?Y`+n|wxZ#_RHG%0R>M14O$Q=RMq4N%Qi zSgD*>Sj&9`v$VqB7TbQ`s1aF|+uw&#v~{i<4?R1AeG|L7Zm<-)3bmK^H0C<^aE5z6 zGp((e<}G|Kt+@3$)K}_w7HXmBNlcb_63mhEcjgbyU)?PN>u7(vxqz;CVfIy=DD-Hs z$i#k%o>T7&-EJ>GkL3gvR#gQ3j-C7pAg1!@>8}7{VlOWZ0`!tDJ{%!40Al)32Dt?g z6G9LW6I5>97(h(Wt}Ww+U1R&Vo+lq7=D)s{T`}%_b^Iasa%L7%aC4#w4`Ncs0F)`o zO-lc#CF8zd9bW@Y#{JA0)zEO2nfdO|3o~D_!ynwFsMQ4?2ws%BW%O5KPdYX5FmFAR z_iVvV;M%Oy~v&dREbn#j9Gb(xLM{Y+#a_szqlUQH2^9BcMnC;6J3ze z?~zoE<^6c?X3zqIvOH7zilx%xj1V8T56ihGd;l>y-blfnr(ulXh#;1v{%tBhO5g*@ zGo~N0!{E@VYm<@33TXe5gvegQwO50FS?M_QcgL!a<|qf>a|R*;`;S8>_;_i-F#x}7{?)#pr^^Ex|x zeQ|~!sSbxy?%>bL8ypg(`@)6B^*^Z(PpnE{k_GAw*@mCh*O4VIp5~v2*B>K(GW^ZSn-afzY=BM6Yw|v)pwqaCo^FM(R4aumF9PhP9k_JyBR$8E@FkD zNm?f~MiU}MxUh?aRE+!{xfS^n@)&Xzaxp@AM3JuS&o{UZKP(OWLC?9^&dqF(SdEyv z7 zxPeJ%`P4WQByGnFBFPp7G_7D~a_`5)!R(pZ|CN=^8?@$Yi*%C1joEm9*v9hpBJ9rO zy*)rDGl7+%K6Nthr$c)x;`8p~>Yd+%`;}4(x3Z~#%eBvCA)ezk2#|fHYEqcvk8|-% z|8ix>rshW&V}o3+61Z)P2Pl0Kjwp%pxbY4TaSGtczd&B`cz^rJ&*GuA&jiRW`i<~}GvlPh6(`ZC{w!#==hmn8ltb6fsT=GK@n8V_~z;x#QwVPg1$ zPHGq*N|%zDWyfP5z?E%=EQ$**XS5r)0n74%F(WAjMix{8T+Gl23v}E*5^Cx15Bq=V{q|p9cI|V@i{GQoi<@I#cqUp}wXFBM zb&Y35?8C$6j7VS>KQo&`A;Axh4GAl;E=~&`Z2Bw2 z4}1IG%Do@<3g3(={+W?1MWw`y>A}(@T>^F{G8saD7f-^mI|pdwCJ^oDg$vkl%pU;* zNJgdUr)n6rVF}1sGE04b_#MzAHtVh{?RnT+iJIp0v~yd;iuE?AiJaeq!Itx{?Ls1s zMoPkQ8sRFJD9o5M12Q!TWXz@h1(+O#EwzL;ThKO&(#|c)#dDyCT8NM$T%ZH-x?KdH z61fqzB1UglTU6!n4A-l`TT%W^gialhhzLE^gFBDsAFBrhb<|o@OLR~wgyfQPwExE; zdM>7O5YNv}9X;f@l&b+i6DXKKjCDx3OEdQaeZGxEe}ia@%ig=kBwojW$|N2p-WH9p zfj+xr*3i$XhN&&fOY4uFG51Gr_?yVdmY!lD0hs%Pk;Hq8($uoyVIYa#sZ)0U_zGIqYprLNDft#%jXB-6nba{zW0^~e1&fe==+ zr;-_9<^s%cBW6TcO`j&KrIKm79lgW1#Ill0Y8ea3nerkWLpVUNxu7KEr??d@QJ06| zK6AMd%C7+h5|wtkfh-Q-keUs!)8m4CS0u3X$2toU8O|_lByfRAINsqJA7TFJ8=%`V zi@ip;Ljygb-~}}suSB)}F@6yKk1TFQ(SLH7!2baV=)a90;Qz>CrRLljKM4Pa-FH^d zx1=Qs{6@wqz>gRnP-orxtD#|uaDrNux#mTE=bSkfhw$E{m3cM-ye zPvoORXn^;F3o@JN$5PcopEDv=97RljO*?rJUN@sY{E8pnc0GvS2o1)k78u%j?0XDr zT9ivxBB9qX3&o?GT$G#7MPsJP)Cip@9?lGUdFJ*)*NQh79nGZURUR7L%TH@-huO}i zR9U6O>vW@fm^#tp6yg1JJtldXRCw4Q&s=QD^G&EgWc*ds*lUZKbGkW!Kt(}?)P78X zEMBqVNz3)RBw=c|h=RCa>~wBlhcEHoIp5L>-AWE7VVJ6(YO?8=0V)IvT=CxC-@*&s zQupgzvzYEJZptVedo_PdKfFiGsje{wgi75+;P*qoUee~RAOu+RV$#rFLcm@k1i);} zksID2k+IB?dOjWXJ~F!f8pg+dV%{Ck&pxewl)|A&&I`eMt6175B`t%)QXz}ce|?qn z#<(ol#QMkQRO}p&U#))`c0CCiz0W-x&wqQSh?y?TtBrS2G0bY2z0{EHP1MERn#dOR zoPT!sS21Mz-sn=v!yNbA$G;M*!C%yng-?kE<6nsFI?_sOm`~&5bt5ICMI&=jwO})r zpyWJOxiBxX>!%T&n!4^)_3*eonCMp4Bhq&8$(Yv}x@1}&m{%!c>d72`#S_6$T!BU< zqSaj^p$csvzK2FxMfsd+jS`Krhl&~~_;V%*wl*iIfLDZWw9Gi1Up|;w*C3r zOST@c#UlErI1Qo?dE#0Vzmv$r*kXaz2hmUMUp(a-|GhWdr^oz-|4PuB=}RU#vQxw zi!fT^;t@*U8cyHZuvU|O(5djB@AF9jhDHe%)#|Ov^VnfC0OGy_f@34O^;vn?otPI) zR+n#4wv-Jc#1AV~*b^Ua4)8Kh{BrXTu}0}r)xB$0lzt_#;&xNjy1mqf=u_1z1JuTU z&Sla*?Aypye^+}-RKB}vRQ)}0PH$KALU-1ytY}a7+*nXGZEkY{6lDx4?uyFu65m{p z2SShvua9|V&lTQI^-TDfuCTH=w6gB@MvGnySyo&n70C)*OFN1cB2(OMRDVBbRyR`p z%DNWuHmG06@k+bcZY5g~N^yH^oA5TM2h8vxW(2^@j&0Q2Vp!|j;)=C7ZzW>EtfGgQ zI~fQ2Fv9mWS4}79{OS>dowp;m94kxeUerIP7HG_Hvy&ngVQL`m8X-IVFv&zc{8#sQ zHpNGA`m0P$1=7Dlu60DnrF6y4*uqYe2x5etXmQ2?4BEK`eYUd?X>sV+>>ifa>|O;o zQ2A|RXdRGsV|~@@^2p(@AeV!X9>vli=+uQS6poM?QjUWU^pIPS0{TGz{I=Qg_B-^W z?ybS~w(0SQrP1>l7usw4k{f|~oUcJlei*mD2YT1r?nPV1eN*Xn2Z8T};e&s5A&4-m z<-%~%ORlWskssw}S?h`)@D%!QL+CzhVX@xY zSJM>gw9*vDpk3Q2Vty7{k=?Y~U~5fd-IbU{JD$8vaJrQEGq^dSaKZXYx8QO0S+W#_ zUY{k*;t>YjoV>nbee0ufwU?-E)&06{-fk z|I)u@uUc||m1jX4NIz=+2Srg#4zLg{FR-GD?dJ)>K2Fv(Wy|Du%JsmON%Vi=D;14a znGwX&%B!1^fl*@RtRFSTP%w!(fgpntkN<>FAd=$I0>PI@n+y~kA@pd<|Bk%EBZ>7K zDD)!`it9KoAqNsbzit_wc z75{dNJG9a6d--qNOASdSWO<|yWVZN7mZ9`WW&8^GNKEyo1GH6p=Ys+r);$yU#RhyX zi*+^%HzzVSJrnDyDf0`okyc`#T@5;HSg4+me`U^2q>vN%Mp}r<-@b?wJmfH9d~J!x zA0(da?(MaCn{gZ8E~an^&z?yIXBdrE5fyR97CxH9la_eTWiNmLeQ)C8-P{b(+CA3X1YUN zY*`S;mpMr?(7spZd*1*p2)O8*O*0kbz$qxD(t0VD2)EScAU>J|w{&A4VEX?7VaLF! zg|%C@3?f!g)`<|JP^N$Lvx;5O5p2|nR)Ae;;uIrdqmHgbOdv**UvyW^ZQQsQ`uLh? zb`B5xa(Z5yJg{?!3=SsNq?6PevsYkcPpqVu&OVe{M`h3JY>$4)yY-S1!s$nLk1B$S z0Y(qvB=L7+LsWcu6QN|-U$8=+bn`B3TugLRD7^g6!_bd5xN(1C=nK|zxVGg}?sPUj z-vgcZW6DMHgBxq5z?)J_AzS2yS{>KeKIF@T)eJ@^X7t9em_K0v)~v*c>q(mQ9lHX% zU?MZI_(5%8j7Im*(S-tiRMwuWRQW{>y7or32}YvFT>Q=&j^fU2!)^_@Ilhgyq~aQB zACYrF)>uWlMhq?SH_ty2(LO0)jHt6DspW713Wp0&ICNr8Ux31)6I1yD6z)HiD{y2P z3OA&o5!5;!cLFT%f5WkndSjjSW3mBca`L#n#NuJ*Z>T$v6>xL&B0vgfV<{Eq?)cK^ zQMU1lf~#AV{}%-}3n;jV zh)4kb(ELE|JET$+ZNdak<4>Oh-j=BExuXYt!E~Gd%GIn3aFeb3sEz_2R}KUr<*c=u zW4si^oIrReo@-k%Gswk}l`X`1DQ{))3$jnoV%NODjoY@MtkN9XD5rvEbcnNU&|-LMMM+_=9(^ z>&cNPMs0^$q%goycTSV+FsX_+OfV{kH%x7QUC@-wYGSw_Hta;Ngq%VL+!GM)O#D=p zn?po80#u_ul}PYY1JzHwt0xom1xIMoklm9^wh5o4C-74M2297pY|;Rc|5 zFyLPmB)Ab<1bdSx?E#jF7VR8_S&;-&df5;;3B{HaBZG*Tr$Xcwnf{dq3ewq z7O}E}CuM_SR#lD}g)a{TA5V{MpD;vYTOGqW`0VHrG0vjk?(XA`&Gy(mn%`xC-(wrp zgjzB-9KlB6oDDXLUa(P!7K4q#2+aJ+0y86EW_RNM3!66x5W-jefsgX^>@yQ;%%Jw` zZ72GFD2`Tt#5SO3ChVj*?J8T7VMELM8o{~M5*~xM*Rf7^Lnq+ODQYT$5KZ6$8A)AhDYoXh^-X=>JdalNzxN2RRnVa+ z-vRV@96hnP1BEyUIf%t6b&v64Iej0XQ;LU0Q=4ta=SBSS{MYsX-i0xC50QMm|M1J> zT7e)ZX%saU7357&Bu7OaO5T&lCGwkSiTW4c<|#Dx7ZSf8_&-S!)PIsBG(`V}w}JSo zUKaa{o5H2r!qIT1pygxcST=!o4Yu^&K{sASAGZ7n7CR;zIQhue#$twFees=5)lMUI znsrm026axv$niT9)(9WombpIDJZ0uhtChD_3A-tAyaPTqqbO^Uv}AHxa!p5 zDad2G>cH?6ZvHIO#zx{SM{dx@USw-)M1s;CJOwDatB%K=fZg}*8sa5-bi?&@!%-Nn zpmc|FnZFljdGZo}8i%&4^n}5t@_}ndEUj8yi+=1;ZmC-)u6oMK0uMCyD8cqj>N}W- zI}yfV{Ur=jbD_NC7sd%7r(9pr=45DcFXLDzoT!TlBT7yfE!KQ0Q>;MtFo4{W5vG=$ z;O|yu$w>4S*QbLw*jns*vW}hZJqbhB>4v)cL=C=HDjUTTiOEPgMJ2h9YCe=fNJzeM zolm=xJeRS}PeOF6EMY|T5lZ$GBtMpF>Df>RO&%HdrcI-#u-BNY1ygLs@2Xx_ zj#FQBa}3(#m2#nzFBmkN6^b7!KO8o3WlbNu33MW|UKnQ?_9h#0boilkMASLlNd{vI z=40Xfg_UjsJ}d4Eqi$LxpfL&I+G_MgFWkgUw+|OShC*&V>)W;^os&Apv&MCy2ZD#rPzY+T( zEOD0E-BgFaDfO&F1*DsdjZmW|WM6Wm%;>kWR@O?r-0dr6FRcykI*8|HY%zb3So!Uh z1*o@n9YS-nE^Va@af->jz%{^WZ&3R{2`maEz=8)ylOUCBAO$ZJ;vhu=jl@BEmm$W2 z1mF`SK%F3!LGA>=CrENIBv3euBv*lCGLMwQ9?@8b5^Ro)RBvz-7)p%={@0|A2 z0jfN<2+GIUPuxPkGO8D+9B2q?sp_rjX>9FrOj=CqOj|U4UFPJJak=0&o9#y0oZ>m* zfyvaP5DBsK#fg_g(uy?t(h(HsKe`T)w5{WbNW(I3dwde}LUO46!<9n(itKd=U|B7? zWG>J0pI?qYtvv1?TDB}ajrS|A;zdIHJD~k(-1t3L-MF+P{}rDl|A?e^I?KbHXPZhW zCMiqVre&u2rYWY?OGQA-RG$Tx-dB8sbZ)#q%&#o|r?p6RPt0jOSTrcpeFSd3mwA{Y zdE*=eKj;#8pZLx?5AFqXaD^>0*$it)v+X7_;iV5HJpO^(!=Sb@hu9u(>oD zeqB$UHX_nrA?>bUCk?G5(UG^8hQdfDV`xk63%HX`hNPDxhQBN&_unRHVV0HE=9EG1 zgh$UzS{_ECBWEowUqJ$!C#f?Gts*%V)*p4T;IJtn;jb^dFV0R`_AO9v-hOw)7H4tGPmLUL`l29Lq6J(D?fMj zg*UmK*oau@vs%+>yCIA{E&ET&u|n^Yr^J$;m0rq!S8Y_5@MwUDTI;8-t;g#Rd_9}z zbLLdP{!*K|Cr{ivZSbYA_MYmiPdj2`j-3ObtI>9#-t#aE8o38iGkDb%527B?fU)lj zCn~`Q12g@E!o$Mv4LIv+Mg+J`vNho24^Oy7njGC+nt@c!Kods__xcyk03?evQ4gjg zoIVK?MvunkJdPU3CaZw+Z=^dl z=K+Ny*i(|`=&%wFhPgX2uG8oKfGAidhoT{gFfXg^lb++R> zTW=IMZ97^m#iP(_Y54TJzZ8?Ui;pmS zjp4(F!ac~d@71i^hG7`5n8=<16!c}Lrsm&n!=Fv^`KZhcPrb#0{W_0f#TTWp1@h^*D_E`yu zj7-qE{|&k}wp_T`1kA+ZjVMH6jHvNCt5xeGoP$yMpP}$k;%2Cb*LMRWiFKCR_(7^t zKIj+(z$rjPwE04Eh9?J2HLUHb%)qdhpEJz=R0&>MHvGP9D-$6-CQ*FE;Fb7rKH_S~ zgrn$0bG*M8+*X)(nBQUOy`Tr3NPQU}d_^V0QB?b1j{G@Bua_Xe!zyDwm|iRkVD?ov zbtt(xRMjS{oR%z@yJ3uk1bE1?A%$IeRt44wia{Ud$X}ul;l| z#}`?{wtj{hT3bNJxcyB`JYrDq<~k^uRJlw;;wxY3IwijO-1}rNoDWa5bZa^u^f{4+ zTRb|XJ#eFcPD;1g?=(vMbT7)@FUW?_Pd8n!p#wB43IyOz)f1VTDCrvI?0D~ZJx2>x zt7DCfv6hJ#1nc!ME{1k4+(J>1LGhFc7SHRK+@$d6OH0>}q{q{$#2!rA_xq{{WS8R= zu@V=>u=~`Am3bH%)&l*~HHDy)DJlT;(v_}>^NX;^euevNW}&5YncB$u4}qU^x*m7| z6SJ&Y{Em(^;=k9v{|`xK1xpy(2(W!htyagJ&%nr76Kugv$|x!x@9+$TahO=UTkC>r z_bl#6hhZaKlxob_-CBT&g3X{NHxCG;rrl0SP!47xE2n@EhNnt>ughW`O18cs1UNWz zcw-6J_*8RvuC*5VEmbt6*?&d%nzOphIz<*vg2y_k)#mo|Is=o_BN967aSTZiA>iE^DrWkeeXR#>*zy`0;zUV z`h%uOx=+jbkE_&jcmIB-kKxY>wEmvLmKj6&FgJ>cj&Awc167rW5Ak(n4hK_(>2$b9 z2W~#9zam+y{@;VfnnY0d2=yOQD64{OUv{Y5jyW$@GK5lQOXo9E?-M88JO+H&K6v7`W{9jYf-( zcR>uKjh>msJDA0DxQ18|hIVa+KL41UOHA|Z4u@oHWi8+E-ND4B(>FlwlhDQiUxy=Q zB04Vis4h=j#KY45Nh1RjF`I!Fcm|2tAX?xVWRrsx$jB)mg#M}0v+%N5osum@gn(zT z6g-0%_*A9f87!b@ra2>zxfE+!vHje+Rv{$hY4KV1r*FjDNfQ_QfoV}917|1r{cS3o z#uJ?03E%&faVArtdP=y9rXut{MrJ~F{nchs;5#SCBNZVyQc;H5wyGCP)#w9T545IM zXc^ymXLvVRuI{@r@~+bK^=y?Xv0GT#C$g0nj!*r*jTG4i^o$hm4_m2mnGLn|xhUb+m&3KrMP5cG31ab&XHu z-`MNG8edNl%|vdvyp6;`h$;xw$)QwLno~;%iE1Z!!I0M}Ko5DrVAd@#-y`66avcO} zZ9?o{|G1rTK>uYCtQ`AuRal*MLLXdFr9K`kE&i37$%XE*_4k%dCc2U#I{xbKZJrmp z1d0lZd|m`^j(;fiFQh~{bw{LUdCX$Li~-(djGM8WZT85nN=Qr)++czL6sah+hdFY^ zU0s-{V97)i?O-QLuBVc&x7?MrJ-g>#9w=}ihYjAN8UVSrq`t_qG z`r*d=kg_+{1_uZ4-t$)W4%aQOxYiN`%Co*Qz7WFD3ORa0V)-^2H+{8g8TAUI3qu2w zAF}|H6!QuLXnDT=X?Ms$V2v|LOwy}#+-8!JiyYP+{Ho5sPVFOC^H|rAfaJ}Y;s|M6 z52jV5#6shEhdE?M<*&4J@MrUaJ(M+>-T_wL^d$r1AvvK6aZLB(Ip~55LjNFuCiQ#0 zTFWUJ4|)?NM8)tYQ?ef%&w}KbG%%dwXm>5>LF_Aexv(#%k%o0I2=D{hXo%n#JOx^T zM2MnAt@oNj%Ok}Md!#HLO#gI)0_|O>cnXfwA7PIlX-H^OM&`dTCS7OMrN{(zInNdn z2)Kwjm$b%!P%W7WFueerF;gA`LWmg(!<3;4jXEB80!%;`fG4L##=1o5J=A4-FI6^;B zODYeP;!#_?L3!n%nNDk%O!SR}DV%^^`BS6wvyzi2*9N$4g~qnFdALC~wF2{~VD=Mb zN2FHdoM}SQ5|3x-pSX5&rY+?)-e~p9a4NY_Pv%u1f3k_z%>MK+kGR(#ZQ{iW&Bt_(^tAYM3>(qJV{rl~YCPL_ch3258$Ko|$p zXrWe>@%<`;+zAL8+T>wqFXXJ-m zM49J~LpFc)p2vaj%}#n#chP&p&~RGm>%*ij?xU}>T<(~n@6risRiciE+}@cd%QnBV zB}9Agu0Kr^!SMTSc7W?8EDjwma?+N6#yc^byqMw?`EKKo%Ok2UOf=kLk(Kdppr&H| z0&?Oa9&(f;Cl-_P@84uzxFB43wgsilA8W1ml z62Wo`-cr(8E=c`T#L_HM$R*M=f-jzl_nQ>9H`+PJpr9xQ|CUhb7Qg@7 zm74M1;s@YkpOt@1?*KlwipCfaDisrfaA07+qs_q(mulE?_+ZASbKJYs{zuggO z^UoEnTQHpF9{NW$H9X|))nx7FBZe&M)WC3Y8uirfgXy9nx4#mDTf*{NA7Zx&#mt{O z?5##>ulT>xTO@>a