16 lines
425 B
VHDL
16 lines
425 B
VHDL
library ieee;
|
|
use ieee.std_logic_1164.all;
|
|
use ieee.numeric_std.all;
|
|
|
|
package types is
|
|
type coord is array(0 to 39, 0 to 29) of unsigned(10 downto 0);
|
|
type direction is (haut, bas, gauche, droite);
|
|
type pos is record
|
|
X: unsigned(9 downto 0);
|
|
Y: unsigned(8 downto 0);
|
|
dir: direction;
|
|
isDefined: std_logic;
|
|
end record;
|
|
type listSnake is array(0 to 1200) of pos;
|
|
end package;
|